repo_name
stringlengths
6
79
path
stringlengths
6
236
copies
int64
1
472
size
int64
137
1.04M
content
stringlengths
137
1.04M
license
stringclasses
15 values
hash
stringlengths
32
32
alpha_frac
float64
0.25
0.96
ratio
float64
1.51
17.5
autogenerated
bool
1 class
config_or_test
bool
2 classes
has_no_keywords
bool
1 class
has_few_assignments
bool
1 class
Jorge9314/ElectronicaDigital
Impresora2D/TB_Divisor_Frecuencia.vhd
1
975
LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY TB_Divisor_Frecuencia IS END TB_Divisor_Frecuencia; ARCHITECTURE behavior OF TB_Divisor_Frecuencia IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT Divisor_Frecuencia PORT( clk : IN std_logic; Salida : OUT std_logic ); END COMPONENT; --Inputs signal clk : std_logic := '0'; --Outputs signal Salida : std_logic; -- Clock period definitions constant clk_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: Divisor_Frecuencia PORT MAP ( clk => clk, Salida => Salida ); -- Clock process definitions clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; -- Stimulus process stim_proc: process begin wait; end process; END;
gpl-3.0
2184b0d73e971639fe98fce0e62bd365
0.580513
4.079498
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/vhdlFile/component_instantiation_statement/classification_test_input.vhd
1
3,385
architecture ARCH of ENTITY is begin -- Component instantiation without component keyword. U_INST1 : INST1 generic map ( GEN_1 => c_gen_1, GEN_2 => c_gen_2, GEN_3 => c_gen_3 ) port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); U_INST1 : INST1 port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); U_INST1 : INST1 generic map ( GEN_1 => c_gen_1, GEN_2 => c_gen_2, GEN_3 => c_gen_3 ); U_INST1 : INST1; -- Component instantiation with component keyword. U_INST1 : component INST1 generic map ( GEN_1 => c_gen_1, GEN_2 => c_gen_2, GEN_3 => c_gen_3 ) port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); U_INST1 : component INST1 port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); U_INST1 : component INST1 generic map ( GEN_1 => c_gen_1, GEN_2 => c_gen_2, GEN_3 => c_gen_3 ); U_INST1 : component INST1; -- entity without architecture identifier U_INST1 : entity INST1 generic map ( GEN_1 => c_gen_1, GEN_2 => c_gen_2, GEN_3 => c_gen_3 ) port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); U_INST1 : entity INST1 port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); U_INST1 : entity INST1 generic map ( GEN_1 => c_gen_1, GEN_2 => c_gen_2, GEN_3 => c_gen_3 ); U_INST1 : entity INST1; -- entity without architecture identifier and with library identifier U_INST1 : entity my_lib.INST1 generic map ( GEN_1 => c_gen_1, GEN_2 => c_gen_2, GEN_3 => c_gen_3 ) port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); U_INST1 : entity my_lib.INST1 port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); U_INST1 : entity my_lib.INST1 generic map ( GEN_1 => c_gen_1, GEN_2 => c_gen_2, GEN_3 => c_gen_3 ); U_INST1 : entity my_lib.INST1; -- entity with architecture identifier U_INST1 : entity INST1 (rtl) generic map ( GEN_1 => c_gen_1, GEN_2 => c_gen_2, GEN_3 => c_gen_3 ) port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); U_INST1 : entity INST1 (rtl) port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); U_INST1 : entity INST1 (rtl) generic map ( GEN_1 => c_gen_1, GEN_2 => c_gen_2, GEN_3 => c_gen_3 ); U_INST1 : entity INST1 (rtl); -- configuration U_INST1 : configuration INST1 generic map ( GEN_1 => c_gen_1, GEN_2 => c_gen_2, GEN_3 => c_gen_3 ) port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); U_INST1 : configuration INST1 port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); U_INST1 : configuration INST1 generic map ( GEN_1 => c_gen_1, GEN_2 => c_gen_2, GEN_3 => c_gen_3 ); U_INST1 : configuration INST1; end architecture ARCH;
gpl-3.0
fb96ad87416a117d4b62a969f5d5a75f
0.489217
2.788303
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/generic/rule_007_test_input.fixed_upper.vhd
1
1,897
entity FIFO is generic ( G_WIDTH : integer := 256; G_DEPTH : integer := 32; PREFIX_GENERIC_SUFFIX : integer := 20 ); port ( I_PORT1 : in std_logic; I_PORT2 : out std_logic ); end entity FIFO; entity FIFO is generic ( G_WIDTH : integer := 256; G_DEPTH : integer := 32; PREFIX_GENERIC_SUFFIX : integer := 20 ); port ( I_PORT1 : in std_logic; I_PORT2 : out std_logic ); end entity FIFO; entity FIFO is generic ( G_WIDTH : integer := 256; G_DEPTH : integer := 32; PREFIX_GENERIC_SUFFIX : integer := 20 ); port ( I_PORT1 : in std_logic; I_PORT2 : out std_logic ); end entity FIFO; entity FIFO is generic ( G_WIDTH : integer := 256; G_DEPTH : integer := 32; PREFIX_GENERIC_SUFFIX : integer := 20 ); port ( I_PORT1 : in std_logic; I_PORT2 : out std_logic ); end entity FIFO; entity FIFO is generic(G_SIZE : integer := 10; G_WIDTH : integer := 256; G_DEPTH : integer := 32; PREFIX_GENERIC_SUFFIX : integer := 20 ); port ( i_port1 : in std_logic := '0'; i_port2 : out std_logic :='1' ); end entity FIFO; entity FIFO is generic(G_SIZE : integer := 10; G_WIDTH : integer := 256; G_DEPTH : integer := 32; PREFIX_GENERIC_SUFFIX : integer := 20 ); port ( i_port1 : in std_logic := '0'; i_port2 : out std_logic :='1' ); end entity FIFO; entity FIFO is generic(G_SIZE : integer := 10; G_WIDTH : integer := 256; G_DEPTH : integer := 32; PREFIX_GENERIC_SUFFIX : integer := 20 ); port ( i_port1 : in std_logic := '0'; i_port2 : out std_logic :='1' ); end entity FIFO; entity FIFO is generic(G_SIZE : integer := 10; G_WIDTH : integer := 256; G_DEPTH : integer := 32; PREFIX_GENERIC_SUFFIX : integer := 20 ); port ( i_port1 : in std_logic := '0'; i_port2 : out std_logic :='1' ); end entity FIFO;
gpl-3.0
c22e8ecb2d45f57b922330c49fdaff71
0.573537
3.120066
false
false
false
false
NicoLedwith/Dr.AluOpysel
RAT_MCU/Wrapper.vhd
1
5,606
------------------------------------------------------------------------------- -- Company: RAT Technologies -- Engineer: Various RAT rats -- -- Create Date: 1/31/2012 -- Design Name: -- Module Name: RAT_wrapper - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: Wrapper for RAT MCU. This model provides a template to -- interface the RAT MCU to the Nexys2 development board. -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity RAT_wrapper is Port ( LEDS : out STD_LOGIC_VECTOR (7 downto 0); SEGMENTS : out STD_LOGIC_VECTOR (7 downto 0); DISP_EN : out STD_LOGIC_VECTOR (3 downto 0); SWITCHES : in STD_LOGIC_VECTOR (7 downto 0); BUTTONS : in STD_LOGIC_VECTOR (3 downto 0); RESET : in STD_LOGIC; CLK : in STD_LOGIC); end RAT_wrapper; architecture Behavioral of RAT_wrapper is ------------------------------------------------------------------------------- -- INPUT PORT IDS ------------------------------------------------------------- CONSTANT SWITCHES_ID : STD_LOGIC_VECTOR (7 downto 0) := X"20"; CONSTANT BUTTONS_ID : STD_LOGIC_VECTOR (7 downto 0) := X"24"; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- OUTPUT PORT IDS ------------------------------------------------------------ CONSTANT LEDS_ID : STD_LOGIC_VECTOR (7 downto 0) := X"40"; CONSTANT SEGMENTS_ID : STD_LOGIC_VECTOR (7 downto 0) := X"82"; CONSTANT DISP_EN_ID : STD_LOGIC_VECTOR (7 downto 0) := X"83"; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Declare RAT_MCU ------------------------------------------------------------ component RAT_MCU Port ( IN_PORT : in STD_LOGIC_VECTOR (7 downto 0); OUT_PORT : out STD_LOGIC_VECTOR (7 downto 0); PORT_ID : out STD_LOGIC_VECTOR (7 downto 0); IO_STRB : out STD_LOGIC; RESET : in STD_LOGIC; INT : in STD_LOGIC; CLK : in STD_LOGIC); end component RAT_MCU; ------------------------------------------------------------------------------- -- Signals for connecting RAT_MCU to RAT_wrapper ------------------------------- signal s_input_port : std_logic_vector (7 downto 0); signal s_output_port : std_logic_vector (7 downto 0); signal s_port_id : std_logic_vector (7 downto 0); signal s_load : std_logic; --signal s_interrupt : std_logic; -- not yet used -- Register definitions for output devices ------------------------------------ signal r_LEDS : std_logic_vector (7 downto 0) := (others => '0'); signal r_SEGMENTS : std_logic_vector (7 downto 0) := (others => '0'); signal r_DISP_EN : std_logic_vector (3 downto 0) := (others => '0'); ------------------------------------------------------------------------------- begin -- Instantiate RAT_MCU -------------------------------------------------------- MCU: RAT_MCU port map( IN_PORT => s_input_port, OUT_PORT => s_output_port, PORT_ID => s_port_id, RESET => RESET, IO_STRB => s_load, INT => BUTTONS(3), CLK => CLK); ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- MUX for selecting what input to read ------------------------------------------------------------------------------- inputs: process(s_port_id, SWITCHES, BUTTONS) begin if (s_port_id = SWITCHES_ID) then s_input_port <= SWITCHES; elsif (s_port_id = BUTTONS_ID) then s_input_port <= "00000" & BUTTONS(2 downto 0); else s_input_port <= x"00"; end if; end process inputs; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Decoder for updating output registers -- Register updates depend on rising clock edge and asserted load signal ------------------------------------------------------------------------------- outputs: process(CLK, s_load, s_port_id) begin if (rising_edge(CLK)) then if (s_load = '1') then if (s_port_id = LEDS_ID) then r_LEDS <= s_output_port; elsif (s_port_id = SEGMENTS_ID) then r_SEGMENTS <= s_output_port; elsif (s_port_id = DISP_EN_ID) then r_DISP_EN <= s_output_port(3 downto 0); end if; end if; end if; end process outputs; ------------------------------------------------------------------------------- -- Register Interface Assignments --------------------------------------------- LEDS <= r_LEDS; SEGMENTS <= r_SEGMENTS; DISP_EN <= r_DISP_EN; ------------------------------------------------------------------------------- end Behavioral;
mit
de96de4465c867c18771ac5a5c63f5da
0.385123
4.974268
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/generic/rule_006_test_input.vhd
1
428
entity FIFO is generic ( G_WIDTH : integer := 256; G_DEPTH : integer := 32 ); port ( I_PORT1 : in std_logic; I_PORT2 : out std_logic ); end entity FIFO; -- Violation below entity FIFO is generic(G_SIZE : integer := 10; G_WIDTH : integer :=256; G_DEPTH : integer := 32 ); port ( I_PORT1 : in std_logic := '0'; I_PORT2 : out std_logic :='1' ); end entity FIFO;
gpl-3.0
ac7c3b283105b3a5d82fd9d2db10800c
0.537383
3.079137
false
false
false
false
sahandKashani/DE1-SoC
DE0_Nano_SoC/DE0_Nano_SoC_demo/hw/hdl/DE0_Nano_SoC_top_level.vhd
1
15,077
-- ############################################################################# -- DE0_Nano_SoC_top_level.vhd -- -- BOARD : DE0-Nano-SoC from Terasic -- Author : Sahand Kashani-Akhavan from Terasic documentation -- Revision : 1.0 -- Creation date : 11/06/2015 -- -- Syntax Rule : GROUP_NAME_N[bit] -- -- GROUP : specify a particular interface (ex: SDR_) -- NAME : signal name (ex: CONFIG, D, ...) -- bit : signal index -- _N : to specify an active-low signal -- ############################################################################# library ieee; use ieee.std_logic_1164.all; entity DE0_Nano_SoC_top_level is port( -- ADC -- ADC_CONVST : out std_logic; -- ADC_SCK : out std_logic; -- ADC_SDI : out std_logic; -- ADC_SDO : in std_logic; -- ARDUINO -- ARDUINO_IO : inout std_logic_vector(15 downto 0); -- ARDUINO_RESET_N : inout std_logic; -- CLOCK FPGA_CLK1_50 : in std_logic; -- FPGA_CLK2_50 : in std_logic; -- FPGA_CLK3_50 : in std_logic; -- KEY KEY_N : in std_logic_vector(1 downto 0); -- LED LED : out std_logic_vector(7 downto 0); -- SW -- SW : in std_logic_vector(3 downto 0); -- GPIO_0 -- GPIO_0 : inout std_logic_vector(35 downto 0); -- GPIO_1 -- GPIO_1 : inout std_logic_vector(35 downto 0); -- HPS HPS_CONV_USB_N : inout std_logic; HPS_DDR3_ADDR : out std_logic_vector(14 downto 0); HPS_DDR3_BA : out std_logic_vector(2 downto 0); HPS_DDR3_CAS_N : out std_logic; HPS_DDR3_CK_N : out std_logic; HPS_DDR3_CK_P : out std_logic; HPS_DDR3_CKE : out std_logic; HPS_DDR3_CS_N : out std_logic; HPS_DDR3_DM : out std_logic_vector(3 downto 0); HPS_DDR3_DQ : inout std_logic_vector(31 downto 0); HPS_DDR3_DQS_N : inout std_logic_vector(3 downto 0); HPS_DDR3_DQS_P : inout std_logic_vector(3 downto 0); HPS_DDR3_ODT : out std_logic; HPS_DDR3_RAS_N : out std_logic; HPS_DDR3_RESET_N : out std_logic; HPS_DDR3_RZQ : in std_logic; HPS_DDR3_WE_N : out std_logic; HPS_ENET_GTX_CLK : out std_logic; HPS_ENET_INT_N : inout std_logic; HPS_ENET_MDC : out std_logic; HPS_ENET_MDIO : inout std_logic; HPS_ENET_RX_CLK : in std_logic; HPS_ENET_RX_DATA : in std_logic_vector(3 downto 0); HPS_ENET_RX_DV : in std_logic; HPS_ENET_TX_DATA : out std_logic_vector(3 downto 0); HPS_ENET_TX_EN : out std_logic; HPS_GSENSOR_INT : inout std_logic; HPS_I2C0_SCLK : inout std_logic; HPS_I2C0_SDAT : inout std_logic; HPS_I2C1_SCLK : inout std_logic; HPS_I2C1_SDAT : inout std_logic; HPS_KEY_N : inout std_logic; HPS_LED : inout std_logic; HPS_LTC_GPIO : inout std_logic; HPS_SD_CLK : out std_logic; HPS_SD_CMD : inout std_logic; HPS_SD_DATA : inout std_logic_vector(3 downto 0); HPS_SPIM_CLK : out std_logic; HPS_SPIM_MISO : in std_logic; HPS_SPIM_MOSI : out std_logic; HPS_SPIM_SS : inout std_logic; HPS_UART_RX : in std_logic; HPS_UART_TX : out std_logic; HPS_USB_CLKOUT : in std_logic; HPS_USB_DATA : inout std_logic_vector(7 downto 0); HPS_USB_DIR : in std_logic; HPS_USB_NXT : in std_logic; HPS_USB_STP : out std_logic ); end entity DE0_Nano_SoC_top_level; architecture rtl of DE0_Nano_SoC_top_level is component soc_system is port( clk_clk : in std_logic := 'X'; hps_0_ddr_mem_a : out std_logic_vector(14 downto 0); hps_0_ddr_mem_ba : out std_logic_vector(2 downto 0); hps_0_ddr_mem_ck : out std_logic; hps_0_ddr_mem_ck_n : out std_logic; hps_0_ddr_mem_cke : out std_logic; hps_0_ddr_mem_cs_n : out std_logic; hps_0_ddr_mem_ras_n : out std_logic; hps_0_ddr_mem_cas_n : out std_logic; hps_0_ddr_mem_we_n : out std_logic; hps_0_ddr_mem_reset_n : out std_logic; hps_0_ddr_mem_dq : inout std_logic_vector(31 downto 0) := (others => 'X'); hps_0_ddr_mem_dqs : inout std_logic_vector(3 downto 0) := (others => 'X'); hps_0_ddr_mem_dqs_n : inout std_logic_vector(3 downto 0) := (others => 'X'); hps_0_ddr_mem_odt : out std_logic; hps_0_ddr_mem_dm : out std_logic_vector(3 downto 0); hps_0_ddr_oct_rzqin : in std_logic := 'X'; hps_0_io_hps_io_emac1_inst_TX_CLK : out std_logic; hps_0_io_hps_io_emac1_inst_TX_CTL : out std_logic; hps_0_io_hps_io_emac1_inst_TXD0 : out std_logic; hps_0_io_hps_io_emac1_inst_TXD1 : out std_logic; hps_0_io_hps_io_emac1_inst_TXD2 : out std_logic; hps_0_io_hps_io_emac1_inst_TXD3 : out std_logic; hps_0_io_hps_io_emac1_inst_RX_CLK : in std_logic := 'X'; hps_0_io_hps_io_emac1_inst_RX_CTL : in std_logic := 'X'; hps_0_io_hps_io_emac1_inst_RXD0 : in std_logic := 'X'; hps_0_io_hps_io_emac1_inst_RXD1 : in std_logic := 'X'; hps_0_io_hps_io_emac1_inst_RXD2 : in std_logic := 'X'; hps_0_io_hps_io_emac1_inst_RXD3 : in std_logic := 'X'; hps_0_io_hps_io_emac1_inst_MDIO : inout std_logic := 'X'; hps_0_io_hps_io_emac1_inst_MDC : out std_logic; hps_0_io_hps_io_sdio_inst_CLK : out std_logic; hps_0_io_hps_io_sdio_inst_CMD : inout std_logic := 'X'; hps_0_io_hps_io_sdio_inst_D0 : inout std_logic := 'X'; hps_0_io_hps_io_sdio_inst_D1 : inout std_logic := 'X'; hps_0_io_hps_io_sdio_inst_D2 : inout std_logic := 'X'; hps_0_io_hps_io_sdio_inst_D3 : inout std_logic := 'X'; hps_0_io_hps_io_usb1_inst_CLK : in std_logic := 'X'; hps_0_io_hps_io_usb1_inst_STP : out std_logic; hps_0_io_hps_io_usb1_inst_DIR : in std_logic := 'X'; hps_0_io_hps_io_usb1_inst_NXT : in std_logic := 'X'; hps_0_io_hps_io_usb1_inst_D0 : inout std_logic := 'X'; hps_0_io_hps_io_usb1_inst_D1 : inout std_logic := 'X'; hps_0_io_hps_io_usb1_inst_D2 : inout std_logic := 'X'; hps_0_io_hps_io_usb1_inst_D3 : inout std_logic := 'X'; hps_0_io_hps_io_usb1_inst_D4 : inout std_logic := 'X'; hps_0_io_hps_io_usb1_inst_D5 : inout std_logic := 'X'; hps_0_io_hps_io_usb1_inst_D6 : inout std_logic := 'X'; hps_0_io_hps_io_usb1_inst_D7 : inout std_logic := 'X'; hps_0_io_hps_io_spim1_inst_CLK : out std_logic; hps_0_io_hps_io_spim1_inst_MOSI : out std_logic; hps_0_io_hps_io_spim1_inst_MISO : in std_logic := 'X'; hps_0_io_hps_io_spim1_inst_SS0 : out std_logic; hps_0_io_hps_io_uart0_inst_RX : in std_logic := 'X'; hps_0_io_hps_io_uart0_inst_TX : out std_logic; hps_0_io_hps_io_i2c0_inst_SDA : inout std_logic := 'X'; hps_0_io_hps_io_i2c0_inst_SCL : inout std_logic := 'X'; hps_0_io_hps_io_i2c1_inst_SDA : inout std_logic := 'X'; hps_0_io_hps_io_i2c1_inst_SCL : inout std_logic := 'X'; hps_0_io_hps_io_gpio_inst_GPIO09 : inout std_logic := 'X'; hps_0_io_hps_io_gpio_inst_GPIO35 : inout std_logic := 'X'; hps_0_io_hps_io_gpio_inst_GPIO40 : inout std_logic := 'X'; hps_0_io_hps_io_gpio_inst_GPIO53 : inout std_logic := 'X'; hps_0_io_hps_io_gpio_inst_GPIO54 : inout std_logic := 'X'; hps_0_io_hps_io_gpio_inst_GPIO61 : inout std_logic := 'X'; hps_fpga_leds_external_connection_export : out std_logic_vector(3 downto 0); nios_leds_external_connection_export : out std_logic_vector(3 downto 0); reset_reset_n : in std_logic := 'X' ); end component soc_system; begin soc_system_inst : component soc_system port map( clk_clk => FPGA_CLK1_50, hps_0_ddr_mem_a => HPS_DDR3_ADDR, hps_0_ddr_mem_ba => HPS_DDR3_BA, hps_0_ddr_mem_ck => HPS_DDR3_CK_P, hps_0_ddr_mem_ck_n => HPS_DDR3_CK_N, hps_0_ddr_mem_cke => HPS_DDR3_CKE, hps_0_ddr_mem_cs_n => HPS_DDR3_CS_N, hps_0_ddr_mem_ras_n => HPS_DDR3_RAS_N, hps_0_ddr_mem_cas_n => HPS_DDR3_CAS_N, hps_0_ddr_mem_we_n => HPS_DDR3_WE_N, hps_0_ddr_mem_reset_n => HPS_DDR3_RESET_N, hps_0_ddr_mem_dq => HPS_DDR3_DQ, hps_0_ddr_mem_dqs => HPS_DDR3_DQS_P, hps_0_ddr_mem_dqs_n => HPS_DDR3_DQS_N, hps_0_ddr_mem_odt => HPS_DDR3_ODT, hps_0_ddr_mem_dm => HPS_DDR3_DM, hps_0_ddr_oct_rzqin => HPS_DDR3_RZQ, hps_0_io_hps_io_emac1_inst_TX_CLK => HPS_ENET_GTX_CLK, hps_0_io_hps_io_emac1_inst_TX_CTL => HPS_ENET_TX_EN, hps_0_io_hps_io_emac1_inst_TXD0 => HPS_ENET_TX_DATA(0), hps_0_io_hps_io_emac1_inst_TXD1 => HPS_ENET_TX_DATA(1), hps_0_io_hps_io_emac1_inst_TXD2 => HPS_ENET_TX_DATA(2), hps_0_io_hps_io_emac1_inst_TXD3 => HPS_ENET_TX_DATA(3), hps_0_io_hps_io_emac1_inst_RX_CLK => HPS_ENET_RX_CLK, hps_0_io_hps_io_emac1_inst_RX_CTL => HPS_ENET_RX_DV, hps_0_io_hps_io_emac1_inst_RXD0 => HPS_ENET_RX_DATA(0), hps_0_io_hps_io_emac1_inst_RXD1 => HPS_ENET_RX_DATA(1), hps_0_io_hps_io_emac1_inst_RXD2 => HPS_ENET_RX_DATA(2), hps_0_io_hps_io_emac1_inst_RXD3 => HPS_ENET_RX_DATA(3), hps_0_io_hps_io_emac1_inst_MDIO => HPS_ENET_MDIO, hps_0_io_hps_io_emac1_inst_MDC => HPS_ENET_MDC, hps_0_io_hps_io_sdio_inst_CLK => HPS_SD_CLK, hps_0_io_hps_io_sdio_inst_CMD => HPS_SD_CMD, hps_0_io_hps_io_sdio_inst_D0 => HPS_SD_DATA(0), hps_0_io_hps_io_sdio_inst_D1 => HPS_SD_DATA(1), hps_0_io_hps_io_sdio_inst_D2 => HPS_SD_DATA(2), hps_0_io_hps_io_sdio_inst_D3 => HPS_SD_DATA(3), hps_0_io_hps_io_usb1_inst_CLK => HPS_USB_CLKOUT, hps_0_io_hps_io_usb1_inst_STP => HPS_USB_STP, hps_0_io_hps_io_usb1_inst_DIR => HPS_USB_DIR, hps_0_io_hps_io_usb1_inst_NXT => HPS_USB_NXT, hps_0_io_hps_io_usb1_inst_D0 => HPS_USB_DATA(0), hps_0_io_hps_io_usb1_inst_D1 => HPS_USB_DATA(1), hps_0_io_hps_io_usb1_inst_D2 => HPS_USB_DATA(2), hps_0_io_hps_io_usb1_inst_D3 => HPS_USB_DATA(3), hps_0_io_hps_io_usb1_inst_D4 => HPS_USB_DATA(4), hps_0_io_hps_io_usb1_inst_D5 => HPS_USB_DATA(5), hps_0_io_hps_io_usb1_inst_D6 => HPS_USB_DATA(6), hps_0_io_hps_io_usb1_inst_D7 => HPS_USB_DATA(7), hps_0_io_hps_io_spim1_inst_CLK => HPS_SPIM_CLK, hps_0_io_hps_io_spim1_inst_MOSI => HPS_SPIM_MOSI, hps_0_io_hps_io_spim1_inst_MISO => HPS_SPIM_MISO, hps_0_io_hps_io_spim1_inst_SS0 => HPS_SPIM_SS, hps_0_io_hps_io_uart0_inst_RX => HPS_UART_RX, hps_0_io_hps_io_uart0_inst_TX => HPS_UART_TX, hps_0_io_hps_io_i2c0_inst_SDA => HPS_I2C0_SDAT, hps_0_io_hps_io_i2c0_inst_SCL => HPS_I2C0_SCLK, hps_0_io_hps_io_i2c1_inst_SDA => HPS_I2C1_SDAT, hps_0_io_hps_io_i2c1_inst_SCL => HPS_I2C1_SCLK, hps_0_io_hps_io_gpio_inst_GPIO09 => HPS_CONV_USB_N, hps_0_io_hps_io_gpio_inst_GPIO35 => HPS_ENET_INT_N, hps_0_io_hps_io_gpio_inst_GPIO40 => HPS_LTC_GPIO, hps_0_io_hps_io_gpio_inst_GPIO53 => HPS_LED, hps_0_io_hps_io_gpio_inst_GPIO54 => HPS_KEY_N, hps_0_io_hps_io_gpio_inst_GPIO61 => HPS_GSENSOR_INT, hps_fpga_leds_external_connection_export => LED(7 downto 4), nios_leds_external_connection_export => LED(3 downto 0), reset_reset_n => KEY_N(0) ); end;
unlicense
e3d77790e2d9593b99d6988ad468a02a
0.431784
3.15881
false
false
false
false
Yarr/Yarr-fw
rtl/kintex7/wbexp-core/gn4124_core_pkg.vhd
1
4,964
--============================================================================== --! @file gn4124_core_pkg_s6.vhd --============================================================================== --! Standard library library IEEE; --! Standard packages use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- Package for gn4124 core -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- --! @brief --! Package for components declaration and core wide constants. --! Spartan6 FPGAs version. -------------------------------------------------------------------------------- --! @version --! 0.1 | mc | 01.09.2010 | File creation and Doxygen comments --! --! @author --! mc : Matthieu Cattin, CERN (BE-CO-HT) -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- GNU LESSER GENERAL PUBLIC LICENSE -------------------------------------------------------------------------------- -- This source file is free software; you can redistribute it and/or modify it -- under the terms of the GNU Lesser General Public License as published by the -- Free Software Foundation; either version 2.1 of the License, or (at your -- option) any later version. This source is distributed in the hope that it -- will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty -- of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. -- See the GNU Lesser General Public License for more details. You should have -- received a copy of the GNU Lesser General Public License along with this -- source; if not, download it from http://www.gnu.org/licenses/lgpl-2.1.html -------------------------------------------------------------------------------- --============================================================================== --! Package declaration --============================================================================== package gn4124_core_pkg is --============================================================================== --! Constants declaration --============================================================================== constant c_RST_ACTIVE : std_logic := '0'; --============================================================================== --! Functions declaration --============================================================================== function f_byte_swap ( constant enable : boolean; signal din : std_logic_vector(31 downto 0); signal byte_swap : std_logic_vector(1 downto 0)) return std_logic_vector; function log2_ceil(N : natural) return positive; --============================================================================== --! Components declaration --============================================================================== end gn4124_core_pkg; package body gn4124_core_pkg is ----------------------------------------------------------------------------- -- Byte swap function -- -- enable | byte_swap | din | dout -- false | XX | ABCD | ABCD -- true | 00 | ABCD | ABCD -- true | 01 | ABCD | BADC -- true | 10 | ABCD | CDAB -- true | 11 | ABCD | DCBA ----------------------------------------------------------------------------- function f_byte_swap ( constant enable : boolean; signal din : std_logic_vector(31 downto 0); signal byte_swap : std_logic_vector(1 downto 0)) return std_logic_vector is variable dout : std_logic_vector(31 downto 0) := din; begin if (enable = true) then case byte_swap is when "00" => dout := din; when "01" => dout := din(23 downto 16) & din(31 downto 24) & din(7 downto 0) & din(15 downto 8); when "10" => dout := din(15 downto 0) & din(31 downto 16); when "11" => dout := din(7 downto 0) & din(15 downto 8) & din(23 downto 16) & din(31 downto 24); when others => dout := din; end case; else dout := din; end if; return dout; end function f_byte_swap; ----------------------------------------------------------------------------- -- Returns log of 2 of a natural number ----------------------------------------------------------------------------- function log2_ceil(N : natural) return positive is begin if N <= 2 then return 1; elsif N mod 2 = 0 then return 1 + log2_ceil(N/2); else return 1 + log2_ceil((N+1)/2); end if; end; end gn4124_core_pkg;
gpl-3.0
586303cc026fea92e58475c0b4308ce3
0.379734
5.660205
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/axi_dma_v7_1/hdl/src/vhdl/axi_dma_s2mm_sm.vhd
1
50,863
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------ ------------------------------------------------------------------------------- -- Filename: axi_dma_s2mm_sm.vhd -- Description: This entity contains the S2MM DMA Controller State Machine -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_dma_v7_1; use axi_dma_v7_1.axi_dma_pkg.all; library proc_common_v4_0; use proc_common_v4_0.proc_common_pkg.clog2; ------------------------------------------------------------------------------- entity axi_dma_s2mm_sm is generic ( C_M_AXI_S2MM_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width for S2MM Write Port C_SG_INCLUDE_STSCNTRL_STRM : integer range 0 to 1 := 1; -- Include or Exclude AXI Status and AXI Control Streams -- 0 = Exclude Status and Control Streams -- 1 = Include Status and Control Streams C_SG_USE_STSAPP_LENGTH : integer range 0 to 1 := 1; -- Enable or Disable use of Status Stream Rx Length. Only valid -- if C_SG_INCLUDE_STSCNTRL_STRM = 1 -- 0 = Don't use Rx Length -- 1 = Use Rx Length C_SG_LENGTH_WIDTH : integer range 8 to 23 := 14; -- Width of Buffer Length, Transferred Bytes, and BTT fields C_SG_INCLUDE_DESC_QUEUE : integer range 0 to 1 := 0; -- Include or Exclude Scatter Gather Descriptor Queuing -- 0 = Exclude SG Descriptor Queuing -- 1 = Include SG Descriptor Queuing C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0; C_MICRO_DMA : integer range 0 to 1 := 0; C_PRMY_CMDFIFO_DEPTH : integer range 1 to 16 := 1 -- Depth of DataMover command FIFO ); port ( m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- s2mm_stop : in std_logic ; -- -- -- S2MM Control and Status -- s2mm_run_stop : in std_logic ; -- s2mm_keyhole : in std_logic ; -- s2mm_ftch_idle : in std_logic ; -- s2mm_desc_flush : in std_logic ; -- s2mm_cmnd_idle : out std_logic ; -- s2mm_sts_idle : out std_logic ; -- s2mm_eof_set : out std_logic ; -- s2mm_eof_micro : in std_logic ; -- s2mm_sof_micro : in std_logic ; -- -- -- S2MM Descriptor Fetch Request -- desc_fetch_req : out std_logic ; -- desc_fetch_done : in std_logic ; -- desc_update_done : in std_logic ; -- updt_pending : in std_logic ; desc_available : in std_logic ; -- -- -- S2MM Status Stream RX Length -- s2mm_rxlength_valid : in std_logic ; -- s2mm_rxlength_clr : out std_logic ; -- s2mm_rxlength : in std_logic_vector -- (C_SG_LENGTH_WIDTH - 1 downto 0) ; -- -- -- DataMover Command -- s2mm_cmnd_wr : out std_logic ; -- s2mm_cmnd_data : out std_logic_vector -- ((2*C_M_AXI_S2MM_ADDR_WIDTH+CMD_BASE_WIDTH+46)-1 downto 0); -- s2mm_cmnd_pending : in std_logic ; -- -- -- Descriptor Fields -- s2mm_desc_info : in std_logic_vector -- (C_M_AXI_S2MM_ADDR_WIDTH-1 downto 0); -- s2mm_desc_baddress : in std_logic_vector -- (C_M_AXI_S2MM_ADDR_WIDTH-1 downto 0); -- s2mm_desc_blength : in std_logic_vector -- (BUFFER_LENGTH_WIDTH-1 downto 0); -- s2mm_desc_blength_v : in std_logic_vector -- (BUFFER_LENGTH_WIDTH-1 downto 0); -- s2mm_desc_blength_s : in std_logic_vector -- (BUFFER_LENGTH_WIDTH-1 downto 0) -- ); end axi_dma_s2mm_sm; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_dma_s2mm_sm is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- DataMover Commmand TAG constant S2MM_CMD_TAG : std_logic_vector(2 downto 0) := (others => '0'); -- DataMover Command Destination Stream Offset constant S2MM_CMD_DSA : std_logic_vector(5 downto 0) := (others => '0'); -- DataMover Cmnd Reserved Bits constant S2MM_CMD_RSVD : std_logic_vector( DATAMOVER_CMD_RSVMSB_BOFST + C_M_AXI_S2MM_ADDR_WIDTH downto DATAMOVER_CMD_RSVLSB_BOFST + C_M_AXI_S2MM_ADDR_WIDTH) := (others => '0'); -- Queued commands counter width constant COUNTER_WIDTH : integer := clog2(C_PRMY_CMDFIFO_DEPTH+1); -- Queued commands zero count constant ZERO_COUNT : std_logic_vector(COUNTER_WIDTH - 1 downto 0) := (others => '0'); -- Zero buffer length error - compare value constant ZERO_LENGTH : std_logic_vector(C_SG_LENGTH_WIDTH-1 downto 0) := (others => '0'); constant ZERO_BUFFER : std_logic_vector(BUFFER_LENGTH_WIDTH-1 downto 0) := (others => '0'); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- -- State Machine Signals signal desc_fetch_req_cmb : std_logic := '0'; signal write_cmnd_cmb : std_logic := '0'; signal s2mm_rxlength_clr_cmb : std_logic := '0'; signal rxlength : std_logic_vector(C_SG_LENGTH_WIDTH-1 downto 0) := (others => '0'); signal s2mm_rxlength_set : std_logic := '0'; signal blength_grtr_rxlength : std_logic := '0'; signal rxlength_fetched : std_logic := '0'; signal cmnds_queued : std_logic_vector(COUNTER_WIDTH - 1 downto 0) := (others => '0'); signal cmnds_queued_shift : std_logic_vector(C_PRMY_CMDFIFO_DEPTH - 1 downto 0) := (others => '0'); signal count_incr : std_logic := '0'; signal count_decr : std_logic := '0'; signal desc_fetch_done_d1 : std_logic := '0'; signal zero_length_error : std_logic := '0'; signal s2mm_eof_set_i : std_logic := '0'; signal queue_more : std_logic := '0'; signal burst_type : std_logic; signal eof_micro : std_logic; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin EN_MICRO_DMA : if C_MICRO_DMA = 1 generate begin eof_micro <= s2mm_eof_micro; end generate EN_MICRO_DMA; NO_MICRO_DMA : if C_MICRO_DMA = 0 generate begin eof_micro <= '0'; end generate NO_MICRO_DMA; s2mm_eof_set <= s2mm_eof_set_i; burst_type <= '1' and (not s2mm_keyhole); -- A 0 s2mm_keyhole means incremental burst -- a 1 s2mm_keyhole means fixed burst ------------------------------------------------------------------------------- -- Not using rx length from status stream - (indeterminate length mode) ------------------------------------------------------------------------------- GEN_SM_FOR_NO_LENGTH : if (C_SG_USE_STSAPP_LENGTH = 0 or C_SG_INCLUDE_STSCNTRL_STRM = 0 or C_ENABLE_MULTI_CHANNEL = 1) generate type SG_S2MM_STATE_TYPE is ( IDLE, FETCH_DESCRIPTOR, -- EXECUTE_XFER, WAIT_STATUS ); signal s2mm_cs : SG_S2MM_STATE_TYPE; signal s2mm_ns : SG_S2MM_STATE_TYPE; begin -- For no status stream or not using length in status app field then eof set is -- generated from datamover status (see axi_dma_s2mm_cmdsts_if.vhd) s2mm_eof_set_i <= '0'; ------------------------------------------------------------------------------- -- S2MM Transfer State Machine ------------------------------------------------------------------------------- S2MM_MACHINE : process(s2mm_cs, s2mm_run_stop, desc_available, desc_fetch_done, desc_update_done, s2mm_cmnd_pending, s2mm_stop, s2mm_desc_flush, updt_pending -- queue_more ) begin -- Default signal assignment desc_fetch_req_cmb <= '0'; write_cmnd_cmb <= '0'; s2mm_cmnd_idle <= '0'; s2mm_ns <= s2mm_cs; case s2mm_cs is ------------------------------------------------------------------- when IDLE => -- fetch descriptor if desc available, not stopped and running -- if (updt_pending = '1') then -- s2mm_ns <= WAIT_STATUS; if(s2mm_run_stop = '1' and desc_available = '1' -- and s2mm_stop = '0' and queue_more = '1' and updt_pending = '0')then and s2mm_stop = '0' and updt_pending = '0')then if (C_SG_INCLUDE_DESC_QUEUE = 1) then s2mm_ns <= FETCH_DESCRIPTOR; desc_fetch_req_cmb <= '1'; else s2mm_ns <= WAIT_STATUS; write_cmnd_cmb <= '1'; end if; else s2mm_cmnd_idle <= '1'; s2mm_ns <= IDLE; end if; ------------------------------------------------------------------- when FETCH_DESCRIPTOR => -- exit if error or descriptor flushed if(s2mm_desc_flush = '1' or s2mm_stop = '1')then s2mm_ns <= IDLE; -- wait until fetch complete then execute -- elsif(desc_fetch_done = '1')then -- desc_fetch_req_cmb <= '0'; -- s2mm_ns <= EXECUTE_XFER; elsif (s2mm_cmnd_pending = '0')then desc_fetch_req_cmb <= '0'; if (updt_pending = '0') then if(C_SG_INCLUDE_DESC_QUEUE = 1)then s2mm_ns <= IDLE; write_cmnd_cmb <= '1'; else s2mm_ns <= WAIT_STATUS; end if; end if; else s2mm_ns <= FETCH_DESCRIPTOR; end if; ------------------------------------------------------------------- -- when EXECUTE_XFER => -- -- if error exit -- if(s2mm_stop = '1')then -- s2mm_ns <= IDLE; -- -- Write another command if there is not one already pending -- elsif(s2mm_cmnd_pending = '0')then -- if (updt_pending = '0') then -- write_cmnd_cmb <= '1'; -- end if; -- if(C_SG_INCLUDE_DESC_QUEUE = 1)then -- s2mm_ns <= IDLE; -- else -- s2mm_ns <= WAIT_STATUS; -- end if; -- else -- s2mm_ns <= EXECUTE_XFER; -- end if; ------------------------------------------------------------------- when WAIT_STATUS => -- for no Q wait until desc updated if(desc_update_done = '1' or s2mm_stop = '1')then s2mm_ns <= IDLE; else s2mm_ns <= WAIT_STATUS; end if; ------------------------------------------------------------------- -- coverage off when others => s2mm_ns <= IDLE; -- coverage on end case; end process S2MM_MACHINE; ------------------------------------------------------------------------------- -- Register State Machine Statues ------------------------------------------------------------------------------- REGISTER_STATE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then s2mm_cs <= IDLE; else s2mm_cs <= s2mm_ns; end if; end if; end process REGISTER_STATE; ------------------------------------------------------------------------------- -- Register State Machine Signalse ------------------------------------------------------------------------------- -- SM_SIG_REGISTER : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- if(m_axi_sg_aresetn = '0')then -- desc_fetch_req <= '0' ; -- else -- if (C_SG_INCLUDE_DESC_QUEUE = 0) then -- desc_fetch_req <= '1'; -- else -- desc_fetch_req <= desc_fetch_req_cmb ; -- end if; -- end if; -- end if; -- end process SM_SIG_REGISTER; desc_fetch_req <= '1' when (C_SG_INCLUDE_DESC_QUEUE = 0) else desc_fetch_req_cmb ; ------------------------------------------------------------------------------- -- Build DataMover command ------------------------------------------------------------------------------- -- If Bytes To Transfer (BTT) width less than 23, need to add pad GEN_CMD_BTT_LESS_23 : if C_SG_LENGTH_WIDTH < 23 generate constant PAD_VALUE : std_logic_vector(22 - C_SG_LENGTH_WIDTH downto 0) := (others => '0'); begin -- When command by sm, drive command to s2mm_cmdsts_if GEN_DATAMOVER_CMND : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then s2mm_cmnd_wr <= '0'; -- s2mm_cmnd_data <= (others => '0'); -- Fetch SM issued a command write elsif(write_cmnd_cmb = '1')then s2mm_cmnd_wr <= '1'; -- s2mm_cmnd_data <= s2mm_desc_info -- & s2mm_desc_blength_v -- & s2mm_desc_blength_s -- & S2MM_CMD_RSVD -- & "0000" -- Cat IOC to CMD TAG -- & s2mm_desc_baddress -- & '1' -- Always reset DRE -- & '0' -- For Indeterminate BTT mode do not set EOF -- & S2MM_CMD_DSA -- & burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697 -- & PAD_VALUE -- & s2mm_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0); else s2mm_cmnd_wr <= '0'; end if; end if; end process GEN_DATAMOVER_CMND; s2mm_cmnd_data <= s2mm_desc_info & s2mm_desc_blength_v & s2mm_desc_blength_s & S2MM_CMD_RSVD & "00" & eof_micro & eof_micro --00" -- Cat IOC to CMD TAG & s2mm_desc_baddress & '1' -- Always reset DRE & eof_micro --'0' -- For Indeterminate BTT mode do not set EOF & S2MM_CMD_DSA & burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697 & PAD_VALUE & s2mm_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0); end generate GEN_CMD_BTT_LESS_23; -- If Bytes To Transfer (BTT) width equal 23, no required pad GEN_CMD_BTT_EQL_23 : if C_SG_LENGTH_WIDTH = 23 generate begin -- When command by sm, drive command to s2mm_cmdsts_if GEN_DATAMOVER_CMND : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then s2mm_cmnd_wr <= '0'; -- s2mm_cmnd_data <= (others => '0'); -- Fetch SM issued a command write elsif(write_cmnd_cmb = '1')then s2mm_cmnd_wr <= '1'; -- s2mm_cmnd_data <= s2mm_desc_info -- & s2mm_desc_blength_v -- & s2mm_desc_blength_s -- & S2MM_CMD_RSVD -- & "0000" -- Cat IOC to CMD TAG -- & s2mm_desc_baddress -- & '1' -- Always reset DRE -- & '0' -- For indeterminate BTT mode do not set EOF -- & S2MM_CMD_DSA -- & burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697 -- & s2mm_desc_blength; else s2mm_cmnd_wr <= '0'; end if; end if; end process GEN_DATAMOVER_CMND; s2mm_cmnd_data <= s2mm_desc_info & s2mm_desc_blength_v & s2mm_desc_blength_s & S2MM_CMD_RSVD & "00" & eof_micro & eof_micro -- "0000" -- Cat IOC to CMD TAG & s2mm_desc_baddress & '1' -- Always reset DRE & eof_micro -- For indeterminate BTT mode do not set EOF & S2MM_CMD_DSA & burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697 & s2mm_desc_blength; end generate GEN_CMD_BTT_EQL_23; -- Drive unused output to zero s2mm_rxlength_clr <= '0'; end generate GEN_SM_FOR_NO_LENGTH; ------------------------------------------------------------------------------- -- Generate state machine and support logic for Using RX Length from Status -- Stream ------------------------------------------------------------------------------- -- this would not hold good for MCDMA GEN_SM_FOR_LENGTH : if (C_SG_USE_STSAPP_LENGTH = 1 and C_SG_INCLUDE_STSCNTRL_STRM = 1 and C_ENABLE_MULTI_CHANNEL = 0) generate type SG_S2MM_STATE_TYPE is ( IDLE, FETCH_DESCRIPTOR, GET_RXLENGTH, CMPR_LENGTH, EXECUTE_XFER, WAIT_STATUS ); signal s2mm_cs : SG_S2MM_STATE_TYPE; signal s2mm_ns : SG_S2MM_STATE_TYPE; begin ------------------------------------------------------------------------------- -- S2MM Transfer State Machine ------------------------------------------------------------------------------- S2MM_MACHINE : process(s2mm_cs, s2mm_run_stop, desc_available, desc_update_done, -- desc_fetch_done, updt_pending, s2mm_rxlength_valid, rxlength_fetched, s2mm_cmnd_pending, zero_length_error, s2mm_stop, s2mm_desc_flush -- queue_more ) begin -- Default signal assignment desc_fetch_req_cmb <= '0'; s2mm_rxlength_clr_cmb <= '0'; write_cmnd_cmb <= '0'; s2mm_cmnd_idle <= '0'; s2mm_rxlength_set <= '0'; --rxlength_fetched_clr <= '0'; s2mm_ns <= s2mm_cs; case s2mm_cs is ------------------------------------------------------------------- when IDLE => if(s2mm_run_stop = '1' and desc_available = '1' -- and s2mm_stop = '0' and queue_more = '1' and updt_pending = '0')then and s2mm_stop = '0' and updt_pending = '0')then if (C_SG_INCLUDE_DESC_QUEUE = 0) then if(rxlength_fetched = '0')then s2mm_ns <= GET_RXLENGTH; else s2mm_ns <= CMPR_LENGTH; end if; else s2mm_ns <= FETCH_DESCRIPTOR; desc_fetch_req_cmb <= '1'; end if; else s2mm_cmnd_idle <= '1'; s2mm_ns <= IDLE; --FETCH_DESCRIPTOR; end if; ------------------------------------------------------------------- when FETCH_DESCRIPTOR => desc_fetch_req_cmb <= '0'; -- exit if error or descriptor flushed if(s2mm_desc_flush = '1')then s2mm_ns <= IDLE; -- Descriptor fetch complete else --if(desc_fetch_done = '1')then -- desc_fetch_req_cmb <= '0'; if(rxlength_fetched = '0')then s2mm_ns <= GET_RXLENGTH; else s2mm_ns <= CMPR_LENGTH; end if; -- else -- desc_fetch_req_cmb <= '1'; end if; ------------------------------------------------------------------- WHEN GET_RXLENGTH => if(s2mm_stop = '1')then s2mm_ns <= IDLE; -- Buffer length zero, do not compare lengths, execute -- command to force datamover to issue interror elsif(zero_length_error = '1')then s2mm_ns <= EXECUTE_XFER; elsif(s2mm_rxlength_valid = '1')then s2mm_rxlength_set <= '1'; s2mm_rxlength_clr_cmb <= '1'; s2mm_ns <= CMPR_LENGTH; else s2mm_ns <= GET_RXLENGTH; end if; ------------------------------------------------------------------- WHEN CMPR_LENGTH => s2mm_ns <= EXECUTE_XFER; ------------------------------------------------------------------- when EXECUTE_XFER => if(s2mm_stop = '1')then s2mm_ns <= IDLE; -- write new command if one is not already pending elsif(s2mm_cmnd_pending = '0')then write_cmnd_cmb <= '1'; -- If descriptor queuing enabled then -- do NOT need to wait for status if(C_SG_INCLUDE_DESC_QUEUE = 1)then s2mm_ns <= IDLE; -- No queuing therefore must wait for -- status before issuing next command else s2mm_ns <= WAIT_STATUS; end if; else s2mm_ns <= EXECUTE_XFER; end if; ------------------------------------------------------------------- when WAIT_STATUS => if(desc_update_done = '1' or s2mm_stop = '1')then s2mm_ns <= IDLE; else s2mm_ns <= WAIT_STATUS; end if; ------------------------------------------------------------------- -- coverage off when others => s2mm_ns <= IDLE; -- coverage on end case; end process S2MM_MACHINE; ------------------------------------------------------------------------------- -- Register state machine states ------------------------------------------------------------------------------- REGISTER_STATE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then s2mm_cs <= IDLE; else s2mm_cs <= s2mm_ns; end if; end if; end process REGISTER_STATE; ------------------------------------------------------------------------------- -- Register state machine signals ------------------------------------------------------------------------------- SM_SIG_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then desc_fetch_req <= '0' ; s2mm_rxlength_clr <= '0' ; else if (C_SG_INCLUDE_DESC_QUEUE = 0) then desc_fetch_req <= '1'; else desc_fetch_req <= desc_fetch_req_cmb ; end if; s2mm_rxlength_clr <= s2mm_rxlength_clr_cmb; end if; end if; end process SM_SIG_REGISTER; ------------------------------------------------------------------------------- -- Check for a ZERO value in descriptor buffer length. If there is -- then flag an error and skip waiting for valid rxlength. cmnd will -- get written to datamover with BTT=0 and datamover will flag dmaint error -- which will be logged in desc, reset required to clear error ------------------------------------------------------------------------------- REG_ALIGN_DONE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then desc_fetch_done_d1 <= '0'; else desc_fetch_done_d1 <= desc_fetch_done; end if; end if; end process REG_ALIGN_DONE; ------------------------------------------------------------------------------- -- Zero length error detection - for determinate mode, detect early to prevent -- rxlength calcuation from first taking place. This will force a 0 BTT -- command to be issued to the datamover causing an internal error. ------------------------------------------------------------------------------- REG_ZERO_LNGTH_ERR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then zero_length_error <= '0'; elsif(desc_fetch_done_d1 = '1' and s2mm_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0) = ZERO_LENGTH)then zero_length_error <= '1'; end if; end if; end process REG_ZERO_LNGTH_ERR; ------------------------------------------------------------------------------- -- Capture/Hold receive length from status stream. Also decrement length -- based on if received length is greater than descriptor buffer size. (i.e. is -- the case where multiple descriptors/buffers are used to describe one packet) ------------------------------------------------------------------------------- REG_RXLENGTH : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then rxlength <= (others => '0'); -- If command register rxlength from status stream fifo elsif(s2mm_rxlength_set = '1')then rxlength <= s2mm_rxlength; -- On command write if current desc buffer size not greater -- than current rxlength then decrement rxlength in preperations -- for subsequent commands elsif(write_cmnd_cmb = '1' and blength_grtr_rxlength = '0')then rxlength <= std_logic_vector(unsigned(rxlength(C_SG_LENGTH_WIDTH-1 downto 0)) - unsigned(s2mm_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0))); end if; end if; end process REG_RXLENGTH; ------------------------------------------------------------------------------- -- Calculate if Descriptor Buffer Length is 'Greater Than' or 'Equal To' -- Received Length value ------------------------------------------------------------------------------- REG_BLENGTH_GRTR_RXLNGTH : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then blength_grtr_rxlength <= '0'; elsif(s2mm_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0) >= rxlength)then blength_grtr_rxlength <= '1'; else blength_grtr_rxlength <= '0'; end if; end if; end process REG_BLENGTH_GRTR_RXLNGTH; ------------------------------------------------------------------------------- -- On command assert rxlength fetched flag indicating length grabbed from -- status stream fifo ------------------------------------------------------------------------------- RXLENGTH_FTCHED_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or s2mm_eof_set_i = '1')then rxlength_fetched <= '0'; elsif(s2mm_rxlength_set = '1')then rxlength_fetched <= '1'; end if; end if; end process RXLENGTH_FTCHED_PROCESS; ------------------------------------------------------------------------------- -- Build DataMover command ------------------------------------------------------------------------------- -- If Bytes To Transfer (BTT) width less than 23, need to add pad GEN_CMD_BTT_LESS_23 : if C_SG_LENGTH_WIDTH < 23 generate constant PAD_VALUE : std_logic_vector(22 - C_SG_LENGTH_WIDTH downto 0) := (others => '0'); begin -- When command by sm, drive command to s2mm_cmdsts_if GEN_DATAMOVER_CMND : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then s2mm_cmnd_wr <= '0'; s2mm_cmnd_data <= (others => '0'); s2mm_eof_set_i <= '0'; -- Current Desc Buffer will NOT hold entire rxlength of data therefore -- set EOF = based on Desc.EOF and pass buffer length for BTT elsif(write_cmnd_cmb = '1' and blength_grtr_rxlength = '0')then s2mm_cmnd_wr <= '1'; s2mm_cmnd_data <= s2mm_desc_info & ZERO_BUFFER & ZERO_BUFFER & S2MM_CMD_RSVD -- Command Tag & '0' & '0' & '0' -- Cat. EOF=0 to CMD Tag & '0' -- Cat. IOC to CMD TAG -- Command & s2mm_desc_baddress & '1' -- Always reset DRE & '0' -- Not End of Frame & S2MM_CMD_DSA & burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697 & PAD_VALUE & s2mm_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0); s2mm_eof_set_i <= '0'; -- Current Desc Buffer will hold entire rxlength of data therefore -- set EOF = 1 and pass rxlength for BTT -- -- Note: change to mode where EOF generates IOC interrupt as -- opposed to a IOC bit in the descriptor negated need for an -- EOF and IOC tag. Given time, these two bits could be combined -- into 1. Associated logic in SG engine would also need to be -- modified as well as in s2mm_sg_if. elsif(write_cmnd_cmb = '1' and blength_grtr_rxlength = '1')then s2mm_cmnd_wr <= '1'; s2mm_cmnd_data <= s2mm_desc_info & ZERO_BUFFER & ZERO_BUFFER & S2MM_CMD_RSVD -- Command Tag & '0' & '0' & '1' -- Cat. EOF=1 to CMD Tag & '1' -- Cat. IOC to CMD TAG -- Command & s2mm_desc_baddress & '1' -- Always reset DRE & '1' -- Set EOF=1 & S2MM_CMD_DSA & burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697 & PAD_VALUE & rxlength; s2mm_eof_set_i <= '1'; else -- s2mm_cmnd_data <= (others => '0'); s2mm_cmnd_wr <= '0'; s2mm_eof_set_i <= '0'; end if; end if; end process GEN_DATAMOVER_CMND; end generate GEN_CMD_BTT_LESS_23; -- If Bytes To Transfer (BTT) width equal 23, no required pad GEN_CMD_BTT_EQL_23 : if C_SG_LENGTH_WIDTH = 23 generate begin -- When command by sm, drive command to s2mm_cmdsts_if GEN_DATAMOVER_CMND : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then s2mm_cmnd_wr <= '0'; s2mm_cmnd_data <= (others => '0'); s2mm_eof_set_i <= '0'; -- Current Desc Buffer will NOT hold entire rxlength of data therefore -- set EOF = based on Desc.EOF and pass buffer length for BTT elsif(write_cmnd_cmb = '1' and blength_grtr_rxlength = '0')then s2mm_cmnd_wr <= '1'; s2mm_cmnd_data <= s2mm_desc_info & ZERO_BUFFER & ZERO_BUFFER & S2MM_CMD_RSVD --& S2MM_CMD_TAG & s2mm_desc_ioc -- Cat IOC to CMD TAG -- Command Tag & '0' & '0' & '0' -- Cat. EOF='0' to CMD Tag & '0' -- Cat. IOC='0' to CMD TAG -- Command & s2mm_desc_baddress & '1' -- Always reset DRE & '0' -- Not End of Frame & S2MM_CMD_DSA & burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697 & s2mm_desc_blength; s2mm_eof_set_i <= '0'; -- Current Desc Buffer will hold entire rxlength of data therefore -- set EOF = 1 and pass rxlength for BTT -- -- Note: change to mode where EOF generates IOC interrupt as -- opposed to a IOC bit in the descriptor negated need for an -- EOF and IOC tag. Given time, these two bits could be combined -- into 1. Associated logic in SG engine would also need to be -- modified as well as in s2mm_sg_if. elsif(write_cmnd_cmb = '1' and blength_grtr_rxlength = '1')then s2mm_cmnd_wr <= '1'; s2mm_cmnd_data <= s2mm_desc_info & ZERO_BUFFER & ZERO_BUFFER & S2MM_CMD_RSVD --& S2MM_CMD_TAG & s2mm_desc_ioc -- Cat IOC to CMD TAG -- Command Tag & '0' & '0' & '1' -- Cat. EOF='1' to CMD Tag & '1' -- Cat. IOC='1' to CMD TAG -- Command & s2mm_desc_baddress & '1' -- Always reset DRE & '1' -- End of Frame & S2MM_CMD_DSA & burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697 & rxlength; s2mm_eof_set_i <= '1'; else -- s2mm_cmnd_data <= (others => '0'); s2mm_cmnd_wr <= '0'; s2mm_eof_set_i <= '0'; end if; end if; end process GEN_DATAMOVER_CMND; end generate GEN_CMD_BTT_EQL_23; end generate GEN_SM_FOR_LENGTH; ------------------------------------------------------------------------------- -- Counter for keepting track of pending commands/status in primary datamover -- Use this to determine if primary datamover for s2mm is Idle. ------------------------------------------------------------------------------- -- Increment queue count for each command written if not occuring at -- same time a status from DM being updated to SG engine count_incr <= '1' when write_cmnd_cmb = '1' and desc_update_done = '0' else '0'; -- Decrement queue count for each status update to SG engine if not occuring -- at same time as command being written to DM count_decr <= '1' when write_cmnd_cmb = '0' and desc_update_done = '1' else '0'; -- keep track of number queue commands --CMD2STS_COUNTER : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- if(m_axi_sg_aresetn = '0' or s2mm_stop = '1')then -- cmnds_queued <= (others => '0'); -- elsif(count_incr = '1')then -- cmnds_queued <= std_logic_vector(unsigned(cmnds_queued(COUNTER_WIDTH - 1 downto 0)) + 1); -- elsif(count_decr = '1')then -- cmnds_queued <= std_logic_vector(unsigned(cmnds_queued(COUNTER_WIDTH - 1 downto 0)) - 1); -- end if; -- end if; -- end process CMD2STS_COUNTER; QUEUE_COUNT : if C_SG_INCLUDE_DESC_QUEUE = 1 generate begin CMD2STS_COUNTER1 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or s2mm_stop = '1')then cmnds_queued_shift <= (others => '0'); elsif(count_incr = '1')then cmnds_queued_shift <= cmnds_queued_shift (2 downto 0) & '1'; elsif(count_decr = '1')then cmnds_queued_shift <= '0' & cmnds_queued_shift (3 downto 1); end if; end if; end process CMD2STS_COUNTER1; end generate QUEUE_COUNT; NOQUEUE_COUNT : if C_SG_INCLUDE_DESC_QUEUE = 0 generate begin CMD2STS_COUNTER1 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or s2mm_stop = '1')then cmnds_queued_shift (0) <= '0'; elsif(count_incr = '1')then cmnds_queued_shift (0) <= '1'; elsif(count_decr = '1')then cmnds_queued_shift (0) <= '0'; end if; end if; end process CMD2STS_COUNTER1; end generate NOQUEUE_COUNT; -- indicate idle when no more queued commands --s2mm_sts_idle <= '1' when cmnds_queued_shift = "0000" -- else '0'; s2mm_sts_idle <= not cmnds_queued_shift(0); ------------------------------------------------------------------------------- -- Queue only the amount of commands that can be queued on descriptor update -- else lock up can occur. Note datamover command fifo depth is set to number -- of descriptors to queue. ------------------------------------------------------------------------------- --QUEUE_MORE_PROCESS : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- if(m_axi_sg_aresetn = '0')then -- queue_more <= '0'; -- elsif(cmnds_queued < std_logic_vector(to_unsigned(C_PRMY_CMDFIFO_DEPTH,COUNTER_WIDTH)))then -- queue_more <= '1'; -- else -- queue_more <= '0'; -- end if; -- end if; -- end process QUEUE_MORE_PROCESS; QUEUE_MORE_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then queue_more <= '0'; -- elsif(cmnds_queued < std_logic_vector(to_unsigned(C_PRMY_CMDFIFO_DEPTH,COUNTER_WIDTH)))then -- queue_more <= '1'; else queue_more <= not (cmnds_queued_shift (C_PRMY_CMDFIFO_DEPTH-1)); --'0'; end if; end if; end process QUEUE_MORE_PROCESS; end implementation;
bsd-2-clause
eead9ed0f1cb29ddf265260a92f676c2
0.376207
4.90293
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_bram_ctrl_0_0/sim/daala_zynq_axi_bram_ctrl_0_0.vhd
1
16,729
-- (c) Copyright 1995-2014 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:axi_bram_ctrl:3.0 -- IP Revision: 2 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY axi_bram_ctrl_v3_0; USE axi_bram_ctrl_v3_0.axi_bram_ctrl; ENTITY daala_zynq_axi_bram_ctrl_0_0 IS PORT ( s_axi_aclk : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awaddr : IN STD_LOGIC_VECTOR(12 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awlock : IN STD_LOGIC; s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_araddr : IN STD_LOGIC_VECTOR(12 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arlock : IN STD_LOGIC; s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; bram_rst_a : OUT STD_LOGIC; bram_clk_a : OUT STD_LOGIC; bram_en_a : OUT STD_LOGIC; bram_we_a : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); bram_addr_a : OUT STD_LOGIC_VECTOR(12 DOWNTO 0); bram_wrdata_a : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); bram_rddata_a : IN STD_LOGIC_VECTOR(63 DOWNTO 0); bram_rst_b : OUT STD_LOGIC; bram_clk_b : OUT STD_LOGIC; bram_en_b : OUT STD_LOGIC; bram_we_b : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); bram_addr_b : OUT STD_LOGIC_VECTOR(12 DOWNTO 0); bram_wrdata_b : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); bram_rddata_b : IN STD_LOGIC_VECTOR(63 DOWNTO 0) ); END daala_zynq_axi_bram_ctrl_0_0; ARCHITECTURE daala_zynq_axi_bram_ctrl_0_0_arch OF daala_zynq_axi_bram_ctrl_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF daala_zynq_axi_bram_ctrl_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT axi_bram_ctrl IS GENERIC ( C_MEMORY_DEPTH : INTEGER; C_BRAM_INST_MODE : STRING; C_BRAM_ADDR_WIDTH : INTEGER; C_S_AXI_ADDR_WIDTH : INTEGER; C_S_AXI_DATA_WIDTH : INTEGER; C_S_AXI_ID_WIDTH : INTEGER; C_S_AXI_PROTOCOL : STRING; C_S_AXI_SUPPORTS_NARROW_BURST : INTEGER; C_SINGLE_PORT_BRAM : INTEGER; C_S_AXI_CTRL_ADDR_WIDTH : INTEGER; C_S_AXI_CTRL_DATA_WIDTH : INTEGER; C_ECC : INTEGER; C_FAULT_INJECT : INTEGER; C_ECC_ONOFF_RESET_VALUE : INTEGER ); PORT ( s_axi_aclk : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; ecc_interrupt : OUT STD_LOGIC; ecc_ue : OUT STD_LOGIC; s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awaddr : IN STD_LOGIC_VECTOR(12 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awlock : IN STD_LOGIC; s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_araddr : IN STD_LOGIC_VECTOR(12 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arlock : IN STD_LOGIC; s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; s_axi_ctrl_awvalid : IN STD_LOGIC; s_axi_ctrl_awready : OUT STD_LOGIC; s_axi_ctrl_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_ctrl_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_ctrl_wvalid : IN STD_LOGIC; s_axi_ctrl_wready : OUT STD_LOGIC; s_axi_ctrl_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_ctrl_bvalid : OUT STD_LOGIC; s_axi_ctrl_bready : IN STD_LOGIC; s_axi_ctrl_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_ctrl_arvalid : IN STD_LOGIC; s_axi_ctrl_arready : OUT STD_LOGIC; s_axi_ctrl_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_ctrl_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_ctrl_rvalid : OUT STD_LOGIC; s_axi_ctrl_rready : IN STD_LOGIC; bram_rst_a : OUT STD_LOGIC; bram_clk_a : OUT STD_LOGIC; bram_en_a : OUT STD_LOGIC; bram_we_a : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); bram_addr_a : OUT STD_LOGIC_VECTOR(12 DOWNTO 0); bram_wrdata_a : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); bram_rddata_a : IN STD_LOGIC_VECTOR(63 DOWNTO 0); bram_rst_b : OUT STD_LOGIC; bram_clk_b : OUT STD_LOGIC; bram_en_b : OUT STD_LOGIC; bram_we_b : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); bram_addr_b : OUT STD_LOGIC_VECTOR(12 DOWNTO 0); bram_wrdata_b : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); bram_rddata_b : IN STD_LOGIC_VECTOR(63 DOWNTO 0) ); END COMPONENT axi_bram_ctrl; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF s_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 CLKIF CLK"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 RSTIF RST"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awlen: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWLEN"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awsize: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWSIZE"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awburst: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWBURST"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awlock: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWLOCK"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awcache: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWCACHE"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWPROT"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WSTRB"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wlast: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WLAST"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arlen: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARLEN"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arsize: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARSIZE"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arburst: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARBURST"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arlock: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARLOCK"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arcache: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARCACHE"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARPROT"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rlast: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RLAST"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RREADY"; ATTRIBUTE X_INTERFACE_INFO OF bram_rst_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA RST"; ATTRIBUTE X_INTERFACE_INFO OF bram_clk_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK"; ATTRIBUTE X_INTERFACE_INFO OF bram_en_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA EN"; ATTRIBUTE X_INTERFACE_INFO OF bram_we_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA WE"; ATTRIBUTE X_INTERFACE_INFO OF bram_addr_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR"; ATTRIBUTE X_INTERFACE_INFO OF bram_wrdata_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DIN"; ATTRIBUTE X_INTERFACE_INFO OF bram_rddata_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DOUT"; ATTRIBUTE X_INTERFACE_INFO OF bram_rst_b: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB RST"; ATTRIBUTE X_INTERFACE_INFO OF bram_clk_b: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB CLK"; ATTRIBUTE X_INTERFACE_INFO OF bram_en_b: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB EN"; ATTRIBUTE X_INTERFACE_INFO OF bram_we_b: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB WE"; ATTRIBUTE X_INTERFACE_INFO OF bram_addr_b: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB ADDR"; ATTRIBUTE X_INTERFACE_INFO OF bram_wrdata_b: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB DIN"; ATTRIBUTE X_INTERFACE_INFO OF bram_rddata_b: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB DOUT"; BEGIN U0 : axi_bram_ctrl GENERIC MAP ( C_MEMORY_DEPTH => 1024, C_BRAM_INST_MODE => "EXTERNAL", C_BRAM_ADDR_WIDTH => 10, C_S_AXI_ADDR_WIDTH => 13, C_S_AXI_DATA_WIDTH => 64, C_S_AXI_ID_WIDTH => 1, C_S_AXI_PROTOCOL => "AXI4", C_S_AXI_SUPPORTS_NARROW_BURST => 0, C_SINGLE_PORT_BRAM => 0, C_S_AXI_CTRL_ADDR_WIDTH => 32, C_S_AXI_CTRL_DATA_WIDTH => 32, C_ECC => 0, C_FAULT_INJECT => 0, C_ECC_ONOFF_RESET_VALUE => 0 ) PORT MAP ( s_axi_aclk => s_axi_aclk, s_axi_aresetn => s_axi_aresetn, s_axi_awid => s_axi_awid, s_axi_awaddr => s_axi_awaddr, s_axi_awlen => s_axi_awlen, s_axi_awsize => s_axi_awsize, s_axi_awburst => s_axi_awburst, s_axi_awlock => s_axi_awlock, s_axi_awcache => s_axi_awcache, s_axi_awprot => s_axi_awprot, s_axi_awvalid => s_axi_awvalid, s_axi_awready => s_axi_awready, s_axi_wdata => s_axi_wdata, s_axi_wstrb => s_axi_wstrb, s_axi_wlast => s_axi_wlast, s_axi_wvalid => s_axi_wvalid, s_axi_wready => s_axi_wready, s_axi_bid => s_axi_bid, s_axi_bresp => s_axi_bresp, s_axi_bvalid => s_axi_bvalid, s_axi_bready => s_axi_bready, s_axi_arid => s_axi_arid, s_axi_araddr => s_axi_araddr, s_axi_arlen => s_axi_arlen, s_axi_arsize => s_axi_arsize, s_axi_arburst => s_axi_arburst, s_axi_arlock => s_axi_arlock, s_axi_arcache => s_axi_arcache, s_axi_arprot => s_axi_arprot, s_axi_arvalid => s_axi_arvalid, s_axi_arready => s_axi_arready, s_axi_rid => s_axi_rid, s_axi_rdata => s_axi_rdata, s_axi_rresp => s_axi_rresp, s_axi_rlast => s_axi_rlast, s_axi_rvalid => s_axi_rvalid, s_axi_rready => s_axi_rready, s_axi_ctrl_awvalid => '0', s_axi_ctrl_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_ctrl_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_ctrl_wvalid => '0', s_axi_ctrl_bready => '0', s_axi_ctrl_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_ctrl_arvalid => '0', s_axi_ctrl_rready => '0', bram_rst_a => bram_rst_a, bram_clk_a => bram_clk_a, bram_en_a => bram_en_a, bram_we_a => bram_we_a, bram_addr_a => bram_addr_a, bram_wrdata_a => bram_wrdata_a, bram_rddata_a => bram_rddata_a, bram_rst_b => bram_rst_b, bram_clk_b => bram_clk_b, bram_en_b => bram_en_b, bram_we_b => bram_we_b, bram_addr_b => bram_addr_b, bram_wrdata_b => bram_wrdata_b, bram_rddata_b => bram_rddata_b ); END daala_zynq_axi_bram_ctrl_0_0_arch;
bsd-2-clause
02977610f92b95d1d18d1025308973fe
0.673202
3.085393
false
false
false
false
Yarr/Yarr-fw
rtl/common/wb_spi.vhd
1
4,531
library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; entity wb_spi is generic ( g_CLK_DIVIDER : positive := 10 ); port ( -- Sys Connect wb_clk_i : in std_logic; rst_n_i : in std_logic; -- Wishbone slave interface wb_adr_i : in std_logic_vector(31 downto 0); wb_dat_i : in std_logic_vector(31 downto 0); wb_dat_o : out std_logic_vector(31 downto 0); wb_cyc_i : in std_logic; wb_stb_i : in std_logic; wb_we_i : in std_logic; wb_ack_o : out std_logic; -- SPI out scl_o : out std_logic; sda_o : out std_logic; sdi_i : in std_logic; latch_o : out std_logic ); end wb_spi; architecture rtl of wb_spi is signal data_word : std_logic_vector(31 downto 0); signal sreg : std_logic_vector(31 downto 0); signal shift_cnt : unsigned(7 downto 0); signal wait_cnt : unsigned(7 downto 0); signal start : std_logic; signal busy : std_logic; signal data_in : std_logic_vector(31 downto 0); begin wb_proc: process(wb_clk_i, rst_n_i) begin if (rst_n_i ='0') then data_word <= (others => '0'); start <= '0'; wb_ack_o <= '0'; wb_dat_o <= (others => '0'); elsif rising_edge(wb_clk_i) then wb_ack_o <= '0'; start <= '0'; if (wb_cyc_i = '1' and wb_stb_i = '1') then if (wb_we_i = '1') then case (wb_adr_i(3 downto 0)) is when x"0" => data_word <= wb_dat_i; wb_ack_o <= '1'; when x"1" => start <= wb_dat_i(0); wb_ack_o <= '1'; when others => wb_ack_o <= '1'; end case; else case (wb_adr_i(3 downto 0)) is when x"1" => wb_dat_o(31 downto 1) <= (others => '0'); wb_dat_o(0) <= busy; wb_ack_o <= '1'; when x"2" => wb_dat_o <= data_in; wb_ack_o <= '1'; when others => wb_dat_o <= x"DEADBEEF"; wb_ack_o <= '1'; end case; end if; end if; end if; end process wb_proc; spi_proc: process(wb_clk_i, rst_n_i) begin if (rst_n_i = '0') then busy <= '0'; shift_cnt <= (others => '0'); wait_cnt <= (others => '0'); sreg <= (others => '0'); scl_o <= '0'; sda_o <= '0'; latch_o <= '0'; data_in <= (others => '0'); elsif rising_edge(wb_clk_i) then if (start = '1') then sreg <= data_word; shift_cnt <= to_unsigned(34, 8); busy <= '1'; end if; sda_o <= sreg(31); latch_o <= '0'; if (shift_cnt > 2) then if (wait_cnt = to_unsigned(g_CLK_DIVIDER, 8)) then wait_cnt <= (others => '0'); scl_o <= '0'; sreg <= sreg(30 downto 0) & '0'; shift_cnt <= shift_cnt - 1; data_in <= data_in(30 downto 0) & sdi_i; elsif (wait_cnt=to_unsigned(g_CLK_DIVIDER/2, 8)) then scl_o <= '1'; wait_cnt <= wait_cnt + 1; else wait_cnt <= wait_cnt + 1; end if; elsif (shift_cnt > 1) then if (wait_cnt = to_unsigned(g_CLK_DIVIDER, 8)) then wait_cnt <= (others => '0'); shift_cnt <= shift_cnt - 1; else wait_cnt <= wait_cnt + 1; end if; elsif (shift_cnt > 0) then if (wait_cnt = to_unsigned(g_CLK_DIVIDER, 8)) then wait_cnt <= (others => '0'); shift_cnt <= shift_cnt - 1; latch_o <= '1'; busy <= '0'; else wait_cnt <= wait_cnt + 1; latch_o <= '1'; end if; end if; end if; end process; end rtl;
gpl-3.0
4bf097fc176aed4e371376cd281039b7
0.381152
3.707856
false
false
false
false
cwilkens/ecen4024-microphone-array
microphone-array/microphone-array.srcs/sources_1/ip/lp_FIR/fir_compiler_v7_1/hdl/transpose_interpolation.vhd
2
169,577
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block l/sFPDU1FttZazPR7XanbWhf1oCkGiBv1CEBvA6USl4zKseuRl2TUay4xJ7S/0DDk0k5L4UC/bZI eDknqcWavQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block AeYDaJ32kNY9wll+88FbkIt57aU34P+MYKGBFHri7bNETlJbD5+AkFCxxjqdXFKkQ+V6hPpKkI92 E+6FU0w+5jYPce0sG89H+ZeeC4XPIPu9lyvVMvZd+liPi8e6QRPMWntBW147AJXQmvcPYGiH1TiF 804j9n3W4+PcPVRePhk= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block l15do28+PMo4NRJjVXf0C5Z8gZ23W2d9loHCLFNyGKu38XyktOvF8iuJT4um2RVa0FjLIpklXg98 kW9b/O/PQ2TodR/QW2I0twsbjVya8z4b8foGHIcw5Wr8dLMr0DUss7i/4tczdUxMUhpNRlNhDDHP Hc7q6Hf4xoLux6YmXQdVG3Cptk4aWgVkwuz6ufK5BSoyUFdWwk0R7avYz2MP8LdR9fj2m8vVQGCj UHjTpruLYENAauEN+a3ZwvK0BzLW3OEFrxoffyZLd4J5xG1ZggSprtXm9UTlkLL2FdJDPT2lfwVa crP64yFXktk4yxTmI8ar8xzk87QUuyQmRBIUrA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block co+UKXIOocapS0VF7up/CE/zvVFioSrBTiPQ43PGkS1OoyFKpKW4UF74u0VEZXoEBNUY0hMkAXHp +boL/yNZqBFLxfo9fz728psb4nO1+ClMZ6GFJycrkgpjm+u9nWz/5ql0NohTlu0Y7bycZYgM1E+r XG3lCuMHLO+1hD7Sj/o= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block aGjl7ICceHbNCxbJSJ6Rf1AtrW4QYMiPZSL5Si/A1dPNAtBr/ehUw5DRip7SRhFTpd/+Jwb4YMPd ivjfs6Cw9bjv0oFg+jcllL+I87QDAmXZFMf0K/iWzlAyJvw/wRWAlqwCeqdkTRusN1TlRL/5ymiZ pzV92dhOUVJhwYWtdgqbB57MP5MBjkFJKskVw0PhGDxxtqpzIYKl257YQ5HQ4zj2plvILqzK/o+2 nigKicosZbLEWY4UCIgr8IHMy/CwD2K/5O9LAdQz5Ds50/E5ofWUCUtxv7RivAosKqvq45K2DNAV 9R+1EqBFHxeSBs5fTC50ZUlYTPj5mwxoTlVKcA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 123792) `protect data_block VU4MiSDo+oKgplAAdDALfEWjvK/Y1sDNgLNLC0Wzmv3EixRC2C9kE4cRjmF/1RYePc/bqDkfhXZk 6yt1dLxsxje7WEuF+jlNJA3TcwRSYBbMoyCdTVG9H8MA7qg5wDFXJp6UBXp1TxJLVpphpFX1vwjh 84lG2SjTNA3FBvpE63ba4wI+3ir/sRsw7tEbOgvk667tWlLToN/wWfLo52HPmZZFG/u2SVicp6oV z+GIGmBFPZyiCov+nny/QMDnJ1m0eSQ7S/E4+h0M42ZQCWAWt/dD93sfzaQKZFrBYKbEznd3qCwj RH0ReExgY6D8+91RNgjgoHGPXAyNzGKEbFuLCzQHCqG4q9yeqtSTQW0fJLtcVtB0OLfW46MCRW7v g5SrsIoFHdV0cvGIFTqWYGxD7eKVA/6rnDnXqo6BUx3lj3pRn2Sp8kPaIKO6yMOhXlvHiqAQDUg3 1tgaAa4fexgUPUVHX6D83K3Kwqv6veaTQAK4H7fWxhP3uHyxB0yx5oSGE8LtpVw3Nug6hVyCbTIC GzFI/3BqeSzlZcytfRi4Uvb3xPjupCQqiInFuQKX1eJURF/BCE1ztxWwWpJFzRNpK23P7yP/J5kx 5m4zRO4GAbUJ2ny078CqoKz8dZgBs0A30IX12T0rfp0E/L0xxBS3fZUqfT7IZBUAK7L/Eno9gKA7 RLtslkbDCX3k6YCfVMMrwbSEuhVvzmW4waM+i3+MvOo6yKbaS8UckFekgC/Tt8+4/gvaJGbD3avR sYLY4JaG4/ocJc3kUugNvAzG3LZqCgH1aNviO2s+N6zByyggzfdwqSJef0a2rI00HVq579dm/hJm ftVaI9ibtdWW8ALSwD1CmeSbIPggRPyl7TYH6h/Cy2I4HX+Jeyj0I/W/D+QkFX/LOwU/O09AsEnH 3iYqPbZiKNJdV9hkiaLeH6XzpLG0tB/X7O2AShu2ItcTXSTXJV79LNN5ydqXhxoExpJmpXpzNUxK tClsZYGnWdf9ADbRiUkqFVW/vy7/Gj3GIEtJoWl/9F1kbH/I9qWHoTzUy5yFf0zc/y5IAsBv9RaV jHDHTZO3Bczpqr41PIi/iisnfmlmqe7lPW3AblDIMcQKYSf+5PzdqXrZGHEaXJ3P7VfKRAr4uwjX ZO38ZBklx4OrJ/Qf4XlcCo4kBxwqumf5TIDd6R0VzvLIb2s21AK8nriQl1l8HmMfFtg5bKnH4+k1 tVuKuQeTrulKZ8tN1LS2KRK6ffvxKuFSHrQ6VfDU+RauhEfPzhEYZuYAZbCAwbdGTVTFOywNO/Iu V/Q4+gKwlNySUq4fg0l0zDvKNhXEebJaS1XxGE/aId1vVzWtCMBJibYfHxZihR6sPnN7rDw9wJy+ OQFDR8z3qk770osI+yp4/U3JnVWzNBE4uTVmktzG7SE8+5msyPEn2rCd0P9OBJBNdtRqiWgDqEmP FEz5+CPUeJ2FqJczkcTUQuZkyDvPiiZCoMd2zb9SGsFk9wV6DUV2ORxrD2zlyI8qAf+EUAnvfWGx BK92ZR9K+dWLYaEwQZPS+UYigCHhcw0o0h0S3JTEOypDMjBs79MHmN+6j+Yw0IzyqFUBa/WlKZfk MwvPQbVCfv6uDDsmQzcZ1mXlUKp1sIiyOgjDHhQvM7W5Zrc534uVNSccCWpXuPxfWvoIRn//3k3I fJElDLawzIw/PuApnPhYKXnFCQvS5uQ+6EO0vjmcd1Ptq6XPemqN3lti0PlmFSpPMjXvhVcGdORG JFLhBlwu1DHRihaOio4twagoS7u8pypbFfIL7RXZssDqJptjHf/M8vQSbmuC97Cj5BCcPYameIhD jIJ5NeQfAZLEhIgDb+fu0gRIe/hIIO21u4qb8eUezdikISgqgN+WteNTSkPVJxS66MvIwkmxepPH VIFWtMz+lh5Gt2rap3mbYknmYW5hsw7vwcrcL+NhuczFdqpuEPFRKrEp2bN0gZ4b2Gbru6xEaxNg 8YTXGzqGpXJeP00Fyv0Il89rR75DoIgMXL9pofJfHEGM8FOb4cwPUr+dSRAmzt2d4MaBGp0Q/Aeg CqBiJyXsDn7616NnQ9/Yp5UAzMpeW74yrRiz6ZLllWVVetImzXtFr2iN3YyZt6rQJKsm0nHI3zOz 6+C9VXZZayalRNnUng/bRwWu1PZk84AjY3TuydJBAG7uobSlh8LRvJgDyE+E1Aa/p6/nvzLIA26I 5bZKnKH+WrufRiHtP8TLVBcrnt2DB8vwcto9U+pF1GjwmH7geP9mJfuGlxbIFHIzVRfapEFy7sqH OI5rD1UcavxHxjy85NsJp294A3ATze7A/yX18Ez8mQ2DexScEwF0xsMrNqq/WLLG9L9n2PeJLwdm aPaM+UcwEr6mL/K3sMLRNozjc4nQb6JYwIzsGz2mvSKvUHdl+doQ5bNvHlhhaqqtVSOiYGHQcfcQ +vPg4zUTNC/PIoiq43WIt3/iYU8hxC7kgwhJv717eIUkMUslx5pvdEudl+iELT7jWFMA2BZmooVp H9oi2SrJMPTwEHMwW2Ov2tiRZ6MZK1oXZKDpWLseiR8aT9f39dhAyOJgT7/ifIhW9h/r63/mBew9 5qnjC9LI1Qh6t/IRo02RUECfcK/EC4ZhRg7odCbUeHiwCbUBdhzgT33SPKbGR2pulcF5Dz22ET8n Tpc4zPrk2538pJhhSHX+MA5wI95IrNEE8stHPdnCUBzRd0MjNcZDcn1/VS9uRTug91OTqGthfFGP bnVP1uHR3OJV3iP4B9hWLm26YHn/8dVJ5+BNsesZx1PsQb9eUNH7sEyUBtdDKJdHIfHa5xx3tPHC UV+MGKFF8siW/DQKZKPwtGjXQnCalIEiCntb1iw10iakwyCfGiKr/Sad41zpe68w6zKuVI/Xfe5b 0qTTkEP0SGFWN+jHjq9K031E03NXYwVQAaVMXHXPdkS8SJC3BFF/+YSKJne1gVW8/wJsBuT86j3y zaJ3/Z7w8+yH2HsI9z6TLAUpP4IW9cEzXiM4AQ4Q3ECYX6vVaRlGhoIZXpb6wPPR026Jawuuapf7 l8tWyyCRVEv2+KNU5iVEuFN182UOMPXZb3Rg8+Pcg7iEptqSYQdA/e6vxJo6y/dKXpgRxOkVusnk dwYNfxMrkTHQDcEHRkdF2HcEFbUaX9kjdHg4S6pCqavEA7XlhHXWOvlUTx4QtQdCmBhnmPa9P23R Pdp3Y+ZafsQ8vitJBjEA0m6OUOKbs0k2ftDP0qEykAvTKPdBkn9P2pJ8IS4MWz2NBmafy3A80tbn bY8MLO7w8hqRVciZHeZB5mouPKLMCWHWBkY4Q1EYGrgZ61KNNZc06o8DMCrMsSH1kegYgyLWZ6ps AeDZ0nN29GnrARliJQOQPnUfaZsgedm/nzhhWvYqKTZdO0kgZi3SjfSbL3d2ZG/ApiHOt/itiK7J Z7lfLoDSLVWuxC7NyiSNQ+VRkIuXqwaGOimwUrfb8UAh15r0CPGZkS0bGvJkHglxCOfPi34KJwUr /QfSRUEHcUDoc82LHxV0rYBeHHma/6YKfrs2CLbc8d9ClVEpgIIyYaTXFIHehCb1ywcF8ZXk8Qi3 1G4nOkemAqg3U8ntkzkZWcwQE/K3f/wmAJEhenMhqUT7F35F4jWfiEiNEMTccnLugKDR8Am8uxns XPBkamlaYlQdmp4DLU35WM6721Gxa9t+ho6tfiQlkKAlIll5d4osghqMiKHup6XpZK1eQo6eOHCm zXmYMbjNN4BvjBvFw0/UEOEYCEUkS2kk75+Wtod/ztXJWkBmXVZwOWL9EA8Inn/Na4wFSFFvowX9 Wolj4jmQlYbMvYtDPhnFFH9pGvIQMNDQa2PlrMPG3YPvUHmWtmXBU0ovMAjQQhejCiNEZgfXkqcF 1dEETEeGbT8aO8b/2p1Pgadm3J0wP7MJZRtplI5G8qLeN3QX6o4oBvy1LtbNkvhLbjGih6+VtUCU QszZmrQg6t49Wrwd7KwtrwuemEL5Km1IGPnA09+Fhj4ip4MLLQEHI+GTo/XZoeW3O+rsi/5FDu6A N4wbx8GikwfUPQcxxE/SQzmKVE9JiZSNH45fYdyw+AvqUmnD8KsmDAFaXVtIAFY6f4K5G/vB2Fhr VbdhnfnV+fhc0gADBwOvZdQjssVaZvXaRMnB5HKMgdBVwY+kjMBmS2pOVniOFsCAhzvAas/qRahj kWf3giwtdaiFt27qH+tTTmXnLIPdgs8u+gfwKBel8/6kwP0IMD4cnqjQzJpnucNsrRW6NU06VJUa F2eIDsJfMTXT+vTvEbmEwfWEJy55ClhpcAIRwCq2BLuwxnCHM76JRdNRG5+z+Bs7ezBfbaW98kva 7sZTBY2WX+ye0dNR2+Z+xZxADJUpTWce/zbLrzJol3NlJ1BgGqhXP7j+oS8faybAp/NG/i6HGW4c 3buBXGGEiBqngUx8pFZRiHLZ7PGy+DNetsPZKts6u1cYDgp0NQncV4L1B2k0omObLJA8B/wJU2pM U1Gwcqhsf37mEffJ8LqHXfK99XkU/jw4jhqu9UzmgI2akMdpLrm/uk0FRasgW00P6t+M8bj2V1+X DQchsdXtPkgPsNjyRm065Fl5859J2q2Tf7+Tw9+OneYJIZByqOhkalWfJ7EDZemfwccE9Xs87xWL fE0XabgEikwxToNVC3SVzfS98h6YDfi0rEGx72UDBfbfb2ToBA+YKosKwLVFmuChcWlrhDfciMca mSpJWD0KXLoUM1rakBU+F0YQTjBDERPaP3b0Xd3m8uIuG9rvkG1/jwlJhOfNtV8v13MBQdpNK5cr EDC/UkKdnidJ8k32PoVoqWxxtAAV0C11cWOkNmreamBjMIT5kIPX1GRSVMQ9d5P8qdeMYBgmyvzP PmXTlDnZq0t7FCixavBOaJk2QUsYXToJgOAGC9rqIeE7EA1dtsvIyl5K0sxJ84zdP4dFbBYQ947Q hw+IFrbzUFX0b9iLc6gHujR2dBdpwREX2gImCquQCUU3kblDi2zHAHJMRXrpJUmgNFtGvm9omo1m MBqmcDMGNpd6o1qPy+Exkto0CuKvPuNem6Db06EGETAK+gqqrNvI814q83CQucnbFSLl9WLtAClk L5bfjbRMh2WbxchwfpLXMcDjS5Mu6BtseOmHUdkiZYOyJIt0H8IO6+XqbvyB54h4aljVKxvtvBMr 02n0ZFggPvI1v38X7lD99uykxykh6ZYo+Z4L5spw+4sKoGvEWjOuMlR7LCh0THBCizdLSKgsF5yD AWCFZyQTRLLMrGg3uLSG/D+Ki6wWJLun+UEICCBe2niP6bYyWD97eP8JVJibp8VGWC8sNDW0RwPz x06+wdlAW23pbHqBEpMSbE3P9dGhaG7YREVAXzpZ3GeojUHnL28kj8lpnE+DYR5Hro4/X+R6Aepn p0hHHCAwLqnkMKjsSMDGiQ4/wt4ttqyS3D0TUxC6v1whEd78iCVcT9WIo5j43eVhmejNpyALUeyf 1bl3TqmKVd1h3wOi4eUOMCQoS0i7diWyJKiKNvga+qO+r/4HQa2WoQj2zHAhGZ2sFr5HZVru5WTG KPEB/bxp6YdigwQI30BJpRNlIDSc92ZHELFK9d+D9tFWoP6T05tOWvHhLy036iL6vMmkuOm8sBZH jiuhwBgxaz3O33iKGV3YHK/sDBp+ftAVyf4niLDPCFdSXqNZWV33XUI3iZCJwe/SyWUG5H8BI1v7 5Gkml//SFL1PRmLW6G35TS5/A/FOpBu33NTiccbz52a6vVFXG73YovwfVuLrVebD+U1X8iyihmXp F1o5GfQrFnXRzPNAPcoDeANkAEXrZw+dsv1RJfB7ku2ni0x6htqrf9cuqFJMaaDiWO3U24mgdOHL SCayQJz2DDXAr5aZVet5Dtx4xmBdOrct4iTr+AAzYh25+/g54arKIpz5gPD0mcLVvidFSgS11Z1i PEyIdm9UtvX1md5kv41Rj3zhrO+zsxKwTyKwLj4v/9803tmgUCrhf2mbh70iexHJkHgy3+K5Ky9Z mEDOstg9wtuDDN65Jhs5CV76Zy63uBNkKyJ940s1TzGPFylk/PLM58fkOXAT7kVdqSCgnlNxFHlH WFAlGTpwbbVG/zZj3BZgzONo2gI18kwWJNKwKbY7/oYylptCSjesaoqSfFKq9nRnZT8PGLjIacMQ xsXY9a1t85gxPrFaS1BYg7RFJJnKoZVlICbb3THpHqwHL+DoOhVo3Mjgw01ftVB1yV2DQdTR5v0X 3y5yAZIo7y+oahjNKxW9mUkghYJT5wZyqoY+xQ0sDdyt8WvRxnWq972FGKdWGLis02O4Zbi1cZYo X0twEAVKHcPZ21lpRLNVD0QsUC9NT7UmJqroj+r6bu76jnmCOl7qUgpfWw6xhdrPHUNoegGpu6Hd UUZE/Vm7O8uIJRojDb4Jy1atyJLehkBIE6WRet2mL3gcJ6xeiH1WRjHZ0Fzb8w3hNYVJ1AkCmkdQ R2btPox1eKEr8C8lZLWFZlegnLkPqQa3VkoAiHl9C8v3ghpBunR6FJ4Y3Qmsx/riGth1vK4e97F+ 7VZok7PCVYyJPw3rhfHsyLFGsJLY0KuDiLFYrXdYT5MNbJ3imYcsOa20oPjTOAmtxECrroX3z2SE ldai5WubyLezt4M40O/3+rgeyaCu6hu/iK5aCm4QZhUqRW5urngrfBGip0wtc+0GeIeBmNVCnobE p5l6jLFlZhVQ1Gw78CQVWqrBtBmCwVpFuMBn6E25mOlb59LYuW7lfBjD5xyM1ANdjWNFBPToNPZa ixBgI+gi+wxQBeDYEI2uS8qy5KWL6kTtnY13sJJTEBtN2O2+6lF7muxUFPU82DfUwNDjrExjtiT1 c36e16lYkhewBqZrG/inX4+UwkPo9ICzqdyLmthxXAAm1L9a7j6ILj6YtGhX6M2uVJDEmBjdsf3F tUX8JEe5XRyLQQkHbHycx7tRBiEFE7HZlFUaDK+iYzce+kLJ9UhVaVx40u4R70dVXh3CZZTuk4fe e+paq7bdF/4JvhKYVLB2cYQkNwbpS3rm85pyzSj0hb8HBFrC+WJyHKgGSW/4fNrs9wZLlwL5DT/k 9iopt8Bts5+lVcP3U1efxWy+9eHMY3ysPc1pU3mIoSxa/9Y5CHivsFtG1o+Q2RTisis9sPP08ZUB j5E0mRcKixI3NYDIfsJgVVqlPwjW097Bv+aH9Fc1iCC0iRNUUBdBbmAvalgXGKygu+kIpBymzas3 ywND1pwJ/7ieiqPLgPjEpC5DOqZyB8W3K+gP7bmFf1sRFGAws+8wFGeI0NptSqhkKVBBU56Zn5Rd u87NzkFtyoWkq6xEqe9AsTx+NSePA5Td8swTVGRDKk8u3/htAk4jbqGsMdDJg/g7xAMZJHt/8kL8 hMCvZaeBpsjZSGSJVrotZQJBtVZMxP1t6fieZYa3Laiu9VX2+TVLBL8cspAAB1Yv6jkE5XUDJbON xDpb5oI6NPiyLKuz87cwy38CWidgDqavexUFcnjmBbmSD9P2ALUFFFffvuTbhppCWTDa4CdEJpIj uK6QwIDCorhNEFYSgwIK2VYz8nAjmBxePCbwL0Kw1ge6Rz0ruhorJJ1goH4GJ2EtY8rxx4fcPVu7 ZHjeL0Z5DwsC7YurBfaeNX8SSslRauteIxi8wjCNog5GP3cmKOgujus0/LPgO2okWX8SpYU6mo5q 61HyDPOxwjGsO+wW7w3cc7EKOOEjtXLzRBuef441RR4/ZZo4/aWyjVsLZ9NAU6QvtMTvbjX7hW3Y t6PT2iHSNF9/sumaIXCqEzhbyc41mDd5Y0Hafvk3+F4LaY5VJCEjtLid6QGMdrGXjzZOddLS7Ai3 CHWlc5fOzGW2ZAFvjvFyu9JaXAeZWSZ1mBTunj3D9ciMQV4m9r7sEWFbUwCC1XbVaiZ3JnSg7j81 EiQ8uiJxNoZTeVf1FwbF5/3iMEL8mXnQxiAMG0pWy0wQpV32CVccTpfO3A8ikaQv4cCXKRVg9RHu qq0yb/AN7UsXPMbCrPEu+OfSUF7U4Zx9YUpNgUOX/kRau4dPQmEancFDBzSQaAGr0FNvQHJm8UgC lsME/Ixuce5D3msPvIKOuQxdiMVucldIo2S9zAzKF2nP2jE83sn9w9ParaDWdyycgUYX/YwAnO7f FGlUDkviv1wnqiOY56tF0/OawEqXQu6im1EPUpkpbMJm4HNqA4ZNEhz+FvAeF3AJ3Ygg2C7r/xTL 5W+d2rNAK3Hf0NrmcJ+sk7T7STPks8Zmr8zu1CENtKtDkEyA68WXE7twBrfo8tkR9W9AipozoLjt o8IddXMCF0sbWoCdUGQ3ajNJQNdgv8MYrW7aT7SqFWTzta+Krhlg+fdR1II2Yi1x5cZVGI7hgg01 ElMSj/YJLXn3KI22URenO3sLnkPi1gH/pDF/w0HZZ3lXD05+CUAG4rqTzTlLSXF6kaQncXhRGuj4 CxUHF5+15OpXWmVPzqTEK9KFKosJxSp1y+r9Xv0Hn2/SYGRjGOMH84r/u2ORTO4lioxr/GnCtXJw aS7Iwmf8qesVlxssH/Mm/sRQDrdXwTMEjsx34ISqTiCYb1FIXZ7dWhfXWdxEWNsYsV9TSd2Z84jX ZZOaO9zwcWqXKKOFVK7bkELg0FWE3gw/LvAqP+Wbbf9exM9Y/JManVppPdO3pOLSY4qKw0+Wct9Z lUR7PyWjEn07lMGrFjHhzaC3RAcKiBPg0KNQ3zeMe+kbD5aJ+dQVvMVhm3dT/hT/GcRLLgNcmyfb i8VixOVEqbJu6bTfgWy+tmSNcGdLAc0M3/RrVfR07YZ/IPMMxvO+rhSxeTcu5wAbJJPBd1wNJBKo L2InyQ+K7saxoYy/nNeR03KL8igetIlzK051qHHz+A5Skt2aLkVRU9Ci/imhS11dsqE2cLDYkcqP 1HZ6txUN0K25DK6v59iZCUUZ9drpBKikLgNp6qpaPyq+higel/AmHvKYOpcotKa+nQRivSFv8q5J PrXDgiiEaUrn1cwh90AudzkKMS/Wypk1lpv5uZ8FFJd9uF+M3E2f9VZB/SAqAo8tIz/PCQ2qCHkR Eo9UtUYKAJ0nAfTkI5gDu8lepRbErSGES0HQ+nOf638txd3OeB+XDdUF1wp/W7bY4Rm7pVLr9iIh dSryLVpaRq7i2qrNKsl5PWPeIdSddcVhph2oMJ0wySJBlRmvVJw9fyz/7cGnoQ+PLTWSOEUXe4dd VxMZLBwJ8usp/h+m7pb154FAilV/NzPtKSZD/pPtU3ydT2Afm1iSEi+zBpTZ1N7BjUAnG9pvNqD3 8aURwOqn4z5m0QPpcrYVYU6bzv/eUkMc27UKED9AuXD1g0nPd3bqaxjL9WQseyG9CNzyeDRXoAUD Zt2Bqf7/yHfN+AXLSYSW8GJXYlmifpfyp+8nrxcVYxHExex24KRWL/vglX3c/PlGRxLTgIqJH692 5uxjIdZbcoXOAoDUqTARmbVoqXKHpbw3RdWmIFmGx8clSUFueARrwqi5yllitYnghY6OJV1zTrVD e+8d9VCByWS4ZM+IXU+3NL31GUh+X8J3Bl1wSTetc0+HWAQozc47z1UKvqNEYyq5OwGx8fEk0Jqk nKM8RSVK8/ju185ffe4Zo52GHhLiNaccHi7tNg0S2mnhAbrDdkdSNzJJ7VG4gWpUcxTtQXxuhYXc EFTJ9XwzWTC5fSQKR5oTXy2SBkyiqq/5leFGbnzLVYv3BqvVTi9gmjwgfnpGF+iXwFIRhKV8VVUA XhrpA2vua/TJJSG3/1XMe+ybj9yYfo7VQNhWImzXHeJM5lw3+MFWOq/b9GF4SsOtOkPNeEaxn9BV dD4vE9G5cWsrOS6v5I4ZsaZ1to861EsRqKaUCjn70K6nf5LIqzyAv1FZR+o6mFxoYl2NJGmisfFI SAnSFc9qI1D8Ivfe4kgBwse1dh9ci2BnEfIFKzdv2E9VRDYDXAA9vG2PmKUUGC9ZOjty+Of3QJGc CETEIlwesMKa7mMPMJvuOaEPmsL1eZ6bNI09KyTTL8nBMznbFzMG2/Q9JKfNhhzKPX24dkZjqu7k kSzkf+8B/atIq4DAwQ7bLi1HExhXHE/RXEj5kFbwl7/WJq1SHnEtZAN/E1+1eSr29NeKu/lsi1tU wPcjG+0GjAAMAth9ObI7eiboO64URym+NBD+UgEykjAIUmqjbjwLovSf4U7zbwz2Y6GZXmhrbQIq tAUY6Hrvk9zU3ByjPBdhpFB6UQ6Sx2YejsthLu1mvMCrMu6y4vWMF7K5FhsDyuKkvqw133MoL1fp /IzyuG39xk4zmQ6q4txZUy8rMod7Ll1vL08vb7AiKEQlGN9bAv2uEB1NeQBhGWn7LE1aG2kqAj9T vCoRD2DaSAcTC6ayrioXe06CnyFcAs6hjvw8crJ55S/AQnXSVrnprtkHZGZRelQrrruNh6kcswve hr3h/HuHL62nt1nIQUBrLtv7JUrRtYZUZ582mYGXcCnJC5bLmdy3u5OZoHAK4SGc1VmqU5tTDAZ8 3RUvlVOrFHoAE/FvvEod9U2W2JXV8ST/CXq64XKyPPiK2b/bi7X/LaavCvsdMPV8Z5RFdxxP21F5 3NXb8EMqiieXYVneoJRNziAfMhG8HkvXOeA8QS4ZQH5mJhIJVon2oMn4WTYjMvbv0oWfg2ZdJMFg m3uxq3Iif1BBm4t22mcbxpADbmFCulwHfBbzRD99ks6xKQPlNKI4SA757h4Tp1rxFzjcKfxQMrRx SpVUqru3oJaBplbtykIF8/Fvzb2P4HjgvdrDPim/lFykb1oF8c8r4MAPhpxQlLVO6dKdpFBupIXQ FGMC05cNKQorl1rksJD/Y9k7u/5GUXOCWQN6pSZend/owehv239VADb4PdE+Hs/sC+BMSSn8POnZ 8vlIbRLWSAsLy0aXhrZ66zJLYIi+iB4/uZM5DdNzmu+d5DvEKuhEDto6d2Q6SapQqrdzg90tB+HL PKoVdH4m1MrLpDPZw4vIy1hhG/WDNdHZzQXHX7OlU4g79PGauH+KjO114Ihn0d2vpnHUwgYo+FKM nfI2W93qDMZQ17kZKzoeA/Minof/tXNazthQjPbcLP/Jtjqzp6DoEyNpqRxEVptkr8wSWGUAzkCc MAz8Ev7McsYe/oh74nVKXdXWZ47NJAVX1REzCdl161K7QfIaiHnQEK6+Pkuj4T8FChCqontNG+wj DdjGOYL0+H0ISeBYincdun6q6P5tyu+HJ7MzJfv8+mywCxUZtGoXGsNSecARreDk54fH9rXdNFpJ D+/EqXrQg/juR45WGiDWcWJ0pjiPrMa9vB18f5uu9oQHIKOtQkCTk1rJ0pqPR2foECREX63uX/kB h2psqzLO70Ngyq6r+xdkcXmdCP+97z+hoYE94ByjAOtRTBpW2agFA1CLL6e0SadVffuhQFQ3sjfe iTGVUeMm3Q4s0Adhwp4HYalyg1D7CXMqH1KO7GtxFMu9bHP8hYsYIm635ZHFcA6yNzOhvgJsVs/6 +K/usOEZ5F3NdSVsZ09VgK9xqbqolxEC/8rxwDhZb37e18TIL4a4KFVZu4jAL2pTtnHIcKx9y8gj npLLAWJUwQ62Zh4PzpGDrn9oMJh8uUk/Jsx8FVo6pA+wxHthANpkSiXjhhgvWbmuabdOa4DsJ96B az8/cb5hLsZykgmkeMmGltvge4ZmS8Y5fxwPlGh708keKfGsgo+o5qE1qtpENihf7LsRNrDxDuxc As9dr77aqtgy5wTVPrZ+nlwre/mB8t6j1Gombv+oLwufQ2iZrC2f0bK53VxmySMTP+ql6D2GCS+5 u39/q24ndAb+syF7r8TIWqBLfJCZGpU7oH58BH7dXWgMZ2MR9UebRRZWF1bQCGYTAEYvqRFYHHnP +KbsxDm49K2DUQK01yqc0igwfnkWQic9ucMxqPquILGnTywactMyxfbQG7CiXfgb+HzzeL9/ygkt t4Ojscsn7eM77h4cyDGYzV1YP00On8bvYv5YpaYrXCpcpz6IMYRKCaHELOo4A8E+7GBaFpg7SPYJ VvXZRLAUxpaYKdmhv7J0DC9QsuUEp3VnG7u9g4OKYb/zt0pXt4MB1mWAD3gF0fI05Nxoyz5tv6Yk CX5escOQQoAq562zzcpR0Q48sMwQ7YKRiJ9G1gWIw4aM64Zc0GfAT85qDVx31Xk2jHlDypshULtp hKnSIAKW9QdHlOxbfWEa937tmA8GZbN6zGd4AMwCYO9Agr64RiuDsnum1Nb9MHum5QA6ASRvoFga pFhnKxwybQKj8EK5yGafAWXJoi86URHolyLEfkYiulBJFa6WaC+lNzJXQ5/mAAPJKou0emN9PWlk lc9PZsR8Q8jZWxEOoXvoT0Sk1nrx/SConMH9hrg0XN/bwY2+yBbO/Fy7/spVmo43NcBb9iPXMZ5Q IYzOa+vyO/oT0za0D9LBwaeBKZmgx8voY866WFIqC6E46woMNi3xIIMdGA4sb83RYnngGzINOaRO 1Zifd74CJaB+YcLAdvlqQsgkWDf2xwpbR6oCffhJkaWy2P0L6cOmD6STXLvaZOVunXEcslbXbjYq tk3IH+ZJT7HtgkpQcFezhQ/EJz3+jXO2XatdfKzjLefIcA5lTOa6wYua0jQcsaCKPpBjVClFz4BT DzMPpHMT3ZD3dmwiUNPD0EGwh/f2VE8nK5v8WamY0BdXxXPvfqD6/TqZReeFuweoqAHrS8Rfm3Ti JhpbgDL4Ak+H8lHCSpRH5dtc+PYQuC2X6268J2nUpYqv+QgEng4vgyGVJpIFT6ShZPSzQhGh9GlK dRehNyx4MyWarwtT8Eysjy4eSX0NYFTUMxfhJVTEXC5EGvM4/GHu2M/Gb2HwbxzOwye1GANumnY5 /DJ/FtSAC1JKW+61eHAGv5fpMm0OC49erY6jDPjtpZF9yMT7LqI86p8dgezULXs8YowO4FrS72W9 1nIAC4QvJ/JO1NZvlH4mph9ezV5GSgwrWt9RrFu07m51z5MfGge1F14DIHkDv9pA0xscwaAvi9ar 6WFsBY828NriyqiuBClQRkroQu8Dc6ysV64OSAKXnTOPsLjzoCfQjxt4TNDU1sV9PP/VjkknCB5q uwt1yL/gV9u28IDFxE5KxGY4BEwuvY//dwfaD+8JwAJ6tEHaOdIrn3TaxKTclNDkMDod96PUK1DW WuArC/TeEpLt71CIbBzGHdDcoUZXsGZoCYHvBd7tgls7CV1HMDROtm9TQG8enQG5PpTQnmRtMk7V 9un6Xs1JsOJWl57bk9+4Aw4KGkJU27uk7/kYgFfVD0oULZEYgZfXeyP8nfiEBr8/3op05hiRy801 3d63TsxSIYAR2hLpIjobHRrNqruahJfyQUKL+lSxCdwQ2sILKQPOtAr80cvM0tIvM5wqITHl76xJ SoQtYYdPGlknLq576qSg6fapQGXZ4USmud7e94Z6zcFDY4IHJcVRPW342T9nBHlU5uKKzIJyg4OE 82MFil+w/4bNoRripW+M3ZZMQqrQg9+X4YkVZ50Axrs+OhSZxPBEN0usyAWrOm3XE+QheSjPwp1h dVPkCrkR54NmPBdeatuPK4CHEnm6bW/3JUsigVX2ZFjfvIx1N1qI5su3WGdHVb6M7qeOo80W3KJB iPQqwVwTh8UFZZqsmnnRdXKv58y6TJ4Y8/grnptZDUpZRAjHpkNPOqFbT9tLli5Qanop+gqJMUg3 tygfgDmgfNuSe+eX2/r7mWGHp0gdMV3NKcXvE6+OZUwZE1CJVPost+F0bhLkukWCgJ7MX1g82vgt sxzitw7H4hfeqlBzk8jX8wxib9MOgcaZpKsB/aVmId5qsZE8GIru2MMkpF3airiac+3UrZkdfCdz TCqbqn3jQ5n0QhKo4oj0jurH0QfP2HrkTwAHh/T9wYtZmLXp0Fp4WljPyDLAc6Rh7e8MWngIe3kC eqYHhOVqNVR0GG3Vfv5L3UlQ8uU4CGAqJA6hh6PLyoD1WoDHmLEHc0E0MzPNOTotQzTjcX3mgMaV 3V9AyEf7IW7qKxF8I4s2QFFotvwDpZqMstIdg+vuwYwSlBdwnaQ0ddX71g4t6aS8AtoSds2RE0D5 z53jpkBMLD3+4/ZyH3OlZPhRC6cRPKX/ui3USQAVahqIRSgWzq7YuXoYYiYBSIPlU5mS6IRXvCoj ggfQQAIVqSgb2AhXaW7ezWmg+gHkEDWdhZsc/QW2YQEFkAYLFo8KxL//xkFBrWt8RvfCYtXiBg9m W8pePBxNA4RGVC0KYL1eELhsPoPmT/PzCWuCjNt97ZQ9XK3qMSyPyWUeV2q9UOlfeIPiEYOiOjnp soICjqTk7E+3n7ZS0WQYGS1U6rEvP8eh2VdAqf/eWj1LQ4V+V1F2TKDA4rO3AlHzz6jk515pT5BR 1mN4BJcMjyKmaSIYZYfcFXnC7KSJkMiTOcmY5p2OtWFKPlCuq2vqJnW/W5+fvEqVf7kctZns1OxP dV90HjcBgf8ZMtjRW/KbpSjFZGl68zCYob1Oc3JZ85UHfpRIIbw7bOEoPyAqhzZgmoPzIcvs1kdU FFH17HPvvaHw5nWJGPRgLywFBqeptmXiE7gBcPn2DrwPwW2yIeYjnzd6zJ4FqQZl43Bg3/NLljgc n/e2M+bN3Oise+k5Uwnf/PiSJr02xblZY9evzZXHfz0vdhkwDPWrnx/43tVNLhGsUxOIQc6hdXTo 1AeNwx4MJ5dlSwtIl7iQDggnkJJZ7grBM0ShLm6CEyd/vye0afivvb34lYRYtBo5Ds3ZoduZgWak q1/luPaEBuE9txmyykTsXrM4Yvme9x/sOWO+PJSctUOZuLP5xZPHCALmJf40IYh+QQVjA4difDd3 uYsD48RuSx3Q3Rk28imLHxCfbyfiPMVFoQ/+G5oYq3sVXS7VBN1nSUK1w/WwvHhezEMycIIuqCOc O30cqQ746ro4JaS59voSNmXvSQ73Cfexv7jxaIFaZwcL94L54y310ON+ANhMy/I1SX8JCUJen/vO C/DWXnTG7ISmi6l5Hs+MWT4OkGEUyKEdP0zyuA7haCP6FSMKXqqx+7AaCRpoLyTH0ac92X0dkGwc ED2EjUrsNQ4p9HDe419zlSFOumV6SwYRFHIQ3alTy/sppoBJhas9R/hT86VkIZOEBqkOkduq1qMJ 1n2RLfnCohIJWO2GsBf7/y6VDQPIcsFO+KegwibCztXwhE3vAhVo8T5gU36oxAhvjIOfJDnGB2Vc lBWjpcY32edRaZ7/XEkuMGIJljiSuKGxkd7LHFZW0sQ83I808RCrnR7If1WNJIg9Khcr03kWxupf DII95OStE0tCpDYuORT/hecRRh1FSuS883kOC6javmyWXYGu/MA7GBav0M86sFHcV7OUhhAG2Zs5 qlmZvFuuoe0k+jFAXYs0+y0DbeaELrswvXAcYqVrLzs5daB6rr/CRV2+2taEUIhG2rYeCPu+9p0W Ys1jhGzCkt3GIRJN6BIsXzPKiuHLrluVuUdhp2lqLMifUpCGYrbIxpAoLQk6BONOmUZ+5HxrcS8n iFeXrDs5J127LSiS+bJ/YUgK5vGPyOGaRziHA+wXHObANaepptFeKINJhVTzzkcXbQrsMFD/EdMK GgDJaf152hP09qdZ/n9T3Uo4azmKFlDmSQ4OEFDiAJtty1Tk0RpdqMVjT3wpg30Mypc5fbTZ1gRT v98VYZdZL7TBKgBMEciyLNTIyZuaEsTNxIttWHTKYff4eIV4n3TZ4ImLdCUvq+/01H61CDaSSW9J 4MIfl0rzOCajYJJgYTvAM2utoojFohSW5f9eXIc6M2M7O2/htBH/BGY3Rm3tjQmL3oZmt+2loZp1 tKllxUoq1d+KSvmJk/NAEk/4TsSjjWZ3idKqyzqKJQol1Kv7SNcugdiMnNpV8i2//gy6H+QgrRil R9sWm/vg9UWNPDOHqzliY+PLQWgK3ENL14bbDvonIKUm6PUnCESrVPJ0mVDFdVHmz4aF/20KNt8k UjayZBCy/9cuO0hElJQUqR3WPyxm2Tb52lUAcCrNB/XHza7OXeXBTTSzEjL0kHpoG6qzxxEWTn0I VHeePoTnl3FZUHkMe4cFAj3nN2cCHY/CTlqTM4JWKIHtK746maSopkgPhf3DXYlp4FF3kS8LKHby md1PCou+9ieJyQDXksyFN0v9pn29ScKXgjDOshODqPMHrYt9R6DK2FkWkIYgSeccg0Hn+WJpG7X9 8lP6zaQeEDIXJESDbxaWQ5CGSBFZYON8mb0PlXDzY5975Zu43lVyyQN1mHbWazaWRSKOq3MXZbWg /eZQukMOUfAhnRHekLHeP9WzuurdWC4r90lqLi1H+aQAzLRf56BSmH5OzH1L4dm7XYti977R+TOc SMNXcziSJrQ06Kz2RALMbepSPmU4ZFOmtS0IkoXBhkjDxHNAqlnUiklpPDLPpyDo2IN5VQxTgwyt bB4n7aoOzlfNmwN97WDsnTZIwjPJfKdJDFs6WboVjYYk2l7UAje/Tphu+QPQxIl5RUEfcVkNrzWA jkSNoL//vN7FXF7k3o0EdpRqQUbhifWT0irJWBiqiGaPdXbNCnAu1mBqxP73I75bTlLfN845hBH2 dBmwIqXa/xAfd57IZZehYSCaiStOsX8aF2YKSowhsl7/u1SLsHGAw78DNMqLJ2O9Hs8qE6Z1Fs0L t5LbZGW3GwTHwL+/KIjW8CAmLT/aMbuJOfdJjqQ7UB9oOV4YXqo6eblCHdwAWZbdt2I+IaW9Kwi2 xCmr6enFbyBsn5RrgdqHqQCIWkzvMFbNBCuydkQnzg7hjqfhIOJAK3u6pcs1LiWJqSyrfIHUjzGJ AfRkyGGO35ApWT4srxTGkgzhj/TWkSMGPpKViapzylKO5+M356wweGi9ThAILnth3B7abXp9ZpZF SzPM0KnkF+Gek+H9GUuQLzZqcpPqSN0rGODmdipuCCTJ2eEUr403hv1idORAPljWnLsEPEu9hcnQ BEpDpiolscze7lSVg1v/5nw42mEUKvZsExHYL6VkaQuHkrnWFzrorybhD7oWZmwc4LcV3OqXqTSo Eu+P0QBTeZQQBnqFMaIou6nhRVN1CHX2vWwbW3wwN/GWeDoMENjOclp8CTqOSUCF3D6zUOZ+cPlH 0TtQLn/4U6NKXcFtz0Rt2v2zx1ZsgxDruoom+TJ49dQjQfiGUUqRhjGUze5+QkH/+0Y6Q3asuwM+ /YPeB0zBbGGAW7ek8xVyGFUXPHeD2piO4LOniPZqmsoJmyPtAztmjILO+C1B1Mh/Nsx071819qtM ePkVrAT8rrh7dONsvWOv2ejcsGuy+/HZoRdimCcCYUwR6iTLT/IblQYkI61Ks8zrkHvdet+UAD4m kF34D4xDJWOTKcUIbgCnATQZHAViWoysl2FBjEWoK5f4TcV7gNFSY+UmFX1e0AhozRyZ05ToSMU7 jo9+eR08PvHNVRwrG/MmLpmKLHkeBcPhV0gs7yvmjQw0Q7mHJMDzb9dGzAL5nAd7YpV78fO40loZ xcM53QseK6wKpsDm5H/wpZpyAt/jyFUYJKvU6v0oCdsOSbmSR4Yzl2em20PBbvJawwby4QEel7rP ifLavyB3juyPSXepWDbb25SNoAJCvk4wkNal3WRAQk8O2jJQ0xdk+YzBJlPNc5KcnUgcv/pcRkvZ qxFnjsM1R0ZmqoFG7ukPW+UGP6JCqluMB+UY2ww6e5sBa486MUPP/v1zEfVno+fjg34g2hBeWnHS jSXicGsZqtAM4d9aGmoH1Zz+mEXCwF8n3ikoZCRL3f4NwVK6xtuBWgUJ9Bnnd0+AJZSsSWjmFlXU h7hfY63QLpbR9gqJN048HMmKiPWqM3hq35PoCfLFfohHjA6gaANl3mzo+LDjIAXwXUgLMuQ1dj0w wWUE6zZwe3hP6ROglAE429TCF2F9nNuvr9+qPtHSjUkQehhBcsWf230GLROhx4hvQqyAmoiWWft0 uhECtjVeQgmTivv8JDaqOYNbH1Ln+RHTJr+hBEeQAU77s2ssjqpLtrUyhdDhAEHr2cl6XgEPwIzM qKFuNWLznZWWXeywFpq7f8bmZx97Dd6x4016xIoO49+/vKp69W8qSrtHtH4uNCZ5S+koCvFwBR3W qIUMofQxrDUtTqjOFdfwqPxuE1qSKJxpjR/qNsbxIFDZbw57fhB4qzvE6p+HVFJ++0Eau/lnByNB rBDZvvX6g7Fc5XBWyNpqkwso1L9bBnLwa+dMgAt1XUBBT5L7bSq9RENS4ZAI6QMpqN5MftT3nIsG wmZ1aXDj4vv9rE96oxbZaAgiOlMVAEPXYmH/r95hEytB7iUSWh1awGcQm4hz5OD6l9SPF3wV4ye4 jL2cp/QRcAB2D9GMCaDFApoP8awaLrbJoaUF2OlTe+YuauidWjqdb5eEY1asjnTIfehr2B60S0Qp 7DgLsoxj6Q4vnh/gS2Pkk06cAZGbBrYRPYNZGDDU7tLzvHHo5cUBIW6915TlksyGRJrFaJcx9Sf1 VHZftMCB5vdHasi/hgvPRd54lZ5t9NnVANwlC4NnSCI+zEg4jC5GE94v3Vg78S3txUqqhrzB1s+q axVmdhKdsFzWz2U+uvHgviI0K4skVrbTMU/I7JsvlcaI5bIXfcQhAANmGJIYfdZRV3f/OwAZxJ3G gdkxvjcGeEgmaHHlChUYEyzJLx4cBgJWD3tV7m9cMlU815Dapszrv1IPqTR6PxEiMpXo9jGlefjI iaLLnxv4lftYLbzt5ZP/iz4CdlFMdhjuAdlSf0y2ZV+dyaQWRMlzx5GrOeUfJ6yvo5GcYPxClffJ 9tbvTLn6qrfe6Cyz9EbVXRuSHB8VaYIEfb6FPq8lWkP7D5kepW0wT7pll85K5iX6PKt1fGqft9Jl 7gGeU45SNit5ODW45bsOoiON1BTghQqCyZVEndJ51F4DH1ruFLzuWZ4Z3x1IilN8mgGEMQvfnzap 0jQskNKukOsA9m0/6PAwsphE+f+SWEHLxbrRslJvhp8rjUWCV6DDJuXmhpAdgRra8cHBtDhj4R9e JVeUAyIz4WF3xH1w3i8EM0YHtWgFcZyrLqvrVI/OxEsw6f8VXZXpsYoTT9E2OoJ5KZsZhvSoSomC 0d3z0QDCB0C7GKUoReFzTvFAnj3wDBhWhoo1ZZGkLXYovRw2ifxlOZauyE4RH2j0ysgbx9SdChHu twIKoOBtLQ9O3HDnumsGEzoPEWtu2oLrJD4u7EgcgMliJZ+7ScEykrDZKdQdW1/oYTbR5gHaqrQC EfF1djxRfwIKxZYu4w7oW4Vk35JBbUuTnMzTYCL4TR9YRmXB5I4F0ODfPabaZsFJhLuACKEJ3PJZ wGcO6SapoUgK2EWK40uw5Cgrb7rvld4jqnBZHU2VspV2geuA/BZXcnDX1vumdGDn+ydzAVyRO/u8 aQ9MPA4LIiyd60Z6Wf1x3CiMLpVojAO0K6rvmgng2JrJTUuhIkhPzwkDC9kcjMjqCuLWGUn7Du18 yNVJizrL7hgZVUdpwuqyu0Zvi7X8+FOlHyJ42xdFZvYHRTs5s6cYQyzgy+kjrJHaroRmijhYNk2Y Pj6dicMpBW1s6O1amQg7/vQjQs779FQj9E7fyRnyB3FmP+WWaadHjOrQLdfyYL1OTl/ugjNludhy OgWyvnaBdz3pi9/XHEhUFFvxGTnc0nD/hZL9A90qg36mqdAnMkQWKJYye+E2NpLeRchoVfa8Na06 dvmZ+BUtyAdQ0mptA0M54tgknQbUWiEXGCwmQyaDAZYmQe4S5Wr0/rXKzOCMaz+s+JKluPmuQGFe j7BEf58lN62HMzDb6fyOxfWGloabGp2YOnvWjGgyZW1HexREd7ypWjPYq8fDhplLs2MRAoMKYBm+ SWSOGgaIbX9vpYgdDk3fs0L0O+BLA6kQpj3wOkz9qyLHSjUmCKEFPUseB9EjlFt6GjTizdm1ntMe 0eBH4SvxBYxmhVfddsdHirwe7ln7eqhZO0Xi63BrICsUjkcO7hqYOVe0ozvWnUheazcUuO8DlWma 2nfBcPCt8Sbw7CaspOazkdhrFTk+qsfOHk+utiWDphazKS3qp/mifTBXHsjuuhPBRD4kyK04x8lP RMs/T9P+hJ/UyWoiGccqTVlabAlqB5SJUGt5fY/aXt7hphmUv5l4QmsaKYpc0smkBMMKaEHUuZSl rPq+e9YlBa1q+Js9G6kb0HSRVSiC3ikMfmBdBH80o5CNXOz2vApO7W4/wk2rp3cxTqjKd1I1LR7h dbvCTvqOi5LWauw2LNGrfTSb2TAdkPt7hPEJXTd3u8dUxB+osq79Q+PoqTqBNdCPHeyzD+tVEZZT rj4b9c4LFxcf731h8XhdPKxelmGzdvAQYEH6lmH7Vqk500EJ91bG9E8hS4UOV1YkFAZgEcpYmr1B 3P2yugWBo/e1LNcFiRH923AC4/sQB/LmXMHaJkNqWumubD8tK9noNHrh4F02KEx95omuuUALeWXZ xdvErlc6RfQvIgV5IFmkMaPJxLp2oLkPvoZsO98es3W+tIhzdPZ9+Rgv0V5596G5nMAVLjBX61a3 QYsDJoiNrrRd0uY9gwWQ3rdRdz4g9YYkOT47FRkR4nkan3U7LIYp23jnG/BATNXEndnhm4kymYIG q0eaT/V7lsUxy+/I7X3ez+aXWCeCwj2P5bwzwEIHBvNOWyQj9qni5GOREv/fqTkHzz0fA1e2+Bqh mqd1C4ucYGd18rUfEgk4d5fmv4w4fAk04veRZc75NtPrxTw8wbZqLmVX0krKvEY9AQ1EDF3P2VP+ zIu+qX/BmZtLLOIUrn4ugYrIPN/K5zncmJZ8EdYxJl9k2YReJHqt1hAe6T0tLavXuJPhIQ5eC/k0 Bkin+ZrlBQe1p/SpGYSqf+17RfzqoHGxMLkxdXYN7ReZDFQPectNZ9cvm6jQbJNMJWcZYDQ0TrcY VTDY5XOYON4Q7xS7fDc6llOpr6tkesBaXqWJy/Yu14/36Mq0MJMkjfJty87CQWi1RqkqUWKFoOEI Axq/fkGa/WXwEkRXur/xOoaK1PNU7S0MVpmsI4T/1nRK3kYjsdETrV6DeXPG85pvJM56zsLipkx3 +QdcPilhZ5gq3/H3TGicd+t7MlLv09qspuJunOM/QgMTSOAQtElQxt2Pf8AvPgI5ZzEz9Dx+3Hw5 pK7v31oGQcgNuq4FaTrCdexb6oETs9/TjLAxOPoGuCtBmwK882exkwYS3kjBsW01fN25X8qJNAF/ JjNukvhsBFc3RZA/O+O1xUY7phX1E0CK89qkXQiO2EOECJCWRCyhjwsFtE2T0ASRt5+aKJZzvS3O GuVeiRmwjj+8yBh+E2Ioj4KNAVbxxsiNbxicD0fVZIwpnxymYwIsEawD8qwNZ6kqvBsfVaUeENR0 vUov2zLpBf01kxiVQBkd5P2Q4+ledp5tzFP1cg84ALaVfo+c1cv9zOSgFA2qfhHVzJRzm5UVgmQq +YTWHLnrmD23IkWmh2qJz0/nkhpubXupavixOUt3GurPSPWIkihT6s362ycD5XCdZOdtp8h2MF+k ANLHdBkHwzUAm4/ik8tmG4gTppUXFLcIzJa2ib89AfGbmbPJ8IUJIGhvTz46SY0TAMvz5kVSDzlY jEdLb7TRpSRJqLxoP4jFGSMRmPHLjLsnA9iUDaVdQArlfCeXSDO2emUz+TaBgg3v9Tad3/cIEN/7 DzbgYPUxg9sDdvqBqsXY9HP+vFeZRjY3bCqRXmbcyrbRScYmxCsvrDAnYpL2fMpNvtH+zJJQkgwT cEHX0zAo2x2hBAV83bWC0jS5Zpr/h42Vry9lKHuJDPITpOtRlfPff6+micAN1KoQ9lKXhXDlrx1c XG+LwtimEeYGT6GEEtWpQrflbLZBZWSr3wvOZWOATuBW4EdfF5Ox45x5MD6l80aADtmztojmIys5 xm91kZHvqWpqdv7OYx8Y0/vhuxyuvEvITR1R2nK+ny6Iev2XIBibFFDz267dCVv8G8QZZhsbofgW pDgII5Mtgc5Zx+OBoz4gZ691M3N15l53li6jGBnwTyM93EpqjbdsQFgF2Vfeu8fQjylg44Gm+1is 85akgV/pAapB4lQk5S8WS/mPF/aqexsRdnkPf+HM6DcrVE2SFSB/h0icw/c1ooEPIW+MX6cK9CMK 57n1OC564atNQeMY7JP96W98/alpdDx2V3vQyR4Me+89y1/XrtdAgmJD03nifeJbuNs6b3aiwhLe /AeaGL24hDrTt8gT+aLuQYWRnO590owBsZhw/qsBAZ0pIRl84ZYw7Ah4SWo+IGaYcUNSjXf6CB0Q myDYHabbWuEMbcpJqdO+fuGPDmGnUP8+dMJGOQexAxJAe/Bi8sOtGZcjVO0bjZLI+Tnl3EPhBrzf j8NnVn62CMoKmYJOzjnQ3985EW9Kw5PQW1TEoii2d+AhasBjfBWFE3Wchhgx5uabn7DjfixMcjUn qjycULmxroADzHMCFawxDXMjJVngdLVrlYZQyPVZFdTLqkLLGzxI4/9KXb2m56i3ZlmV3A50JKNI bDWMSKXaVNI8Cbx7FpojaiwxN8KZSW4j1lAryNUV08NMFgdskb9vsqtVTvu4yMWiPzbkEFlGWa0j ln9mRnO1jQDAUu6xfc5NP8WzL1qdh1iDHVG310nXX322DmVghWWyqwMmScb0mRhV3Mco/MIOfkaJ MMVuzMUmKQXJEpOC3qmzYyAfDCAliaMFP50/2TZRVuyNd9bObTlD2JmoaixjIGemf7dcelWgebif QmJfwOpI5M/0aXqOA7aqbzrMV7ez4sk+dcSauD/yRUSxdxPYsqe9iCIqZQuLi9eFpSpbhfVK6ATq XnxXzzg7BsWweB+BGad4luzoB6/B5d6dCz59Z/HAwqr33ceOUG9IDA8oqb6p/KyO2ogl9Mab/s9F aFx56qqKmmHwQDEnjHWEW1LqC7NUsLjPUAVxa3Nij/AGs9e54q95cQ3yxOrC5nxTDDqSrQKj5CZ6 IrF0ww6iYTKBvAaEOBIKMGnCE4qZjkGrFEgvSsdPh2SxFgA6u/5ObEIxiDWKFH7D8v5WUKaiobm/ cA2x3gIvPI4Nm5mIst0tz/A6mmfaZonhdH/6Ji7Oncd/khjxsp9Yr043Y5ZRqX1URqqicV9En39E uN7SbXbz1fCUv/e1qRA3ELQwliMxdDvFiUwe3goIsP+4AuL/Nn1MCIhVTxegRyN1lsQp+rd2SQES hRe5Zlxk3QT2vLXZG1zL1RntPdU/OVRCOi6mI9DELf2Br/OnvkqSOf5EnwD7UOYp5HsrgRYDyIyv VV8+qzQokl9hPYlqqiOZpWbOqI5j/OuVo61PM4lVIYsM1ob85UgeJc8aqlqJWeyabMEyQ+CPYIt6 mrqE/t6bYrRhwnalw1YYpR5/rmIB4TBKbG/1W30pbRD9d2DtOYDBj4PKBcB1gWYtRPc3MaeIMxLo exXODNxzQmLdiLY5mfo/WcM+cw/fmEApomZTWCqzeIe+2dBrLej44n5yj3fwKFTZxnQW4jtXB3qy CHtV7/zJc2M7v/uzTaPx2AsbdVjB7/P/q91fotEWuNwiPT1qK+FxKLMxZ8BmlUaiyWyddzghSNx1 fihXrMQm1B3lHCjRbwZBlQ+SrvW/0gPJld61k37cNIihKyANkVU5PWPVshwUhckGplA3zmSKYD68 favG6qbXrU4QrWStJoWGM6HjVKuccfpk0TcWl/W4Gr05kd866snPRsFXhkDjdFM5LCtSjOyDuBke TLg5VI+4rV0Rif7pn5kdeDxhpMbK/iaJbmGxYQsZR1tG4pZvilapuTUKmZC2AxSk+6yAvkgbFTgd 34/tkcjZMsiD+GySBDGAjw6ZjB+tmsjfvG/88mUY2s+sOe+3QC72soqhtYaViNLFRdTkzSD1Q55r rZlcyitaagkczwPNkaGn6SD3+FWvIXbaWQaPospV5YHZ4cCs/3DCCAKgIuok2J7BPKUzaNquTQj/ qxAKbk4cLEqgD8f67Wkc7dJ8g3gTJr9627mih+6sCiePQV3eO5ODTWU6IkzEZyenSeRB0SxGtqM4 SqnPghRhpEwihfLHz0Jzj6nGldonCJ24i7Dq3GUCyhADkas5sFmAN0Ioz/lAdIvuLQ2Me27SpnDi GDw1qG+dgmfTqxcno5mGwHiN+BdWeVWOJYnavXgG/puBF33R9FFUmuG1ZaOI2Bi7xYEd7veJDVII bGA8Q6bT4kNSSOONiKDSyfjlvNUo+s8zvjyjOwVL9WyAZd804C9eTB0Mpx7+Br7kqr+StBKmz9Rf bp3GOZgiAHpIm4ZluXvXxJWhJNs43DvxlSYAU6BLqWs8EnFdq7Zpg3xTOaYiIOne7uXNx9wFwIQL 4uZf3U8CpYiYo/MarMUDfKN43WIK7wwNfj7hhxVMIuDryCBT07qwnHPeksKrUak5IAckX3I56sqr xp22gE/c105MTON320jYdRBOLr4/PXtqEPAfN3kzrqLHGd8EaGZFCZCbAjf4R5KEGW81XADIWPb5 vQ/4K/pX9iv66DRuc0Ux6sOGtFzR18yLIS2yLtnd02hLiyC++TE6r+dnAo/txPO6llXnDcX4hcFC JlSSkPbqm9j/d3Xq1xENhQawMqyRBfuWSL28IvSlDIK17RXAx2IysRK2VXhHQoGvdSbLEYiHLad1 ZoEJgRO45Cekc1CRMDj7XC+lAZMT9R3L4wPcE/8vB8zVFJjQ0qyF380UFST+Dm6Bb3K28eHirzLv KwBVAHuBGapzCiuwHL5WHXF+hkHJ3bX7RVX39GE0S33nhs8YbsHBoWdyE1gdUixLlMpaXloJkopP 3OkRriNZMPo2w1s/IpdA0B9ZsbqFB7a5McouflC1DH+83DZXse/tBRZi2vrot5uVwM7uK7qsB3sk l0rdZAY6TPYHV+YvWRW7ZC2jSSTq3DBd0hi1aWREDUcWgT7eUA52S7HXdRAX67OKsZ+8wLG/aYe6 JEMKOvL32bYLfV+Q2EPpBqDYn816F3Z1q7uYBg23SJEsy/PsdxQiS3pRRqHXIqfeWyacnQ3DdQws XQPw8nlNxv5XgsCB0XvN4in6uDj1meyrQfuzmyUcsJjT/RMJQUPpIeLb+pUHWnPjaM3PzO+cqyZ7 NZgB6jH0S4JVHsNiyYH4J8Vb/nRlF8/nZvqEM8l5Pnwn8VrzvX1K2ldTcPDECAAL3KCxMYSjJVSI q/mGVeLnIAttJ0wi+cikfFEwxNsPytBVJwvx0WkFI3WegI57yaldfoBQ/POJs01g8WNqPhdvenIB TWx0mJdAJUf9Jug3aJY732NrMU0RaNkj5E1kqbEzy+zdWJd0pPZ2C9tpoIXMFH8JkANrA4n6YI4I aFocnJsnrOZ6z3sEUBEHW4EXcSwWphi0TfgkdqwjNln7iUG46poQpaierBDsDC7uF/c/rE5ZQnvM TkCqXp3jdqD9kXNiBRsewPy8akfE53Ud6JipDFuCpVm9hfMt2GudkkPAOjgczqjJ2DnuNssglNuP 4SN0jT+XjO4wJsx//Rl3LFp5qsG3XYYmv8CDPtXtEdc1TukJadczEPAVXDOUToqfHffoCbuN8zH3 8CVbAxDDexuruUgnaegWT0r/JEGoWaZbwvfq9trA9/nv9/5DMSuKdG9UU+k7CFNKw6GdAyZj20zv CtS7Jm3C0sXcfnpYQDOquOaUL36CefnrLRsL+v2JFqvZpc47/sMl93PXdDmuKriW9+NbsoISxuoJ ALekuZIts6fkl4vSV7+4qKyCY0ALTsnkpoFRgR5WdP8MnAXWi+gMHWNrCI6QVrWZO592Msqyor9P YAqYEcAwRUCTcrxcVh82cEMgE/TCHYNZgcUolMTSE4CpcRKc8/7iXvmjF207rt6xS2MAbyrwaRVh lbMTqV6IhTmFna38qN7QjddafF6XPsqH92xPqhm2EiVk4nluvqnrXcJZPkoGHAsIR/fNNFIWnS/7 QHIh3zNNqaTvRhBljZleViwARORBEyF+cTz5NJak9C2pP4juhgM+kp8DasWou3c9j50P1zsgkoST lrycwETCvF3de0uaWQxr2wJ608UMk5Nk3gxOKuVMV6hEd2g9SeBJ/xfo7Kon8vbvgC5/GnWm+Bh/ O7hgSUbGND7oNEl6Ks78e9xqR/EDBAlJviVqjHBjJ/paEgJmASi6LKZE83OsZUL9WrStiG+Ul4hP kASHiSAjxRRDstf5aqxBJQb/nAXHPv6hGbnztGJviyrtDCMJQz1lgR4HCREcImf5d274RbOF6DCG 3y70rn0ryeAinK0SPvLC+cST93pA01fGz84nDU4UuCOphX506I+Z1dtF3NgDcFL8zenX0ugyUuo3 lewtYLGBDeHqd3TVaSOwdV3/TyK7t90DWOuAoZgIm9kg1PT/pHWSFoZs9mnSGNqvGooV0SBopEa+ DWORidLwtB9CvTbSV7k4puru8fJOs7vJnYYf22deT7irBtKK5tthR58ZSFkkofG/3FW0cEKvu77F ymlVMw3fzPVKkt36brADflgrbJdHJKQA5AZAa5E91RxF7RD2KTgw1bAVnlHge43FgsWtjtSSXpIf 34kgUAsY/a6jexgJ+/K80xK1ZmDDxf9eka0wEJEKJKIabIaQwuodKplJ1AMozP2SAnFClHW9A2EF W5VkjkgD1IB5pJ8xrwdIFWOeS/ImT6bjXQwF+OW3WyhlAR5h1ailAAVCMldn/mYwThjzz6BiiSPW akoHhkwHgJbIx5hn++JTt4FiN6locJeykFaP6wbV2QsFTOfxx6uXfFtCzjqv6ZpK4LWDEQ35Bot7 bujP+zC6n/EHBnub/0frFLd82taGkylteI7wdlLPY9pVy0vN6SU4Yb+CUUaaSE1QP/8Q2HR5YRDe w9+EjGQi31uNQALa8dmLuSaa3PzpWPMPhiqi71dnAspMH7De52tJ+2yNrREb5AZMCcH9JgrvcoN2 s6MXGNIULSWNY3hqfKlv1a2o0ZctpwH0NKUTiGOpxfgPjDXzFCZ8t0gvu4MZ1pHz2IiTP+Uqy5+T ziLi1QIGMP+VX4fbOBkmX1MqJ8PFmmn6+rYzvk5Ylm+LYhcLrEjznZTzyqUEvBk0bpeVSG5I1SgQ DnUz3JRis3GZV29YY7rxx7NEZwR210/tANgBGfdcq0Y9jmdevR+KZgxbKGrn3vM8tDnTgjF0W7qv 7u0M5I17nrC4Zrb/8RZSSi73cJI3k9wb0xnStCQiqUnaHa2Ncg8yzuwkpPeHmnoeq6ZExVlnxIAV 2IZfemrFvU1DonZTaQ5OomUomDOEuVAkvK3+YxaNe/Y/VPZPo+8+dxSVO/w4zK6CRyPLVFJlN+9v 18qOQ5CkGhNTfITvpfk7q9bEApku3riHn1gH5hhDP990CDwkwFQ/JjjWE9utTCpkkMqJTlLXkVpN le3HaxcGPFod1p7VAYxhW40UiZaD0Job4eYu+v0XbzJ76USjCtXtpJ5g9QowAsoqXAppL5UCL+Eh W5HGjomlOVTdVs7x61sG6YyIO4z2BAVX7Kpw94fSvlqPwTCcODhwW1E6zyQITRMR609L9c1QrGE+ h93Oky9U/VxELus5ohuQ/RFyulHZ/OUv2CoV8sb8Bhcgp8i7rb4etXmHf+6Au563s1yclojRtfMd tgP/NL+hmJ3uR4oHzMbU9ShyiymLo2SW1rK5/oe2dh2k44N1WwmZH59QwJSqdLGrIzNFwbns0zui JtH2R6UZDVKzNItr/7XrCXBrvK86Y+psyG46d78td1u7/VFexZbl1XthUb7n8xmBbgJFcoSLHqOU Yi0uLa6Tby7WC38pUbOhHI+eKWb5r81qYzkkFXWZnfT7shQK+YMeViuzZ+FiquBVyu6MvD6FYWgZ BSxDGqR6k4SsjKhLZgfTImRgih2QcM5L/N01nCq0N4Cedn6FnpbWw/SkKso/w4+8Qc9w4vDRineB DLbLnzwIHFGF8O0jBevItyFiRJQOOYZdbpqnxGfntHW0xwNUwQpHXcryazqwZq+W83ByNs+5FctE Y6RnloWatQ//zf7QHYM0DL8Ddo2BK6ktIQmIZ4G8CT8IRmXDf5QGW/642UUE12XZj7TTwxP3dUOl 5g0NYjdRxv5HC3CFYNtK2NSd1jCfz8FsJOoVxACDXXoOMz65c/iQhUZAvOfL+JcSafjv4UQABVrX FxpIvRIqq/cMrhyqUV06RmPnkYePhtH+wAG0A+95sNXrMVDpsWY/qAnEb/YXmX5h8l8BZWuhUQup 1/2a9Jf5uzsDUY2Sh17qPtS/dxZH9b55LN80BgiEtWYR5LENoeLJMwYeRzOt5uHY6GZnINmF6yau ibWPUAgpy/E3JJ5a9fEYKoBYQnUprlDlzryAe2914a/Y8v//ObAhIylwjLU+B92GQmcfFdUpHW44 W8f6TIqbjx4a0to/iWUg/NJqnuo6qSHIUnEWkIg3ImTGiTbpNqlZBC1KbmmlmCfyH4/H7Ds6Rd6F voYa7NW3xqE+cqCfBNFt9fJWRmJ6O9QbL5s/vOUFGkQ8uSM1Gtw8O2b4sFYN6NyaFAdsnDK1Ve1J +J23CVGDl63ox1YvC81516zsuYcxVtagxzQkvwU+9/ADbtup88vnehxswuCut3CEM/BPL7Dj3Yw9 mgv/sHKcwUlWCpM3e6z0xBO4RCDytJGYWpxDjKWGvsz96gsiXvKOXXnKyfBoP6GYDIhppkfa+30R VDUTv6z01N2zIeo0u38gu4bYiakecV0m8nqqfpLpvcqalVX6qO0DM74N0IY6qUX3v0EFGeQncAXG WXXH+ZU64IBK4bjgR/qbkRuSMj54GXuB3VkuT5bIxOWTGAUDa8KqQMDKz40edYKO6DdJY88PUgpo 27JsoZYlHwOxNrVB+REyCtQt/N7CIFG0rvjQDfLdlQstZfXwruS5WHhWm31IoT3p3MrjTF73oskd +lAGIoh3ik9TeDhrYCW7YovUtRAedqi7ftlbDSYx0JXnZte2cCMfIcBSROTqpnTsxzCzWDXbGD6v Z+Uj6L7+KP3kZPHzYPAKIDkY+0dVgftjCLKLb0GihYCkv4dl7irqbN97d2a0s+GMG9klTPuqNTZF p+fMAO3VCDA7sn39QkGxwyXNx1JaVYa7ExKZPdxUZXyiyEh0X8oegF9hq4ua76/kk9/cXAc5y+d7 868x0HERi9DJ7fjRhAG4bDH3V3M0opZ0lUtE5EEBau+m2wJxOyrewECoEXYZpAVkvFXpsWcMjb5Z aCQwEYTe3nT/GnjNbqamcUsev/Rp5Wt8yQQhGc9mAZu0Y4VyBfyaS+hCbi60gqI04yNtQvRhl60b IvSzBwj9rsK8Y+6q/z6W/cgYa3xvEMBahorxZYNpToOtTWLsqzaUhxmKQn8DowxS/GFpplimhv4E ofF+xvPiCx3q5ZjVw+uEekjIiFrvKaeuR1yoMNY6O8QOWUHIVZfuzcDbak0+mMpH+irzB+aftVHG 4WIJN04jYLliIR84JfBDFpz0tCCi47JZwy6O1g41ucL/w4Kb3S8qXpVitWbpniH8W0vxqgIjjNWR FL2wJ1FVJ+jvexWZCUfdwoEqTnKNAaqRzQ8CmG6BTvMxSZpZz0rrgmw2lX5oo396lOpXU0/qDduu 4GvItsy1l3/9rmYZ5C17zS10pzp/pw1xipQWeCYAG2/vkp2/0S5kZAJBPj+3CvaLr2WaGUnBfWv9 4IQnmfo2qWYG/hm/vNozCKEBpOKFgsksnlS4VrH/zPmL2DhO4vY36WWMm/oT9yrFDju8LkQm/z+G OLd84+J1kPSUYumhkLCu3g4fg//3WW1NE2LQUud67RxrnWVnrXr3AVkkTsad0XRMqkWT3XRdpfAG DOVCyXUzRPkZQ0g5g7EPomexYc4WsDyOur1HT42w71r4ZfwAzN+Ln/B9rPb+uYW9kfNJIMU/uNYr RZfEDxC9lY99GoIvIlsBSQcnDafmUcJeq6h85oaQhxoR4+im9D++15tAj+DKqCPnFrdY7nXomxgK ASFi2CZ2lVHYjw9ldmtqoMe71T/X95az9hCVZhMPnrRPHS9bLB1yXPywQ91aXm8YlpQUBQNwissz UY/Pzmw46aJR/VSULMUIeNRoHYh47LcV5wwsavtutw53SGsW1YukKvtjCckM8cD03WogJ1LnGtc/ nkJ19H8r174ppI36fqj8ojg6KZui8JQ2GJb99XSVSDMj+2E3d5atmLpL6HB1zIt/d7QvFeNBxmu7 aUvAnzVasTqCZv1+9kDnrNmSFXOhuu62osFT2d0SmzBvxySlbgeMChcHr0+5gi0Ox+zyYI7K+SAC 753iiWAYjZuWKNxgkob5cHqSTq3+SPXnsk+/N/EkJJG0Lo7rADL/0/oZQ8qh1MJ99fEstssSId34 zyBf6VCtsijmiW6kyOOdoSooxRqw1f00IgqS44RkBBYxWiFpG+e76Vul5QQev963gydSyccJ0Cfy 5XWUV+2RuIIqujlOB+gkGPleQGCaKc31t//oebNUsHho9WekE/NhmlxtQNAXZWqvpEFIjLAgp5wu xeXdV+HMy//tfLuZQapRyYauP1UmUoQlPo14IM2ovQ28JG1z7aF5v7mhUULC4MkWskNvMdduN9mD Sex8v0nTx/6t96lvEmxDEMXBTa7GXSbvqZsVmjfDpbFLVvyL2bqDJKudPx+L9gA+Kais8Ww483gx 0WyGSjJRK+FyNB0LD4Bv/t6WvFAbTC1eOJYnxzY8VToqJh99F0W+Za3znYnj2uRQ8XyIgt6PxfaH I/Uw4F5f5u5kkikQHeGj2V1A4+/ZLUaqDBzwvDEU+5YMKBU50lENZG1PaL833B8Wv0bB3/VzlyId Y7n2aJPg0wr7xVgcZ4Y2GJRtet6yrs36kvpncToLNN6HKHoIwRhWyFe3M+BRI78h7iAfGNiRkAji RNKTd+IlqgmwlpwDKQNGRhHwVGFq6oli/HAYJ/TZZXelHArlCl8Y4dej19iq4RTPDEigRKwv7nY3 0kBDlBsHzKD9s6b3yypFGUbcOFHure4foQ82leHp2oNcaCYkMoSrqwpXF/kXsvX0mxXm4/0+1dN+ Kshzh4T8Ege+RXFUDGsx/exY95JyMbYiM0rYYxABMbQAwGc6nCsf+9ndCEmSbo66mFPsagzlclRq DV7Ia10tcRllhIPCrTZubCvJtRJ9WTFBIGdrmxsYsflpqXjLf5NlTWUiXs9LmijzE66IwhIe3s25 ubpmafKYvSQ+OFzwMzZRr3xc8xXv47zF1kBJbw0Xhy2rSx8o3sKJznm7TgAzAvM8DeGRDLOztI2S mdpjt0+Mh3MivR781DeVHBouS6nh/uqOlPb4Zy8r5ZIbbHiXbj8DJVudPe+o2ljOAddzv9RY6JKl eSR6yy7e7ZxAZ+DDsYsjBH5SXv4NKRorfXwQocBkDwOMSe7r1L8cDDjIDyUBl+4siEG+3X1+5ljr B30mct+tUPRNs+LL4HlBrUHlecj6DtooU12v65tsb+ohzzbf3cb3HL9J7ka5v6lMKJ9dMLNDMvHW Q9HBBfWrasAx2/BZoWuw9vhHq/6ih0IeMYndtgUAJLUaVKHVtzc8qbV4SptY3NyCWHhs3l8tiqJt wSLEtX+lwsdV3DLpBP0CqiTssXKrdbkGox1lYovYdDA2vBOqT/78lxahN6xtU2OSYT9zdigttp0p e5swSmFJDpzRAuHVgOjVGaAVevi+/U50Qi/TYujgDVqGb+SCjHcgRPpqYO5AYiJTi4YsmdU1niFO fK3TBp+LaCtElCZ65x39v6f6+FuanpcWPP3EOsgDpj07naoZj8po9AyWIBTzCrHrEPOH7PxMRLn9 igwbLXlfQiGp8uEokG+NP54tigwxzbFGrjmYhFVF76GSsiw6qbTLH87/tz2dk1YKdXuwDm6QU9XL hsD5h3FkLNAAoJA6axD3bt4icrBn3UlgX/cjmgaV93mFVq4KABBo7Wk28LO3UeuQpqMm699vvH2A r9BejzuxoKFulLw77D/FgNINcfMT6mC5q9UGo+TTy/5s5nsZONUDX7Hv7//LRk0KtR+zRxhQ1syi If0pBBQhgvI8EOdSyV2LzjpLY5PnneuTfaR4c1fJe3TPVhLLMOMrmmzfzv/ipkeuEIbk2pWxE47U lPi260EomcRU46Z0WhLSqZhAZ/+IvCf/G42fMPbbuIRTJ4VcypyrjH5C2/6kTz2tDtM5BGI+c2Ow 8FEBkk2vahOBM3eNAw2mRAxg7lGT5HWnP8NwrrFWiUUMQUjzw1ABYomIObQQlxHKzPpW4hWi1nWa o4+bOwVFS/cBwqTORS15u/aWUK0ccAqLvQJE1Ko+cFpmYKh+d64WDvjLMg9HZKi0zaJeckKsij/a 7+oxJ6le+1cNj+Z9U9W9XLqUugVuY/iXE3igJkxU7RM13z25Q8IUw6wDZAFQydx4c2AdH2LMplgw P2fmrzEkiU76U76Nv0ByI+GcxMsfog8z0YOtfEf9z0O+K/EKpMfJ15vFkeasYDGo92xjVx/tArsk YtCk8PAzosnQqNrNbFqxuIstc/GouT2va8dJsiBJ7CE/JrNiMsMWPW5afLYHKgOCxdvmVzvZ36E8 iWfmJt9Pfv6pNj9ZBDT2H97dxwKLD2ZH1FgetdFsFHIQyrK4PfckvlqFYUaLL5rN1zuIDP3uyagW 71+ecbKHtRsCe/82V/yanCYTOwoJtCMiK4gPgH74uzFV7fc495Fk/vt66M5l+C2mAOmNQ+CUkb+Q 0BwwlFYLQGWTOeYEyxmkEdHXW3ApZBNtlVNQBg4xwmLaIsFKXrIysBW9nCkN8IZkv+x63l0N1/af 79WlF4l6RbBiXC6rK9cSOGtsPM7g3uXltuKJ+pKNSgBBWa8kwIoqU0XhoJqG3B+BvZ6+OL1ljwMa bp9w7vzZyf1bf+U6HqxhjApCgJYUZPuzHdZaQ/hyv785K1F4ZTafkYhLePjscwb9m2GIXcQSDHM2 29/eF4EW9fG0bq7LcJd/4bpnLxoIOAVIjIDVoqB3yT47af7t9+6dvpEsHyutrd0uCEJkiagCmVis pglYGvI1rc31C4td0EUkZ1gLzpc/UbeNj3f6vmAmuEnrbYFmuUgDzVEf9t/et1Gnz/oKjqyYuqKS wbV13NuIgw/cKQXcgWimFaR4Gi2imBRXJsPZtX4COlJIx3zlYys/N3ZPAq5U4eylViwWyxceqioR wXvDwLOq2lJLTwikUPz9u/1191Q6gQGTQPH45T+beoUlhtHw0ZOWaP9wJhr0Zy8YN+b6uz7g8kxq IoVbgmh01rg248y3olyTF/eASglRn1Y6ZtxTf2djnJNaJ2+E28OLKG/QatwLMHU189cRNGzgWo4e VszoJlRNtGQzcQIyxLFYUSGqz3RPZmgIFVKzCINEvIJhuIo2JMwFhdL9s4SDD+KLrrnXqoKNMYDQ +1e3B5/lrMWj2HriG/b9r57FqfjatrUSQ7vp1L2cs7MURjVCsEwNP0u1RsYo3W4g4u8gAqdxn9AZ Q3RmK1MU/JScihTGiq63Ht91MU4zoyfcheK30AsUXEItrPlYsLVJTjMKxZczhc5Ni6pWT1dGcq1h TWSLNSRF+nnFEMlEahfCTn/WMimqXvyQzIDPQVNVs1z7bQcytM5uZdus9tjgR5WkQNRPv+kDEhR8 t/uGx0eUuAHnMrazrBPP3zLtcJkePAA6layvClf4IZWap9D8bOsvvgKO0XGEYsKX8fRL3wzvib2B rcwBvYsi9vjuWYUOIlRiLlmiOgcW7e6RDEhdZZT7r8SCOchrv1VnM4RC3EuFJa1C15X/6Lt2U6p/ Q5UuxPrhD4qvIPO74DuF7VDyntahvlEK8JLxV8frYjjo7naxHyWFIdsvQQv1EVvll0alnCLmomnM mRHipDJ9OHvLf6zYeNc8Fcpaee9ANo0RsdUNbRXYFaxEy8g4P8uEyDXatBvodWDyXq9NaUQpy99c z7eqomDNdZ31+2DTycmBmx/7oex4fa8MxG3bUj2iJ4NDkiTsYsrqPevYbFnPw8KVqfKpNqfFALgy /LpzoiGKql17CMz93E+EiXdVY0qlEx+0KKm4FUXvc499iO0Ake7mY2HORY5UC2H4AjHGXMOXr1/h tyYZLOH/7P8oBtPp4VCsZLhMjJx/rk122CFONQzJ507FiI0jZzDWzncJl67cYvqpnYWwTZ+7NYgz +jcs6HmD0rCEwnm58XEDT37aKF2WgQszzhECUSG8MLfAfPv0x0U2rHwOye93Bptx0XVrSPQnEBEO w/flA14qrMKRYLL0iuZEhyb7IoAxfOysM3F9PRCDuMoCSaElTZPoWXogxOY4/ZiZ++kihakKCceb VCn5h5vo/4+2y7nhe5zWLzdhesZacrou5T137VJ25YgXNqt9f/WAWtctpTEW/Vty5KqnZuReJMhf lvLMF7htYmh9y/gi4Qp0zjHyGJWD9HeS0A5VY2Dv4w8F3yWFDTagA7vNGb5R7D3WeLUI7Q6muFYE nVPL34Lk11+yLMvgiGmiClMMeZbdMcfnM/kst3gBNnmajto75aNO23WYprTYXzL8RyPd150PXI7M THHIimkGFaYlvp5/qz0ljr9zrA1DbVCEvhO+ZfeanIQMfV5GYY3VuoVRRcP2YbLVoS/DC+ClNVhf 3mxIASkAHN0jCyXehYQcmRixFYqQAFVYADxPwRb9thLuzH/+LfMQreFrMeesvP8m0HKx9oXWZpf/ YM2iygjmbu10AQWQ2eUVI8DL7JRPl3UpVUVaAJdcKKV2KbXdtIfFyeeFioFgXsMv5ZwwC4DhTLaN jWek7k4xsF8WpskJNSf86LIyyyaOfzxiU0gw2N1wCvXFVyeNm22nUYQp0N/jU3IEcN7mEyPnfyOc IETSR9FmX2FmVUw5QM4ZuS8GjOdzdTTkZH+y5j9vRqeY7uAkIv8ubGZMuHR4YLPmJopq4ePgLOmw oJt3DJBDFtrdFXp2+3v9Tu4W8FCaEpo45QGuXtukwOesCzkq7sXw0NRtLcjBrNXxzrO1T5j9sKUw f8O8/C6kHO9gJOlW1+f7whGVogZoFIIYPSlUba5hLl4JZwS4N1nAKft1D2BEFwva/j0p5evP9q3W KgFGT2/cGoZm0HVjN+n1wHWWLaWGKvMC6Sx7bqdNwVyGodjvgtcY90h560KIjhfwZq0Xqnft02EQ IhR1wZwY7TCidxFik2hZrs3zUeNJuWunrRvk/J9+e+vUCPa8sNEfLFYux98yK53hvYzy8h4SzTYu HiKZaCfc4bDh53+SV6C4Mkr8FVGAQqVqkrnG54tvWdJPKxwRa+PFZBj2l6sKaoe5waXaMmEiBxVC oSl/WdFmwb0bB5fdmhRDscjVwGLSCB4jEcVSeGp5gZUA7f0BxSKmN03vIH3phryEAuOCSleh8MbH 8XgKZ4CrOIK43nhvfrnRKBbrqp8A7yGBODYBXKQCLgxkdq0e2rKFATmQc1ybLbe0PAr1cWOK661g svItRLioQ2Oyx47t+GI/hgnyJ13I4NoWDwJMXHv8txUxljWsDQDbDUk//dkmZmUAkdxl1hEqwv2A wvOq5foE5a9mY09Xp/bp1ZlgCvlPbDHhBX3aZnTiseNlCPZW/GwUgwioBzNfi7zdOH2e9zz/XqRd 8229A6/F+LKx7BU1OQjZlbfa+ZHJHtg7FpG3lIzZ6Sp1EmSBp86hH6dndjz5ZDQedvAbNyAyKdIT M11X9iWOUgh8GEF7/QBgE5YTo32oa5U/YoH+J0JecOcdCVufvrSc4Qb7W04q+ehuZdXaaJZCSx43 Mnce8IEKf5g6p6bL2HHeBigTxw8cDN3k6XwkQzoq5iRIyS66FvOn5up002N4mKrwFgNSkxgxKOxk eb4p2d6uoN/hSh3zXoSrsCq+LLcczwRfKQNmnxeCc1LyvNZn4QuHbIwPAJx+k2JcLVzSp1lJ72a+ ijjTm6IT8Buh4kBdjZfLUg1WK/iETLPzSHEVtA82tbJCTZmBHAzQJ7U1JBKMvckkyU1kec4lPWyr fwE3+VSgZJCdiB5GjH9YFOHkqjSiz4PfKqjLT4UZWZU0/wyjmSBkX1RgKgvTbJOsp/0Nv/xJ/0Ap z8yyruNxamAA555yehDyil9TlnirC8mybJx4SI00C6lvrq8ONN7WZmIuEz5VFY7ZyX7WjW+Rwzc9 kBh80D3Pdqd9ikvGb0eOIxpQJTZkDQ6ZSrc6GBsS2tbW+AHZOGfJWriBZO+Dbp9fW3jkz6U3aPuT AOyOJTwMaI4C0MRVkrLb91mtZt5R+pHWUUXqSpLzvYmE6f+E6MA7ttmbhqwSvsFCcX7TBqig/C+3 adDYI9kae5qod6347BN9wI6+Sa/IRq8OI3kEBMqo/rJpDQ14G0jCY96xTWI3hzaE5MVvQNEYy5Ga 7hTcp0EvlejrK6RPsqRzMyV++pOxQW0UE24bjN4Z5MI10h5Jaxxyy+Tfz10kYmHAvDSU+H5XdOFR 3hJcNRf6jxUT01iqf+OPzjASXLL6I0pD0NgcpOEQaQBGg1X81wr2QXEkLClA7cq3BsxnTz3ZSsbz 84kDeGOTra4Mum2O7AK1Uohu42P17Y81l/buUQ2X26n0C1hCReaUAgFDqgwt7pE4FKJcLDhxgSBM Iiz9osy9GeHbDKB5Q1VLdGPb4YtKdbzAENC7LVcHIF/6Y1469+OzTHu509ZQ23s5YZy4CIY6iYip xijpYgwQhGtfy1MoTeTH9CXfjNdU5Nk6XXDG3YQ44J/NWebNgIbTYKF8BWkwyvoZojzvUk0f3bbF MKlO7wHMwUHuziw1bfBZpgyrXb+kj73K19IVPZ+YXVdiKGVH6WjnrIH3zIYHWIUcf6LuQMPpmaJ0 Ey1YBhkpYWN6R1Gs5ujSj8hXjiKN1wccsc79fcQt1gQeqUpuIw5iQ4MD+lzKApQOmgLrYJLhe8a6 +e7Xy19NINKnSwWQgC0ylttrpQyY66aBent4qpVVXVy+uZ9FPNlhkqMReViCuculJ1U5bRidtz0D 0B90kKqZnyp6J5CLyWMkIjyMw9uK1+ouYdV/ncVJ7gdslvpO2MUs1yIrWha7IGZyaaVD3K8BwQ54 G9sEDokIfuXAQMKislnW2bL3xsyTSUuXgiDDWEyCQwdl+p7REGN4XdE/ssmhUeDQs29V4ILLpYkx AsMIEoCy8W7q+v1ZPQsXIx78/3IJu5esizjedInytJiC1V7HqTlWe3cP5KfueSPUcGeVjZbDR35s kAoCqOvRaIMoC91hHEQMR8m0CgKni3zhfWYFf52TGimso2iJsGnj5h7syoj6Vufg/UxBRzJpgcFy zYnt7fszLn4EOyS/gQ+Zxqokc9c1JoXO59MGG+3Z1+JI4CbvyGQSy8IUGfBrE0O3egpgS1Jk3ZA6 SW1H4eyb6RP2f+hP4YAQOUYL1QbgQ2JxVXrKFrzs95ZPMhGTaIiV+VqejbUn8sqFL0NKfQw2WWxg AUo4hDZHzLD4BstxeD4DHZ5ASFJCn+MOBTclQWXWmvr5aDKat2q1KyYDSeMN0TaWWMyGrIIFsbwF nkAb1B58McBk/PNr8NSjJHJn8f4QPCMfptGN1Ka9dCrZzqzB6Nsd2wDQjvsi2DY06LC7FqWic695 j5xjB+e8eJlMM3PyDAJAu6d7tQnTyR4Q3CqsyMEwer0RUUzjUO/yCmbl7+Qdy/snagAc04zAeCFq DlChVyB8CZOhh8L6OSJy3Fu2NF+7HhcOMkIq5ESeS13/tzkrV/0BwBaA5g5+hbsP2Bf0YL4Lhk4A bpmY8eANjEnAxVfHrWRW6DK4JHlQhckztDkfvetmXnJ0dSkOYNpA8Xuqikjmtpn6a4iKPBdjgsH6 D3p8yz9G7H7V8RNmvO2gZnCHYk3UaVfLTX1H1KjAtVhIG+rdcqJRNWPSlAVonqYPoRn6yrq7RVS6 GwJnqkwxUGlCX9DRdZb0zUzUsHHEMlvFwVk+W5HcuIww/T/VZmCjOKngIfb08ftWRGjoDlsG9eAP 25r6L2O8pJJdb+XUwvFR3XpL9lc4roIHMj89VxdWSlqvZvWy3iRa2SL2l5WzZYuNS9MgHoxMrnrV YXfs6w3c4UYBLKsW37vjKdNKaEPERE1XTxDk6wtNgY5J6dES3E6aKw/O/pz/uWaF5DVqZfpfZp0W emCZ1F5SUGdKr7+lCN7elCjXqe7oagaA7gGHFrTREhZ+gRDP/vMCOJxVdS4zaMRz5XUCH2uresK8 l/aKqNXtTUVnlDSA+bEDNWKikEXbzV6QPxURDefVda+k5l1ggjgt08mxbrdPHkDPjwTaIRnJimjB U8c4V+5uu4WDz7tY7YTr8/NvEEg1bvKunROSfZLFxyVu8oLP6zRt/pWVEAqCbi+Opvqyu7Mki4cQ XrgddJ0TqLXzuPO+nn4SXOkpdt+PEEsXnoKmcZ4TpXTal/p+YgN3VB3EUb6D7TcSwIwjNLn2bkTc 1NGAyFqBZHHbpkW/DMqzW4Lkt3jh3/bDAWWwz51w/Rvg3kce3yIXvZWYXKJtlYtfcbcHxuR43Cgi m6Z5bFaPl6uKS/C6wzOeOgWddevXaVz/Lusz5zbu+zCwWzm55z8IA/E8EVC7+tISEjywlxAyCcLO B3kIdNR584dOCGodDbLsPVzmUUv4M7Ha4xU5KkLjvIJ3DxgV7ZvXE5Sy7gAY/yksBZvoJRNxfSAY 9CSi3MwCTDLids6fBvDm2X/Cl2OU++mWTCp6vbWbkN3G/gzCMrJMEj8PQ2Spzf2bl/2RaRcBC8F/ zNhZ+fsnfmeOBSGK/HtvkhfW10ODBzywP7kraXO0rohX5hNCzbpvON/3/Rnz4ciebfnAqB+i+xWA y0Jh9Sy+mLt74BRxIiii+/VmIBu+6Eek+OjHy0j2SGJhkgky3hBRPr5MOP2QTKq0Qh5PWyTER0jg l6q5c9z7m6x6VVil6MowwRt7TTvOQXEubirgA9VMnQy/04CI+T5qwQ3Dup7A7ouGafFQzr58FPQo HySXtnPB4pRMcu/8CDt43D8tIofKT0xNz+uC0tZbn/7bzVRKACXsjuZFQYgeqSY+dhalLhDYnZe4 lfseoehaoG73Rd2KPp5+TgltE00baamZcWExy+8+N2tSWqozSJZDtWF99sXLx+TnluSP/+CMJMNB YEnpV0GMsWV0qcEMNQWpUGlpN2JHOmMzoCo5GE0ysThcKujn69BYKku3DbwFssx8dcP9QgiUN8ix cCDbmoPwy5rsyrVw4vqwoUZRfACcRzxaQtvErevBKEj72dODTvICjlLWiX80i7xeiPg347OZUUWE PDPsaWEjnP1kVglzrngCWNhvVem77YpKpZJt5PRtV+PiQde4BGbBHprlfAib7AZYTEjFA9ma27bd jSR5UGdKSmEajizBbEGmgsJTQ64euaf+iSgT9J2dizTMiiyNEsXye6JWHCgBKtFfeTwdW0JCS93p 9snOfIFACmngnggprFu9FN5zgwSCqw0FvweumuKCKgavYaKweyM+RUpeZ32Hpt7TqWhh4xJaS4we I3RkP2JVPOY6TJ+AG+ymI7c0dI/Ojo0xiv1XpC6jYKXQ00Nwuj48ZpCsiiwpWnQduqtTGQ0BLOS3 en31CDLfQVawZvzauk0Ln2XzGqpZKo+lgRLhYwfjZGxXv8nCN0stc+tijc/jzTxMB7rooo8LI97B KujucE89+ZOZgrZgxYm0BP2AJ0Urr9+CasEeiMG8v6Kz50/J9jbBNh4y5CAI3soWkidtcVx5Enlh KGZmcTHACKXevbksqYj8a4naR8g3IfQAxM4UVepIqGzYenS6hsUhiMyotL/ytFa4meL073F/2+sY MqvH992urxXpTV4aJC2YBa7nLp1O3OIYhoinwgEcWRPyvIzDZTlNGK20XD+R2VkbCo3uCI5Tw5bj YKheJqqXBQLueZiewQvUG71+Iba6ImEUcq154qsfJcjwvc58pZvBGweqgIQalwrCHr1Dzp6KbRBZ ZYBzBwW0GiF/QD08zlJjV1Gy/F2W5y4bj/r4TSge9Nvgfjj/vRWGxSHzPomzUVNEZhYhhfe9941k ox4BpA11gZ/QjhsL62lNHAqCHWJxfjruOove2mkMBkyLnTwN9q/u9sfOTIaZvNGfzgoje2Pv71ht mGsbTyNPfplodd1dL/coKgV3ZuW1AO2bq4f6ylh4BGmMJojQSCWcH17gAj82D9h2atCdLy8Uq2iw wCCgM07Vc2zeVRhm4XKyapSPw2daHONZjZmdlZdaVG7NOo6pq+CAxOQ4VYoeZi6jcQ5UcxCmx+iJ hpvOz0K68PAPk4WWU7SGwFoOrrDMPweFPv8+Zt7rl0Spw+w28m4wFql/wZ9B1l6WCoIdqcC6Un3S SKa5oC1eJU7YQCsWtYoEgxVVNtmJEoBPWj500BDTEPvL2M5pr79NEOaT3Z+BYj8rielfesODWLRh GwDYRyC6lytZBjaNwuR9phczd13AqRiZaV/Ma7KpanmeTKEkeJPapX7C31tOZOLH9bpCn8nAFd06 4g7rH2Md+m49j5CJHZg0UzJO7KZd60WtpN2A/EPsx42uEY48QJozD9DXmKfvAnaEr6rDUVGt0Xor ISLmF4L3IgVgu7USYqJo83dMC+hvmY5wcL1KG638sRpTgihKco05gP0uoFGTZDLpoh+Dsq0K7q4X c1Q1twQvQCL44dXSFjzqpIpkPNR8q3V2ZdYwkBkH3rm4alIddQYKmf/mksrlvT4W+RQc7sx3C50s C0urZCd1iAyW/JJj54nCtxijPQs5iI/sfVy12wTKrZJg0iM+wiIfa0j5ebFk7fLPIAr1lHH4oKnk KLDRgkMYll/r0rNDSfuq8QeaHcj2ToYPr3T31QvSjHh3/2kvcykv7ssiHBoftzN1bWXAlvcaDUZO IQKeSS3MIUikLRjtOWIIKwyI9NlLpAeodSVDGKZzIwwbCBh2Wz2usZTYgIFv30KEPaZAFE/SUNQR EPXn7vt71CFoZU9ZhxODk+frgS4NvMjcy5RvpOc89hIxZ2uUKHcGMelzPkYvka6BOPWb5x2qbMS7 8c90Mog/jLnj8AbiAMhIPFJj6s/fm0ahvG3qrQBqrfwFZBvZVzwOS1C1gkLig/bMTBEuDmCEAB0/ SF1enCgVC+U20v1OlbHhYqC92f9uSE4dIgWGp7SsoLNmurrz4CtOu2xXFC1rXkq+3S57tlc6yWGd lRwgZjHNWLytjXZkWLXbWC2YCHweJU46SlZHlNQ8lELCR5rYMxHw0g8Mf3AtF8RUIn6/+ezLbMr0 32KHBqz2YPR8E+b6/IPrfA0ZKjROcn4zs2FrDWzHO6UYVzqm6cNlu+Ok0C3XdiQST5ugnv6iR1JB 5+OFkDsVWLMN9e6pn9eZuBsr1NEKHIaE1HAyfcWuvWJ3qTVAECwI4Ewn0x1NqZSXtM2eZXr6jEkb bx5i8jaFe5XRYGrDxKpktPzRoI6yfIeWc1wNYxts6q36Fmb8I8h37O+gdD9wIZoDGDv3J36W1w2U i2KrJcsdCYirWwNUM3Z37oDFjFfukPVxpwc7LnrgD/SsSjd7gbamF5Fpva9h7E8HwhHZsuQlTBrs lEAlLkSgFLalSMmipbh4Tz2fVWHRMWp5q+gTnV0ISi/eAgzkFVrNOcDG2MRAnou0yEYLRgyWp21I 4J07jA9aqq5gUpWJ4LXOSpOn8fZzZqBDwpbCSNPiB1NhktV6Eg93r3FeUJ1RHDBXsb3Fi298omqF s4XokLOWdU18mRRxuvn273wxz+WFLOSk96PqDXnKq8podZ9rH0INonUuUyhBG6JNpXuqjED9AFAa QZp6AceTV6e7RkPmTrd1ywmTtSph0FRIOKA1aB05EDwHYIpPYo/9hPsKQwfZaaoZWnzkIhnA21gC qRwh9IKhsfwRlDCCIzX0j9iuWxDz2s0hep+dhXTzsXcFr15ky/40rWP7pHtjoPbGNOeGliTpBu5D ePfuT+tal4+PJGquWcmuXf/GqWM0jFR2+TwYeN2ejz8kVWFZvbFgVdiK+RHE7U2J/FxMVerE5pan tu8JBVx/kNCPRNz67HYFZW25Z5RWTWszjTJ6OR8TunDskJOzODZ3A7Yz5OdPjOrDd+s2C22A5BMO GsIrE/ODVtff2ISAzVqdIc+y9gv/sx4UUo1l3TL6OnBj7SAUy98bJgkE7dATxpxr2hhC50+lb9W2 qkDxx9Q4MecQj+QpbiCqMb9JlfOPo1BwtVdKEzE0h905WzvNKvETxIoPjaGktAXOPL8WOOVIj8iB fbTaF+m395ccAqg+pZFyhXgp9vl5unMtkJu1+DsWz6nhWTDDTaSZXl0erHWBjnm77gaSQYmLd/wP H5tbdTRk+su8ZnS/cm+ucct4QO16Wob6zwxKizVIyWRRxJ1dFOQU6vtLEAARZVRZRVdEfHffkdX5 9XoNkeXzEoZ6f1rN+wDcIE6WBZh4RIcszdG5jLkBvfBs4AzVVBFrbci2RwPGSNLxb92xYafpiViB kQDa+JQ+yWFQaIW5A7DlgQJOMzFrkfN89rKYDfvZ+FtLAnMJSnUH+n9UqH/98X4+klqLjl96MuUF G4rXq1+cl+pfVj1I0M/qUTqfOwyu4QxgXEbbw1Vu7gsEr/lwthGdWNG44dzZHJ60HAH7Bhx2fbZq bUydv4fJ3pamiLefpR6PoIyMstLks7aefsSJEGnsIhJS8juWZafBZaG4QgcKBcxlxp1VuZ8ogA/Z /rTp1cRtbf85p8NHyvTLdm1hybhA1Ks2u7lV+r61DtPpC1C7F9gcsCSwiK4/J6IhXoaXGdKCg9vt zxeCjIEMf9TbXN3GFo5HQZ9l/ZAapb9IS36RNpGusUeKvD2sDsSf/ySWc4OIjE1o9hlKckdjdpiV 2ep5aSuXs0RrfPvnr5zv90n2v4J80g2xqSETT76rhwQgfiSTASknatLK81u9h3eUj65yxdmHN3Dj dTnc/D1dn5xQNQh5nXPFt300ebaSb3UEC9ez4nYZfV0TpKKtnTbITGYxefAWffoQtlBp/z+nY+i7 5zEa1vgujgVIxE/5fgCAl+K3HMKhPJ9EPwBBIQ9I1zZpubc8DV6FyTao6Cn7gt2CCrIDZhKavWzf RKhxWm/v8mdbnmpN43dFWBnmCwTwkdbqV87Nb9sH3cn+YDK+0r6rgMzuZiiNCEWZa7kCr0rnUoGp e5k4J23nYoaN0l3mwhmCScxIp9yBbUHjgbu/hA0MR63PZqG5MLOSNHBsZxRZvkddnqUyBVLR9Biw eEfmSLfpfBm+n6Th0O3buosBm7LjvV9Y+9OhuVyW+gG7oGJ1+p7boc7i+LE5XFzFvHooh/I0GsK4 OkjbxK4/yzZX7xr36ZQMgz25QvtSSGwBDy9WNQTA8No2EqDhREA0dz9/mrYRaosRetzgbNeGbO+E 40iw75Y1Ia6f7MHpLg+R7SnfvqojmAxNNQ7bgve7IsKPANu8PEeCHdEaJeyYaDKRmvo/XOIoh5Cu 9s9Qx1gC1ySSac2iR3pjdilVzlHIaCMscMDnrj0AoRL1CeulZ17498+jIFb4mqEkpI8qJFab5dI2 9ZfU7Y6IIDEMG1T/IFC92c+oU/OsL7YnkhufYxNT42e3tJ0LG/UgtPkm5j1fvVhIltV7uDm6B8ss Q9j+psnK8mlyGJ2dJbTbrw+60RphOHIzmgKiqx6FybaXu5KI+T+QOmU1M/zbnJ1m420AbOkQV70s IGBsdzRaXcPKaXkvX7ns1SU173ZSNMRr6dT4XKC/612HStBNP+S3ZGbJyAekS8y/uY0AMzOm0PqW 1TxvdPQnSRPsiB+dBKb+hHaBFvJI3NFtkncWYqdPT17VF1SeSSqpCfbhsHBNby7bNkOAegRA73dZ lSD3QhoYtBzrdErdkSPDlyRhVIEO5DcUDUWGIrfJWEywx+uU0uFNEm4gJADBdzU3YWl2oX341lKB DTrbbagtUKv4LGS3nM3rGd7PgGsFKW5vihCpm4n7ySRVim6UVixC3xwG542F6ccQs1sgmnxJzseO 0BVnRwr1gwGubbzOnZIFHltUHg2YbjIhPnxl/vXGRDlWaHOaciSZaCboVvimLP1Bh9KDmOn5CxGt V8XVTmPrMV8oVgKN6DNdTVl0wnKFYl7cpwgDVXMSFn8Njsf2IpANunhLYRIBW/uET6MTlWHX/pPD s6dwHZPRUgOHu4nUNjvNSuXcPCxSnuerdyo4Z0yRdTR1nNEz3McMAW0ZAbImyMzKxtOVWXb1Z0/2 DAxPjorY5vQ4TXebebT1dp96g0h+olMudfe3mdKLsn++NMBYeDudx7hZ0kmQYsEeyc9UXxVddrUP xx3DZssrPPHeBD01qVpe7RJG95BUElzJaEOwKfKGXVw7uRr7tnTA3fIalCHWsuFCghpa3quLyrmP cHKMFGzoYd5YlSrfPs1T1YoRoDQ7Tc9oe/1q9iB+6556rqCXw2rmEKDPrduMafBi3VmZz8SJGoN1 s1gODA/RYAHAWB2YEiCbiY4vk8Hp0QfG8vdWeLJXZayNguxFfHxzs3jRbwGiY+7rNAZ5w/6Lb4S/ iPNHxY0BACaqAduHgVPABebxzyNP1lxNK2Lm1l2ng95hfnszFlQ6hY5g2638yFPlylvQT5BxDa1z RKa4DR5lHG/dpbTtHf3Exp6QvNn3WD9hFZga88XqrEbBLfpQueMKv+ubczmRinbvhgMHl1wDd775 S8b4ZcLoRdzuu9VsnZfr5NbePZ3J/YpE57lShB7F0NfpFqrS/Va4/IGv+w3/5GTZF26zvVANbbhK BzqtoiNnhY1v9L7ZayMMX2Tvh1vZP5rajMFonHWPHJR2o0cJk2hRBqVZKEXC5uuxwonmCNJsl3Ga HqM7liWLXLXK10dUiHcSzyb49L9k0ijHA8785j8BzD/jAdKmpeavd7J9NjgRwYrmfuKJOyLNk+Rn c9ScRSWAycb5KLfaWdtrECPghkbGk7tYZhpCYzfFtlTIwh5+JS1TiwJ8O0Bgfme8QicmSn8azpMk NtEK+5Q5jUWOX+sFt24Nx1tQ5MlZKMtkhF75NrSvxJmPMxirO0TRlpoPWPAfOMePT10so/JWDwIc liZ4e4V9fmq0chJsVeQgBn6qGxg6HzfVaMmdhWFaBlTXNQ5LKQmTi59dA38awG0el0kZo9hMgHqJ W71sEe+RTITezFWS6P9s3+lR5ER0Wvw60g1UJKZZhdidSfcvC6aLzLuBRoYphe2hnueZViH2fDK1 nJUoEVvESp90l2L/5UiX72nIw0+SQfGCdrXa7XZbpBqibLPHHZN0FFx6tYzmhaIiWkpRK/p8u4jX v4XY/tHr76RvyJSrDr3vu+hzE2RtHm+/0VA8lZkVpHdwXgBzTGeScE2ANJDphhwZt03/8p+MopYt RiVskbStE27upaZabmgr6i1Ri8esyuLhLpJh4chYtkP1Z+ORHuXeq9euZOMI52JYPkegYDOujRPF xYOKb0TKmQy2oDHo/kUo1qrKSys/tw9rFL2JHaQDLpm6VPPXFA7u7VnE5Ax67Z0Gv2N+uBj9V76d vk5Ro7OmjqSXW4iqNdHCwRxg8RSP/dRq+qD5CmJjvnql+pQAHu+qRN7jwWWOL3wa0V5iZRYf2Qt1 6Vnyt4C6C1FwRi2N8KbyiQlmWGkE3r4L+Gt+kwOCx8hili7Ck9jwHtNieY+ZCQaN3YZNe3at0+HH kD1GgtzkBuw/asXOCq2I1zZar0piSZcz5V/i+VoCGRDMlUI7ncgw7ZKDEqKLDnVFgb5BJcR2lDd0 aBtPSCVx2TXbd/DTUChYPTp+6vshjluBspTZUdFjT5W0LR7LfgUrpfwW21veOQztMapv2fvs3ixC z6voZZH9KEhGjVUSE1GBkH5Hph8P+dljWiRVI7emXtpsVCaR0mTvCTyiU6XvontUbjDyAAtRvzHa GkI9lOSCLLQJ0zyyS0w1VKzOZ+HEJn8aZdEZtA8Gct+AwOsDKdhzmFwRtJ7ogZ/DbeBKnrHJtVyh +5cSxtUO7+L1evbCNiwSt4WJFXwPRHePq6eSkcfpzkVNE/nNbkHAnJhFOhPPaqT5umUb0mIlokl5 QJuz/KzGRuek+dOjQlQfDwN3m+LKpv4YPWvvVrpdZpdj1n0Il2SMIq6tkT+IvzPC6Cmp0aY0/GUP CEftRaaeN+nGGVMnCfqXFhGkdoBmXVFlbk4n+IDGdcet30ibPDulbjz66g0Yxsaseauwm8CeXkvi RpXKQglOqpzaMGG6t+ybC0RcIdAwckdR+DXnZ+X+bdTe26zrPdUynABMPLYm9rAg16SzhLvkVBAU tczBTNrrZUxEtIvFBPreQlporrZ4btpDu1InUzt7Hmin/I4xi0Z8tornxd/T4eri1fYJSkVFkZzc iJOmpEg5O7cYg4hK7kwWxDwxgjsdr5/Gd4n1VDv6sSd/M6JAcRrOUDgYW8m40anjRQpVERpu64cL gY7NVTBqYuIzKbZNY7cl3hp16ODBSLE7uSeWkrjhI7yBbt1iecI2HRM72CA0axIBpoI1lZRLYo85 OUU4+NK3P5h4XAXBL3FjUIDEJD0gmdal61P/1eKcXVtqIS0Bzhj4JWY9InEpTq8xKFLbD8REwrub 19eK7ecsxDzq8514C7mEnWqCDRg1Ku+KZ/5cq1C9qrAfTC5YFpLzKsXKlmBoL2a8dgkqVT7yuqfK oAj2xPkKFpDGils+lrTa1FsJY3hWueKCzgtaq2+mTsh1aU7RHtlt5nL2Yuu9SfjImj6nNl9SLDYg l61+VJHxJ9TS8zJXmz60Pl7BoFhets0mxsGKtunl5UXOJiSFyYE8+vuVQQTOvkSPzM5LxaC51nVh XgrWBrKap4wGm62Pskrwtkmp2bZ+V1NyXw6EuY7EXZ7HReCSRpcRJV6b9FKZ3ldRJR5rrMpdA5I8 iAMwu0O5XWvJRlUyQUm8UdUpZ/RgSolNVm8yT0M/UOQRxmTNJaAFe4uwK/qGs1ryn4QtcnfEFYJt mbtPwZnot2cur/FwExhYv5JLfo/ZMZUVhVJEc9T7tC3Cj6pe90+ap3wFOSJhXayvZGxZyhdwII0a JrR36bTImN0+YWYYIJPpyeOUuX3QVoo5k3yEqaYwOcMSXw7H1JTWMwouQJY4O96gxzKxGWHRdILG FGht5ctk80/rLFCm1r/WEYi4wZmctvUzRQuLNjpwCKXD6SjiUEI1lSHgwVBXWa1ZmEtleCVyR7PB dCOGAIXabCibFNC/3ujL0UQMq4Qx6q7jbBP/7YamhCXxYDfeVHgTx7JdsZVUJNK8vmnmDk7VbTBk iOp8rLBHe6QA0AoczJFxPAJlvLCoQez570AtfI4jYemvzm1tO1k1oU9W1f1ba85Ho+Ke8ry+vE35 TS6FP0nEE3sOuiVIAdFFrvGehpEhJXCTaKRRQa5tWGHjTr04rZsq8ckdT5NofFulglafvRDFlx/d x9MbuM5McfShA7YE6vMcE+fKjrLryx2MdwPdwsQH+PxitUahjbAiL0glOX8FVC86LX9uZNfTXz20 B8GoArjVDzRbu8Db9uNiaMFo7lUlKh9Ao/QzSVj1J/2p3MEWChDrJE2z0q3xgvflI3YTgkC/2KBY z1EShgyTu0Gjjiqp7lDx+neOCnnqsIj6JfOmG7nbU3xDexl7GLdFoBTEA24tvZq2TZDZrvFy/1tg NN9KqVcWK7UZWX7esp0kDmaxiL6KOgGThLyDf/b+dHiiA98oexD/ZYdexM9dn5eSvJ69SV3iM+mX cwpa/d7nLrq/42zIyukZ2XH5HZTb0kCYF2CqGU7km625q8xcgPS3A/6OItzHmVW+I3ney/6ueIb1 Yhvwqb9RSfafb1pAp4yuprkIkeoE/3bOvmJqjasBA4et/B3TghyKbZfUrvXD2yF98QqZnDGKctAf R7mlUJmCsYrVcCoc6O1PdFPwkmZMbN1KzPKBiTAQP+y2fBEZ9zvfoedLWcN2qjM9ZQ7R2/C+7xlB zmYVScJQnSV6WHIh5LV65+xDsdnSpt9Yq4Z6WcOS3jefXN2iej/gsEcY4fTBV2SbWDSVfywe8/z9 06XBuXd4clVEXGKikY0yXQty4Zz0M2ProoDOCKV+LNdxc1zcAYKFs7O2aCvdsOcR34mN0+rzKav9 7nMWc3TlFASfrXiGdF7EZ77ZaC/8iyFMy2r/1eoHCVJNydApEpbp4uUsTAlX7LVRaAmBCIo1QlA0 wnOR32x8Gei0/rg6OT1LicQa7rxGyL8TCRm8vgJ/tuX8E81A9TC5rHu6rENk0EtbCFmz/s67EJtn QzP22SQ/ZsZSRUyzpOXCd7EjA+DvzoiiOb2LvNjkgBlX0rUX6w7dLiIGavoIFBa+Dc/W4nnvJa3y V48WV33VrEpMf7Ic4V9zRUWEZ6D/y6Huk+/d3u++aY8Z7Np655VqJ3ccm7iLG5HVByJs779treG2 0htQnInk5wAKoQUIsUWi4SyVUXn2KWaACsJQPogSSBjGMeGjyI9vWbtRujnRbwZBObIBH5bqIfX1 +DoEnGU+I0Gc25vkbt79AmrubrbNbdXkzUiQMh43GMsHJi5NAen/9tUWyytjf0qX2Q461XbBDud7 ufCsfJoiVXg9CA+Hcv61FT3yORutu0+S4xVPoig7g4+vbmiPNEQqDVmK7U+rYPVrF8VGv9nm2mBh a/EKM6mnmzFmt6hQUS9I0sBkoW/IC03AazzxAfoMBu1jx5c+gBlr/0NgrJEck3SrifZtKSol0FPu +nICas26rK0ClLBjraSIozUsyKiWv57qcuxGC5SP/u90TzfljZckovPckhpa1I+xoGZNVmpnNhFd gby2nA9CdIRwuHq9ymbPHgmQii86bf/wU/TkcMXGfbZXKauUKpGPTTJQnSR5YLPaGE3f29bhuTkr ZIzJ4JTbuha1zI9IPSwlf9ipRs/xaoNDMdvGxewRbqcaZOgtzn1vIJg8BpO2LqP4WXnfx/DV43Uy EOEwwVB3PmSxqc2CweXawqG011Z3h8/aCoRwilF6OS98BWXwN+kbIUBe7GLvG64pDjCVAphPHdDx dnaPdllteKMAuuW5sDjeLBP8OpW5An/nQdALrPRrbSCZXIMa3G3u9gKXmJUaJ7uQsIYTCI6P3Dzh p+fEimzDorMiXwTk49wn41P+J6UgIwSeBcaq23aeZYVq1mNDptqUcQSvddYI26NwDm/uwlfPRFyI DxFUE6CxuTCnu5Wq2n6JME2yEhH84/WA1HuqY0yhaU7DAdL4nPCHQ/wammn5zIOfgfZDQY6hMDM2 fPQzVG9w/y9m0xgqgFMQFbhn0UxPi8KuvE8J3KUIeYCSi3u4ZT/Urbty9jRSJ+R+xDcybm9z56Fn OkwPRaVWRK+2dn2v4uCYDk7vMY4Dlc+EekepuV3DUr+f1X9n43F3ICeIIKKldFQa5LthbJjsD8Ys rXSeuEl9u0bRUAIz7f3ggC4zPFMeed1aQLgyraKCfn75mDeS7pSzu4BVhX4bY+EIeiGY58Icr+eE G06Fh1IGsu9h36ctwZactcFzkMnD38okcCqWrZwNN/j2nanNNHcq52RS0XFY2dqTPXG7YoGl0lHc UTIcYikOslRXJoShhmuBvZL0ndz7P8/lzExEZ+lgP1EUaONzJko6ZbB4E/e8oHVgLoDjlo1IOiVl 0rgGn+aB4WzMwgoULY3BFi2ZEVXSz7mVbFqjNDsrlo3nMHnBMumFIXidMsM3JF+m40Kt99xMc8KY 3HddSfXYF7j+qKNebWpvfBTXUzBJ++dKW+5vhULPQ5pykHMVlQDJSw3BIvX4EfSacDzoYnPcwx+Y zByYhOuQserH4CaNgZh5eKbo2mw2ADZu7h56rjzR1w8BLL7IQCSONyV0OmMM20PTY8pR0oDSE/FN lPiZXEnAQ0qlosMSsa9NLVld5dOtq74HLCBHky9Yaef9QYrjxYnwPNECbWrHNIysxa1zmoeWF9tJ C1UD0TDFrigsRJbJm5nO3lV0krDATcQak8rN6ZaX7ArlrcjV+nqlbMoGFHcUPU0Epf5karmNp7bf QL/n0LRF6MqyZoKgyyVdGQgMiW6o0FmRAEpdFVQ5gsA5W4RSUnawrQeH+JUZV62EoYSYTT17ut03 OoDi5+hdYHfNivWy/o/hoWV1ck/9cGmVIqeV6igrZI0TivCdliZ8lC0UZ4xWYCl1a2A6/dWAM4FE e/JmRbKePuJuqZpunX144qcFQ1Zf4pVQaLqj2p7DKzJRfemYaxy4vJCbf9J27aWaR1NRq+kN82tV teHgTGe4dGKMxeo0WsyGbi0cdqXTXQeM1F//LN0qwdLhy2qeJsvIzAw603J+cdeCEy4TE+7vENzZ mCy8fWxriWg4VpDCv3DzkYs5UncBnH2GqYXdeN4cW678Nnty2JCnBLSky6fKjB7iwa/rnuAiO7gQ YHlDOjRU3byddrDYWrmKVNNmZ24f/7TImA7BIeqGDzv6dCHFwjTEgp4K0AjVz/k4E2znOTJjlGUH W42s9ihRVrOSIqdzwG179zIql6LWbOwD++/uKPPqUkvoDI/FSdzF1UTcwp48+iReATuw8Q4/rbMe FJSSNCj6sUU36W1qQNWnYJC0NF7eKHKr9yALbKIlDfNAyUYeQGnNc5TY/tq91eHb81hAujXboqHg PUHL64+95cynSUgZGovHTKUA/GSjV1DP8QcC67ovrRi4VeVHXOK4XF7FYxLbOhIYWkX3e3pOsChq RYu3QyoSKjzBXxkvVKvZXwpJQg8lHfT1cFjklBsJ7AWtkvjXFWO+TYOubtsmIDL7Xv9X30H0zxzi HlzYPezgWasiKrx1lRP3YTfWLTjUUtMpJaj99K7DiRGLoA7965NGS5Xv0DAnfr8kZF8KV6j1ZNF+ yGX4q1UnTq+5pax9NF52+ZCHDgmmm75svWixY1WG1EmaV9iEjYIUdVokBzZ9f7xFvQThvQ5zMvex zyRrzQBn2XwPis+Ij6WaqOz7cf3S43Kfm3ZSM0nhReTp1C0yugjI9o03jEkuiUqCk8Tft9Ex+4p5 ywxRp/N2wXuvThn//PxYPk6bWOM2jwv2mTPeaXczSwDGY9SqE2lp2iBaCAtcD7soumoSNOghuiZH BZ0kE8Xt4zEEhMdZAHgfOmi/urNSF5L8Y0dq0fYJxjTEYmPm+sZ+dGIxhAbpLEMVng0xO+jG6vGL HXzZ32Lx5C0OxhJkTqknCOq65uHoNCQcFPEsrM2EpNzIjlKi0Tet5pc2T/n9zjcWUOyQrPp4KNjD X0hf7kl9tsN12cjUK2do2TDf5GPjBBOvEhnE0Q+AwSTop5x1eCp3odq6o9nVtID6aEwMAcTHphyQ RaB22cPdb0Eia5yKzBtXwIUjIwrVMDKy5ukybEmpuBc94+G82PrGWmK7Ih1tITQjoRmh5eFRLOH2 v2okm1k22PDGTkbhGWEok3Ly3S/CsVMJ+qlX7U+sdFz/nhgFAUNfZJrVGQlTIm8zaaS4nez7TuRD rEgRnYCS9+mzbUKCip+jkua26/BQHbh6nei1ohRCANCdHlCc611Oumubr0jEEfvMMXpF+UWuPW36 ocDj78SZkpM9KTHxmzrvGRpHs1f8AnYsXTZE3tu59S/gWSFq3IT/1CePF1pc+9bRmXjI2O/1eulx JYdjRVW/H4gJgQIjgThgm02F/WN+Bbt2lzyoDcVek/Eam54MNghGlpMer87QNAqs8ZANscRn3K3e LKjOZRF17kTbm2v2J1jFng993g1IhbuZE6ogr39OVtum6LpkPocNyd52v0rR8UKL97imuVjxbPFx s3Av7Fwvmb9bSHttdCh1QIR6ONIo928vpah5dUpsQQTFIB/HjulanDg2Cu2el8qrnhPTorCagKlC z7AjAuCniDQLvsVt7RoD51Dc0wYz6VxiL9c0jh5shYKSxSOAIcdDI5LosJwVdCbnmLg0Oh7+K7BQ 4xCLsrzdyYZNe9Eyu0DpK/aVy1TW5KL4oa4Oi9IF+J/EmBSCtMLK61VnQp7l0oSMWQn1kxamoYAk nWMtGwEj8ewVvut8XrFaEhuurGj3LKeUX5VqE/MC5V8WyJvnte0XoTtcYMLO3b3A5juQqseM+K/f kM62Ux5EZIhOi71GbGw4TsFRvcoZ9Y2CnlhD6fYknD3Ly+O2qSFd84oXR39bXzLSmEMvqxuooOXW AX61iHcjntthaSWITpZnDbDz+kdx8335QDl3IQBHGA+BQXuV6vY422SO65XjSfMuzvQWnL5hnYOb PwJY8psbErBpBzp3rTOMIJaLOditTccf8hanJQ6QH/+PSkV8zZsYIwo13HM99WHz0m6gwb5kcFtS QeF6wlgTLc/H6af7bpgLi540OxjX/iZ+CsPna2J0uP1crnoSsh+xn2xwEcoZopgJQs6AkUTeAhiY LOZuGTK9sSq+cTHPSav/qNNlGDvid0U/r/0hHJtAgKAg8aDdgTEHfZ2UO8AfmWIubYjjEFnHtE2p PCCJM3l3VKiX5JCVq6GnJbfXE/z08LEIP2hbe1Gd+mOG2eOGVXzpKi5v4ZRVxoeStVcUZvFK3S21 1ei2n1le0/0UxBDm3Jv5MC2YxaxSSQLDldgzPXXbbpYWVyO3suiZqYCPRICSfHRLgv0s/KQ2EzAu SLHrXVIeZaZDp4p84xd6AjfFhMjOxedCvxuhbb8mRzht/N1pJ+qyEKaVQRKlKiBMXmci7WVx67P9 VNDZ8hbBA1ebGt9R2m0hfPTAIij56io2owdalhe7c6CTJmwN9tYfav5z/DCH2jnJ7x1Q2/LMW2Sd swDV5Lv4e7LynNMPg7oWSYdk9OzOxpt2d755LA+wKO0x7sr4J5uALYon+pKLyNbX0TLPqq6mSwlg gmdG3I1UmMeO7todX8eAR246Cmay3aSmH+5bfTpjUaRsRGA1dz1TAuBrOR5Qx5Dp95iDy2RBDAJC PbjR11VAkhVQZSqgR1bqeAyrmOYpfHXYuBEkvGImYuOWu2hHardSLQg0Yn+bQlAsQ82ZO6Uqg3y9 qqMjjvMt0VCr68My5kHs2VNvZZGzX9mbjwMzToeOA9VX+aU1zhpdnom2ojSUqE7FOp0EU+NEdiOP rEwdM9OAPlJtyzfcaMv3WlQa0mZcBz2NQRyiCBwadq7cucQ4MnuFGRT/MCNF+Y2mwHX2/mMXz6j4 c3N4YEGK3LOg4ArAINE9DDQ56dA+2V1ONgd9OLTti/RasZXN1xvkmWTpmAk+PS+Mn5XHC+bZCNyD yUHGy7rZtw1BgJ5eFxgZKHBPZbAjeBiapZYv85HydShz+gy8NTK30aqUlfQwyTIy+0+GCjwEtzB3 +UeBSeyNHvMIsDih4ixIhjM6kCz0Zd/1oug9MV7rMW9eDmaEws6bGna8H2ZhjQiDg1lOKtp+xluD H8nART7PDySM6w5ykmsouG53pDrGEESJfl1iuTmTFVDtZWlB2ASCsYtmAEeGs4zJZK/ZC6x66Byj mW73pL7CRmXtJe5eoLLVGnMNYywypSCVfXBgPpF5Z+/PGuhc4cxfllPtAPfaBDWcSCigP6zpGLJ6 q8oeRX0rlLUFLRtTvJdD9P1IcJvu9g5Tx0O/1SoQN7eq9kOs3z49BQbxyV5F1pQeAaXug6aUFqE6 e1dkeqepKuQYGIZJrXg9nYgS6vGfn2JwEkIn4HswhjkbfiJyBLIDWN+1tEIa360DLS1i69tAR3sN PJh/ZtIUxyc9KhAqulOXTPOHsmACu2Hii1I+unc2AXquRcVujE08QgNcpZjVA2ZTs0wXg3KptUah +qC3DcqTx1v7aDm4W+R/foxpT6NKo3DBih39s+BOayg5o4JVbM1W8ds1t3qgHAnCaxUr9pOpUH2o ne8nskfKk7VeUeOxA8xLQWP/CCSGgxfnmBEyD0trcwxt9g76z1jsjSPq6OEL9fmYGJsrCfTzq+xn S13SEjJ3XE2eVxsC7bkEWM7Dylu1FXRCb0bH7VEODX5oXBw8EPMFEaJGiBbQ7tdeHfgg3r67uSL7 ksn/ryWdLwCPppKmdbT0zdqzzeQor1I55Et+oQoF11Ien04jD+WkMdXuTmQbZdikNRUjYP+Q4Yua 464wyKB95wBkD2XjFGmTUvsd8Dx52cWQ30B9uc3Ca6dTPcha/zmSwNK34kXeJDTbVNiaqO3pGydD I2p7EFlIl5a4129v2U2y1wtgMfE7jW2BF8cbF9IhdyFjscuRroULmcI76YcvZ82Zc2NxIPOOao72 zqjTLu4xqIDWdqnMPi9D3o1zFccOiy24DyMkMNu3vQ4SAGBLQcPBxNtU/WIx28ISyPcElejcAPzU vWM5c2FgrLTIGZr5QQmxHwMDCtb+ep+PK2K4zUyReFkX8NPO8ZOTq6aQOSqfDlGfFdRSEw7niVWX zatjOTeRptVWsoClfwSau1eJ7Rv/DfvU8DmQr3olI6C2hMGkeTmKdR75vW0/g3yI4nYrjRPJL5nI /30SPkDonAxLAYZr/5lOp3quPEoTN1LVuLv9ImGk/ZaB3H714hjyxitLwTAvVmbMxVC9bOw5Voa5 85dXuYPqIP7MdHKT04+YUl7WjnqFq+fa06QsNO1yOiWJEiDz+gOTCX38D1vqQcQPzvHbn9CREuTS p1UML0FmuEZyxWQlZCme6GCfMxhVowz7A2Q6jqAThHI/YQX/Jd1/dSpeN2eiv3b1Z2PkfoINc9ow oZgaOtFDn1aPGYatlbMCG0cbhFMhAoc/HkkpaBg6SVONpu/aANsmYx7Q9sHCDkEMoJUW8qR4n/Mr jvOFTb9YAy6KI0PiibY9pWMxjHzxQhBMAKpDSTsR489OO3CJ9ensUfTgnYj50bEM5K9filWn3Re+ Pbt9xEjHUmTWufo81NLUZgU8PiVSeog53jAEfyFbp63UNl+7DWi6tCn42UriN1+dTn4BmUihno1s AAmPyFv6r47hieVUuJSimrbgbUUnghZmlJY3Mbo5zjTfMoQK/HoyXZG9ISwucw8wZEbXF0oPeIFc 6MYa9D3fuZThnXD4raA275BUASgbWQ+uhaqaUFLPOp6+13FXSSxIssycpY9OxGQgKu+Uunxm+ZOa 2vy/oMo0GbETpeKTQluvrK7wuBwMbhcVy97sde5Yqde/YrlpXvaM1LmNvui57ZIQ7bM09UxkCXVh 4HFQ3eUnYJWZ+DqWtqMNNmY8rPjqJDuMfm8oBKwpKpvhLZ2Y/idhGkoXOc5dDiqdFG/kgRxw3RuS vDsfr/6/qmSKawNjHM00SkF6LZNtAqiZUsXAl2Izc8rdWNJRx+/mFaIQcMeBsIRuCbV5JkaPTjlM SZBBzhabGXDgoJ6HiKcnZfz9PSVRq8nIDd+Xb+Au86qs3T1Zert+OT3yzzXQopcdONXd/adE6Mc4 cnvYSuDPJ4uHBSvpNbau9li9EB6QOqHBOrqKurlE/8dg6IULgkqxaj9PVH3+Ybo2Gmy3t64MvahK 1zp2WFA/SAtq7Xq6hLkNmIDrW1bJiLXnjY8csq+pDleUa/deHrFCvCu5wsagh5eKYFVwqRgo84X8 PVs5Zl5LMyjwVh5TCv0hTShiOluPF2Gdd4oF1cy5WHilbwwPBf1V7Sm5OKHfu3nnZJEdtx1d4CYR Y2wIZRN1d6zPhXemM2n+Bs7yAjqSMf20z1mzFVdtVOejhFb8bmlhwF/qeLK31ewqoLIpjP7x79Mf yx6OdeKLa5DNeZ5hZjwgLKWsnujTTBL5R1PMUt8CgITcC0FQMTJHsf6DRmdQ85puiRddVRrtS2K1 nlrxnyl0SMY9uzM9itGTkhouHQzsZ9Z92ANv+Fe+xCQn2t0h74ajwiPIMDc+50yWohOPhIe+sDfT L2D9Ykz6Ojtj/u25E29Ap/P25z/dmORRFWXLfpA3buzCmw5s43AxMfnCVA6TXkrePiWiNnhVxG1a 1cgNKp4+UVdOPedqy59J1XDO1fQJ5D7VpEUrzUxSozOtTDqLIlRBILWyzuNMAbIl2lQeb1aika/8 62kaZZzAdicvXOeu6DejHI4zdy0pwdK5T7mEwRiPCiYFy/ZIm/vatevlH7Uj40BfwOE2prpZ+iZQ gn1yoDWzHSOc6reR8XAr4q9qiz1JZZ5cAsPkpOvXMYcyxKpidEWKhWRoNdmckSuK8pCEqaoExww6 wrEZaWXlHEmCJdr4OZKqcGlhyuYW59DA+q/5JfHzvPNUhYRK18LAFmLlUU5lYuiTuwvHaXSo/O2Z sQc2X4xDzW28hp0Q1YIJDRqxdEF9YIFBjeIIX4qupZTJ+mO+Ejv/Rk6EfkeCT7+pT+dLi3P7wIpP AE+y83VAfnLZ5k1bt5IdRk0Ui3v0A6rx9oTt7HUiXc9gtdJcvHQlJBhMKc8glxZN2uwJcdab/MCu Aa9W6Gleq3V5KRCYP0xIjoysdZ6Uv1gppId1zTBMJTZyCZCUVdCG8f4c64ns0xW9vSB+0spjSUmR lrbfBtBE05NqIbffbD267FZeq3n70x3HbqY0wDFgI1tt/pf0CTOW0J7y/7OKE0kLRzlMNjGPVCM1 BSLSGMQplmMwf0DiZK4KmpgpzRp/dQA3meUbbrilBRrzKICY/KeEPc8MAZi5I6KmRvTieBSikgIr YWA1mo9NegONEI22MIz4mvIeX4od5htFIEfO0f6Wffew2MZcTHYsrRJkz3W03g6ot9LMlwYohSZX 1/zRYevdM3L/OJO5TTt6VadLyy0gRjGn59Fq2DEVhpFOdx2Zwp6JjfvaMnc0DLGPt5Zq0sEKnB5E 4uVK9ttnPENhvGKJW0ea7NO7WD+uTaJe4u3ELp9/GRFRoqESIo6/k67Ma3LqRLSzo4fLyu1hB7fV IZnB0sMJtv2DnZy1Ytxvu5mhcjMadZrrY6pLe0p4lhjMi53dXTJEaN+zZ3Pvkzf7BpaPxmwaoIG9 ycqfxD1JfbB9sHcfQcDAqKeH/3o254zF8atYjBnRu1otkapQGpdLhlsFiSVU3qrTaHFJ8cVFABGs /i8eh8/1Ff/H8tBqnkccMQ9aofwfD5F7aAm47hGXOn9zzOGDIcn+dDwqRsJjjs/ZpD62k5+SiYje PJZzPbrIvtHs3L14VcLmsQJiv//rTr7M3MZ0wbd6kU3Nr8FKTQqdwE1Uuawdv3OHfplsUV517fEy vjhmOd4wEPcpboHvhmY/i/Qh9D23yd9HE8U1GmialY1i3QZkaC6OUKkEM1RBGmnlYFcOs+agf6PN o93Rjg1a7pTktsDqR2shAxEo/bN3421IaJdsNTaxPMgcNxoKFHZX7KL/TZfe+uYAGbve4iScBl1j Q2yWnxmbL4LrSNXIgSLWGr+CZM+0qJQ/5bp3VRNgJMcEv1ebEIki8TDMKJtvP1rdGN250puVXkDe ri7wrQhAWDsnYcipQXZozwYZrTdRLpESh2FJ2HysnYPuDetOu+g+wZLEgQjZ30Ej7ZbfH3/Y3dCy PG5U70syFqG+iBWkrwOQRANB73GPcHfvzj0pwupHKIXCOSlnn9YOgagUSxnaMda2geh+C7MrB+1Q YmnWOL7pgmjEDXDHYnoUphbvOfrz+zArfVdM7wKU3CC6YOdEB2bPU55VxOixCyPzPwgGJsSionqP K+G+1mjrMy4R3v/3PbMEvNTLlGNY14RuROH1lLNXSKnRo3CDRs96eax5m9V0cZ1THngBkyLyXcFl ViBtJxir45Fvrcwq4NIPIv5WdI3kUOzS7BwxeLhEz8lEZ+vszpiz1fDbKIoD8SyDYpm2/3fD5Z1H 5TMM6yeUtAQKxwRQL7JlTmNF/YRsCyt0JQsjMNqBHNwhp57moo+fJD8nvmHwEN6uevuZHc+TXm96 XG5uDeqEn1Als3BjJ68SbEC2f0Q97m7oGvXnbJ0Zcw0kz+qP8lUYOvsDwl3fh4SqRSSMZUadFFF5 qiFrzKpr/1xBkVMrn37Ii+bhvgjE6hKvYtEu/hDBT8UZCpMwe0euSmJy7eeqOUnz/8L7zadB5fjC aD+P10qDN54F54rwd1/EvNmYlyorvnwwdTM/sq4cbO0QrK/LeS3z8zDTJz+m2oKqzGsOJ10P3Ct8 w9ce6GFnZZQtc4OPFzQRlaLA9Ht+uiKAmHhJEa2wAsDeV2Q8JiRACShaf4493E3u/DABnneoywNg XKxGPo4lI49OJOes19s6bA6ux130NuZ1a+AUbIGiMRaRKKta0NUBoYwOw6fyJKluDrlAw471JLlX 2QVCiQxybzSZz9yXkIq2emEmEfFXCxu8dkXKW6miK9APG/zNA8Icfbf4a/MWGcgDRhIiptrNQXK7 E4+UHt4JCQSiVzrIoErLCoT/M8i9bOekkoFIasYwhbUrTauz8+fqlttQfC+aNG/dFz5bjWJ4lLFW EZz2rcI3Ss5OilHO3yJxX2FdOEHpgn2F/PFdW3E2z4raKK1DcUJRpymp7oggmxT8IBcD/JTtRQck WG5pSeB3+N7lmxJlLgnGWC/Pp8XI9P+xQkavsQ8VTbbcKzTPYPP2KLWceGKpQH9RcTdm/pZ6pMC8 ka8kIiU2xughCAPqfdr1j8WHvm1nH9/jWxz65kvqHhOi5tZpJln+sSYGiykrugSKgdp5pEbNv8Nb UxtzM14c5Mri5+VZDu4Bd3mW50HP5UCm5jcEr0FjlmWUyMBLLakUfAgzxgXrPTYYTXwlizcZbPOq tKYAGWvnN1yia3XXVm799609mFhOldfMAU/7HyiTmntbaAXkvc451aOT+gnq0o1/H6m7NHU38J4F n+Or6nHevaPk/Bcak+bLz0esWhsJvxLZBfNn3xuErr6LyEl79WL+AUTLg9DHOKgMkj4zzwvDacX3 Q6bhjgS3TEia09kWi7iacPhVf+WNJvbBbwf6Dk7KjMW9RAybY99hela49tQIu0kDJpAoV+6UHxxP I6AqzDYobXMXxqUPivB9BLqKn/R2xtdGy607C8oG9yZHsHLDhU10CPiEx5shRXMo+Rl56iEWVy83 QJHnhsEWPvf5FMqZs7dNvfXY/apfq+KY2WK48Qbq3EGq5/RL+OmtX6JLr0tedqG+/3muxZXVLMUj xgj72iz+NC/zNMN57fXDCmPrtK0B62tr9IoX5TNsS0OYBI7luVbISVYvX7Po312EBoOcMquDdv5L mcWJUwn66+5TkCz5GnZbJtonakGbqu4rr/2EQJ4Kf8eQZrPyteX2o4J2+d4P7RQPVdzlU1GmMi4/ nbF1wtlrWsDica5Tj10A72YZetYNX1mDDOs/t+3F7CkZ6Q4RNKeaQzzgvnrIrSeD82OBJmITEVxH VK7OMTSAjXIYW+51lU0BCtnqfFZmhZ8OqYCydayjG0NILevpZ3BCvQg14O685T5Mj/T9riwBNnqd xy0eWOKhF4mrwr7bCOx9UuGJPopuXgPDP3vR27er+BF23tIlKgzAXQaA9DMJvRPQU8z5aXduIfyL lcgVWnPkQJ01i35udtrtBuDEenshpUYyAkq7Vm5YG7gY24I4lTxKadBaYbuVd+uxHJwrrfnNLRPn ojA6WieDUdKvKFRi/K3XtFjS7c8bKgbxnbeQgVYVKFT22ktoPAn/UsEUycbg9IS9pXyTxasVKOmi ZQhBnRmvv31t+oniJBL9nKQ8drIgWD88MIBqZ+2+BIdm/7TjKfTZltGYoRW9Qikpsh1z4Bp1NUtC d0kXZ3Hw+jRUjlqI8Uep/wNKplRyc1Z4frA9Qwz3IKuyBYfg8p6P/Z9W3wCNEgXJPYZ9D5MmKD/s sfiQgC0R6H3XyNXYzZb++k/e2ain1IOwYKQMwGJz8p+eFJUJV2T/rq14koIluMvJ4E0XFcxkS8A3 sUXii5im0s2Yimd5i7V9RE7sr/dotLlY2m+e7xdAqOddYBta+nKE85ycLi2z6mrcD5UNzsiiebZr ov+IB+Q2NfR3gBDL3363z8Obn/kvJ9SKeHa/+cy9eNEJ3gO/Abm7MjP/fGk5g6rWpjD1bqy5qwor G6yvfZxvbXeYN2f2xLZWnVYFxYHssL4lwAJnVRhw7zg0ZBfxdxy97iZAh+bhV8nVX/k/qIv3MN/f 15WH3399jQyTKxh6cEf4O1qgiy3WLRbXKeNvnLBWf6/juxpLxT/xcQACrMnmRSEj6ogd6t7UdJNv zVitRe8Ff09bwLvapmiv3fdJFm9YK2gG2y8NA5feVSRMMAvCtROD/f0t+/E6s0ygEXETRoFwJyq+ XBobRN/XrHN9agrc1NihLbDhExqKgpZnswfGWWk9wsiPqaYd61X9k/NnhhxsRLe0kTdDrkkvCSPQ NSQcTqvq+oo9VWO+NrE/8c1SWvElQ55qGLJeAHtBbirHEA4oX7wvnd6tar+KCh2/BRa8OLwaVTiQ SKepdDw+Tr79hxrlIZv4m3JR1Muo9ZRCEvzFFwqhQrOKpxMy91XM/7y2evboegUgdiObsw/yQIS7 DikYClIMLCDJnQjH07J9gAVGWd9MMOvIpAE8oGnPGo1wmHenCJq/L6BougxodAmI1ipt32GVPQiA Dk432WOpojS125uf8lv5JeluZkqIIrchIJoa+bOWAjty7mnLkxE+RLR6ltsxV9vBgY+aW/ISEHAY BvqmkwDkEjKHdUU3uDt7BI2pPkV34KqZEE/rGM4BmCF16LAYtxa81dJySx2lAxmT9Yhzwr1jye/k 5eMC98P00DlqwmQ6qlRuujTeTVB2+iLEkAlnA2PED0VYNwQIC2OeeTHh+WG1Mm9ejU8PAMaPo9Iw /LricuBMlwDzkRW65JzK9UXLvtT2KkuKnBM1mesc4zSeCl2G2qRvBwHszSLuC2XIv2WXvx/hfAe2 4GFcf/ByXAxxA1T8H4ymLhZoQurpcOiEAK3jmQV7aXR+olir+YuUpDtGODFuMPV3klZ3JiBm6Ri2 5VL99i9iGspimIOhjiakKsfCeSU5ZnDzs1LfcCQiq2wxKZf1MPbmDeKY35HPZKYFweBpvTqHnHO3 qsAxGaFAxmeeHUp9T3Jf6ph5l8TnBgjNTzcnlVN5tps7/62okKG0fb8sSFF9aL6bHIamMoDHLd87 vsHa2ZyYtFef2BfI/DCZcVpgkiYRrn4ek8JS8mBT672nkFB0n6ZDlpc4fjEnV6r/473ZphgnX00U YYfcJRCzDSNHsAhxC8h/aoBa5eU391m4At2hH2ErGyWsltJQkpoPbQOptPtnjOGacJwVan7/nRqp K7bI2JF5bMSG1+ZvlOomGLeUu2KDYjelc/QwXezTY5Ug9x73YHL0dvj1Q09X1POqxWysgthPq95A 75xarKUNeIXVsQgxBqsLYuv8PszD+i68h5oGa7Pt4kbzo1bpYUnB/CW3y+4GsB+2FGtvT/zkaAhA ScR33WHbTGCD5cZr97/0YZJMl98AOCYru6k9pO4lxCZNoDaGx9GcYNhD/nEeLfAn/CTeZvGdbuVY 3ZXwW21nFFbJpz9BvcmlNp6I0ZiabVr2+V8s/0X2SgJTcZLFkZFqbrdQJcvRFgYy2z4G4FxtB1+V OwAhCuZlBo4d6E8ON+G4sTOx+X/IXI20UI549lsCOHVf8aoEuGybRP0f2cN5cxKyIu3HaMwW8k2b aEJL5qaMs6BrflIGBoGY6cGr7ORYBN6KqiEXTPhybiBJzGyyfn099n3yruah5CUftUtHlatp76Cm /w9C4YLpOE3MVdA76rqg60N6Xb4qL1TCjIA4pBIOb38b8G03hU8MgzC9J2yURq3NfD8K2UKHLAZF 9e+dDWgr1OrH7XNjfDaI5jfggEzrGHWJDmy4qCP4ptnGXPCEOR+IQSdl9ip3a4eoXIEHyTwolCzH 7OP0/FfnFTIwb4bd5Zldaj91PUbf9bpqM5VlqFSYf9siN/ADXEqF0bsZ5vThJDE9BVx8JcBnZdaV SmlHqyFqAhXCxnTmREgAuKitvGHsUr9cQWg2+GgXWF1ih4/wK+jITO7knGpd3lTfyMn7v9Px9WHB 0aRJwVZU54u65xSLycp5wWjjbeTPviS8WoUN9Rn77MgyMyH0yoEhVqIrx4bmmyyTtba3wZ76D+DK viGeMPn4uAYlyntsrppesOPr1aS0IYgnisQvXo4520hpS2zzTBQCHXhQZXs4ZOW0u35s+zz0i0kP XB700QRHdua3E0utte7nKGBa7KVsviB5I8wSrmQYCGrx+yHnUcL5DIRwkRWgzyFm0zakHmxHViaw 1wk8s9FqFdk69EcwBBR4js89s8QLSoDuj8ckjLDVouTi2aqwsmx98w6RlK9pi1EaEsdyaHyxp+Qk wkAUeapww+B6Ib69InFJCsNUSChoRo7A2+fTQAgerjJmIeAr4M6+DiP3qyH7Oi/J9w3wuMnmMoko QPNIAEPRfIDTpEccUUGQVsovksv+4sfzyFXqa1Wop9wu1CJgOIde7EYsjGF1kOAP9TgvRn1XgSVC n5/ESxpw/ys6RYRVPoetv9GppPLnAaMT2bp2wmoUjvxrVyX0+VyIQ1P0bWdXpGFOnEEVnZago9IT AfpCZYI0IAOEVnWMjFAVzDPl4LjcUIPCMLqS7I1xiGzcTVtaTu2EkKUplwfGUm2ivLdVlxncId3P 1LDUC3uf/MehCgsVwBybLMGKbou4rcKIv6CwuzKY09iBtcCiYOF/EQH6At4coTpDA9tg0OT2QVyu QM10YYP33Yaqzkd6V+49DD44tE1flMAvqHLLU2DSa4zjFg7OEBHv4+Er0QAawlWyZGtYP2LVJ2bo XMsPXf+bx2qZZUninj7j0pJnf6P/B4Runtu38wHKVr1I9mTsg3QFOXx/YYmf/Mer+cI7z3VGzLeY 1IkPBuByJEPF6buUDnNpMgSD/oVxX+hUDFpPKdZB0UlviIHVsnvHw3zehhC9K5wwWO2cwU6crVCt Uy/jFVfj9Vzpyrq+Q3BoYLKNXvSZ8kvtTHUkGaEQcQQOX42nP5L0zyhmQU8a1ZPZNsK7vDKi32+1 nekgr270vdqVivHQ0noMzTe5woynAA/M4tU0oqT8HiLFT27yn+RL7+yoZoryrxFTiwJ0LOUxJcAX Ly5LdRiUiWpPZwGYRMuEU7jnnPtP5cu/gULYoNTY0FU7WjoiO31DYJ/deGjAWvzJ8Z0ByTML1BES DB91iOBXr4s12nVn4uTl8EkwBSJwCHSLeG9LRhG43Btsol+0c4Kqz2t3NY7MCPuOgnDqk+4kTZxC pXuYLfeMQAw/BwwuW+AcK/d5MP2Bcg3Esx/l1aAvIKYu40CW2UzxFefwYlBTQGlkbNmq3XX0Wzik YT3Vi84ksFOLAezSFnOdPSAejg7ciKfwtg7DER3z/4bpJvjSBv9QLGbQqBekASraOJEydMEpsJC5 pAK5La6dRFdtRJN3Kq74BqBy6JmQDA+Q1noM7xT8Sj2MaIXvl482mW8nURXg3yU1UyQ6FvsxjS2T s2ZNRX/eoYgL5NjrKNsT+QWPF6TC/EBbl26lLAlZ6CxessfnOvTZ13w4HxUyZ66rW97oIJSiJv5b QbA078ySnnfUVFCYKnG5xmYUwKSgZIrSJvZ0kiS/Uof/yrcYLDimyF1Mvft8haM/oRPehJHP9luy JdhxTx9J45UP+tvQmzbVjGhgOW5VNj95ACNLPwoyxWljBrOwchYlbAWtORmqIABov4JwO89TMZd5 eer4MIBErFMI27x1Sh8pCsUi9zAkirkl8cBA/aOENzzD8qP2ZddlAr4qKPKfBwHIlvZzzS0OPffv epnYaoRf4UPw9gLg4+0+g6RoiljBZFbNKB3JRdmlnXpWXnAFacCNahO0sWELFOtq/EGFZlX/Rhhg cC1IEcc40VH8JT5rI7L4X9GxSsESbr1My9LDo8R7wFpsz6Kd5cjMf+aydzcEy0hcTjtExNYaT9Aw 8cOB8zODalJbyz01y/qfcymjeRDyFMzulPl5iXK9qtL7Sg0xzSXfjv06uNkgwJNetahL/YMiN0RE tfF/89IQNNvCpibHFZKy/PfC/0+y8yptEYPjDA1CxwsOiNGm/bfEsUrkvxd8gOhM+za8tBzJfs/y p53ye3RMzq7Dj7b1rQMyia6GEQTirjDQ2ZF5SV/lIcK5yXdmu+4c+5DxAOdPp7XwgRd+FCdJ1Mxx 8vkFKIInvn2jOpTbQSDzfWtkrZ93BaBjvthf/awO7WxFOyGJcEED5VTzlLcO6MAacbj6vP+9wtmh ocd3HMBw5b3HIKwA6553epLaa6eaWZ6I6MNE+lc1DSKNgX2dkMZ30ZrC6+vyzWrI0y+TZPUpftm2 uvzHWsAYTK4y/lvZVvhmcGjPt9fAVz7+egAtaviNBV4Mm8wwXgysEhJJBcHYFSH1omiDFcucQxFm H+Xm/qHV7bZ6lt8DNnU7pOdX5cpNC/+soCkUM8SRhtao3DCM2pou7KGbx1b5AhbCJdWAn2FcASWp FSDb2caihMRTiZ7Ieu+AVKNa2AhHXmwgYkaOy+hS0NRzEuZaGoHsyideD056ddmvZImzExaTLdSV eE6XnszlVgW19VH7tH5kzLPtiXWqinzQyu/I/r5g53nQiFVrygJK8EBM9trmX9ddMCJy89OCVWwp bWvOhIS1QoZE2jQZ1o8Icc6Jy2Quom22KTya8s2wq37kTGOvWvJhjMl74lgcwXF1+g6AtE2Y2Z4P l6EmOXEauJDITJkY1JaG6ldNNJlZUN6m4UCvHgWMulA8EoXSDGYJP2M+kmFqqZ8YXT43PT5/GvVq 7pxE8N1XOCJz03AIStytoZAlC3ENOgBWVR035d2wp0lmAnUeeR0uIvqSp1LxBwnuEVpiKP0tvwpS hAOA/F6Vgb7V6RbWs7GTAo8GohLfVAiBr5+VXDl9ICzKCCxEuKndN0rotpkbzxHFTtgAlA3yDWRh xoceZrD3fjelGIavrAVEiuIvT1Lc3iJoQI4oNMV/MktRpr+C4867zZ21t6y4oQDAXsQjETD4fDNf 0Iq0kp5nGCZINguGQHutIiLo+WQVu/Uo5KuuFnJkcN9E8wg8V9Sya/ncaR29VXo49CTEgGpw5mpY AKQkDowtbr+akeUksZMapxjg7d+KXxcp0GCHBKYndPiZ7wMd5kmKIRoMKLJMZ2npFYuOWfumhCh5 rkMp+qBG8fnTjhz4qHJL9erG4IK1KGWTUkI6WnAFQC1bsSpyRIgUptc1LBKc1QAMNtJHCYlStc/O Gqe0k5wen6m0MZhPAf0FnI1Uk8D0dDkJQbIhHGtGshYlLeAtsIzxrchf8OSYeVrx0POotinvGwRe tI8Cq9DNtxrS3sz2Od/QmFDJqlX9rWWWfs1g7heOVTEK6iuToIhe47vepWLzNnT/SAvcDAGqsRz2 7J1DJfFzzVCfkk4HKgAO02EqFG71FOCK90F+BJ9VBRYnxmXyGhiobmPjqGTmIzohgB0V3vnpl6yh ZiTj6tWTlQaYOvgdanU/J5YczmBfOSdp+DlPkV40SjdwIAkuJqJEjnoQwVhBmI9OF6V76znFUTPN j8prI4Pf+e02mZgmlGKlZQnCSm6VDHm5qaYtPtjGJHCCvG0ckA3nNQ5g6idmLLjQcI8oMDW0pDHr MqbeHc8oU7f4aTyHceZIsJ56xLPMjdG17fnfhWPTvmiiGU7t2oFT1/fioCDpTR5qGFfH4p6/TnCX dOZw4YKPmRmwkbOTOulpfG8La0B/Jn/3gVlxG8kVxs4Nxu7unxje1UNcQhwEHob1fg0QagQ0nRkM 5Rkz9c6aKbplw16AHyPYqRVutaWk39vt6aWitn77MomGyJOiqCQH8o5k8KGOGrr0X8zQ8tpmp423 Gw3VofiD+R87PbaoAwFz5tPmc70Jzi2CR5tuD5dVpSTt61aUVC5TFD6Tf0pqMBxbUlTD8CAcWtfH /TGKsv91SsQ7+vwRNKLQwIeewxgpHBKHD5jbg+HCnIloWjeU9tLRnPssPkZw4YkDUlPapX5hoaGa Ad2/s7IzsjbCos/W3dsno2zo40GbrZTFVUOGduzRbiojzbUnG8I++ce4FyAtQOIGcRkN8t/kC+eK 3Jy0jfD6bYc5xVpAUqlbaEj5KdTyNj74by6xHuRxmM6tSoNdI8fnceW/TZHx+2QhmGDe+VoWNnZ8 XJN8Xwv9fWrfdkk770ZU+3pYjCJBIn0QP654jGC1clqDDVULs8LvX8p4ro3RGSJPY1dWhTdd58dZ ol6vB9+0NOwis1RHV2IG6Peo5C6ZD0qh3jAfRVBuQS0pGnFLa2KFOzLd7pdWbroeEG9VCRwHQYTD NedSiAGEiZfg4VQoStLxcp+qfqXjTq4evozQy3IHwsZ3JCvYQAkou/vhpWBhWEGy4jg4npRUa0k9 q2k/B0ZIGGMb6VbbW6eibjuBPKw9a9ZPaSijcHmQiyK4WSPdSg10NFYRC4SfwkXCNQBFXzXWJ67J aqSA1mAdrgx4drY8j1zfeE++48eCUVolWXyrx/l4SOIQuZvQdlC+Of8wLFDR+DVLvLmc8chemKjQ 2VRCMfKd7j97jAST41O6LjJaX7LE38/dsaXZIZlMb6jkaLr0FH8LKtngHTmlNCQgAJhB00tcUB8M yvPU9yi0zql+F0DyZsciEKn91TuISKmPXgMKA37UEjGblrfwCV7VapnnP9AGW6avomNIk1tYpNyO eUntYedohdmnVOkw23czzhr2rItXqePOtLiSNWyDS5BoAwRVOqc16o+nDlZ3QmE2yEgLU4RVd6Qf qolwjgxuc2BHXAMUdgsxoZJeho/iQvXgXdvU0yRLbalJ/7yPlmvMakKshrvsLz/kE8uPxBTUQisa VU24vLaQYy8uLDKpn02n+6a/1FzVhJw7SjimYH9VVaOet0EEx8MWIAsXVNRViBTj0W+Lz9tmYchE iqs1J3pOjv537k9LwGI4RhJ50eTfSBHKRfLwA5JvLpOt4mlFCP7NlaejQI0LJ1kjXHKKuz6q0uNM g1Wp6O2yQkkuY0+2OY66cVS5hjQUq/2ozVxJoUuB6qi5Z6MFwFVsrcnjsNxgsyyx3tNDhzQXI45J cnfzbm59mXtGds1+LnRSqJUpyK4jSUmhPqdfPOvAV0rIRn2ykyBIhxXH4WsUQ9dnBEunRK4HgIC0 o3qtxRrOvKhfdYwp33Rep/EiXzdjbSosmiZsF+7kiVsvCJtMvY9KJGaYxM1QBUoB6R9HPSHX08nH dO4/Lp+le3sCYbaHabL3eQRUurg/GFv+2EqX37cjS0mJmvozExSd6ib6h7y2LMckdCzkNzsxeRc0 0ZhXs79vbHF0MnI4kMghrExXhCiTVNZHIzlUH5vYhWYtdKY6cu2Jd+XN08IzGnQLZpfvM7ywQcHL ba0oG/C6p2PHjUq6vv8GuTVIiJ/CcgLhtyQlmTlmNbE7qbuVwmDaEqnvwkIbXkw1dZ4zGC4Jfs0x bSyNmMNXjXzj57fi2GCwCGCxLkEf4Xk4/beRRSBlq8Pt+s8xlyfCgGGdE074HHTTw9vzys4Wj/Hm 2WpIbKWXjEcDCJsyQBBRjmeVz3DvX3Sn+emyf1OxVrb31DG/xJHNOVAoVToeLf6vUl3X+1Z/OrNk UwhL7B/rnU9Qj5FHpwM5iP3Q/mcqsHCtwKrby7J3lY7uzU+KRql6WDwZkZ6GZ/BjdDV+g7udUOWy L89Zu/y6giYeDu6mJaEtKgrof2cUWtwMz9UQCBFOrpScAjECKHsAvn9UBgGT0DiF2JDUlZ4gDAVf WXVfI1VZj4ChNKKasJ3pmJDLTrek0WHIeTVGxrehA8SqjdDA4hBYcnR1EEv6IyZO9IqhMI3SqP3Q ftuJNfO2aUvoNEJ65JzvYY+i8zk/qNUZjHLgUSk/q/eo2RtjHVKe3FAbjUng3BTpSarrJTI0Ku/s h7InVyUJQjOBMJhCaCuMZbbaKr7AGRyy+vnMxWyXr9YF7ixownRGsSoYDhi9m2xTZ4jgTpic35ob zKrJfcFb0qi382YVlf80u3H0v5p06lZRCRzWypKPFRmbFkEsWfJccrq1poGTvc2woUk36eV0lpdr KK86fFLa9mYwoxlod8LTEhkPS2IKQrETkB609jOHhMOXsUJLbjI7pFfFj+oljTx0uUaFCo02WrsE hAMRq8dVTPEj77jVAIP8RSgPt9SNfGykK5zMiSy7eF1arEz8tnibIi7xD4t/Jb6ODmLL5OJ15McK aHcyhM+KRisJGh9dmLLSbw6e2x5WfjnqNkn6P9HypeIm2oYIRfY97Qx9qqn+r8l80v3vB1FXEmkw xaYPi/ku67u51wmUYX/qrtIKTnUcjJXmtNa0BvAT+XFVNIe4Lo2Y5sxo6DVZ7Wpb+fZW6+5JdNmc wre0NTu8lsctG3orgsOiy+/7hz7aiJab/CBHy3egqc3LbLegTGW4v1vqX9ooKmwTq4fLiWT/6Wns 6BHaDqokvCR5BrKgjs0tzJOLoZO1c6fQZiYAGg4V6YS0/4DFjjTGwAkBB/tMALxWmQlgdRGRaEh5 kXyiUjQpeUVFZTmQOYyCuwszpvUNyEBUDa51NZsNrUrlSLGdUhu1Q3PLR616Bz93hqADtpKQxGyy 6m4ZB0W/XAYAI5hHhkVjSTSYCT00ZOIyUO6E9w+AQjRRIHq9yOApnqh5UzVKdupEsb28zZVJb11v GkO8MthMLDOAWhxD8Cy5ehcN96Zt7rwkkGu55TCBCtMiCn5Z918gzD+eziROXFcGR6zRZC9ODJl0 zjaRwv57wcJ3ok0HTHSx0S6RZIMVOfiGpUiT1cjLlbsFI4Hu7f5CyVCtH2rL4wi2P7IeaEBpkh4v Q0rZyoCMwGjJYJ3rP6Tzpxb0zMHZ/O+Fzi34uxGduD7F1POt10qnlK8xV16kCNVQWTw28PmpBrMH pZVGRyfCcxm9Hee7IU+sVK6Myn6QZEh2idLfS5Tr7ww2hKUbFj5rrgNPB0glu0v9cGwcDApJLXQG x4VvYh+otvEMN7Ukt6nTQigkUF5ISjnH14sCOq28eDisPxiNEKQEM0ms8QPEEZsMPs6ItO6rYKdY o67LFFMayu+Z/4ZTAwCtvCsLxmpW7VXBqofItHzcyrheZ2tvxMS5aMAebqcX+lvcx8ABraIXFCF/ jISvtUesHKu4+txer8fcAf8kvFBeO44Hbnv1mJRXGtKcfTQHBwOnR2BK1Sm/r4cnaRVNTZqnLtjQ Z4+fib7Pjhw4lfz5RbpYsG7/+fJBHgcSV1JE+LsPyMtJ1f+hxIQaX7W2FPblVPtPuYyj7o7bXVk4 aK6Ce3rIGDCcQnScOTqQhx9sfaobPAh70aEDGNQBA2ncR0ys4/PGQiu1Xhc1qiih7P8sUAgP0fWX EhPfnNi66X7nWmmZAD6codxJp7FfMgk5RPaduYxDq/DrktQlBB6r+4Z8KsHdRx+5V9G8s22Wf+Wf NGzVaiHW/kv/Z0wiLsIke16B4lJ+uqU2AK8NrtgsHIEVt41thmKlf/D1bU9AXXjK3/K2UN7TllGD oS8MmafI+7kVLOoP2F/gP+yeojdDrLxHgOQswLmuvdeVr1t+nKp8yDcTiRyUmUDlvXBpvJnlQJpz HltAOBhWthpK3K2XHQBvBCe/AHXV+ZTj/xEAK82bmx4tivlGzQODLUOZvFvWJQofoJ29hD3iMWeh NjLb0pFwmV+W/vg9iHNk0VEk4mVLN10T4xYQmxuGHeguRedA61KIi3qqqNsQl5l5JKsQQHz00K1m d1TFHyuIV9fcu+u2AeAl89kPJ20sIMw7q2V1vQhbZp7yysC8z9H8a6wjexv0WTCohSLGAhQkKWS1 UZknTd3vWnBi52jvn1S06w9OXHp8toetgx/GalrGnrlHkdpJzqOn1KAHYCcp+86BCMzwQU4zXG3N BngMPZnofH+Xyl5HadFB0338cyivmy5pF99HPd25UEMsRf46SUrfHydE8RJ+kfoztz+kfpJmDQKl 721rxBLz0orGFTL7QEZLKaVC4eTK6PAA+Y3QwyTWzbdPaIW6s8k0H2ttrY8wLsZgxEoJ0+DIamLM p7ly0m+Xa+9Ld4nWbfOXbL3FhqIpB3UiS2u/hAm34Ab69ej5zAT9Nq0jQckG8f+4GzSqHuzAl5lm 8DYPKKlOR84gUAw9a7Knx4+j25hiJLPTtwyQmSQIREuB/aQo8I2126+VSnzL0rxY82SrtXFw4oi1 yCZSSz+cKr70v4xz+30ESxqURXiRm/gfI2lCW+BfIakDPz/CzQDAa/0tG5Z0EmgPXtEGgw7MMy07 IY4aws8Vsd8GRxZqZx4Lp0ckBWUPZ5QTiT1dzaCwMahb37jZB13hDxDuYyNNXM1sRrbqeJe8Sedz i6Np1nxaXb9LjPcQQ8JhsHajkQh0Kdh+zy+zRKpachk2KcZGTwLgqPFkSI5du1HIFgkClpqJwpLk GrxKRVGX1noz7e1/GMDiURj3G/UWzcByBkDaM5Md3x8ypZzql1bjTNUAsLiREGDI9nF60l40oNSq X+ly4IdLIptA67/L4ZCNr56RTTM3RqMrXTXgazufql+OwPjldAnaRjzlmxLDfOb80klzFe0/sg7j jwIcgtHILAxy/hEPGEi1Hjbga/ImjRnzDoxofb+1MpuFK4GtJoaiRI2vjpqLjXauxRIsOvQWao45 3oUh2VT+vfYu18CRTEcS49WTB1A6iMp1YdUX7ElGXsxfzb3x3B7+aFFvChLRX7seOFuTduC42bDe 3gIOwI48H2vjuJ0Y4so9hG2EIVQp+vbbPFZpOZlSQvgvjKirFs6nXaNJLVnzATBMePIwPWokQCzV vRmuYah9CR/WgxTlmHXhalYJagDVRqhTBSJT1RZ/38VgHPBVRKvoaTSu2o3gxcvPtR++ZWyQbUV2 1Sv//w7oNWw1ecr05fmIo+xF+lfilGe0GWl5ZU875FROcsMiyPC0yw7use7f8TAH3mQHyerzQtb1 k5xbqP3ELx9zqQ8AfzEjxRXjv4lXoCGJ7eUw4iNdSagm7ufBIgrGKIGwvKBTyFxY6/ZRI1HAZIaY lBdI0yDBjgzT3BqGp/D2lFXGJ4HRhR3vpYSbRm1bcLsiIdhlKnn/AaNgp1GIiXwgDoxL9o54qVen W1y5vGRjfJIL8ArW4E9yyWP/XfjRlHuMiKtI0MZz7HOPCkuOmb37pj7Qtc8SO/+lFMBFt8K69YVj HU7w9YdIeAy8+PeE8PM8BnnA0IdgbSYzGokuxn6hvuuZv4q1fJSWs7QZve2fXVNiwPjoR+SOQ8Wd YsrFoUBvyAoTJa5rtjvCRzUUUh/gK44zu5XYPOh7JYH84DvH10zZ5eLw8MIaGSxYyVf2heXr4TOs tAJS/Uu6wYAd+x9JgwHXykw3oCcz9ZHI7av6vneXjKM1sR3GTR4QPJC58Fm5BUrr2lCspGZR594U ZXHWzLM0z9osPFN2fvUsat1eoi4hqMAaOfIlKvqdAX7bPP/WvpB+leE/LbQ3sBcegf8WORkGkR7S EMyFg6K3S+Qy6/Sk8gI0qhkbE+TUsP574n51gAhNoMLb1t01H5sgXu4okujMNv6AQwIL7X4U8SCw tce9d66Z1UlxBiATDg5j4WqeLjzEK2G7LEVIa1GYvSR83BzW1nGysI+wjqjzvPA5h/6AlMfw2sCd T8Rr4S6D0v96Vw4lPx13xuFUx5pwH5CVgDG6zOF58dj+YBek93ksqMsLYyn4N53zTygf9NiUVkI8 pnugdk4XiejovKp/ufF2kbnJj/eylD7WKf+yUWkIPC9hh3tsYipAal9q1CClLqh9tpae3tCHziHW UuxeAgqcT+wQ6UUNfLdZxvu/oaJBhtSsXOK1SvUR2Nq5IPeGu38sEKarNzVz+J6x+pxTEzPrZk5e HWs0eApsTSr6Y4F1C6CJS8SBwnVVGcnZBoN84Xg07OxWwwfJPUuIf+3Rtlj16Do/vdpwEQYCyTGR sg96N4QkppJAD+B9uqntvBrZE/tIXIxT1BwH6J94Lc3D9D4I5GdAgbYzHApbdHwYCo1Dazudf6Mi yefcgw1yRlT8ebnFERujqRW2X2TKDzD5FunMt4yCVTt3TxMQoWB9rPwB9VdUhdGUwpzs75yPqkLA jNjHWL8bczrgQV3wW6yFjGdMbw8+fvbTFWuzUEFSB85fDkUORCq0le5dRg3Y/H7+JVk111ThIvNu mNJS9ZA1cQ+Cker8fnpCKIexpg/1JW9XBHnzh8gPWdfVCuogkZ0IUo3XnH6IqNw2l7GiWyfTQ3Gn 0ow0PDOkKqMJbmb3hS590S/GxswchAADUAx9O/tAX9A6TYJENLIccRyJvO2mkWsEhkODg8JawTtN vbF8NKymhw2FZpr2u4X+A1KG+eqy2vnRbcqbJHdKoT/X8xzxTEQXIoJEwl2vUujzZVFl0EatZZ+a 2YH8LHMlLYWp7PGXSWVDrQbBcePmz7k9kQJoyis5qdsousQ+iA+IngEkHKNXzOT7Dh/rhtR9QgIH QYkLgMu48c5nN6UztZcus1Yr2jptTjWTD24UafLa1FzhfaGwujg7Pk4wFn0BJPWth3QBCVA4JlsR uKmHuUuw3+Es7lMYDl1vEmtYHJt9TZpfE3M2fLjdunHVGObJRdnrmoN+61D1Pozpduav3GtTd8iN 5tblZL04705h8eBNDtN8BXz62MxOsfOsN1pbPeieqjeVTIjXbwTTWbR2oHzpAe/CiQl/sPgutMIa Vlo5cfRFrNT0j/m6rL7b+h0Jqv4Ju3UUR0iX6xtAXEe9WyO/XMA5v6wxzCdpCG+tG6ZrQQb92wrI bjgB73qIZ+IqtDilhuCl+9VaMt1RfGPE1UhQjHmJQLrHu0RH9u3XyRbrCUWtnyzkGD34K3rYQe9t sE72i6gGqsLHPu9CF7cy6vnk6Kc3lzgE4DaynXgZfNgyqJLqd4szTa42j3HyHlMtJC30dpGTrKLk roF3pQD8kEEdK5NN9ebhdJ5GohJUHz7WA6KKaXF/rTeMO9vb8pSB+Cvf6OVtKavlQL30mR1NqtJR 271pmLt9nE/XE+WG6AiSxy8uYSHR8gtT0SnPGAYrhtvtIYaZNg9d0XoM/lt6IzpabKPhROSGdxXu 2Uyb19OB/6abH8FjzWOQgJxy7JYOj+4nRikbHtCqo4QKSfUdO9uHMszIUJOvrAB5e1VUkRuO3d7J f9B5T1jPqCRA5r/ZwAJwc024gecgTnwj8UKWWcA6jpnPLc33rIpgfhfYIuGi77X5QXsLbZ+TOubX Kw+Cc/tlLWWw1XtPv15MYPnmYmOnYrGbY8of7mAiPVYzRQdX0lv5duRvWrTEbmGa/pYsnD6ifse7 GRfgkOAjogqjDExg+gaQUlwzjdcsQpOVYTQ2h0tFs8sx2fpFiGWLHgTB8P4yxOjMt2U3GKJ8viX9 qxvcxTqiAKfspP7mQoALn1W29WvYB/I6lJDRPPDwfCqSglal/u0Ki436EQzNFCIEXQl2creYAUP+ hyMWl/7LYns0f2wSPSnVIX3TntY2NldS3BLcyD25XIThi37xiIOhS7ZoUahBTOO2XTfa8ejaxlDX 1s3XARTCFcjttDk77gJ4FihNIwO1Wz6p7qTyn9y1PiBXGDlZ1xS8qJuuS/Z0Gyg5HK3XVV9GyVJP sAhY5Dq5XLWgP1I8KoeUWy7g4ZZrVMZHhVgKqGPbi5lwKzPzWtmkReZN+LSmi3+FsYAKUG9zF8wl EaHnAVAo1/DxmhoAN7V2R1PwqV9eI0pKYAJ8kYfGe13+YI2LwhlAkMqbY527k0BT5Hn/hYai71zU HXvUko8VDhbm97iXPkwx2gGQpJS4jZyK9hbf3UolrYSher1Fo/rGOdpVFGmgnT8k3tgPQr92kiCr KUFQUv07Wi7WffhGqGGW9a0j77ZJ9jutxGv4TOvI/8GLeKAEKDNgBzT9i0tajGJJ987TRyMoWnej Wx2/ZxNH4vNydXc9WgLp8/3as9P5jVq3m2R9qM8JOPg0hGI58zLinMj6R9FTV5T15tk7o3uZoqoF xIGUtLm+tUIu+ylgm81+SJLeAwhxAgytX9Br8nTtC93zhcqCNQg2Zkg1guQWmD3edbolwJk8lAbI eKYdS192Zif//pvOoJLqhf0FlA1k4f1XBy7w032eqbRJ2j59tLXtUtkeol2AsQCcNrLGBOQK6+bc SHJEj98dsxN8340+hk3wr3igUASYaTabO48L4P1S4o8YG5GAkvOM8eHTZS9RCPW1lKIqZ+fDC5Ly QzabpXzxpvvt/pqnEVxJ1nWWHESS1VfbAnSZnD+TBPa1CvoOVYPRAP0T5YBnFyGdcoTmY4jAtLuh 64qCqfH4OSMnStnADORWaoI46knm9BJQJkVLpQVQL8rqeMB+8LU3pUuw4G3O/tFyIrzFqpDnxzSb GFOXOZ+Jq/ypiDE2/IbR0NcxW6hT8gVl/Iq7bC70LDRgvAodap0XSqcO0e4asv7JlsFLLjILv6xw pdqHbUhqjAwgkkko8MpJcUicCPajbyEP0R3j36XTZBoTp6bXk01BXewKZxkfjPLAwQC3H7C7SXtd KF866S1GCQwzywNEqKs7J/SUGi3Ee3UuwY9dMxjFkQI9zq19ybI1tTXEtxv441OF89MYwyogpqUW M3Os6ZRnrJ53acvoFT1h5v5LV7BpwMD4WR1exkY1ecYSECmJh3oDyyP5bDrQuDECJFymIaffNvvM 15cdUosFyZ0zMX3tR8pwcgHYlsQQbNDZOGfAyI9wqg1D5Dz+jysUu5odYoc1t5/cfGOLYYavohje eyina8pS9fulxrq8GQkARdhfzBDPIG4vO6JVFwJo1CJbLPRnEfaezPN74KqGMX6koJuKK2ouIvvq 6ZSUOC6GAqoyJCCaIjkAuJUGabLSIqkD5KWzYRf8S5xKRZpFR8Geu5XKpyFnYdVYdrbJMHYuuqNx T1Hj9UrAqCYAmQ0/crcT/tHw5+C/2idLrXZ4W7xWUDdiw19tcwr9LbfFWagc9q4e3Wl9mPX1iSz8 uP6q3FMg/bZJyDONqAc6MXIJTtHya7/vP1ap1MdOcFqpbn0lNEgWf/M9WlRsHi4zGi/HZwiRhJFM qTAm24wVHSAZsKFE/JA4FxCKvDXjqDjRX/aZJhbU0EnM+RLeA6IAecY3xsdIw23NdkDhS3xYfxSA B5dUDc8Lu8JNbFcoVRR2GdZop5oVYYg+3fifYsHsYzSwnBcn7pawZqPOAB464fTx2rbivH3GHKIx V/DZuLsWpftQNZl5Uf7kzDBBPH2mIN/EyDQIT7OcMB4JZYLE62wfX0K+2i2V+Z3/yVGBnQ0iFORM AaNW+ohnHuYmy2EZTQzgM97FobplF5eIKG1+mJ2nhXRVyJHkoA1MFzPaiEdGxkbzPr+zbnZw0l+c rWfys3IWG/KlnqIcQc+O4GEHTiTzmvpqAKZdTTLkiTCSPeAFSBvFEaDh5+8EBroo4ZyXFJxhFwq4 q27/6lsygqC4K2dhi+jhOKdVtumpQ9ek+xmT0+dKlPtgsvCuJ1mzeMaxDwt5Svae8vMTOOg9e01L b1tKx8Yv3JcJIGbv1pFLfOchR/C9SBe3jaoKaDYuCR44qv0Y6y2LFVq544CUKSXWkqmq0eRQpZHq 7hDBvuctI9iFOFeQLrF4PeMn9WSrnMY3WF+dVIGu6RDyQH93DrYB1WVHKOUoXYdq2m9cos68u5Kk lw9W2lyo7sPyLu9ZMMnS/DYJ0DgBAPT5D3dlCGloriXCyLn9HFzw2JV00E9gP6m4mNrzJF85DCq7 aU3RufUuym+2c78NkcnjUBmPMF5bdlv2L1pSkC9ySEUi3aLa4PoVxkNO8N/XEXTd5mwiLOlEl6eL szwfN18J/2gihqS6MdeQ2JCkDnkYDpgG0ChZR2W18EULvhzDiszaaZkeBj/qr/HeMV2o9eGAufHH EYHM2kcdNrPL2yLYgsHKe/dvLiiVppedd1hpzV4aX8vXB0UI9Y1TTbE6k0vAOmLZTdTvwWcBCbv8 vDe7PuztSq/ZIZ/UQKsFHE+F3+ZiEeQ1nT/TnjFqzEu/g0lQk2h2y5qMenO1zCUKW/H97zmGdnjn 5pRPwXL6dNLsfMB9wfVQ7B4fuJZ4H1w5CgCWtUGvMte4OejRKxti32d2w3TDxFhHZ3W6xgtIYT7v Nt7pGDo011iXTZSdP/jR7jHS49+l6MXkMO+KnKA6r+YMgDypwV/j0tYsB7RhyAQ5wsyNCs8njIxQ /X9Sibo8qKKEN5MsnxVGwo7cAvd2nPm6+Gy0YbHbsI5zIj7Gcc9AIUR6jzmyn5ODQgyWQUdc6EaL Y/hg0ktYvjZWlMIDZzKxdrhULFkfv6pq/P3berEdBdr8QwXdhuu3VDiiHLP3yMNSBhlhe+GhZ1+8 MbrJtMCR45jUMT3lX5QpJqdf4odxhtvSWJ1J+MRW3D0/klpYHzU8koT5IEWNs+aeSNOYqXbTsjFW LMOUkeNJRrVQ3JMOW9yrEVxvAvpcwQui1TSNhZJQwvpht0GxuYNpThyvkbx3oAtYC6KkbwuBwvcv yhG7xVtX83gFN5yrAaAgnsQBlTuhqZZ98qYypWd3CydEqlarpWNHRd/uKhaozw1p82SVFwh43IkL 2HxgqODuhhNkJ1Iei6z8lVBhi78yvo1Z3yO39GHT//X9A5byh3iDIMy2RV+GI6I8MU/CiQ5dZJGG +/r7ClfZrkaErnd5vYoVFLRPKRXPjkQg1UlRaQ68pFGkaiFk98XKupM1vJ6rmI6EBctsJ6vvAjVw EazSHm2aal0smd0KDrhadSU/NXgM3jHwxp1pEZ2D8HVfQB2b8FNiatYsNbEfhk1jw7rzTVf+98sr LyrHkgyfQ/hmiAWs/ZMSn9DXV0wbMakfIwuiHoOra6bF8HrZ3IC3zrAyg/qJNQdw8dvhUkXR02gq RH7GQmakRYjacU7NWPOm6gpRgYFbcA6BQS6NoCluYUv4snAz+KzYZh4jr4UYqGDJnCZB9ZDyruhL R+FRedjADEDv0TqTKmnR5fKH/Nm74KbUZ5zg6mSJZfytt0XdnZ5MkZbpZBCzELFOFRSOUGFA17u+ WO+bWwb0zpNNVnp+L6V8slAQOfAFpNW5pPAFqbzIfAX2FKdNXMDFsGUfAYJEZZkhTNj7B1efWHZf wZnz3PF/rpguAu070l4NY/45mVFKx/Xdqo/sEn/T2a/zx9qrzDhCetwcJeEYNf5Yi63ROlO0EIpJ I8a1iowCYDKXEfvJpfPgKbO8QWnQzP4yYh49NN1SNGMm/KfjT+pgrXbsukp8u4x5VrqfUWFPsQWc yrGeApqVpyk9uxYOeUqHU8bwsQkaKMUWEPDvyfLnNpEOujwI/j+JvwNmym8Xa3ooHbbSaOrzyKZU O50tvxbH4AbCcsLhjT3XoTjk5wo0T+9ua5smV3Hy9LZPkFSxoScoCsfGP169x45xvV2l/VMUjuBZ 5Rv3USNKewpLlRggMdpksAII7SIQP8Tu9QZEBpHR5W3yt6/QBHmL7YawZ4XP7cClNfm+rtKJHX5s 9oIycgkHFAG+GKsCiKju0ROtqdUYq56nTOcBDcHkqxIHjJ9tn+Aw57cHsh8LL28gYGgYo3QjhFt7 LsQ9U/An2xGe03NNVW0w79qdu+RtrrhxgHxUzNDx5YOBwFL2vi4TRce+AzNZuANE+ouMTFzsHx6T Cc70lAScyQ5z98CA7OroLnhUPmrAaMcdNCN8zxyjZvibn3yga1uJl5HASZ2L0MqxhjZmdB31ypdF Ag6bTGNovR0vF1P2/80Vqt7mljP6SFduBlUFCiWCZsi3+BViqNIuJqP/jbdXZ7ZamgL2xjzXcUxk 9vdBeWthQRlS2j81n7Yh8U88JgNQHHAlAsSQNRZgELf4pxA5PXArprxAW1raW62EL4HJ2EZLQIUY haitp2Ii4G2OINVnRBH8JwyP9pnHb4VUdiRAKgW8nVvYf2qKLf6tiMGSxwSIvWhfRDdzDv9uZDId ITQ1GIwUEEFUc1bhiZ6Mx3T+XwqpdvdYdMe7FDo4yVMao2bEuw2Vwf/BAhwzpT7SDPkiJRLR40Lo 8nsEqZSY+kP65UapwHWomjAYGMZK0FvBeYtk67nija8Hl+1EuxbR9qPlkxcQaRLJcfv/bVzHC1Hm cMJOclzkAUwbq0let3CDrDXtTsYJzsd9Bwg2jgS6Wun3zUU8v1KUit/h091gmRCUSg9vauvbCTpF 9UDVqTG1GgLlYwffSobMvsljuowIamaiNrC8nrsB72sJWVIEB2xixtVorz1qLziRBJE5Qp5GLUkh VfBPg1YcLHZGfyFfF4hRaWFlsO4DTq2SHTYc1m1x+LTWEHOuX7w2ZB6ugSe7rmXC4Ss+TupJwnhA Gh/FACGaikKnLk0/s9k/jP5pPlBTW3XfqAE35UvzvAtu9VvtaWC+fVglnz3Jb4O6ZnuAwUYRwhPi eHtWMAjwBK0chPa5JVpeuc5/jkySqnLfBUXjnE4/GjZISwwa6sfP9DNyn5QntYg0B552o1ZA3YVF Y3Avkn6g8Axi5UDtUVWbOuXhJc57Jk6SuXCa/9HY5NpMUq0d3fslPAXk9kgaSOH+P0oWCcm1+AWH uzjBqloQZBDoLMDxxrQaw7HESc9tCXtNgq+k2ct3F6eAo+U6Tq1HdAuS/m2YMJvDRtUJZGDYR7J1 6mS9f8HRVcJY4VdHq+Z7ich/SsVqVduleh3iw8LHQHpq1dOyueRaSlnzLbefM5Sc0UXRI2arTNVZ gGrbJi1Zl/Y3tH0emXM7vrmuhnniSal/hU9L9j0ZNFR5QbJsHu/frCOgFOTKh+tBtsjcEfrfvZeJ w6DfA7whW2ZugOhpHUJyqVkePYVmYcFRAC6IXR93gGVEOvsYFIlCnl5rolhLKuqIhu4HtKh7Vywc PX7RH8WPA+BR0KjEupqbuQPNCkW84OwttAuEkQ+R/g2cOoVK8awfVOnnye5NrFDNzNiD3Eqfd/7M N9+Pxv0Itv/QjONcBTNnOaj/dFS6TjwSRIlNJSsazvj/mh3CvnKqJ0tx2DxqJAtR8HYd3W33aaLK oty+sOyY86s3gEOuI96s7lT80otwoWDMeFZChj5EeN8Kqu6XZnJmiK8M1RNmiM3FOH0N+TBb2unN 4DpF+J9bsR7DTDClTSx0/JnFzVszYa954cA0+J9P/UhsMGErlCN9TrRpWchQaKV80UfgFhhcpSOT gc6BRAW/1Og1ISXwoKyLBk9Yy8GMs+brY+YLn6TGpBoaa931VK+jkfhaduVcmB5TOWkMrw9A+dR5 JfYgfyYSxKtQpHH0kvbSFIcw4yBr3LdKepoU6OSGkZZ/2kSaYyRRrkpw84uKsbG4qfwTPZgbGCVP 0C1J/EOS0O72wQVdqs7E0f7NE56x9SizVLqgVriirNd5r9FTIbb2xrWcuod1efMflv47LBlQBeQZ 6buSWi5C/AMLf/8YocErFymDxk4Qm+/GEHbUReiyqFC5kjt5jepVfOifFFZZtaWy/jV3xmbT5ffx xG2yCBQhlVUzw1Sr5D/s4yLll21rAneZJtOIrLl4NAu+ijCnCvnaD79W3FY0g/lc+mM2L5x3dMaQ CpnOk4Ip2keUj4yvcaaJLVbc4iQ+Be1FzzEUvhwSK6WuxhbwRAQR9qVP2nBHHep/Ue7Jy1PwWT2M rZ+nWxe0zCcus8oLr6HTA4sh/oZJJPZkMWVZNdpsnj8doGmEj0ZSWiTW5VX74kV3L6MysEWwNSNt CRT3xF+d50njiEleblosXdSUjaQjtFjri2RAygc9CSTPfa3wy+dIT9pATDXa5kOvB62uVOJ2xsNm JV5G70lk6/VbePKRSxbr28pI7qKUmRtg321UmvWTkykiRbW1Dc3K9s1b2eR7392+qpPyQbsGVkBY sGEzJcjeYAfWAlR8urGdjHYKCop42ooDJ6qeAsixaJnekhu17hIoM6vqGvmLFqg7wECTDuS3133s soildP0nrz4ykqV21bkzzWFoi9MNAXGFysDZSs22HCdkmxwjhu9NHtG8mlDNDeDLzUSt1YNnEk5u R0jCr6ISDqrqOmQszhuSste5HgYHaUDqGCCr4a3CyzIUxTVQ5/KU7LMeJH/RGu9SgwzF/PWLMnKC zw4XOmJySIGFgfjRKGCi3cFGGyz7qsVsO2TVqnDZffCKqVis3Zq1jYc06lO0f+qzWgtbPcOg6ZWN Q6lsjrPw10XqsIZKqOUipa8+mXUM2RxYMgitns75u0l29vitQ2L3ZNoEOLKnJKRYnUMppJwwyWIH ZLnO0jMAJNBGic1x7x3ld7xZPcV/Dv+D3HKhAiy7Odw4AKtLRAQ07KNGId0CA0XdrKSRkAeqd1a0 FpT/CH/30tboEs/SdMVWlf2HxAyfz6bq6jTqXWtiHGsTxvIPuVXtJd9tiU3jzctyM4TaVRB23JKQ lzB80C3WPCva7xmQ5cTCqnRNNdcDRy0z5f540iFcstzR7JJJKgeqZ5tEaQcOmbsmPwZRhDqraUZj RNFhFUQ0OfQ1Pg2irJIV73BPXyl75em3egatWLnq7bIYRsMUzqrrAgpfrYmV80DdSCOj3ktPxeyl 3ozGANO/mkXsMBAq7puYtv8NWtI29OGWfQDhsuQo0rA9kqB5EadU4tAy5O42/m9WcrGUc7Q4C/Nv tEAYA6rFjwmYLNo6+BDVS4hE3k+mc0MIK2tPDYfgqZCEMHBzKpCQkRux4Xgw9tW79ceF7ZSnzGJn sQQORHp4WBU/R2WxsJhqZ144/EtF+5ZSUHCBmr998mbdUaWRCa4UtJhILrb+qwKlTXXHG8iG0oG8 C/EtvQejTT9aAvAVRs6EQIz2iH6EhU1TW10vGMYc7R8ww58YM9cCiNvfYqk/xCJcHtckhG3EMtWc recSuk9mCKxWxAUkJe3kzCgGXctreSj5py2UadM5Rh5KGKfvWDWkQEyvFuLx4RXMiE+wAasUrgzo rjOhrQJhkx1wyD1hmr5/F7TaGGm01y3BHiHTXDKHfDn3fSphPBiRLsHo/wiU12W66bGtOgG/Nz7G 8+9duMe84IWGpDJo/VhdgTz/F73t8GAwUd29ZN1Q7xdDA39863OpeA3XxPWfX//vne6vcYAxvEQN Nr7830o8EOI2H4M25WxxTVSHRgEMnrQLBCcBvAEOZ/ebKEtWoExxTnlGvNOsMAIUm/C3ZTTtyPja zJnnF7Y6VZs3hXqno8V9NARyjn6yUPDHA66HxcKk46mskY7GWHtwHQr301A3F9ZveBSstTFtrOV1 nHfw98gR68A8t2gsHXNVBe7c1GyHbdMW88LzR3WEKRLDMg4Nz5ARC2O8Zqt1s3Ne81UOsAjliQ49 Re62u5XBT5p2zO1YLNDp5SI2vJ+roEH0B8r8F669XYqeeqV8geleuN7nOy89yICuG3EKeQB7M1eZ UuZgkdqpxmRKgU4eCVdeLFPFEEwACA+rUQErdOdtwQ6Iz+lvKnqKTkvTKmmNI5UthSoxLdfNssVt uR/xzyo5p6au6kLvZKQu8P8374igfj3LM+MRg8jKYStbnOdeFmWK+Oybo3teWu/2stCyrkXZuBi8 3brtEQc69NQCfcR10No3y014be9u4UTK0H3trTY0S6B/7d6a+KjGSVQo88zQmtbVPtWwgzJAK7GT 9VqNm5NNsk9JqZ12nQaT8CCX3VcCEMLmRi5maq2NZlF3nps/qsOe0HeWaRzKdOlOYYPKr7ouW3VJ clzgKzNSjNXYjNjh2BoV7Z9Z79if8v1i+SAiCwtoJEdMZhhTRNc+Y2Ibxw6cl31DPj+wrTnuGBpb W8RRycblP6eeEshiOdcYfRqvu9Dnyv4zZD+cBf51G6VOwL8l2W1YfIY13Rb/Ga7j0dFoAP5R6VY7 86B5nERibLv81jSrzJ1DbkrQC4g1nOnkLAVbmx4q6eN5eMMunDcI/HQAk24rP/XO9dO/UIwCB8ql j0nxOqiVcxD1q4MnvYdn3rxuf3ZihuD7aVm9wHMQdAb9EiHIrNy7V3D4UWj82qg7tQqVM3r8l2L+ cDBIvkY3gPPolKCgHUqFuhZW+E0pPHaje3pCw7t8ph78KkLfWFjIoKpFfn3LDTry4yMjQaMmLIgv VkB98SBZUbF1IOo9dmDxxLjFK+PmtbblQrL5QSCGBvY6S/cUHOZq/sFgryJx6HEDclZ7KaLXRJRo 8US7+wfTyftnfQGoilT9l8hfl0PRDRXu8eG3R+HzF5YQVYP/0ewiql2yd6RH8O0GaChMfvZoClO5 Zpb5Q7989OGOZhHrguPY11rNUv2vbPo49t+SodxP1z3nnpDdLXxMLO+VZ3/qqzR0z6vpGM7Mb/yB 7TATlH47vQA3pxIwkD3B6xzvKuQprT69nDgI/kj0a8TzJdUP8MHkXL3Uf+FMvp4htTklTjOyRNot JsvvwB1ihxmV/coRn5OXMsCnCN60HPL9yMmsZAhu1eBMQfVXUZmCCCSgiFytQ990FIiX+R3AfCHZ ulMV3GZbbZ9CUM1RxkeKGbCLO2E4MYlThJuEN7d7UMZC8tfD9Jyd12e9xjGKBeYcpGIK4dbl+sSl gxInRwgQe1ZYSIZa78aRbileTYQBEDa6jdFVGlBgFHUae35IUY+2bd7gDs2eiX95wTadmGIbVPm+ 9lZBjKtUCpkNDQ1jMzCcfhT0TtzLQ9ESD+m0TIIp7u72V3ZypconmUQprDgfB+IsEwj+RyVBRzTN gAilXWzh9mcKvFxV8lXPDTMFLh0Xdq4m+lDvmZMXyR4U9L0kQfkC0A0YyPrtstSEVG3oNelckce5 kJeFae31/vCq51FbmFThn1t8NgsihRKIiqjwWaU/Y8Wc624MCcykKBZLK7QPUHqoM/83AhdH05Mi lE7tjvEf8ON8fmvVM6+NPpTmGsAoRK39lhDWlwhfs7DStgB9TM8bDXuPtfXDdcZkbCWKcUowOINp JU4qR3Y5G3vF/W1Hc4NXXnObgpR4GuzI0egQH1GBGvL5NLFIhjImqpcEGGwYOp9cOW4CNsmRjkDx WHYKsb2/13B6Gaxo2fPoA1Eb/l6oamvNQZsln+s7DPeCQTvnvD+KjwvDIKuU7Uf4pDa0wzQrWPAR D3aCq2NPPP34duIGm561tTVjHzgA6XMbERCAXDHxIi6ZeBxXyoybqIu6eZJyuUHBLxKEnwM+tdDD dYV1n8sHXX/5Ej+RrhKayKEmN6hGblwDgf78R/2C85LKO8xpFmJlw6HzDwNUmH5NkiFLt43WyV/M R5hTD3p/SoJ4GI0/D9cHWp7ufV6omHSEwq9D4qBA1+sMjisXokk8FbJMXFlrlEnjMzBtFFbLTsAa Ercm4yVeOuUIdS4FTk7KaADjxhwr8ghK+aKUcRN877ij4RUELR/C0IsBGMMHWfJNCtoZf9uRK+Gf Jwd/PVRaNonUyJBW7esH2wySWJZT7ycT+/OyEN7aEvuKFeVJkKlfjTpRlq5MwetnbtGIda9mhZMP gbRhV6FtUGEMNlU43mS/dpmYo5SZZfIeOnmfeIMcW9TzlrVAlSz1QeEKVi300fZe8wShfKi2lMl2 LYRzzgU+ftVDqslwonk3WBEhbf0qWyrSga8jHGuVIyQ87RgMya09YMM2zVctPNyu83Hj5MtPpZut WqMuW570fdrR4XLA8HK92k06k1NpWBvp09OnpcPYEAWN+B2ZhcvHAUbsO+XhUe7nFc8QjviAOARP NOCJB2dCuh5h+4scOnm6VHiAirwCssptiizTfSxasHbVTvOunQdDk55wBpVbVsJ0XdnGVl/ROCSw RnhgRvNJq96LXeOdVr3YrYfx18ME9Ix1FIASP+Lzu3bjIR7RxBkgRemYCvfpcYMkfxTr8NEpohIF vLs3woH0CAWpipkG/DIuaq/71kVqwt4cY0xNFabteG22n4nNzLGR06z3Fha81z9fFIe0muQ+UFfw QlxKsLPA1YP5zsJmUIJoP8UanLLpPFa6/g/3v6ptGtwmN8Nwlsl6Vvu3JT2zlSstKMb+CeSrzJbA FCmW30HC69lryP3EXB84qjfK/9y5MEj5Qroaql8okIYPGj8psxCeVMQzs4IKAT1rEynoJgFadsCy Qil4f/FaaBxTZXqGO0eNy1bbkLTx67OaPv146EVX/GVSgcDkVskubi9lWMLh3HCaR+cKwzYWJi66 TBJF3LFgtjq6uRb1Xok+xf5rrfdV8PluieMBarekWPHwKzGIk7V7oZXZdkUxeiQeZN5INj1QMVhG YGYecvTDohNS48GtOpcyFOvzk3aGbKM7PfQ16tlIhG3LlQ7yQJwB6MFEU2ceUJCh5gvcfQHyf1Fj 1yHMuyg67o93Df4gjtyroDC8fe+hNp8qyeW04HJdiqlUk/56SeiNESec1OffITNqEC1WJ4IvBMqb 2PfjpLLKsR1yCOX6eRFyRAtL6ecHb8gkYccd48NP4paZuERFPII4snhTy+kHyi0YJP8+eJf4aeX+ LjXxANakJ0xwzlM0S49Cce72fNnKCRj1dpjNTiu1NZKMlyeDqeLx+PPnmkVatAaApt7U/DxgmEX6 WvkGzurQ5qdSiNHV2S+dxrd0EnyXXLNEdITOCoeC79sLO7vGpar2NEzmIY4oQAq8/B1CpI9B3An1 nWqJirkiWmHM1GyBW857HZ1C+p5Qikef6ANafuYZrJbyBEEZyuVeenM2AYcrRPT8qZEZIxcQMtIA AvgP7fy7Fx++dy2T+GT6yEy1n/bzpMFPyiRxPZ1sjZHUXki+oFhn4uVdIAoaE6IUD1VQhjRKQNYT kL2dI5ABw02nQaLbmR2Rzth90hwReqpp0xf4L5TvpiWa2eI9kY6YCXD9uGsN0aIhZpY/Tx+B7fln irBTDvgeRiQmdToKtmvlQ5lyYgyN2dMDD7rerIX4JnnorCEeJt6CBtN9ZYTmuBlZLcLp8EDHEe5D hxQMo9EjJ7DSkr9Ey3h0KwlFov9n7wMdC5C0xtHcs42lh86iwqll65ISSuOUZCHm/1jpyyiH3xTB HKED+oqSObG8NviRySE3rIzS8UN+9406OsLFc8oOjejoUYxWX2ojWiFN1gFqg3qiOL42SgMIKVjV 8ub8m2wiwJQ1ot79KyXk4bEq6KjPzVtWUMchr24OhHjKBurIXEFjSYx1r0ksj8FWn37PKaUCINBx HNM95asvtjCh601HVKupczcsGzSLygNnm8IZtqPcQ5R4aHSjbALK1rjiAbi3dlD1SvZHcD9lFDTA AlgDv773ilNDAPWaK4TfauWTFClsj6GGF7BJwfRIdVPrLdsQ+GWiBmj+65qhERWEm97ctwDFkQ2n mj7A6A6Nlk0DAERugBHj7ic+i8T12FY/Wa/mbgj7yHTJ6bgnLrGS3+mE+6JoGrH1TYrp9FP3HDV9 lvZ3kZmm/hDYlpHf/EpbZ0KUFoc1o1CXCR8Y9yQqAT/mUogQZX/cAlrNSRTJII3+3jPocZEeP1LK LXx+j4pKa87azM7gYi9VZljSSPb6vawAlx8NxShacSQKiG5S1jgcPtfUsoepN8GqqoAGwKeJDzEL QktFikgdibWdASFYyiAlEzcDdaNLhsh2wNYNra39hA8Ure+klTI+2vk9Li36Yo9XmzZ2+tIUrEcW nm6A0sQd55njXFKmKUQV6cD+uUWyH3NK4RscNBEvUo/Tb29kQeTYVk1+Wjxd392P+Gs5Ar2vG0P+ Ikiy4Iiei07z7QHKUVeVbXj77QPeggOOdPIdYQKsSNWdHx6CB0yBlhF/jTU/pVmwWJ5ruH3HOX6a 6+KoSdCqgxqCOy63Kq2BJScpKc84Rc5DA1CbhbPGdXkz1gAwDTUtUC4CtuPSLYNYniePnA++OWIK O9Ayjpe9nNb77ThBV960UWwu4H7AWdmBVYbSVLGGTnv4NOSz0/lPCX7OoxpHBtPImnPBpDMagfdu cGrbbsrtLG3WSXcnqPaand5HhrlUpLBg0kj7u55qN55R5yTnMGf1IaMr7bukJl/8ym3rhRCa64aY jmb/sNw3HU6H3Q4mB/G2Or/vUuG4zSofwbE7IxUMv9U9E+G+39B0SYHYCLpNoFAxS0orqH7oXwae +MT+bnMVxDh8gzLUSIRu8oEyhYo8AT1FrpV/3VJChSl+J27LquedfKMqwmbvYWtd5Moe/gr8n63I Jljj9eYeST8rOVSvLmltZKqh3ScxUVcGiTVZfkHBxup2IwoOON2fmQQ9o+l61XZjQtWRHhgPMbpK lSDKflFeC4a81a5tmf/EnZrThcmiZs8jIkabXKeOvsbHADF3EY76rBk0EnRvo8bH5AC1iLkf6n/O lccs6JzpZQ3rKzavDE6y/tvnoqmPKqKLcdu+Lx8wIh2fFyOPCl4JOLShFNh1tPMncP5qPQUKr6UE G3i+oYWNFvG+KfQr2UdGdlSXjhvO+xTxkwbqhlYaGIdcI5BvqdJ18ATULG+47Tu/w/Hydyx+2U6v Dy1jNqTdtVUGW/WxCpiZl4AHvWC9JFEi6XBCpmj1rXAfgNYQkLVnFGU5skmxD/jCTrBhL/6mYuxT e3RyDvWqS9jOGggK4jKCA89zyPL7Z3pMbn3FlkgzrP4OxGm8WeQ4qdvMTBhLB4P5tE8G9uKWutFU 6xL7LGYu32EjxT/mlxaGx72CRf4bEujWvzhOYkl4ziJjYJn90JSgmhoOUOXFyJ7+uOgvPJ5gIofH 0jcQoCarhSkX/+q5UzUlYLHvcjUX6C02S7HHlr6726qAaePxm50cYM+E5vziw7J556VwB0XQwoIe PSPTUD+9Q0qqs0sNAsstlan1TKt3y3ZuvM9MhvJzfZLgFFfYwWoo2Yk20gA6U7JrtbeHRjIGa7/S w/kRNJx9Re62O1Kq4AHhQN+Vn/vuGdmISdUGaYE14wpJhme+hrmcasUNYGhqxXspwTpP+EfOBI1t VVV1XxEtAx+4ZKQXdRUNC6YxcODxPPfdWnKPr+jzDD2/qCIjlxhwEj8Go8virUIkE96IHV86ytvo 0Qn/bxLHtnuqASx2IhIKsXPry4WkELKYjlML7pntN4Qmefo9q8kgyNbOZosrLcTZhNJd0yV7kZqO hT23NgjzIB+OW4YWXgYJCRVkV24sUUjg6+hm9JBd2ddUpnFOyoRxPRHONhZiS26DhaxRTw4otRgB LPwDA4DB6MJlIxJzSEk6xKNcqfTfpgSdDvIm555+PDdgy71fDomHH9J8Q77VDJEjCjVhkKYQbGCO kSQxMx3GeHGK1v9IgTM/leKD2oGiTIaDY9u+Pd1Vx7MOJnAgmJnbaTUyYifU03h9PJvFvF+17p64 7lshF+Ravgz9hHeapcondvFHLGpdxogEooaZDBBkhwoE9wJbdXRMgCCfPneeZ9nyHAaaIoq1b63h pEtfc19rXZRrNL3uZF9P6gdiduCvjgErct+rFKY4Uz8I3LfCj096YjBu/VH0fF1pZIBt3eushuIb wxj8yvEA4L+AU0iVyvjvZbSr42591XmQezmqTibMGTjDy+1KuHMUtRNejVwkYRJfsDmeP+O5UhOM fdG+pm0Ma7kvOY5ODg1pub+0E5Y30tG8ZLhg9xiXYhZaU01LWz4k31FyinxLDBIIyLPAJ5Jjy9yX AbdGKBeDaLvlbbV7/i9JK5aZBMVR4l0LzZ/vT6TOPMd3HpoFIOhwBcfHq9gDuK1TrHEYKzXleV2H n7/1qLUTDCVYIC3r7AtcF6XLIE1lUienjY979PmpSlg1i1a/qt5vqdhFfMwZPOmG6BXJTh3qXy1/ irqDAilyzrS4fqlGcpGimXaposAuf06dbo6R7dlYt1ZcHIf0qpwREoHuIXRZxQmokywz2nla85Lv w4gA8qO5sNevZm0dpkZEUsS0Jkz9ihJjVYFURyDWs64fs8nMMiAuWAuLULiaBnkX3CBEokF5g4ou Ca4RR390euGwXI8ofHXYILto3/o7ZOBOH/vz0+5VMBGjSb5EkAShbtU4/cuYZKkaeHnuEF5f/uBB hQIFaqn6PzgelYaGsd0NlOYPPa8kDcGkoat5N3wNeAEI6sm1X3LC04hrOJz8XzjDzU4M9ZG5NVRx cH4Sa41xR1dzNmLPr8X6/7mBjmLx6jGFibQA7kB3TO4kB9FH+iCY/Huihk71Sl9irLhz+Qfnoeqc h+7PEp6m3nygiYjTsmUY5SaBvaNqNkZPs3yX/6+1VuRBt/dpOT3RXDhB9G4RktZe0XbxJ+mFZSVm LciSkKESeqsM6Bj7siAR2ukrySpQRD9THhYb+3gj7osVjuttJXUHiImLOkv/9aQn+d8L5oad/AU0 q7YYlyuZYz+FRm0xMxAJephe6DV7VGsFNlf6guoySHEmxfuMy9JrElGPyzP1gkHSJg444TMTs05B x5Pz3NO6f1sU9piGMIxluAQtKoyxncfPScO/G9FowWICzrf6JWN+HZHbS4oj7ZmrU8CMc5FIK34N QDYlHB8wshehT4K0ywH170jP6vqzRM/eOXesGTzFpEQN9TyglcvbGBNN3Vvh+nPGylDaodyH4doS YDdZY1LG5Nw13f+mfYXiB4FmIOuKl4JwwRy+1fOsiWRuLXxKicKHng6uuZgWzbHIBn3mieU6hzBG yXL1Pf0fD1jjeZiS7BxZZ2D0YuMpHuIcgYqBsfRZRM2oEamO/JAlvR6pi4lg22KDzXAdyDIBJemC kBr/JbZlLcosLkg4uWV+XD/yDwZKhUlKCVp5fuLv1/caFFQaZWLiQkWYbtzIstQsGlrVvkyGRd/d M+xAaLqMi8U5i4dp7scjwMot5L3vaDEKybY/me8ptsdBjmnGNoi2fi6kBdwVWSKicGg9sWNE7VeQ pLBXrmCOVy6C6vUPTyHghrRyPQTODObpM0LuZVuZQ5vAswV9FJAWn+CeeT38rrlz7Gl31y1BdwP2 C7jzImi7S+eu4gt2mnuEVw7nHRT36+LRGTonSIMuG/17z0y54FypypO9ybKHUJa/Y8TFvz3I6YjM o7/BnPe8B5v6Hymz38BvXYhsrPxHNC96UAe/xWIP9XqFvMsEbaT3xKy7g27qLilHIGd8dUvEm3TE IOKVakyhlylMr9zVTUBI67jtxA7EYsiMYt5rjvofdyJyj9ukrLNS4rDPszcHFq/b72FCDExAgzsX BpBK1BbhRB4NkKhSY92wF/xqwBIAh9FbZpORmogCw0DCjQlAM5qW+qVyedaX3jITTTNKwmio9sIC r8NQ3f9RUFggXI+e7Y6DXsgq8S6bZK4FjSa/cAURK4NvbiQx2SMew6aXUyCFL6c5Y//f9M17htdK cmMbzAaGjcD9y7HFD49e0gqDG2FQh4SgsGJgGVncqglJrpOQ4wuK3wJf61tPPbQ3NgzvvSKto89V s4EZyXm7vXovG1zxTYdnq0W6TRMeyIjlLmCojmBeEwMiRlhjekHHj8s5lEy/P6mwT1buFOe4p1Nd 0qK/Br1bkACVHxe7U4vyFfCIA87JRAjSdUIq3+dtPsrFxZJVdiD0ifcwHx/BbL6xOBuCiW2fA65c BWV71SXwcE7eO2zniULz2IHpB9diJ9WI2xmTQjOGj4n+mc2sMIauWZh+xPCecIRyVHM8Gk6CC/us rFbZTNGrE1DZa8vzn+jWO8vuBYuVKKJYy6Z+WIV1x5mzRUPZqMMFsaZku8V7WGeZivWED0WikyZh CC+Kxcjg0T9pH6lEhWfmzqLLsU4diQk6GwsahVTvtiHJEykXGx5cplEWsbxVcimbvtH9Hynb+iUb wyku2rYuuX/2S6vp3fUyvb5quneTHBkDClT8GKldTv3xzVKXhlTrB3fXVU1Tg9cYh3GwD+r8/0O8 8Y+89twmWSpwPCAnQss+DEVdCfq+lru+CktIildAldOrJkufzM46pa8O16avrEn4B/xe9NKp0zvA SzB6I9trmwrCQtsoO7N24fmdKRrU4LyYLJJamISwgj2tWC4zb0InrSU3lzi+eKE7ISKOR9VXvP1U qN90A7fJC02+iIpMQDiWoe6oO/B17HJjXFg+wDHpnlBA3s/vpKahSoQABviW3X9ojkHsLwObu3f4 BrADXZvLwpRN1P+R1rj58mBNdicSBL1PX10ldgaQ5gxMaXn5ACzb+r5DIXgM0H2kwhEZtVmsLEy1 f+d1wTQaAzVl1V0+XsnSpFhx+iJsuD1X3LbABiF3All8LkGK6/WZOjEiEbBoisP/9Hn+M34nuBFx ow4FCSF3j0FWu5pMiaXYfV85/7FckGPNkK7kG11RAVt5sEezEs/UsCFQHGlifDcKPXRX3YdlX2Rh UETbIMxeK6YOfob7TT69cr0HYtRnqXJyyf5R8TjTmputxQkYM6NKTw8q5Uy5mNgmCQbivphSWTEB LZDXLhx6o6bqKGUMJJhdeCGQdQigAB4tcrFXzS5QHbh4TOdYzqIV5yBw/NSHhE5So/Y72wjNNfwg MnzJ+2cG+9yZ+4HjMjgl19ICH3lPslmSuQ5oS2u7Ukw50AgA3M31gz1VzfeBnr6PbUyD8yp0tZaw fTPgz0qJCrHjBqAqLRutjU4/noRS++6s8aJOntKLQ2DmaTW9Buq9Oz/ZjJ3lcem8+albQQ3X6ETn r6hzK/V/UFXSFZDM9Dbh8PtuTWlvLal0fKTSgl/TW0Wma8PppnL8oahhLFPBSqZIcnRv48e/Ikhw wpHYhlR8ZtY846gZAeGACH7GnqmH4BA8t/M2pwCpvjGPwXWqvQUN6Zb0E2Lg0yPcSEJ9fyy/z5J6 pzXHuAtzfdcwGiCT0xyyXzU4qujDh3BCUBIrECah4c6EbEYO5mu/oE9bLi5vK6twB1lv/hGfeYvN n0ASRyDB78+jPD6XgvauvpuZIGdiU1Ai0FurnetNmsVRGUnDvnUK2Y+NvyGhBGZsB9xCP5v00Jc4 Xgso2Hfiqup0Cdakt5v7XWNE6t2kPiDBUjVNOKtMbpqIqzBt6+6PsTOBeK20U2I45SRJ2y+gmUfZ e08hzraCIEVc9iI9xuoW2lFS5GB5GwlNCyBlmMhGIT4VYzdqjF9cyLfY6PQFMk2Bhntw2XavpXkr pKOEjxbddrs8jsTT1D2ygTOhGkFpiZ+zTtzyGDcRmEFrGCuYmFq6uuw+dAegl4/nV50lz3P8hwp9 wKAOlATII1Uay/Sz3CZT0JoWduXE/roUu3B5iPin8zdNgJIsmu/RAfrJjEIV4M4zajU1xapm3ldn 7UATPyjOA6Pan04SW8whxYDKQ86LtmhyKSiiKaNCXn62c2NCGn0UBxfCQNm9cQyCOncqR0lznH3y US5ZkBeVUheyQ9qgVa/IWH4KIalwGVjJ7k6FMl1g2Be59sPGgtCpbKoKgz8boTM8PFge/K1FyU24 Rl9gS4ZI34Ha1EupE5umRNSh/lClpepavOaEqh5D+CgYJC8/ssDMJpqJPzHxWEr1u4d0x8yotSsY os4DmzpfimHl4hPynJ5kloRIai1s/JfhWa4ATBnsJGWrttBj+ygt8rwKz44coOnRLeoxAtlaQlNG /j0hSIku200Pqu9Sd8bScJZz2H213pYAuGxkDTDOC2vJ8NkYu0VUpxw6OlvEoeMik3NZAZEzmTvp NwBKpHtjs3UCGGsuMA7YFLup6aehw9PXk26mhqSLflgLeAmJw+WgruZOTefQOY9F+Gr+pLU0BYSY qNBke61i47g6l8hKV0zI0e50NSEIkosiAGQVAgB+bsfU7pQ7sytlANwS1JxSmlwpOMyOKvWsGPnh UYxd4lEswCvnf3746qR/40fIksT8xVcWsLuY/i44JI9OclrRCpftCht2QZkdFBA7ZnNRKaEbZ/1q PhS/lw7rjV378Pup5naQAVrwpbR5hgelormFqGvO7fL9Hlve30jovFYSpJ0uBbRadiENjbzay1bb ehpJsnhC5MIxQEONeMrqmBtO3LPKnD3T5k9OmofuRo3yRzbOBiHkDFvGX6RWINYlPo+i9d1BHqGb Cpg2bQsV+d3Db4HS6JE71/bUlMLcXMH1b+cIsNJsJjUDz/uml21MSU4JkOc3EpLgB6Z/xxHPS617 +h/Rkx7Vd9STixLZ0Bdmn+kX4ZYpBoXo33bbyrPYiqbGCTepZJ39+ubQkuuBBCmzVyj4DuWSjLhn FjiCJ3k+DhEmG/0XWTdS7mUpRLiPIv8HpiRL9dBLqYMRsySrHTJ45K2PEIxDH60+8wGOiH4ten/u 4pieNaxymAaKNDfjtYPDgKuo4IFrIzTFP/xPkvu4EN19rBPM3wwb6JR5YIaNMpIeAH6f6AloKmT0 Zhoj93RcjTBAKugpjvVsI6MDGlTvEVASkrjGAh3YMrxTtvAIAWmMndA7JwENwWU+3rDv+dD9rAYT J97KEEtV1/kMUXWjr3jS/IAbz2Gtb/3NbnOGDVfqrnGAlDhrO95KyvOLPStjhOYKn/ndVfoky0Q9 X+WpB3Q1/TDBUTCpTW3eIowNHV9gwal+agYycbGcFz/SDu/nP0I+wakmA0F8wgRuJxsofCxpeuGg qCkC7YSAVKfZKKUZP4WNv5hORCTEcwK25TznC/T2eRPzk5+mAcvJDt75pmo2BHkei0aMnlb+5cCM Dn+DSqo1zpVeF/ckD82jpyHYDlNh1tEiw7aGtMvhy9ffxPlnpuYFhIVLE6Wul2mTSgihIjmNweqW YLsKQUzsNUxRHT0+h5h9EfDtRJET9hLKiDqkKO1msHmxxj/qh6fYBLEYM4Ihb1ruVX/AGOMAQqdK Q31eveMHCNAmGsDlAINcEpiE/i8kKqZPBGJlduXeFWIT5MD6ePdmVCFlVm28mt4yuDPdEnY28SZL Z/b5kyijkFjZLGYUXpIWz5ugcrJxJSWYbTJ0StVAoOQEPOH9xCC79ih3qhBO1L3fs6eOVXQZy/Ib cnl/PFQojmx1uppFtzQpZvA7p+jeo6ttlNmmOpu6OOauSYj/Crxlx1hvYLNfr5GAH+hjQgZhbS9+ tYI4uvYozRJ9asXUcxscEYfZ8AUcPWgIxE6Yf0Y8YWS7N8KaoZwG02o0M1DtX2wTb9eaGFXroK4p HsphlanRDF7jHyGGVgxO+7f98wGKhJScOo0t3QHk1Uaq6347Q5KVuMekM+h7Xr4SE7ju7IpzeEd3 MiL+2HJepIVyPcVoYDQ1znEzcSM/jZcfC3A/JLlT+y25dZWCVP03hwY9UZHJub5jjrumGuONw6qM MAaIea/qzCCb3d/d9hpk5R0J9u/rwYxiSIU79Upsn1+WGx3M4UZ+0Nz4xcfhC2NHei+SNfx5i7yu SZ8oTJLKB0WjscVz4XKAbFTdpoPSGKJs+SAAeGUAKB62DrKtAqdCqGckxHSaMCWI/s9h4ZkQSTTU P09c3WKs3G40SZ39ol5RInufww9TAqMIh+zjsSwHiKfuAFk5jHbcHPBCkEaIsjJgZPPdJ61zD/iJ PX16hVdjc0RHBXpot38A+IZ/WSKGAgldJzMBBMLwuTrThZy4wwdB2wFkF230+4HmTLQs5DTPIQUF v4CGorKqK2NMfROhLPmgh2LWvHwArj83xq/J8HE7YipSue8Jzo2eb+AZfsjJSAOuVw8bQ44mMojf C7FEFig/7Ved+OsQQeHt30L0Mox9vRDi8ZjhakaeauViA24cNn72XRXePHp/tutVFS/FGfE06jeW wTkH3ApxHIWl5VZdLpQ7C//Y75aNwmm5I5OdnlM3Ayog2pn3IP43hmxopls48N1X3+EjxBK0Bkdc FNwwOeezi1dpn5IDlrGj6IjLIhgsuzjqKm2tNL7cSdMxLHXB77nzouQGh9Ls59ZaAC75frmUkVW5 8FQRh2h3GJj6euW5ZFx5i5lgHedu//9/a/nlJEWlG9GAinfRQzP7capnZJPCocdxV9Rq3qe1NqWa nKrxHRiK8uHqQ2bhY5atOgqmgEew6fdcYy1ptQNaCsNkr8aEjeXQ/fXQcKbrbbOJbaUXqTTJ//CV x/yiDqj1mmyvFCVldb3Xh7fKjj4eyn2w222SErdXZJbmuT4UcRN2Osqdz3bL9Jiz+kVLaJAPUp9O V3/KSwHd7YbxpDkxGR2I4SAyPKE91Z+PQ2cJC7xHNoVELyPvtuPVtIb1K4+RbJd0nDTgIlsJcW/E sw3tF9wQ1B+el9o/MtSqbGALkt2BBArwBj6gWJejRZWMZ0Euw49yYZ38J3vqOemnu8jZ5gGEDCEU z5t9ESWGcIvmZFzopaRSC34B0AluPTz2x2AzmVLzl9AZNvnWQg1UzWksBHivcTUUpZX20jCDstfe SSh8CahDM61oYHa38DooK8A0GWgOtXfZcyBWGv7/whn+67tR3KAq/BcFKfHnnYar9eR5F7wup2fy d8n3G3rDD0r0NkhVEHvhkTnR7g5B6/gsUb2AVpv0SpGixAV8qGnXfp639YUXJaHOZnNQcgQoGMim uYiAxZr0IgsrUY7N6scpCMkoO5Nv4is9foMoAXWb1wBkTKjRYi6VTToD8gfmRNhzgIUA2519KvgQ Pn/IGGr4df/I04WR5dvCWV6SJJd6UDqQrCl1Xku6z0gmwBDVLUf8t8S1uzZAdNuSDdydG9wRU/HB jhOA3SKGvht5fx5bkVJ8rfkHsGoBvwQO3Wq5nZE08W4Dyl6Wqf4Fp0WeIbdggHGF4g7iXLB2/o4J fOJvCfgEfWVOGPxyUzP7JCDXxLN0hB0Sw8GPU10OAKw/l1t2tQxnNbq3qWgl2kF3UtaiqRFynljh 1bn4BhdN/52ZodZJ3A1k5g5dZmrv56E5PsZtVr8m1SGAjM5kE/yCDbpXVQFCcIDQE52fPnxdhXiC ZjpXdIrxqAYjA8wWnvHIacA3xVIEvt88oJ1ZKWkEHfIoN0h/UjXA3cirzgUwtKCItnV0Xi9rVMjP +S+DK8tOUGQolucsDsG9U3uhxfauOToVLXulQWdI9GR7MRCHzyX4lkzerj9kYWHryTxzKVOQvkRe UNoMTEIFCflzBi5Z5QeMWkpjkD+fxSgrg1SqZslwvyDSlfASA7Nynq7KRU4SaTmqa+2y9nnf3BXk xkriuLpaUUPygNS6LmYzck64TLfLGlYzVJnGMx72rjsDPfanOg73CS5aFthnu1o3eLiyUWYHGaEy 5PUGKRcrJGSWob13CyH6AzOrRul5YPpulXBvT0IJSDHopMuGV6QMQh/yQccdS9IY/4p+5oZaRzuJ sCjqt+AdmzZVBTmrzjN/r7kdWXlNaKfOISlfGros1aHXj2tm/Nn0XY2f/8gEBldqArMG8GG8PzSE 0ZiaqCTWPL9U7odnl+NHFqtC7UgAYM2HogLU1NHn2SvKJCmTi/0UKYBQ0jS33l1ItQjyzk6a+lNk 79qrtlExTFgCJOhMg1aZlVQys41mR0asuB+WqeudYXLMt6IukIeKrQ/tC6oVgCejMSmwJkmCG+Za OQSuovSG4UJ0vw1Q+ZYVpykPBiawGFeaasuMy6IBolAJk822Rn96t/eFsyjw2f3oI1egKabiuyp+ se5WRuYCe2V3Xi7+O6s38/7GzP9KnGWITqJvTaJuPWjVmyG5PKGov9zAy+XBJVHbRqLa5wLZsRYR 33G5P6yqKNhr24PdmUxZaaGZE9I5JR8GBdR6xukEfhYatpbUwI5RbQ1pXaWkrlg3MRKM0S138HQr QrC1VvZJXjP7wjZW1hzCnsn9AgwLFXgt1T8JonPNTmDA3iva3dblR8rX4J2Drm72cbQi9wMQ19JM djXMgqeOHCTC/fYzcqZlDDf3fIqSpk9pbuUbdAXE4j9Whx698G1TbrrYH3N0kBu3V2Dzh0JFWC0v sYiyDl6uIjpvZbb5Pl7vdOclsMzggnt4OchC/ba0l5Jifjan5zANkSpa84XLMPJl3DsPVOfyCVMz NqfoAcrd+UJwzB7Go01sY9hmCV8wI+79OTEJFwhsYloNuJSMmDA/M2pxkvzFehyEpbIEeAPml0bR XOeheLNsUTDjnanR3GRWtO7dRFMeesLmUNW4dYtr0IaNK5qPpxNZfTSEqmJobT4myIE3WrQRA1dz WhPJxra9/+a4XVkpyNePG0ljb+xTkT1OhjFljfj0n+rwZEJ1owrvPP0P+uSoAGX2/vvagT2awH9k syn1kwlZUiGfp7eAREZy+ym559TeULPPPh15uH7jO8QMXEIb5RBReNdlW8nMgKjPEdiqWwq7r4ZT FDc4bAnzcj8eUqih1bgWojsrkqAx6+mmQ3C1sbwePL5SJrB2vmb5p45x0YT22wQRJv5AtUm1vvVk BcAIyMtAQ2lhti3LUz4/l+QKsi121qdpih+pJZUGAm6aaFjH5q/ZmPwUYjMTe00r67s7s4Dy60Bv Z+xq8QegTb6tgm1jYLZOy7Soo42BvxmO2ZvnriKRNWo8p4/BEyKyZ1awYxPkfWueiGuu0YeCayeo gs59tpk+vLYQ2AAZuPiKewtMH1oVpnbY54jADSZvG9gKcvQYZ8j9xLDV91OGPn1qcrmnNxxRTEPx H82cDNmLBA1HhHd6TjxrmdRD6UUUI9uXIq0uN/k/ZvRBx93Or3T3ntwVQuQQcQjcMlWj1zaAU3NC MPbbX54tJp0pp//p3in9c0XMtfmEe43COYVOg/oWqtNy2bD05l9UZCK/D7T9o1cMO8lDSEkNGDQE LCQrDOkP3YKrgg/L184aGfLvUVYJo8UrgXxx5yptiRx26PU7/CjHfD76NBXaMfOUSUIwr2dzplB6 rsfZMHvTMzCXOt7NXdg/aMT7UiRsQZ/R9+8j72SCiOS8OPeSsZSEd340vf2aOMrinJ00iF8fs3N8 rfJQ/6HCOgksDFstABiQ7vCIyUVKe0WfAWP0U5qs9i1Z4ZtAmPgYHZrJhOVxFXPmrF0V5cMoug5d PMd6bS3wCqiXTZqVFp1d/85Xs5tTDgyApwtVs7hKm8mcfrM5+kp6pAv8IbVHVnlxeohdBWy8vu+p V/fS5xrHIlRPcog2/02F0QHDx/+w2gYAsq3gy5mK3A17ywRbi8Wk8pfZ+jBvkEUaTIL1N7VjnvSh e8eFrH7jigmtSZV5TYacXj9RreqbqSBK3udZ+MI/4zp383EQsqjJIEmw7BccXykzzBFvcKMx5VR6 T/xavnRHuUwGv4hdoW/tQ49aFId82TXYWWyFWhatowThzpu/veOn3I/g09sCSdqILF+qAKgGOgJw YQKzZ98W4hfqJHBMro+jbAdtRMTzwc4OLCx5mPp4oeMpZ5lYU7S88w8zAA91ZJFIr22MGg4SYPQ1 dgK03ItB1P+lcmbbniNUfrsPrU6m/ef5hzSKWRD0qnLK5NCqXc4rvY1ISCnFpEDX0KaaAe/dA1Vv WGAF4dPqJ107NKJJcO5TyII4NeKznGhu7M7MxTqzgJ7Pos9gpWThCYvWSgZ8Xiu82EF2wzP0yBJg iEd7oLSknYBPkKlivsh1GuPgyoYMJ7WraSv3vFAmWmoRkFZpA913/uYsT/bIMjqzpSj8x/Gd/MLP 9KtxLvUzAlZBH0bvmhEr2forzL3O/z96MnIZafHR3TKkIS9bs/2Zvp4T67zE3LlOzwAWzcXZ8SnK zLmWpSjQgus+4w9KxItcykeYjjcABFQeux6Xa4MGvEW1mVNDs/9Y8+/P5pLmPA70kcKb2kxNeJrU 2z0RrchuY9sd6HlJXU3cHxURTVYIAJTcVt4RvOZW4p79M2dZnGunj3w55wOctmYIbAGjy9M9MV0i jxbjZzxzIbMUN5lDFdzHPvgmur4KJWVSNZDfTCq9XfbT2hXb3S4Bs4QaTbNQj3CgpYVcMyEEy+T/ gmqhjlnGeQduyl9V5NQydufPqZT+ljuqvDtYSHuyUmw8pgVKmqOUz6qh+tPpUO00D/KOamcQdIjc ezqreoUruXiK0yR1ofT0/v6MqXLaPsATQuf2c2GN7lujzYo13IjeIXf5SR5dHNLq6dxEaIzxYpNs /KjfJYvwY3c3XiOAU6al5NhojZpy9qBoRufgv8hiOcX99pwulrl67A3YSn1s5OKPevPxaDUeJGoZ JRFx5UlCfbDtFywzuF+nXKzLn6v9U6PqUwYlzY3cJNIv2jg43SDCe255hswuOnN6YkhTjxbtgbnU KHqMb9QIy+AH1FBvCYW1p3Jx719KknNsAba5lNDHFXRmiTNzq5i6yoJNhtUp++niJoeS+eTgg51+ SitCC76V6YUs0x9oEFrOzALNy0lBBVF1pQuPnb3wQC0p1z2RUxWxqrNNkW9l/BNLd6qQJw8av9k7 9FA++msMK9xksNnjsUfdtuKwFrfj4H+guRSsquSvIZ+DrShmkOR3rDDKFydvV7giEDqljWikTcJG 4wfawraNGUUET47GhWb5hBg3cDOx42ioluu3Vc8hepqMZgH+5LWFf+nqWe/GsmGl7Q8oL3UKwtU+ HaSLuiqjcm1V7BKxr+NDg5lbw5CpYiOFcDQqvE4p2RpWqsA6EXi1RhERy976MBLUdaG84OAnJEGY 4qz4kmmC3QmRIOlcqp3iZY4RfAdvB4ByAAGitjshuXpioDrF8WUS0LxjVrWATv/B07fbBKCBYCIQ qe6w9nwGOiu8k5pnOqkETVr+sHZDABQsFN2V8iTKQIQrPLid3IMShxLk39DWSVRUwStXFbG7ktyh kdBcVcMYtXftIFDWUHarn+yvlkHtLR4DT8Nb+Y2tnHwRFTVdm3ME9ZKwNM3JNsdU7AgXL4oNfuLP 1GBnrH5RgcpKDar6CO86MRtlynSlmR56t/rhpM6ghO/sfKj64UNcL7efEQ74LDljpMgmYNGQJXPD C+kWSZlfTU/bUrHG5irqb+nQDI9wqITitT75iKAYbpXS2wdwcoWI8jq1IAfJnaodbPZxVYQctWBR wC5AoHZ9asSfqmA0ByKeJ3KxiD/M7YENZFD/Bc3tgvNdGDIB5iZMcSGCKjA9idintUA/Bu9IeX4q qE8ASAEAw5kEuw8MjxmNOv752rJHoRL8XO2PWSyfBQiSwakKHQH0xjgDtia7JIaFS3ihNySGmJTw AxSuZzMi7ugCfObydPfamveh4hi6X7q0f3iMdJ61qLnkPjrfYG8/Bn4hjyzvkEqUEs0DqfMveEmQ eN10igQ5MSXZ1rr8X3WE7F42dwQoh8k0U1qDTHT6kc8RxaPLziqpvamT9gr5wQl6QcysyuOD3cr3 9bHxkmQv4Dw0xJF9CG425s59OQrp1KbpBcaU0yLk/UKYBWSqi19opS5wd0Cgb7gIPBBMxVzQNP/4 4ioBzYqUUMBLoOjUDI5U+enJxm8QsaHKDVIamBBEtS8QE2pseKtbsPvvS1pyoAgT3cHIuX53S4Yq g4ep61tTRuz2KFeIe5V0mBA3rZmdbNYPe3TmgEemcMsnbAdWCIRwBzWTekCzrYJKtuMm53jk8KjL jew8mOGW8g3OiikYPA+Acsb03Tz2GgtOsbYQGY36pWVQQLLM7V+tPDtfiJXGjNJlhYkGs15u2dr8 BKAy40IVHgXDUi862j7+7ndK7yKD6NN8gtesdyzQY2Zak9TWM7vboLbqoMR8qQgBdSpdYi+YfRK6 HNJFH8mN7Pq9mEyJ5qwwDBgZHAj4kibeaS0p8NjN9Yb/830vJIHXbgE4B+rP6Z9o95fWbQ3+ybAm b9VEazZQQgKOrt0APlSocPb4sAXX+Acs10Ss+v0W+jNCIzmubl74ECRvvygk7nmINcYL/fuk0xOF pZNk+MX9+dPdOVlEvlI/I4+rjJY2E/RApCsLbmhIWQB15foh3tTEegDuA39bedwtGab73NAaR4G0 HAN1IIsv5D/OAoF920MEgxxYS6KCs9M/w+kbqZliPtw2cIoSMr+4w7b9LMSJmhmn0uX9S7NyOps6 gm3ot5LzJOOWsNdRdN8Qz7/0CSzBCtP3oVUeK0LpdEN1SFLv19eOs9PsNqovq3ddo26h7uOBl0xS DkIySA3y0anDLMTv7tYnfQ5GGVM+qHttvTJ+zWWt/fP220Ji/z7ZsX2WzRT/323Pps76DJ9Y7Qvo hCQ4oT6NY5C6Eof9FZXu2LSAKj0gq+RD2wsT/KAKHnMsEd98tUTCYsR9l4HtTz3h4o9tEiGwnaav RiFjQzUJBT3WojfNEdeb5Pm0RIZ52JK+t284EnNYIKZw/3vu7+Jtw/L6aPXCxOCiuJHyRuzewTKf Wy7SrnNwcUZZaXCcOXOPrPRUCcpBOR4JWKYTsaE+GGgrkjSW0bx0x9/590Rr/dWBgz9JkOFYSXZB zy208+OHn69BHYsEXHlV8AEZp38jD4Ya/tKiKQRFy909gHMk/C0JaRNelXVN8y8inY8d8VxVS2i0 qVAOHWNFkImdnelGV9hC9Td+wgOtW+JIx55djLSM2uiJtBkirk2f4wKOWdCDbbnjssw+4hcRHtfD kzbWhily573IeDMIYrzqkL0VPs6jp5Hu/8MqjTx2h+z99zIFQmFFteAuHEXB1CBBdMWFI2/49AoC rTH6B+Mrt/wSA9DlVnLpag3MoTuQYru5VJo2/bG8w/SzBS4vIFSmTLXdpBZqRwmWMezKjuCKX4q4 UZ7gJ5Tpr1wGIqZkbkrAvSagsiKkg3bCq8M9wL9UAskWPyCOTJ56qn7xpSMSHat07mzGF00KExe4 NsLTZl+2dDSkXhnYEBV5hffiT2/ISFo8fGgYFXwEsejng4a6DrJMihoTgV6HXZeGw/yeQhII+jNw n1h2hsyfdfThlSYfsfTzu/oR+RkY4YU3xgjQJ09LiM2r7KyKUpzchVCy2IR4/2d9pJP1OR9nLj40 qCiV7d8+FwWWIvU3g4eHa9lmTVWrHosJo58HY6mx10AsIhkQ0R84MUfk3EIXcFYjTUtOSKJlaFg6 A9gJpYYVIvP6YO8Pojk8d6D/aJLfXzi0VgwzflFrjnqwM+fnusSLX1LQAlRDETuZVfKKgYpPlr+n iL1uDALLmGARwJFlK1tzqbwvFHWHevbpqttz9YM9Q/HDLkBiMiUwr3EFTP9290/vDIWQxc6LSBXB W+jsLCQ8VgODHJM850g3HHEZ6QKheBDDtPpZvvz71uhgbFBByxpDbCjqO9+MlQ/78oiOQqz8t8zc cxjCxwZh4QKYU5J/J6wL/ZB5MainwJGuT//+Q/00NEx1VmGmcx2X6IAE3PvzenXXW8hNLpdARFvt Tjn95ZL2eQC19rrXnqQWvBRUAr2MT5jw9tRSCRrLZMO6GR099dm/E5uqxymNRGeAs+zQ/4JNFVGy Z9Pl6iGYzXQphJJb0OHFX6IHFE7+id1wdDLLeIwFXs6RuqiroP/bajyWA5RkmV1hzBCWG6TYqSJF rkaDBHJ6RMb8fhXUUKRisHnYPMDYv4om4uInexlFq7VuRhpcUVjQ/ijajQoNYjO5jGpe+c42Gj/f v1iVQx65H9sKgPyI3+OXXh27CFAaKzI/tuj0LzGWd5Q3Ym4ktygyqNAAwUROlo/psMM3LSDKoiG1 hmzdH0faec5IWNCfgHms/8ZHpxzp/Od+cdYVUc+Tr3oyHuK4Vx1PO0vKyNIQidfjxffLE4xPGmeC rsHEMYMesbC3yibtDsnPHDeIUkwZke7lrDVcGOIZkNaCD6UKTCLCOq4sUZtRjCgEpw/osfplEGmV RbWTqbU0c2HvVnDTDzlboSu+FiEIODgrs7nFzM3TJ+beb7jiRtjLzwMaPx7/4CLzBLoHvWl7KeKg E8h5qdvn84vewTJt+RbqI+f17uE4jkHa0UVWAucexDGigQrEsAVsSRbb+cK5vRUXK2mo4A1dQ0JH mWFwfHWPDrzax2eejWiXyd1Ek0meRW0vLKVGAI1zf4OM2PmB892HSuWCOeH2i1JX5G+uY0CwdC7K Qvnt/n1nOU6GfXFKVbZmu9S8GHKmeXsuCekrPU27jefvluuod6fnPGefVY1d4nn0Op/LSLNrMzFd 2SrQNcZGnQU/6+miVeWCn+jkMvf1vVZqaFtL45SQg4glS5I6VK/MqJNyJNS8fX+7uVkSBV3Ku/Uh +jhDNxbv2+OBN8tcV03PTbMo+3XQJSQklwimHJux8wYDKYjrfsfMCHLoXogImK/dv4gaDbjkpcxV wYmaEgVZqf7+vMqCjQeyyGisjV+1Ve0ullwKbiWiGGLP9Y8dUNGeJiO0U3xy/4kU0yfaNnpdLs5w J0DzCk62oNAINQojujy0nGzI/97Mg7ABVFO6dyzgFS9pN84s/ri0XJSFzwhmQPz7JlOPf89kXKmL GJjUYrr0lEKZvdW0Iyk5RqhSvt29Pv3k5tFr0NOYo2Cw0lg1JuUZTcQirm4xrxPFhQY7gXrR3H5T sLdl7rgbOXS52NNr/8nBblWdcdV8nvAxlgZFR06xS3uSeXt79q9qUryPyl5oSc9OyFB0sB1C0E73 Upk03Zz/mHwxxcb/xUffumooZ6qddhWb5+UhoayugftrRDI5qyM319jIdWwQ6OX2FkG0lSf7r4Ed dh8U33fwhq2vgFircDvR4MaFgz4xymvpxCZ/OcWFfD0Dn2B4QF38j1n7WhtVBRWRBt3CWS7dDyXi fuOqcdRrk8jv4URWQ9O0MAlaPbCso19lDx7DxjcjGaerYKOsPmqNSc2UL2p1m9nPYqLukeC89REU aRv8IEGzFCyrVXBACJcVeUt6UHAJNJ4SSOm69snRcPHTu+rPuCySyjhXw0tnljrdRnwLkBDGqxsf P/IyzMJY/jnTPA3+PBOXRl0dBzjaRO8pnRmCt4c27bigxOJXIyr5Al+xlziB+Gq/5qeFBCVjqpKt FDu9SiSagBpFmbhVPAomXvMC+LqOL6vFdknxN5SVOj5Tmekoqmg1tZlWPDKikQQr8g8rg2l67Avq o+xo+dk/RISOo1VvL6lXhp92Pfxj1fSlePBeNZ4eATZEfcDabULa8k2YP0mXtv9DXSasgmWlCkzf 0Q6sQYqJUSt0TkMLcj1XdkFu1LmzMEmt70tTG+59WF+qv0BqMwWlmC4fR7t/SnvG/pzcfyqgZUW5 TkT2ycY4SoEA8h7BEQ6ABw4If5qSpvUioJb5aeP/to3UQcarVc0wjoiomiReqk3tUdrE17XJsZuF sImrfhcLbWWRty/u44eOlfhWX6Usp4VVo+KXQF6kc60t3S1zZx1cdrIq3KVQWub7Av9R7+oSdFWq 204WMnj2AlDnClkJNR6FV/2sVJ9OMbJg1cw5h1VmmplPKkomrjM2kOsXSS8SQYrx+oZFV6BeQc1K EwroEwKDuW4dfTRQipYGrfV7aW10I5hhZ0HQbXyMlT1/rzVqSsRus0v/QIR9KD7fM0a0g7LUNjvb uIasL6cVihJZUUTvzvl4EVnrk2rPULIX9KFtNMiAlVa3+mfVOJSLlrKICzJcrNkyAV4WUpTBXN20 DRClsSxyFdTvRJNOjxZjiAaEtgkJ57SfWytwPFku3xj4a3uzNcNqsF7HpdEmxnp0gHSyZJHtD4To 3NU68H+/PU+9Q1K+gK4fWcAbH/o3aJ1xsdDdDRKg8MWRrwUq38kQaAgJXMfITAeJQstolqBMcir8 iR4G6farDk0ePtEjIeMLPfz2Omvv5KX3y7yq36fX3IWetV5lSM3rRS+O1cfVgVPD0FfhxJMtsm5y bqfPzB2at29MkG3CipvY8Lv0RtMpqvkhW3wE11mUbEMoKTAq8u6lxw4xovZ4r7G7zkT/U9Exd+6I IgFFNCWNw0YNpk8VC4pOF6RDE8krSEFtgwEu75FD6GCP9m2jZqWLzeRgt6deFL3H8gMJhwCr8OpW T2T7vWLIIIy7IKPVZqa6xjYU8rm4gKpvXRfeNWwNMvaSgnbET/GINO/B9dMMTF8JPPNMDTQlo9Oo l9azJxh8NuDoaZiqQMxQHR7pjQkO4QIQrPulQeJJ26KgL0BMc9QVCQoD0xgWjTNpG+ThQt81Dv0A 8wemm72HHU9U0T9o7pMhWsCsL5xG0Vxouqj5mLLzuNNSvMr4G375wZT7mV9+QiWqcMxO1Stbe0um nd13Tj39pEW/RKxIUyULOaNnX3rcsWAOEIBvREJy8ipXIFScE6vh3q6JfSj5XzwUa4hpM9q0qCzV sxaqtoAPNWLYCWFT9fYFt9G5cqdMoNRNAHEDMlP6an3dwILnmsGM3Jsiwn1ulEyn0FGBo68hlAoT UWk+BvbTlQLSwoXx8sKXgcN4VaV8H7PJ4w+QO4cTctP3ahq5lWEFeJjcxP4dtK1iQp9FAWwLlxe5 P1HJMS+BWR9ox5Y0JG4CE6zvCQqtFvjKRYP1xZDorcwjLWB5GNTqZJR8dUhKfc7wCgAVcI6aOZrr v28bk38LC/tEyGjn0GnI7KB4+gGpJ43LlConhvWfNb1amYKFmzJn2ZWenYjgtqINK/lQrF0icyhR oWisAuFhVwxqe8p0ivugZgrdi57jQhKfbSiA6dPpaU+U863D+nUBSTaRq926X32ZP3An4KA5XOkf dM2P0SpR5RiUrHSai0qNJWwJuQYgvxJa9C1sX2I3s9unOAVvfiR2PakkGaY9k8Jd393UKwAMKrWe NJQ+PSycsm2Ure0biQM8iCahZFGfb6hq0yCwruOzQKxkd2ma/C91EE3wGMnTk2Djys7ibuIIB6Pk aWu1cLWSVdtkLUMYDzbvOT4ltrR6gZisTFoRL4vTPRDhXKp2encTfrEd+8KUXkq7tn6g58t476+G nxhdBReizumj3UbOMyZhF5WhQVLw0tn1BjufnyzKcWQSt9s6sq+t5Alwj1ZXNCL0A66dGfiXvWKN xAH6QjOZbfuGol1vTYEUfx0MjLT7eJGsgEsunr67lkr3I2p/5zT+JMKpwlSGv15yYPHmcT3mE0Vo VCQ4JPUiphnttjO/NhwoAiiILxpmwjYUtfH+4DjeulOLi2Co6K9plPa03xyZO0Mnogwa4v+BkEbI eDeEvD11A0LfOAKYPd+yY+kD9xPHOv3X7yIUrW9AFjEPbyfiRwE9fQi0DnjxSlyufXnKlUtE6JKh sQZDzryV9IEQ0e5CV0s1AL25wFEGAX43DXBpURWA//mgRdnUVH80BHCb35mjFYkSKDYL5f7+CWmi NUDbkL9g/hxu9K53AGmu8RqTOPgE3hK/RxI5K9YUcQbAMEF1OHfZtaPVgNsuyq9zUYymzWxtamVb H/lc07/H+A8cE3gIc4SSCxFXV/duzGodTWvzvQ7hGb0UInF2um1goK/XpgmwWZtg8e38+Z2MdOAf XXiXKIFtM/iJ4uuKuRN6Kc7q88TWEoKvI18KniV2SMicZiR4z6q1bHDEO5dohCOaj6YOFjT7nC1T eYhOgNphXoFBu1GpQV+2i15rzO82kL10beiVePFd1naamHypP/IxqdGkNOCN5aaq/jKp78PfQYpD tdBrvQLJi5K+MaazvEgpDksL3Q1u8UwT5IZcDs8ZFkkLwy4hzyp3kqMFj4c3KEHoxAoK6Uoe3ges eKXgDN5G+245c8bd1anllwKDwG0618lDMtyxAtV7KZuxUuRGZpdTYwQ4/NOVucPDvmi6j7x5eOIb R+Kc0S8ozMq0SaVrUuWop6sbNiBV8e+EE4BDXWmgRBZRc3qlrfhO9LXX8eJ+iZpQbHWN5bSFmpL4 FALdzDxQhqyV+19n/X2z9E8/wOEV37mrboPG22tpGvFmEuR8ies/GGckHxGF3blojVhWNuGpF977 ZgZKFMGHHT5fNRYLSTbDBimHqnTot1m68TAiX4mtrV+rC73eKPZaLQdTF6c1ke5FJth9SEGEZn/a 5qmKMwOc/HubD0jddXWSHhePYGJ0k0SkHgErvN5RbJLsUV4xor+S8DmzJJXojlrftiY0e5pRkTDC tWxlWz9MKPLLf3v9Fwkwcir0WfR2LfLAjk/vvTzIx+hU+7eoPTW7inUC6sbwa7nPe3OoUeaP0eWt LsCmMs/0WuLmeRbPFKGHw6HeZT/+9n2OxRuJd//jbxC3yiYaARXw0CNQqsQCs8pYSakCi4L7JPe0 kybrUq9raWgfm81EQyJVSs23YwccuKOrXRXPR2H8q3aJ8XAdBLqRIImVAEPlxE5U/2ROZVUzbwe1 6N3q54E5TGWbp0OYxwMyUsd0c3rYepOeWBF9tQG1Ub5zeORCUsr2JQQfZears7YeAT2IoNyJQzjt V67FCR7ozCP64lSPv6kDwx/QAGP+UMG0kfgwucwc57G0nGkpK/QGNJWWwjZS2LrMgj+cqY8hwUt4 AkkZ8eLLz0tCR5AL2RliOZl1srNACFxAvEu9krLxSJdhBORo2d9YrPIDxLOLKMxynTHOtBlxduwp 2Isz98MVh4XMvgGJF4tf4WAL/k0c0B+JuOB0sKLETaBD1602rlRQ1vqcnyB6/WHixY6hvW7XWJfG 2a/3jp7S/LFxpNS18YIjdgykOSBdD89N2eTA5c77ZBNaKW9bxE99Fi0qCRqzTgyRe2uvSVVzmlVj hM4ANaZyd5MR2VOTXGJcc1GBo3N1J45W6bZfMIOsxyCxzeEU7o0B0PdxYZwKcmOCrt4GG3YzFRmy Hhzzm/h4C1cj4YY7Q6vDjdj2kuYKQrdcSdz0sbH9vz6MEYByrrrQruD9XFw8+Zi3n/XeZmMKs277 RRNpxC/y9Rj92F2wtGJsR8dEddpYnMDEed+Bx7j++b4QpbpRZQDmD2cVs6KuiKt2aA+rdNbxTI1B 031lOBDKjwrDis5fcL0+p1AvBOvn3q00DbIG1KtfoAjHv0OEocQsB10C+YojKvanJi3spUpv6nXs zNJpLh7sXmA1njGADkWIsTFzkF31w/vHfPt1ScO/G/l9MiHHEJaB4iOCEz3R3+nM+V5INWjlsuc4 IVK6miTmtxNUNEUSDttZJZaK/F1dYkKuPC87aGo5e1eMvYAuDJUb/rmdyShAUtSOuNl0c3gowXJD GRBKQTI8lSmsGoXM2d+YVvuJV598mB4md9xS/V8Cgji1Ql2z8mUCYyUWlaOG202qrcad0vvlI1pC 9DCKT7Fabw+kWKb1nV4eimhaoEKDAcJvyhXozLc6uSZbT21/H5fyBmYm8z37KnXDAwv7ocrYYV2Z Xm5Hq11fVVRQ4sdmCa2XHqjic49qODoyLEAjbBmAils3f2HWWmdedtuHmZeqN9NDYoyJaSvYWzNn jbWKryz60wRF05u2ri/HINIe9cAO26vn8ej533BUCGBbSm1QCKaekcQjSWnen8w59LCP1z+7id/Q NyI5gyB3De9pU0n7H8EnJ2Q3JOZBnVnh7wzjlwWs152koapPY95Ez57FgOrSyMlKMjbkUAV17MJ4 pyF9BOkxIUYPf8R1NkTK1Te2PyT4st5ofiZh/sHNrOPNj07RmXSSMUWmW6sp9VSNlGM5G3IDBbnw lkkqJrmLIgrKafx9IwJWRiuHP7Otw8LeLd4AGk1SO92A/eDLCNKc4ut8zfDqlOgV0AAPxuhvw3rh aANxz0L6DcCeum8WqmTftUV/K2vVgK+TcRA7+U6tsYdVJS1iPgqFIKBqzM7n3z6Uz0SuUcyy2cZ2 7XFVXQP1DtCf5E+T09bjL5V7aOyJKg44YNdsdv7mH5pexxK/m8WIBH6LcTK9WSTE8VjN24T194zJ tU8adNNZ8WuLkEIuO/0Lpn/cq+k1Ecr6I7GESxRuNWfphw3ZSZaUo5chE1oKtTbR0x1SgEzhZ2xB p24Ka6nBVIJq+h1H+v9/MaXEu59FqyFEgfP9jGGoYTk+Symspk0gnufmOVLDrVPyIzEwMtqzn6oK D+WSStTxZElVoO5fr74qNwW9viVpr5BP9PSyuWUuo0UQU0XKfCHiEGoPgPdwv5JNT4EpSO93C7dm VRavALbXGNHsRTJhZe1lNWgwPuwygjJpRrbtmWVgRVxQ+IdjYmQ3Na319KZ82ZeIjqCfMKIWM7S+ UelYYkt/hgbJ9Zd9zsYqbNtF1/veeS4nE0n6rrW/joLMsKKp1nxbc+/JkouMl7XM9pfDovZvg8Xk bn8dmO9zrqXPxHbC2JN126BorXEBUMpR9h9zlziy0XsUVHJ3mb+nG0oeuA83qTnGTyH/RMNGvwkZ F7Ju2NkmtzYZfXDhoPl2AjUZJGQlO+87kx1g4na9Tzvqv91OzC+qX+wGgWblDjsRBPP24jT1XQcf X73UXaqXuCCtY4lha+chm5tr+UJ5u0cFMVUIk3asmIx1yDIwDIAzMLWsGUZHDB0hAJfCABxRUWd1 bg47N3qm0GF5jtNGB6bAsQVznEEPcH19cC+3e0QjsztemSTcAzlgFxKqPsNtZBMtkiA0e96a3AcE 3oseKVk9tDNlYPf1NcoaWAdj0c7TxsFmn5Azu451BgbyR3BQB5QPSdndrkv7g5Q5sHbUZxexuHQR MuuCsvyRENBZP9W6mBahvjZZqzsou8jtKxsowERO0B0crTp+TB6cB+WhIftwRt7NEgSuV/HCF07J Nev39dof27VCbKrQCjLLO7QsGU2vboF0VSXsY5voand1YWJR8JUdhsX9b62GhDWJJOHcbssPNZJg 5PUWQL7vql4Gvb1jcfkzlZNEbGmVjtotaERaUw+Tv3nj2J886POZ1mOQbbih9sDTn2rnJ3QGOYKk gkli6JPBFoAD8rb+jIyZNcRxH96pudwgJeDdWvt9x0asmj3ghiP0sCT6eZqgPjGQkjf/UrGxQSa1 +JXrDqjINi/a1PEihWKuWEImmbeOln5FoQqBagcXvmeJoMQek3Hjt/9UIruCigQqyo6rQU4xI1vk GXrLxJ2LzPEpdJpuPB0CR7JCrFxN4N47iJXyU0CPbjw0FhwpdtuLM7QQewFi6RHHok/S4EMKLll2 1lPfHIGoAL+1ADuAI/K5jAd2MZuSTJVq5W9RTMxmvVrZlWWDvSB2Lcq2Gu2+PzSOn9Ta31dw6QFL +V9cp88C/s2f0NuZnGdJMQc7XFYuoPV/q5JDbAeTYVsusPkc8tlGDuYz07zldmvnb+Xi+kOVQiG3 ZS5bK/OTJvmClr5rpBDtk6VisZyZMhUgIqO5oJ5CckWM/fly9dYgSqqkti1moKZVCh16G0JtpW5k ekqy7gMxFKZJInilEkyZ+5jrIlchthWOyvYcdnHI7q1bapZFzOHnFmYX9b3qelxJwD0i90qMmMLp G9/PY9tXmlfKj/b04+Xe9tMmwCBuf/XVu75KfSk+gpgCuDmLsIT5rRwz/pLaFimpkECvUlQONE2s LG/D/Jn1qY4A8QSoepu/Ytp69W0HVKzjtmMGaC5MNhTz9ax2Ox/ZmNOZJFgt8L7zlt2/o+ezAGWx jpeV32dXOBUz6rkrIGgVa6dK7uwPDhZCnzm98IlNnZaLJ5Zi0qPAEUoRHfqSc2e+622X8LHaXV2W Qxi9EiI+aQaReV5vAmWCgBxHPUkQgL2AfalazI6f6OpSPzuq09sJiEZiXFEzR3C0oLUibOLbDBbS FiDjaMaC6i1mD4148vKMuZWdS6ADCvKGKqs0KfeW/iz9jDa6re65praa4x8BeFZb2z++eZasaAu3 eLWqpPALyXb0vO5NNd2kxXnqqEKiITCtAu4ADui/aiSEwhmqMNx+NJuH8cjDEDZ7hoXvqetGVAB4 azcKcW5D7opbpvt8QxsxUq13XdHBY3PhujoN14cnU6rh38Wd4XKbALGnH2T+U9yKNTxkM4c0dXT5 8HYwMPGK71BaV/7FyGw1CdxcYC5xv2/Y9tMs3vg3dDdJfRelXT6UFCXQ1HKP3QgotvX0+h1oFVyn VS8h7A1ZiIuSnVpgA5FztzhFzGSk0+XnPbxphoW1zUyxZLLNDoPNhGhLddIsylD9T2yb0J8aHOGs UbZKMgir3v9q/DpX6gCInz13Lrzr4X50OQnOxIdo5xVJpBOo67GmfVedZRpWUqLKKlyVTlATFc8R 9YfLyn/1iALk7fItWNYNQhpE6LL+Uy04W4WhpNP+w6QwyV8Z5sOM+unm3lau9LLozwyUwtBIZZ9X 3Sdpc0q0K0UXeyrkOhxCCqRPY2H+Yh1UfFhTBK53oozCa2OOT2kUK//fzBC1C4N2kQfMz7aujppZ MhNxOke5xYjv1wX6cGOAF1IyH0fnYnuM8ll9b1T+0i6k4DVOA05dEkWO6K7P0GYML61FlJrP9pdg 8YKIlhVZs2mX/+3zD8yazYLeWX1cGjFjtOQC/o9guEvKkrHGL9I0Kmir9SGV6pvvqXWYeT1TM9lT BvxNz+bMrK/ooz1dqVpkkb0eHUtdBh55cxvFKwCncZzzBfNUZ+taJMM6VrDNRTgj2XZyoXHBsknc AqvFtPWb2rv/XYTL+MobZjlsslPFY5RQmcbHLKPkByWanxGFGmZt0zwL8Xtl1lCD8GRrp1rDvdl0 VPEO8X7TWKkspxHkEf3GY7JSfarCKTmk1lcxjMYGKkV+L51jSWlS7UXGH8181f54tH2zTWhSBybT ejec4pTvqN1GdYnuMBHyGGxDIzx3RDciJGSTeQPezNRCeW35wSnnH0zqTBDcUQGLohCzLLxPqo2C UZnMRncqi8Yu0qMhvm0PrEEseXrCxjWXl/aqDZTFwaqmtsAmW/8ppTPiTH8VhqSGoWM2dOX5XsZ+ IksoJcpNwEgk4XD2QqT6LXvzm5BDyWL5HoBIYYpyx3WccWwZgxr/qarDPg814exoUbZ3eqpB3R7/ l5IqdhDNRtDMPL+5+dCT5SxYE5ftkTUerK6iM86U246CDWb4etNDP3LKXC2MYLyGyiI0PDOgcNaI aEYnmQzVYdFKBjjN566jAUq6qaVpmQtwMO0dmnZ1JkXwA3W/e6XwGHQqYViu9Pj67iyrVjjA7cDm 34U8SZBUc/bX9PjD5fdR4TC//WRWmtRt29o5m45uJ3y6En3MfmbVv0jGtM1AgFsqRmRvugNQFrBE gzj+5+kInVqSXBkaR7INig/KQLTKmf609IA94KSOlYnkufftNx1ewBvmgNzIK6nS2uWwgWFN4T3j xctH9Isk9c1eIlJi6XxNnnZOpw5fWYt6xvMNIsg9/o5m4VGU0OUOy01bwM2TWtZP83V+sZdEUaiN 2N3L34N3Iq1RyBMr7Cb05fb6MS7vZbtO7wP3jZ4WvQ5yDZGwCDOWf4U9wIjUFUtf5Hf74CAIltio U0UbE/8lkT//3RlHa9qtcGCDslayIkroFA54ZXdxKAPWFx3XYHEBow/tgoqBAV+JvuX7ONZQkzwX k5/JoUBPIkrxM65keUUwnKWdA3KgvLm+dUw+RSOALj8CMUaInA5ZeQdlnEkd09OrrpCnGdaM1FzD SvQk+LvXdxKDbetsH/4FT9inhM4QS/dAfJPWSBdn0rD6vHKkkdHiLspQwO+yMoZnG5HhxBNu0x5Q INmBSplydmHOVpe5IYJo9PW/vvh624BEEwjT9TdKw4GHJxyQ8//6GfVyhVQMUOvHzk9Hdk9P9/o3 kTFuuHir187lKsp3+aOH+zpWV3ue6Xj1noOW7DSp+1DJM/nvYwZkGaznZNFxqctnBmxsdNl+gOrh FWI57je7ae2Rt8DjK2+xDlcWhpNfwpuCqHX9jCnL1u7I9sfPOd15fE2wVwh12OhhZ+wPdik6c5Lm rsUV6KLRdRHATkyPYEA2H/9HAiIiCRtFm72lDEcTdezjrcnI6/64WAtRjLZVUd94IWmx7xBEJAaD N5Kj2EBUT2hiPLVZe7ovD30WNS6hVfl/zrYwQSvtdsW2TNOij6EkjDub5rOpjo0seaZwPETIBKio OjL47RjdOYF/0su0uOh3Y6aB/lJYEp3tMuObxcRlGEcUal6BboAC0NYHfHlM5J9tVG++9IHMNS3A caI5EtwLIrrvFr6O+sgqu+BDijDFYN5aDuP437U0BubpUra7Jvr4ug83QE9t9EbVSRHVWBMKi7PE PDlZQO5DZGpLy+yr3YmPa7n8njmX+T6D+kLfjw/lSWqcA3zUl8IpJlzPIwTqjQLdMyRsvaMl6Y/o gsCUzJnsXRF375xxZ2/taYlodEV9OeyS7CUNCr0keTyl9ZaAdmcg7mkYqw4fr61Fw06AME3xN9WF 6dz9hdfddFQdCO7sXAZdhQF+4DkbBsI/ZqrFo+iOsuTrh0zEXm5p5YYAHvsVdl3YcN83HcxyFSAz njZdTGpwL/roQgrFf0ubKEQd9+TuxX7STmGjfRWbAqjreK73l6Xg43yAUV1kIhslXGUWKCAWj66+ TBKIytef8HOcXz6wmPtuSUYcsInJH+Xoh6zTxzLZH6e7F2vr6cKFni4VogajYxWtOwhGMGPC8WOp 87v70BEFBAZVyygAjD1jHin3geW6ndIobZ0rzCKsH+btT6ySCUcQg5/HRjiHzhxp1K9GZaRdlvkO 3IR4QNbj0GRi9a7711RxVpDxnxkrACy2AKl2JTEVUWJwZXNIlMVz5lVnb0LGeFFQpcsbfUsNzM/4 kRWImEmWsajm7gL+Nes2JW8EGa2mgTqyA3bSXvLz+fgK5srfkFAPZPqwgKmvogBK4flt+ikl6jBf O6DFMdML9KAQmatJ8632c3Em0TSCIL4ztN2yefowETPHwUKhuh3W0rJJiDFpoyej5MTe6+SWvi9z F4m+BYx9DcfrvxjxO55QzCVYiqfQYAu9S+afJyk+/jfjFQNW3rhg20lJwcVvCjZkjWzP6rkMibO1 nyR2wNuAa4zJWlyCPYcwKgHUQvWvKsMU+wl7lriRaX5LrkBc32B3403qGYreQqXOrnM4u2uU1WM0 g0uWQeVcumiLrtbSeWOSceUfQ8CfD/+cTzE/leo6zVRV7qz3o6xX6FgTP4LZz/a+PduN4tXF6WE5 iLg5f4/LRchJEf1uNrH4fLevhsuNa0/OrT0MQ7xmn24ND6W/TWlFOw17rUJJiOViYcC1nVLTE4eE 7o6cl4uNU/a10Wtt5ppVFuO6eWbvYDPKfqAsv7YAHB1QVShpKtftVCtScbqdGK7SLXasfJzcWhTU odKYGFg+h/SMJPweuCZB/7oSG1DOburJEMN3odeIaLFiaGVPiGJ000XkJ2v1EC9HjfqL7KbSt1l5 rdNVfG2SatcKCV/2NKh5+oUn3lNrw3rYNdk4cY/WzE3xuc3LDQWtHhjc3IWone12tXNxGxl7jDiP j7BdUrc5xeTKkdOEyireXSKvoHO1uHz1URKgmyFi+EBVQ6JAjmKTs+fMMA6nrI+8qdwM19nm+ALA pm12s2tm4bQqsdsqxC7zw23G+YCtQtDoBoR+pZ8tfxBpmB03zu5No9KamTluXNpQwId4dKlUYF4i o1xN3DN8AxBbs/8LRlJzPt1YjV6YRswTTgMhOZZhXW6OS/aYGYjUgLBxcBgJ/Vcp/2/0doQZ4Ze+ FVTQKgQDaQY57Th3gpQSs1PGAVzdam0+YLS/+dKjFpz/6EfAS2btlH3+CtIPvlY6OepDxErBYyMz Ijdy65X3oJHr/ZwTdNNwVmsoubQDyKItR2E+4y37OVDe/pRgYfsLwsBIXvy9+dO1ChfjCoInaxh+ /ZfGyzxifCTkvdcBVzzJp6+hVPCKH4Od5HFyUoKiZ/yega7I9FiiUVb/zXdtFYHUNaE8npdwhO3c bTDFQHUmPjOXlZlms2+ji0QNNIXi9Ru/g/DgASaWnxPPM3Uwfq1U7oAQrMP33CZK4yhgT47uHFDe og9rnRdIlKsePDXJ2jh3oVJFmAm1M19SBMoDCDEWbgRAHAnBgjky7IrovAEB0+F1+YzREC3F3Q7x AXXww5lJ69JCoYWB5mr0BimHi0Z/yWLbHsRhyEmwUZGMHgkr5yjMBQrPBADoxvlUVMNUvn7t1Xl6 apj0bhmrbd+7XI2oe+0W5QvvGFc/atmKfqT6T01lA7BwyKecM1EzWLw+QipaIKjJUBnzLEV7KvLl N2057utuOTlg1ttcW7kQCJpotT9+h01Ui8cjQp6IgtFUT5nq47Qm/2ZVzdTEmvBnIzdIv70MTn5C XWj6SUjePgej1iuq8v1yHdvydPXmRG1z2nzclVs3HGKfPKpdv4x84XSv7O87byFeRqSzehhPCdAR KhaEsTLSp+T/RaowBBmNuESPSZgOWWIPJf7qUEH0wKYK7wT118R5CGMmJ2EVK2oVVS2zqDnTX1i2 YBP0gZ8c+ZPyZXslhKOqV5TwgLLelxW+4BbKL0Ci6QuNWJZW6KYBo7w8dHp4yTtddJ3k9QfgbjJt KrG5RxQE2JDZnpCs7s3RCskSS2fhQ03u44ie8NUOXWhTf2JM093A9uNc0QarGvru4oHI4lFJIYPX FOyNZrBJwsfN3GL2SwcUyFrcSlApxpHVzqv2GivkErkjEUbBkIamhCTP3S3k5O7XxPcfjMQL0jQ5 6Q4GmrqVBPT0fXh9galBAnwBracKTkaaO4gvclE+FFBcyYMd0EfEBH6pUde1/Iu9wlZ3JeGMvZ7m nGaV+3vU90Mp7C8VcaB+ZFq696AxC2cZeOPwXnfnr109mw9yenwxsBgvxdw3/VjrO9+ILnj2vGRd RHZG8OXMFLn9ad8g8CKh7Yeo6iah1FQ3A/1D8MVkk8Q93yEmRKI7b+K6tOsu1y3CYzapz4cecB8u V8aAJgMaZzhBj3QJu0f7TRUS6WqXxo/67RHciTqrYFoqVy2JzJ0TuXa1F/2BGjCItEvL40qPl0ez 5QEkxjmWHpWfq+UFYDTydd+0xyNCplYVbNc43/nstmgPv6hf77u1Kc4KxcVo5oJbIGX/yV2ckIS2 tdhnlhiYb+KJ4SmgbbR2IJ6KjVziAOQHCh7j7zFlvInaq4Wn28dyNbV8aKsNiuQZBrGGMdT7Ac3D ICUUtYvmyKK3sDkXVYRo1WZN0ddqi0FEEYIl22TG4eFi4EI/4OOHCkDBuJlvJPufyDeLOQHdh4jr 5LZc8CKljExJfd0O5GFB2JAlHvYVBGE11HSMPiLvJsUyzkNrVzywKBOgtVlK2fPGNXWoERE1CzEY +p+0X8/SLRRcNCSdKd3+6mmBaCXu+2a044WuScmb1ToodOLXMie+2dlU4sW39eIjY7ncoW92qOec e5/hgfQFMlCjeuF6gppLJjkjKSSnNAHcIwzdlo4gCBw+Bn7tyAna50865WfHefBVYeKLRPnX7L8F idAhOyeEEh/nTVmBTtGQxKpGfL3qLt4kKYWrvraMBfnIWJX46FGTVVuoLYettVBC8U4z+RdT0QlF VMuoU75yIPG9vJvT9S2v7t+Gqva/IZjaXXgjY7GVLXCmAygsAtPik4/HWDExSavw+xUyPK5mQl2/ 6Ut1+qS45YJrd33nUrHFj06T67EqX/4uIOKBnMN6ShXbjmL6/Qd9QqqgypU40hXwl01YCl/JaXuy U/NibKPqGa/ZD8tVp662jpQcjS/BgOQkrjlmsFzD40g7klYXX/WbbWUPJCJV+Y6No1Z8TbbnTqNO 7mQ5XwVioY8iki4gf0JLnnX0pZP/CS6zwDqY86O18eANgwbw+Z3oBEJAbEeX6LmYEXKsEfaJqk4J 3nOFdkYukJ4xBsi0w4uy5rYcs0IN0u1nNVcfXOYl1idpiNuxyENLw6Eg4MEXHrexsVZPqKhS1lbV XQDX6moxDfz+y9M81Mz1TtYUUHNz/30pKF1Bgof8ANamkEdze3NGCnBVykrGc+G8uBctSgEZGvyK doxjU8Ykh+1xrH7PDhI2IFAnHyZHEek+2DqTTCRoiu37cPDfjRrYlOFslENDrpQ1+yF+VCoOhySc tceX/NLO/Fdc+Axs5KusH62jX8cxpS3AKpsNJFtdZdMgyiWiuY80D3hktmuRXuYG+PBb3L7g7Os+ kzqcwX+shKLu7RLAt6MUYlu+3bgVsL1M8UKh5sGb8Nk/7VP+EotW+FzZBggS1G+VAYc5L+q6bA26 Q4cwcHlF/QsbN7H++bwOT+df47ZkftUVrvEW5va8VVKSufg3Zch4BEU2YfxRxZJXqMxXGfN/oguV 7Ysfohyx6VjF/4UsYhs0T+YNtsIdmoZjilPM6uL7qNAHQ7FvXi2iJwybRalM/NTOjZYlapOBQlZP KHOI4ru8yzi6nE+wC0oc26T8YRkQ0Z6aIe/mqjJ9A20WlSB3oMJKQBeKHP+qHH1LcOf2xtT+9/ko j22KkaJrHGE+E1p/rTDoRf7yVgKgxLJCg9pf3JMEqyNyzL4+4e9IoGrC4RoVJ4/xlO6MNhMym+wv w/mQBCGO110tyey1il0r2l8L20ytMgD651emTO6r0CmGU9ZaOnbvaJ4EYzrz7j8hYfekzU76V1Oa xtLk1yfjR5pkRXHyejUtJ06A+U9UUJ79VE4X37vZO+0Ub5e3cozLi9ieRforyZRN22jETFNSGpgC yQv1n2mRMI38ujT1dcVKuFFt5QdMi7c+BoFVOHzzddOnbJePLy/pOAXg0nOVqW1yuvVvk6wsOpXm 7s/PAtwYUFLii1KNCEO4JNolLwFQBbaLB7mrRZoV3nbF09iWQ1F0rj/lHTfFPaFTj0LqcN1xzAbU 576gqpBUiwntnyWelciueeD0WloB/4fwHIRBmOlbUcCOm24SsLsZrvqLo5uVCDwRBgBSSPCynQ81 huHL/ygMWGTm9L0uPswgG88sRWoIo08eZvNcusz5QL4SNTP8/gwhUfe4KAkaoOuoPp+ds5xfhwgt PC7r39D/gu3zh0JCEucz/BVFN5u7UjAJDybLHVGtjJjXN2rWGAG5KxEiro7vlz/XPcMO257ryX64 QwI+IRPU7OsVyQqZhiQnSV9MhuGclMrfAhyetzOHxpJ+/2yKeE5ZPcGW5+c4hRUkpbmd+BFAOG4w h9x7mOun/N3JMK1nXrjnCM8Dobsai08I7kvNre/niMZfD8+DP/C3VVIYQ3UP4g4cREU/kK6gkXft xKJy3vNgnIstMegr7/dSRhLFrtYJkze0cTHbdkpV2XCRss+3v6t9Ed7NCpBA5drVHjSMnFK40VzK oMgKFRpLDL0B13N7tTAbfdwEceSPznYQAh2ZDxutkFntOpEwO+t3vctLyTDokeAluC/vqHGJwgo6 eMyhIshS6HhDdVINRMfWzi88caAxmD0+wN6FBjxtbUeBj6Gj5O5oGwiZiteKBwxMjcdFXNOmGwu5 A0YwXW2LXkGkq+lOSQ3pFO6K01QrPXYYPJAwHUGiMt65GvJ7jKsDx63ovzbrE6oeQjA5NqMAf15k /ACPDMlR4qfja6iDiCwxmLL4zhdnY2ybAKw/KzPLhJ49d43RWhHP0zVbW0QhBlJrQoPFp1Qb8GZh BehwNiYy1dB/3Zamc1evQLuEQDccEGaTQLxHwq8xAWjERjsi+YvM1ucug5+hXNGDltvDkHX5SHtG nQx/LpLIfD42JBUkjeEQ1oFQC1wh7277eRxCBIbLkfSRPXsflZCTQpHHgU3ZBLebjJP4ghExv0xI o45Syoq3ZjqTF3CeUfeGPdIBadHd7Z93JmYzMw2WPDBnEJqZoLyEPvxUuntjGcWMzjd424nNnBxi Qe7HM6wshIn2zWi9QnvV9xANVeHVHiEqfeOltTCpF9NnsiLfHYaYI3elI4i92d72rz++5jrWSKs5 FZfOD/F+jQs1PGKeG+YQfDfqD6hmOMs3lgUmBCAWkxbbIEK6ROvBl2m8m8hqhvAjRKkno3vO7vN7 WpS8PdubNWa/CpirYCZU0YyOunGqWHj2k7kpMb6Kg933O2NpDxTgWNSLMsup0TM4jakwSvmJsLY/ sd/k7hUOGL6Vwtx3SYueMNxi6l2WgfJuIxT8pBLraf0EMiP0pVE6/Oagd7GoH6XaxRDFJH/Leia7 8xoqKdBdiX4zXptC4yZXPeccx2CcKGWnZyUe254dpc+WjnGKvt5ajNXGtX1M03jpGbbHESTT5Yeb WERPT19sgXIinCVyrTZAe1ALYS/+Hm6Dt4D2uxzt6ZIwLoc9UIh/jczry+A3z0x15YUbp3QPbjZW KDtbfjAMjFlBBej/aWl4AfzW/MHmuXWptBLY5XzsgE2IkjJ5SZoHu3UfF5+PybE8YIb5lM6WaKdj Nt2onIYJoDY7VWOiBiQAa9loUfRrmyab6uwlMxerofpRO5lE6A8l5AdQfhVgN4TPBIorVsxqBqlk 5F3bNL0jeRA0vNHqWrW8KB2Nx0KBeq1RwolwMzzRdPGl6RKIxbX1E87mp+Aaed1eP0gdAjdGAtJt 6zBr5CcKOssCmU8Oa/h0xqukC3AJQrZrbW7U/X0NdPEXi7aoqMV9WVlYjM6CfuxTegmMnX0qFLN5 LhTuMi9UKWTcpjDGIbxyu/WPOq+GKm8GmqRVMoonXje0OGR5+5k40OSQNaZrbd2Q96ej9F1v6G+5 7SVdjmRkS32OqydqHphm62hi5k2MGjlHyzbYdTSCN0PhAQKidr6UFa+EMeH+v+w434UabMzXoC3y HEdJ4B+kbCq/1aCwrLg2qxbMurTSIGB1AZwFA8qnNCKyi9rdE5Z8igEVQPg0vKiqNKjAx5OfPmOu njukYl9MQWKPGN9MOUTfI8QVh45ozdVZLm17v69+/9iA4nH8sDVWmCZv7u9YsM/4KcFVzY3fyz27 xEBqLC0mw2j4AGr+Pw197Ag5CQ68bB/FZGZnFB/FAv3GvJNPZ0BOF2d/Xpa+GFkmEBxjUZUW98rB N8OOU/JorpJ6GeN9UqrSS2kuEkkjkDfzu6vJcNrG89E0U4tgG6cqhgrzTvXx1Wq/QzdPVmRt3GXM 9ix+WVmujbouhk6mtN17eLGSeBoHRDol+dMgVy8OE1/3B5b1uQWZgzCCZfmLciK6tUP5mCrDY/X/ g2iyNB0h2Railmu0/S8gX8Xv/wbIRuLnCQMjhs6c+VINNLEEQ1gEVZpkPgfU6XbG72B4GUD/pqfh dibE3zeyfwanyV4Cgw/UhlHS2WnzsRr1247FLKyIkrYiLJQpse/TXYivyW94TmVZ5GUJvDjUkwEx AVySMo7HovOgExElDEfDv0pX26YeU/cnkv31e/PMZgwbOaQnuf79HJr9oe6On3N+qt3SuLHfHtqs OJzA5zODIlct9kDP1w1PWoNE8Eb5yT/RG+V2ucRYuHFGqhGaJKrP4oD1pFOt1sQPV+o/Xl0QeE+w 5Yw+jSFeg7jqRUD8YMjNM9BaD9f5bvt9BdQy2ivsq4P/5Y9N/MEETVfu+5i3aGtzEWkScHRVCIHW 8e9TAdPXLt5mAGdAU/uQ3kHvpU74KrujN2hXextU2CtZTnGcpHQKOVd9nHIUOZTPoeQbSF3R49Za 7H/laZ+CnbS5KryAgzSDQDk+s4RmG3RwGWTexkEOkYneOrbZxaiX/Qj72D9xMVrpjv4HgoMompeX pCfzXoMfJyOvhvbGpHUMLQnyxF5xYEc+z9A3E+OR5ZMdGnAjTNXdKk2UIsXuEfgAzqG4Y7k15sDr bYIB/yPe+k3Qv1xxsECAL7epMg/fHr7AoeQftZFi8otgH3zwue6ucPwX1WpF8Szoeg7A58eVEtSw oyBHW/RcNLXRChhz6sgVsvXIKQYPJbPRFSGwQ+jWPewQzckVXOIVNfRmRh2K+Bt/H6DS2mSHUSIw PqqsRHawRCxZk4qSLSa/sWvncF79iSvcceWWtVBf8l49YCvqJIOa8XTaYUcvGmyg6fBl1dIyonZK /2imP9ZrSFSjt4HX6IH9ZsRMXKjt6uXpTAVlMLR5JYB3Ri5KNuxoQMP2xU4kW2kWlrXc6dGgCeX9 5Tvg7taNupdX21jLN6lbEZzFdJHJLjxf5UkLBZkwoOADeLlsjlB9tFNEUid+zpcKvUgba5HRRYcJ g8C+uz5HvXO7XV8mmrpC9sVBNOcScQplrf9ivS/mi3KkmURXJRur4iBFDkQmLImswlhPWllpmmC/ DAukB0SjBC7kQqL6y/eZojVoh6Y/5uw4URKVNesLotEZgpiuHQJ5ial52wF/5+8FbUxjGnqGk9mp RlsMeytvlpglkCwiW2cZb0oYM/j7eroqp+PrqYNRdOvI/VL8agnJeShWhfqNeEy8HEAU1w3k7Ixk DzBbdrgk7LMpeCCO0oPy99GOQaio5ySxXii03NkHivlzkWTDP1q5Crz50mBUD1elpK+GI+QVAn41 A6KWMjm7Zr/gnv1Exh7UcPzN8Je/KX0kkNDtKJ+Fh2UlAGMSUWjXVzKmsTFx6jlzV7OwrJC/P7yB I+0qHWUv8VxqbYt5wTjLM88krjKDFIlFrFwhK5djwlkHaEUxLJRVfbLsOgSGFueg+1EnT/zeXVRi d7fYzsKfdfOG1FhyuLijOGcf0t0IfuluhhplrUG33anTVh5y78x6qPFWF/nz5iYGCKmCUQtQlPQ1 2ZTd6/VTx/f6ixlG2LEW/hORv4KVRHKYY6eGmu0YKuUA/QeXyr2ZGEgfUwcuJDY00498WINXFKM/ 1q6B0ahkrsV4008lb+yBr3Z8WjHkLQnyUDN0MYjwaESK6VbKu5Qdnkj8GQa5lxuLltJckf9aEqhH f/aqAY32Cf5772yN+hy0mJgumhwGg0LIvpbp9g878Y6lMfvBbVQhBcW+ANG1TixanA8m1fqs0lAQ c3xmWyPwBrpSa73QHSYkfIr8b99fvC+OKgFQiCffIdOmshjU/T+RMdR+swQxIQ4srAy7TAVLffEe zTt3+B9x+G674/EWs40RqrwWVfR3pWhUvBUIe5GRoQ+IXCiM+5/tGfYEKyf1aXgFoLT7IXLaGo7N x/KbKPAdygQOrNFSoFnzC+wc8x12EfdzDvcdbf7M1HW4DcbI0PqsmWLo85ImF2btZUOpKQ7icA7Y yABRRAi6HZZ3PaPnGpltXL0zlXrCInkvnYVvMNjBalL1miFzivA/38PpvjozpO+Xxd3eXRvL83V1 LgDSCcQMBtZp3eEAHD1eSuz3qoICwnb+Lukdd2WHxE8uEP6HeqlaK65ENrbUL0T8jOqo9iumdNyK az1znkv60Zw5+StHlcyz7TGJ+YjleMlKoj1hZ2ngR0bqc0I+ehI25bNMr8ssaMyxlHy89JLBLS9W GFeJmotYXydraaoMAJ39Nfyeh316YhpgcG3SEYKM6AGCQ+rzbTiiZof+V58HoQ+3oSCbDsJUF7/R n4SDVOsik8S78h/KCamwssDEdHL4/78WoM2PQ6IfJ1a0TlOzRLyidTPPofIYyUCt9vDbM8QGUVTr liQqC48VrYCsnhxbyaljEcfIpG3SM83Ylvk3lBISYkrg4RUsbGr2q/CLv4u0ZdOgL1O6pdopuw7T pFfXY/xOF9DoZbKIbjOVm2h1WYNtjtgNYVjH8lhxo8CKSoT0QwXhDS3hBgvbSaO8wsEEEacjl70/ hy4HaaNI3i7Kk7uni0htX75MsAqb46OlU0av9daiKw7s8ANz/REGGlecZQO8vQVcOXA/8ljjvLrH ZcCO/P0Ig/B15Bp9BOb+oBbBGMe6p8vajXyy+TfmQS6nAiJ0qY+S2utQqwLT4lxi2fednJAb/atV 2Ds6sbDAiEAtGzLGSde4/BrWbd4yEo+p9si+zfz2HpIVeWeU4vRlO1M7rBiKmwgDfaoi63C/bfKk HJsXbV5y0f5Hl6lcEz3lH83pzpTI/jRG1CNbbt7NAG19tScrcMRpI2E9cBT4zAV/vrwH3z7KBSub LiMWlusSfnaciOfBTUq+AmqruPNxxExyok3gjpZVTKXDsrIDLm/fz1lT33pGkQtOvS8Bmcm+dum3 jAemiwMLNxaMz2yKgFddX+sYQVUlqApt6JA1pz/7+a5bR5raqv8jFrPCjHBF/YNAzMS6ofzZbK+n VZ6RdiK40hdt2XkbqYlAwv+Hevj8waSrPd1i3N2bMt0E5lnX9UUrn+D6bxikOEGW+OdWOGevXJ1X Yj5+WJueeQmG8z90cQgyu2xq9M3xZOBRXBygxH3RgjZPi97X1KKnBpKpyAme4/YK3SW5VuZadLH4 K+gWWAdYOufBV3jD38yLAZTW80d/N02ZupYlCV/FzhiFYFcsLRX0kFN/7vROCG+0RshWbRfijqWd gswWDNpSqgEJXmA+GvWfph0l1PrHPzG+evyUHdsA4kls7kCeYppxllQ9PuhbLBsP1AWSBujMGrQ6 APRyx1RNpMDzBsm77LuIb/+vYhrXGVYPiySeIfUHGfSPEcHg6lOggVZTL0hhZlICpSA7BOyFcMht 2lDSrcuvJlsMgFDUu1AYMyeaz30PjBBm8Lu9CohV3olgGDZfUdq6oNbGhUCD9DUBQm/BgtYc02Oi OGon6vDRzPegg2dgleFZSN44VyEZGJaqi3qKPha4jlE6Ct9jqHFF62IKqIeRpZ8l0xRsE/e/p7eu i9pYkyZfeRFcFObGHONW6kO+tYlpSfst+3p5C0Jfdyp8DiSXHRJoSHwadghIsyiMnYJwPNZbeqFd A9zjw3l8TdyRfq39epG34osQoxu36q6WVWyJY3H3MnODv7gZgFhS99c8md8wiBIQGjlNtwKwx7i2 ovtq7D3e11aEf5qxwE72EvQBsERo/S9alftsH9Tl2hKPo/DkPEKK+n0VeLFyyczuKrPitszatfpl OdQaH51tzIL54GPQ4TyioU2UiX1Bj/6Flq0+bdsOybpCK1c8EkGCO0oZaeHmKDGNIVQg59QSbunP NTGlwbgguLBSyBQL9I9Agt9f3dbtNDIc5iBj3k/vbLVRRYtnRFQXu7rIyrHuh3TK/RsJbpuQQ79g kX6HVbs3rFfKNE81nQ+6xZVHBFGiWeJrIV4APVRB0R/hBFlwVUNNnk7a8ErYYxWDm2XTgUFPhhub /ktJhdXOAcu5zYaQA2XIj3mPNUbJts4Zfy7G1X/pK/bIg55GTuwse/OeX3jm8PZl5nYSkBSAs7s4 MmQMIquhKydwiAylwriNyeIbX9n6+iG7nzmzt7qnpUv90PZuboAeUuN6Wmd7VqdVx1s9e/sShU/4 IWGzByg7wD43gQPEWq/VpJ6rDMcNRxBdwQikF4WW3ulbOp5IZrLDrs+rlNUtW6u9pIwxhvupdsXg foQML0QuYnyzArMfKmIJ8TMBnDMMnL+rae/+5xrpOCWwQcyJtE9INSX3CaudEAFvgEd4u4VXtlwt zrkApATouZUV9gvYn+D2mnBxEtjTIHDnKbVhp1f4WxMWnsdffjwM2cqkyQfFP38u3RRjOVMv8UBb G5sVAhq0lUZSrWsxGV4ys4C1Hp3D9+IysSjEOt9udOhzUnqW2Mijzcqrs61P+sY5xiej6az4CJAv dQBRTMyVDkyGN2/NAk4fMly38i6CnhjC680lgZLvtybw1RsB8mEjhB4Sv1jaWqHgtzMeii4JgwOe PKm3aFae1HyQYLgO+Tk4wWGJCLqtbB79t7enbtxkPewsyUU99ccW1BvHBaDwHMMHQAtYWzSAO/AR /75XLiR8QhtOw4RBC/UXVuIrZli2WCpj8RLJM/kMURUqfYPviW0IlF4/mydjlIWoFcTyco+nJeWx EuB4v9VGDWX7g5LNfQaQxoNt8zRN2hBjj8r3Rzi3/hzkh/5HPYnPI0/2cNGykfoIZQMovKT6Plr4 bNE7OZmCBaVIa1xY6Cqnt6WM8fuW2A7iTMUo1RAnNmUhky1NmgdAj/gKOCCNohR4aKVXtAB5Xxw9 CiLKmcuzh/7YNcVjgCOFHtkBiuT4XTwNlxqPAudKNLJuzWR99OmAae1OI5mMfDj/CxnEN3pwjSnw 9sr2/0Ysvxv+zJcXdyWQJFRkLy9fMPHb5jnLL9XnV2D633AT5pXw2jrvTdxs4SWIDL+B5agMuOy0 e0WwgOsfSNmRaUZ86l1du+lecRrFW2kaZpI6mwLp44w023rMMc0xwqcCr78hAGCP+TzYb2CkpY2d Kney1fhcdVoM3E5ilu3O+nuzboC3j9/PlpJ9hBQahsw5HzvKphP88qgxrYQvftzO5YwhMNQE8dD9 /GgfNpUaELQPjkigWLOMQ5nJjGfVlx7AxUXjMH/hry/ZCBi87hn/CleZK9/zDkSYEvM6KRqIrgAg ItHzp3Y36vPnQqjC8nDDyjjM+0pgRpl4gxB54es6gkp7EmSCNP13EjM8ZLDNnRNU0PqUDVX+XO1X CGouj3ojWvUpt/nqvlivIO3sWuJMW1SlppVPJwoKKY/unHu3Z+Q8cfSjafYIcdiJjzcxxhNbJzNF 2kHoqp6blDCpXbLgPchOKHPhVAv3pujIqGscgs8dETlUwLV5Xa7svmNGktPc7hGOQpYCLBluOrHK jXDXfN/yFDVsJqpl8fQI6JwtBEppGSiHyGdqboPECwia1Jh7nKMdng/uGxGYeqfNHC8YHiMyoIdV NtICEtMk/ouFRyyQOzQo01QdxjQytXtV+PGUBzk1+VaAvj6cuon8/cFXz/Dpxv6eKZGsmHc4C+v/ jg7mbpHKdyd1QnhqR7F5K+eN1EaFZqW0GKD1AZV6EwejfhPUe9IGFgTkZMCj47PSz1RGZFNqrT18 uaSO9J7Saz5tIsVaEWoHIHmspQBEWwg5FVRECgckJ5hh1zmTRUMaa06M9OUsaRifrkgW/fJ9KapU On+F4fpn7tB+9BzCI0iOXX8Cy873JGXGupauGROe6L4VQONL0yFC4tegsyrRWeOdvGQaI91TsERe 4PuS014ce4BTqdc+WZuHYcQGLg0e/AFPc9qWrYZvWcWHdyV1Um+10R7xKBL+Wbru7lHATKhwjI+E VrJ4iiZp00sSbQbQOZspv/Jv3ryHxOa/lIyilifN86GzYyOCkRADCbYb9htiCDYVE6r/H4FJSoub 5QIo6UlJtUpebAypmqT+afpIE2VYgkKWi28H50zt4jnDmlrurWin+vi7iEl9dfJ7wBk0q9jw/dGc /kOL3+uIYYbEqWFNBz3f/2Ggd/8gyZX40cUbmYH4qr0w1OLGunR+EnFj3CNyPgwx1lS3ZcFk/DWC DqDd6YdUNOoZltdgnsCTxfLFikPRlQtUwe/Z/kM9WrBaLJrVXtbVdLM5e3J486Ud4noJoVxMibZM 0kwkC0+H1VCH/sNsnm9VCvtq8xigUQ++WUnWDO86RIkd9IL1Dbqxq+GKNhtuIDWzSSM6iNzimBd0 S/Aw6QaInc4k7RC/tMLnIaaKHsvbr5tTSeeZMrN5iuOUUqd0Cl5+0TOpw2X/orY7bd21/sUOe1mI 8E5JXrs3h3ESP8L+te5PbqZAQil088JUY+xS4U2X7fMCMiZCMSgHQARc4Zp2nVhsu49rQbtxuiG2 0VhiYE/YIzKiVQqFpmTf8JB4llfX6HIQrcj01p6jWWDOfTgHSuCvCke6WcnsZIpvVkJXCrJEN952 N/cXm+Agejd3GzeHLBvtSrjRR50nEwvP2o7SnmT2uLGJ81mbO0z04ZhjQM4QRYzXMDbFnjYEm5AB UJxPT44PX3voh6oYtxpPDmnoE1NOpMFh98ejC8DYslEFQ7iAwMgfjpB+pdVD9AxIKPDZsAcPxEvv hUWQX5onjwjnuPHMu1hwh2NUyWDlTyWm3BVivmbCLwN9QIv/cUrOTgAA3ZFe1PRt3RRM22PyF3cU lT7FwjOVIJcM4UHvMluihlArRJIHo/OGTfDH3NNlymueQGG20/PW4MnZopRqWm9xxXGIbCnvPQSp ytm+ZkfIUFuw5PiHGKvQglMIAvNez0ck40sORSNzRmfZPgj1ePwaVyENAD5JxDsTC99fdGF1yWU+ RfTOxQRCW8DWzDAIafESzoSXBN9YwcGdBPj1SepCVTPduA64Z9IjOCZt5K9eV5TPoW0Evy1i/sQu HEfisHcRSvavsG9T4Zbbkg3XHb4FNDJSgUCzkAKYTtzAM8g4HqnTE1T2ijwlsQwLifiLkpiw5EuS 2YfS5cmm2hZvZG3EMroJ3g9usi7qjCjWtR6abilrrk5ESFCucGcnodNtag23qDRZbB+7Azhjhs9f vrwUYo947xngH0MK1opZQMrW0Hxl4Uh+gqVKvB75IPdaxQc0E+EgNE3N0zhJZbi2+zCmcJfaRoou BlqWZltmKXPniBBaCo25C53euxB4ze4Rc2ZZsOdLVo3b+X/fOMqkjLFOp5gIQEXApp3I+3P8loL4 WKUUg1ZX0hNwRAqzhcBYv5lGx8YqntGiObeLn93XgqkLgS6LBak5QP1//Ku1IhJJQ9mMr2VCH5ip Dffyo5f3SiRIgoetbUeSleOuUgO4kVOHPVIC/iolkF78/bn2aUrANahhcElE1X+S0urrs9VMOY86 tLzXqe12eJYlj1i569D/2k1C+Lq7tyw6uBfSFFZCBqQzhLzLrD1JsNr4OOGlcXnOwFoxF3b3jL9A qchlcC0zX7z+1hLJBGNgWZ7eoqFwRUSMwAbuZnUy7bhWegbcQQMqNAozZRnOBzXK5ezJO1JZJSLS pzXy5a/Lx+qDpBxOt+WMh9k4E5mnVk/PgoLbvd/9ZPwNlBcuSPu+IR+ne2uIF8emIEKgGjI9is4H 7XoeW2ehdsJZfb1Afy2/F93FxA9/KENDd9qq8+919bGtEb2+OTGVU257carnS7sfZoimPScZv0ma S0qE52SFjCiFqt+8FuTa79GyO/TQ4XgXkyoqENf3vOEs1oHF5RwhaE3pignd5C4qREIDgw05JgXb gtpQHNhlBpSz91wGb89iG4tvRy6jDwW/XdVepMdJqpVFh1WX/YkPn01zrSrRu9lcSG9r93ie9t9G fHDjuIZ8EaSrv81/7SE9wG6+wwxN28qByRf4B0OKLmIXJRhTEZT0t+PKdDXgWKOqoUUJjPhLwg+G 1kjBNaiprlHssRzbR5FbWXl+JOCF2oypqw/GamOYEGoaPqJAps0lZ1dTqMGeqirxkE6KvJuCwiGb YigoPY7zGLKy4FAs0Y1kY6BXoidXlxOjYITCSZDgDgtpgORD2NevXO5fWhX750L2ch+d6bIo0ieX cdEs5Vg3+gAoxgJsKZ6UERg9dYeWkH0o3El8zhtfZkvMDfISQQmsXl11YqS1muO97Cak8ulkEOhV ChXiIwEFv7NdR3yFHYEwtgmAKbXMok0/qeOH76EAGilKUDnMRS2tKTRok6IiT12TbvZEqMREbEFy DA2EnlTUeneBALF5WHTFKc/Sy2duYNH6m7ZSga19sxyIn8syF6gF6ICsKARbIBXHWwClzaCu0TEO Pk6tZ2rh/8/gkDOTXrexAyCHRdJe2l6AvgJ9w2PkAzdRM1HHG+Fwfn+kjYXsFH00bIWsnF+77OKh 36wEdTY/YalGdOKkvs4A58EPGICkoJS7AxN+TalySQJbj2BREuz0XJB4OsVjEvPdMXJxpMrP/fyx WuhF2xpPiuVguYe5K2Jg4iVBZeZvK4YTvJ3q9mxYYdJxhglqWlamAZ3aIpzA/O4aXyOyy9nr54fa WFlSmuPXbSFRSkbm/l/M+Un5Br80D9jK0SgvXOLl348oCpBU8okAc8vuY9y3dX/i8Rykspr2urYG r8mlcByMQoxoPoKcqyIYFE7EylHv622AQpAcfc/DpB8l1LfRjBn+ZcBNqYT4eB+y9lT5ShphTDrp f4Vswq1adKn/tPmXOhzX/Z0yq4W56S2XPbASYhWwJe4QXGJJUrwwlN+O64NOcajZ+c0jeG0bpWsD eO7ZknSiMXvdu1hENxhemWQlqlhZ1G/NNBdxYftU3ScrHbO6kSjWw5HoP0DzEaa4sFf/+vpzN8F+ mdW7ag/Vs0ByvVNfzSaoHQtavuOR6SPKrBWHc/B0umGYufPCaqWCJEFrFmaPOh9IZzpO+leijryG W5zglifBkep26uUwHGWKfPVxvrCSkx4ka0xTQamPXa54L3s4hwNp+QjnMZTFN7bTeUxCtNvzv1nR NfvZ4HIBxFSF8bsU/rwFPPwKSA1UmEDJce8lDoJ4LIVaV52cP7VEkJwq0ZwGA56CwhDD84Ag5fTK a2qLmwRdq3mbJU7Px+0crZq2hxKrsW2elIaW3i3Bla9/7InA874PB/xkgqTdwB3ZfvOs46h1JxXj Sn9dd2AFpKxYJFxmmgl+ItVZJUqRZVW60Y27T0r7RwtBg0X/HPcByHA/qmjTAJzBW2VhwTK6Xzvg lFDqu9IRG0UANdSwTpi3FD8XfdimsEl7bjbTnaBrUjBx3jCz9BsB5xvkffmgMCliaFxuSNrDflaK F8WrPTEGUiVInLDQTClGdVOV4c4iWqhSqgIFpyUi/8fEk7KHpbiZf1OVw9LYuAFgp++53XTBX2nR /+dZ3NSR54Vu+8R5nKwwc//2clpTyBIW2+nGAYOCuouz883a9qb+RJFuBJF7yteCkvO9noOjro0F icLUiryHxRE8XYV83r8KHPwQ7nt5jgKpFYbg2CmGas2OFhemj3e1jfMCPyuiBL799fjNCy7+13qp TwBn6ltvFytbXA9dY8z1UhCZACb0I+sxLeGbq9jZVcbOgaZS8Zok3WnrRe+SfK1j58OffMZ7fSEo SIXSOzzqDkd6XEAtFV1dU00sTocF3lg2s56I+hV7c+dY8jrgwHTqn0MtfSPd3sUu5lu/qSij/hLe Bui4zzKrOdH6JK8mFeUaiku68q/E4eatFhUaW+jLsf0dlNYO1iBEdVgJPHJjFU7g0OOMgV3WvuDD OCBBITeBMGlrkjlHTFKMn1Daomn0xjCq6hvF/LVmcdriTFTMP8Oi/AbLqnAswCIgzT1cKNnsKUVt 71xYko89K0T0AT8eBMHFuo57qEjSm05KTB9KN3+QTMOD/TnyhQlRn4e6QRzOTj+uOQFBhvE9FD5g IIwEMVVqbin8i39Qwj05NRjVd8L4VdOewmjtRs9QIA5JFIr5rso0GbNG5zXd73C/NgHns8v6bPqP EhnI1nfPPQYkXPz4WviJtpQuqlroSBSl43zPgB+BBXkxyfdH5zB7xFyEh94q0jpPbC1JRe+ESgKZ GFR8ZcF7Dx0iGKkV59y8rxSUfGDH6LjLE5Wi+k/y6xdLdZxCH+cRUFafqpzj67Df0qpXoiXV2cyr 9cKaJHy1vvub89bB4kkKMPtqvCn+aPkfBRavexWLCTASvTRYQgeoHjg7PyG3S4amTK0l+LbdmDJb x9ZiciZuPlK+0DXz3ywYkHzQOel+1S0/D9IvhSFoIvL2ljqmkv41MjvJirSMGDsbnzTxB4nwSXQc VM3crHt7C3ZQcloEtwlBfJulSD4zqc4AavdYWgc5OD7u7gyAppVGCgWXs/wYEBcjcJU/3CVcUixv jCfbSz+R8VMCai/4OP+BZ/76u0inwQZ6tYBqapIXLNYSRd1fQG2LrBSuenYuZK/0cWY/0lyPVBS0 AAtlI2ahIoMREoOHN+PqREVwTnuB6NyGX5mW9YgTYTs2W2eP3SltMGQiNOSKZ5TlDM0iW/YovALG i4kw/Bya9Z+hmm7BjDhc3Rs9Avlc7tMXYFPpKkdlyHskRs3GRExsC8/qZNabVzgTALyykyVV6fCW sCpZAll//5Snov/0ZYXi4pcuHu6ZZi7N376thCpucC1kRsTDKwx/Hlrg3tCztEOU+kNjkmc9Lr2J VsRL99tL1WbSlvEeYWM5aus/G2nnFKXaFiewjAjcrGQWFuzz3pIiGT/6ih1C8s06zyPrPOAXkBJ4 CfEmhnezNcodRxUIGO/lRLre66O5hNYwj1nKGfStL4GAbKamg23RxX3MEuNCtqFNniAMUT56hJDc DBjoRsNqWQoHIfyQruB+QnRalcP+EUFT0oWcrgfxhoWmTMiw/G0zdkSBOOkzIcO3IvM7JGFiwuq3 bbnA1Lt12XyUO6TI+ajGPCS1I07xXdb7277Lr7ps8qTRgEtEBV6waAfwlgf7V0iI2fEBcI6cwscw 7XmgLFTYDweh363HHInxMJkMm6Sfpu5IhQ8KB25j933HBXVHOr/BVyrvKrQlAIIkBlhjrSsuAg5I km1DPhaC1Gy8Nd4cvNzmhA6+E+8N0WEMhp+Go0yKKCLQVnrL+yLGvXBv+CzHS3R8O7pcDuEiu8vU i6dUWWRFtOJADSESQx1ecW/37dUxBR3EqPZHMH8VQzitLoZAEFBqwFI5l+VzG19uBhObXlJn7sgd 3nJwhg59ZXng1al4DKqywEz9vY7afDHFPjgc98XN7h6cQ0KDY3+BsvwdUgtL2BuWN7H1/sqG/NYI +tLM5tMDZIIwLOsWKrylJg4wEc0ZMfIySC1Jg4iTbCfxXsvlQkNL7fAXCdNl8+XHMMJQYDVxx486 248Vg4K9A34l4m0oH9+tBUFdw64lOqQU9pN7GL561DvUIgZfJmi2xMBlDvszWesLtXtoPj5CdR5A bRj7jQFjrnsn3XminyZOSzhwwF30+upLm69lH3ZZHpeLaMn9epVp5DKPi7SfLLA9XhYWjPtGpefE ELgCZv2VyaCy+onDJTYoJe8aQo8/+rH3p3FddlQAvasAfEAHPAlyzaI5EvUgCpwmfkg56zKWPBFm O1Zu47Wvq+pSc/YyoJ9BIKujPCx61qLV9K0Qi7fDsnYYgUMZAjYmqTG/rlHvbSiFLR1nLnHwHEa5 7xNNBNzIIHGPAN7P7btxOfEbdd9/B07yohw1e7pjSJieQUxehNvm6K8tfs0X6Ua0hn2ukcUNr+k2 Y6xnHhQuq6oV8QiDnqnnaHUThzTuHQOznBMgQn83vbyixQ7gMozd0crx+fS5soNlpiPhVXtKQZEO 3DpIr4qtew8EbL+coOBNAXut8p6jfDnBGRO3kjfWwUsyboP7Cfm2b5AlOvLamTJd41McztbAwAhh AG3VcN2K8T3+6lUXLEnW+ZJXGSYPiDna3Yx5fcIVvP8Iah27baOCGrZTkZaGGnWhY5VKLRSMbz3I jrxzuBJmbr8Grz2pIT1fx6WneblYrgcpCMuOSZOdMPRICnogdQ4QNBR5UbWRJJ1xePRJtvrgu6rw bH72MAavD9LbsW/d6GXPmXCNwxsvusDeNW6Vc/Kpxv6/JchM+GuhGS+TFq0TVzc6jKhvNDyWvBy9 GmD9UPAqi9hBMLjX0JnFgajKgI8rLRlxrxFd3+oupbZ7yRB/7pA04NozT6Wpl5tmzxfc/nZvxz+z iEy7IrAAMWjxqQAIhSLNMPVJUq5Nh7jja6NH99ECCuX/Imxcg5UMWDNsJfBub/3SmQZTR0Jlu3r6 mcuoeaOkhtFsjObEoAdPNpUjifbqrOlO+AYfa7PLr2BHtQWQGpDN3s/LKqDGLnnNWxaLrQUgOrC4 GNqI/I0NZzz4wFcZ3lX0CvMf84DlOspSb09FYC8S+d4+W8bo+6QUwwOwmdJrxesbiRF2BXvhxmX4 eAaEtpLAagv2QVE8Ngt5ctx3PLeByCn3ZBVH1AkqxiRN30xqtd2mRL059wapF4l0q7SOcy7MwYll dj0dRVfsh8nvZBIxEfKAbFDJtedG1gDvCPtosnzbgf2wbCPwU18MXr77vJHgHumlReZ61L/fxFaE 73GxqZFYUc0MIUlh0OqZ8IsxEC/NKMJKucZ2sIhNZ018dpLwk1KI1hhpnmcWiw++ib4KldALLyKR H/S6lQPNu58s7Q1hGW0Q0udwEvvY+1aOy8JGzE8mkvpuyHVq8zL+qzRa1S73IJYUwBWyj6/9Xizm W2ai2H9PMGbL1F4xZl6oby/M83nQlEMdZTX/XmhnSpCajrZY9VwVIxxXyzt9O4zxw5K5Bq+k+1ku qLMr+kY2C7Z04fw9wM+n9j3/HNJIp3CdnJ4sc2t0Z7pIBMq04c/dSs8tRHCmCzV36SfUmTlCBorI mBI8bxRYhuoIcp7KRlP0qMXlTW3vOiBMJ6iAkkNo/t6Bpe8zeCwl1uw6yYWm/q/hnRlOsaVd0hzp SKxJo87YNU452iDiF73+3ZTScC/7JMBh/HVrva/v1uqaN6KOqXc8aopCKUJY4MXt1r22s+pNywyP 4fFrui7kE0Uxb7cw3EEjPGYXxUPQZM+nH2Lk8YIgAO3p+ihxVZpKzJkTd1Hrsd0VTtlZ34ng2P6d FJ+0dg+QTL5CZ6jC1EQmRze+3TWYtj+ZobGmT49y4xJh0JiIPjnZ3A0oDCu6/1u6Ax6xjSOSOtnY Z2KABGFRzdzmm+EKJZwF1VB0d79eySo+rbcB+4+y0FrRX0G8JZtxFf2DYruxLe0r7y01GhGmXMrZ YOT9sQSrLFKZ7scEsWWQ/u0munKvZw5/TgslyvHhCyiYBPvyCmrQFD9xEzB2aclDrkI9dcpjk5QQ ers6cuBUYr4/13wYD3sVShR02W9BECnDUTTyq9RRyeI52TllMnjq1gC1Y5JUhzdmG+gvZztSdg5I njlkO4EIpMbS3JVXH6TdwPGHbNVnZz93tMIubf5nAHd2qWGj8kNNLTG6tonIIPnt+ThoQ+KxSU7w BgwYOcFHXQv57UzN0iGvDeeyOTvr5yPlG0AgUR191IR8TWrb2pHtrVnysQnLGKmsuCj9PggaSdp9 /gn7nzmMh4SSi/ko3BSIdr6ul4TnJZXZM7/hjbY1bUbRTUzwCnl8Zmz0AqztQOaR77S/UqHp0p9t HY7/WY+0N4pCn58QtIquIKImeo0GupLLLTtAbBN9UpQtWG6SEHMKvZ1K5T9I4Nspjfo4AytCjZpy 3KCqAz0LpRYvWhsBkmXPfFzqWNJckO7jCcayktXbzXsdMW7w2X0rSyQLrkl7zMH/rEg99smT0Q+J od74T/8fQmE4zOTL/0nmF3UaDGDP9AlwYxaRxzAShbxhYBfiVFDFqkMxse7/EZYhmTwhfgWG5uDq CSYYaIkkQVQL+UuYCR2rxhMKncpDEhd0M2sbZfxXTS//zgR4KeKNxKb9v8LgnL4lpsTI4ntJQVBj SRDPV8YMf+QFFtAvbZCOknsw4m5D9xdOeaHD6ttdi4T+AHpqIZWcuK0ypktaOUqK0jd5pqJ9osSE oO13MQ5X+Lc5OMcz7jRQQiADm00gyK0++/SM8WgpHJlFCSABCXxbYdAaCN23pGKlyVqNaMoZpfAZ RYNcQrF2QVFUkjrXBogVGV4w6hvJkC4bgn+OcqJQoeltA3nAnaqKJpnUy5Zf27Ot+zKBIkGd3Duc I34pJrnIS8/9Pt6flfwdJ3gDHogvwidk96b5Bi6dUhqRi/8fzceQ4Prblusk1r9xR6hRLEg0QXhS C6OmGGWh0JzTdD5wqOQpSTpNFQKOFy8bhZpNyRBwt+ERmfPfK/SncZw9qBhxZ4IuVneFe+PHYDoY ilxu7VSVyMrXihA1Sx1LAsPMgv6pprY06Q3u9WtruohP3569/DTzqh5p+uLkgcAC/sNGMauEG3iF BKzJl4rGPVF7utP/9MhFbOVMui49VrJp4JKLLfZiRUL5tRxEjJoBf7BMQB1kz0PjS23P27+MdSzn VpVxlCDHI7ZO7p88AVNQ0dj0dRt5xaYHBvSF4nS+CBXTIBqeKh1BIb2YPjODKCzx10skEH3+OyyQ kh5sqdnQygOCTFyFHp4nlHia6I+df/5lpHV9E6DvoyqMtkfCwul6Z06RMyrYtPIpxEWHvUV835PR kJhW8dOSuAoRTCYG/FkZGMpOJki1dY4/0096ueX4VlMX2ZYitle9TKEbYkK/mY3HF4zNGHMcwt4w FEmP8sXId9Uc5mWTIjUXPgMg6EcUqNhj124r/aHn/lD78THjuvZLUjlCH7TQd0q3n2mD/jFzH88t cK4DyQWn5xlriuLQymcEY1mvONmvrE3O6V1Zhy5BExsYE8n5b/g0nxn4OcpxMSWimekvgQMw4J9Q YwmrdpPhBroNvE+X/cfHu2pPl6eU1daLucxWNetfrdhreke22XibY5jmUPZ86c5OGtzzwWzgLVzW CORnpTssypBqFElf+sVKUTxUYN0fsIifXKr6SnYUxxgPUXcbPmIzTQLK1ca5RiPFVCMzYa78XwIO rn/Gcg+wVpEjBXDg8oSuKKf+NvcBUGNaWYaeDmzHL6feVQIBvoix4fzwSA8qNd+El5VCtiDPVp5I YM9RcHtBQZqdxcmm2Zf4+pUXknsa4rsZOpYKIdP1+g0kSzvap6UYoikhDI4FNj1mgs+7jcEyzCtu i91XYrCF56qA2zwfnzQg8cP3URSkg6Q/Xf2hGWILT6pI78ddFWKQNtMT01qNuj0cNgef1TlY65BI 0C08BwiTJaOxIkAhHbl3CnUvq823T0sENc+brgGdh73OLtjHxTyCb+AMCPTtT0eJHPxI4Q9BmgnZ 9wafTMlJtZdvPAO2hvAZQnxdJVdmylZ2LiLeaGcLMP99FkkyXGSYRL3CHeodEWbqZvaKYFF64CGP GKNERXBB8AOnCTjFLZeNR9rjakMMym69jwWoa0QFjchhvvKv0yYd/Axul0KJj3c7ys6LKX3gkCd0 82kxfnwFUjGKzbFryzMOriWs3uoYkKei9qFY8UUDnJCLfb6qlEi7wU5L+ANr9Jjjh60hJRpVwPn9 u4BZFRAzuhVBuTVxoJXRkGn/PZnKxI5jUXf7kWBU2GBEEIO9BM9jJ6ZBSVMfxRLLzAGLsKtfnH2A nrMTNmnbwgnfgKb6Hexbfb/x+5LVjl/vhFCFxYBnxe1NQANz+Jy2Aaw9BRPuLsne/VzAV6eRQK/0 B8CFd94xkplbQbtq4htfaocUir1fOzu0d3t6bVFie1QUUZfNFUccO/G9+jjqKQYuKVngQ4AL08bd gmJPaF/zpcDELtSUD97hiOk9Klgw9ZswnV4sOQOXyF8lySoXLBx/dSFsDacEqRh4p2alhvvE4rU0 dmz1FsGTC4OtyARAZFHkDODDq9caW0PmEjCr/eqLyNz8I9q1tR3HhL/3a+Ew9D0wC/xolG5JZlCV 2gyIvmKDGchArPif6nz1kI2TqULlggLulTyjaPzDSNAwPmG5HTVcOhiCAgMKfRLV6iyrKHEygIun 2T4mVumK6pPnDWPuW5D/a5vIXulB1wTo4g4/ei8ZKxJfHEvOI5Y7Vj6dt6qEkOVTvdPDeDmFceHn 5gBsRDppc4CxSr3nYasLPCLLXNxbxaNb7Y88yLRa6NM8/znhwD6cU8QsUL7yt0C27WlE89AfSv+A G1Ji+gsfFmkDaYjD52+YjEoFjZiFXbvsUuyOZjnKlG6VCB7YK/JT83jfNqoVUEB1dbI/cYYQQakM mfiuxNYsn69xEU4Rpx3oKZ5howadwPJCKC51vKXM5GRQsL6jPxn1GtSadH9PBeJvwdBCUxaHBLPU FuE61BFxmtqUTUbzTKuZctDS24a42uQWrsB8kGb/mJgeoMWqexrH6bDzfA5RnERXeaE2Ov/gc1rJ 1Mg5GF0KlUsoZ3Ve1V0RL9LGDdrVP/hRbplRh7b+y4WWwzvNwwi5PkLR8i6aRjhzVrMmFGaTG0iD jGhf/LEgUz/tW1wvTKkdlBhchkpzjidwCbPTYOMO2+14xwMkWq6vRSVOetZHF6vJjJeqENzVnP3m YQWg8wjHA+ZAn+yBFjdfRHSxodq4Vr79EhfmU0rXba80KyyJLJw0Q6yus3zhPfPddiA1U7ezH/PA R6ng5oz7JXedfsi2evXi3nlJqZOeqr8pD/HQnwAD0ZUWDdZ3M4w7jYR9pK3Na9t2VDna+aRUFZty BkxG3dIKPgRaztA9M5PM1pCEABl5yjxmYB8tWRvtWDDzExVBLEUjUMVDVC06MqbvJneLLALDA1i5 JD0VMJimDfcOzz2dsze5gjRYmEQ1JTGlP8B25Y71UYqn6ryHzPAHAqMf93Kb6KRDA3m1Y8bDpkpd ULf5zPp2VoQWo+mQU7Qh1w5QYgEVuHqLStlsYZmmCJWBx/7bViPaQDJQ9du0TYMr7d2hQg3fZDep 8PBDCVeOwWVpWWh9P7pleSE09+uY8lA3+h18gXQadfOD9nDtsUyWdiFcfhytVK2RqOD7S1cAJB7n idIsm7RnhgzRg8NKnfsQ+eR+NOxEULuyhQ1BKyT6M1R2dfG8Uhcc2eMu9igl2QHcQGZ4bHMCblg/ LY/qmB4hXc8qa1g3dK1QqS46oGezSIQV0zfZUDTW4wNJFDd3tx3lc6G9abmoLWfYq+kmG3BQvlKc y4RyergLKTv7fXnGVZMIPwDjpPAR9j4gCBlsrI0nt6SRwYtan9ZHOo4nP+EnadSKWoGbXA+AmW1l +7zdnQuYm7SWzAv9vxkZ8wFaCMd1W4Pu5w804L7Ha2BIZTvd+ax8txOyHVIEQXOQqN7TeV71BRzp UmNns2GTcv/7IJgcaXh4Uo2i+R22jKKeKOpk4mD6ZlQRLM/zdwjyUq+/9nJTtTJ0hodBSyHghh8Q jyp64LLHVulKwtUFgZsUwRdWrOb3KS46W2CGUtrcAMy/mfU1StTv5kW3S7NS7WMQqPhLKkeQKe6+ 8RrHNX3W4PZDvpMqYeFZaF3OXYFu0lqYkXQQeSYxSmzeuxWrSIHW0fgSg+uUmNAdUEZwml1oBkou GE2HbSJiD3XaJrFPso1TK0kSnkRCgRc0tEoX9rRMKRMYBrMQOCkk2bkvQ/6SlmMjnbn741BuEEWL GUXCBm7dpkCQpRaj4+HyopNu8XwmcMjsui0oN4bba4QIlKjycxsMnvq/NZJUEd3pksQTBE6caPSN LWTxCuJ7sfC0EMl8zlB+r7bX94Lpfngl4TZWhwf9gIjVJzLrw/mtvtszRhUw8QpfgsD2/tEAQ48l 2rIUHOLSYDG9X5FRjkLG6jJqlnA9L8e3Ei3tiVOUJXhoRy5NJ1UTCEQsMpOnEsXCj/448i1XdIiK 4arMQ7jp/fOmyBANOQaiqfkFUiEajuTOOfkNFUj0r3tG6NeyNz/DGmo3IM61cSLgfHm2nh6TGJoT ++5ILLhHZ0+WUZEfV9g7J9UtCNXlKKrhXNm3/KEX6GUk2UVeIaO2GXk4w7+ximAzAXza1vVfp/yg 1g3JOD4sDg32GAoPAAvpAzKsoHxT03JvphY1bc8HgDV+D+PO4TOgoSJsahon/v694VIQhnvmv5Ov vbhd929QaTzlkGZncZZSRU7Nz4Xac4m+yeqU/gnDlVf/GmW0H60On3JNbQbCco2uZYUcgKtUAy4d /6vzs/QVs+1I62OZKPJ1LnXF3iycbzQwHtDLSSQVcwKSLKCbIaPJZ2aWbbWC2ZeDGgl1EUimvy3A JRomyZg3GTCwCo4apeLsZn0n8tCYbcdzrT4cEmsihDfka6n+llNjjD8+kalZrXiUoMcHfSdJSOSa 7lLl+jAkoNJcrdcI/xgvPzW9TVc7DUgo1CJtCnP6DBDntHRDVIt9rrdXO1q7CG32WnwYXNqVl4ek aB2aFkCb63iRMKim7+HVV1PXMQb7cA1Ee4k5gJfTscE6wyYI2YT55Xw7WmLdm/vQjNSuBT+302UM KDKk1pa0JFQlM2Ufjp1ymLy+8lrhsa65opKPee8nMg63UvWL5EM6CS6I4/hqyX2zpIe1E2cUHPEj r9ZnvQ2ZQMslES7f/B88fRxHX+8qKGVnpwjxE+dFko5Z1d95i6N+pMhG7s0Vi6pMHyENZmNIGhrQ JviTZxo/Ur4r1Qv/EplbyM+Vj9lAFpbfh/n/G9WyV76aCAjKN8eMZa+W1dQ8GfsdcDMtaHwD0SH/ MJ2AgRuFSgbQXMIxtTKHeHmuJUohZULVjw2eTsWBaifcPAg+xQoqlk4UKy/oxi2ecO4swahavcXz wd+VZfkuMYEyB0wJJmnJGB1PdieYDxhdflG26RXdH2lSocOYSstscZlqcWxM/dJNGbMQn0fhmvh/ DY5zvCx0MvFsHPJwh2pGclQ76cZcnKmsdJT5slTsKwzbADMibnJGfcjMZfJADH2KkgUM99TZI9cy DdFCZB1VSUcPI+jT9pTxrxte/ETYQNwibmJ3FPATIdDp10aiNPwFE88CDP+GGH/4zepywHedkyXL 4TDhAFpiBSoc24oKI4jL+LS2ffZvmSb7xTiPXkIqJHD3obe3clOQcNfqIT0HXzKSp3ghODzz2U4S FFDf2K7w6/Xu3PG9rU0BGnwU+2kIa+VHNDoVNAGwDongdFBdNoKdlLe/N8WY5nz7rNe3tck/++D+ 5BVvGhMHfs4/K6o4RhPJVovpw8ynL47WI0G6yCf5WEcWggVIB1hGzuza2p5j8bDrSt5Af3rkryp0 Qrx/CIocTCziknzVHit30BABPvILb+5TCTTcBpluW3DQDyXYRX1GHRFuB9t8bk9lGeClZzKQghGt zu6XLolkJEU1RXdSXiddJHn3jyZryWI3UJCYgFb3xYKowYCl/TzAJSE/ePzkHDgGnSujOmMJ3K4m nCFt3nazGQSKuGjY75LxcmjwfKXemLgNtHkNQyYJ6tELW9q0MkzCyaH2hr1DJXmIifqDGfDugdvG DOAgJFKDpGms4xXnolorM+UAeO8qk0gwfO0XC0NHJahnHvSm32HRd1JqcqmfSXkleu/zMpjTMAOP szNQoijkvLFBAZ2Nzu/ufZ4LDPwK04Lw4evNelXP7UO7MGsvLtagxGK+r7rVadvc0Q6Gs4gib8c0 lner4ksj6ZtGKqYCQKLfNs6cznFKgC2lQvILXxAH+4MCbAesOl86+JYhIrW+BZg84XMBJacRTFGW j9nTHWATafnForDJyB4vUWZE8upWsaU+BuWLWvy+7ULTx7OEpy0wxZlJuvp4dPjUazcGAltTM+3D 8Ph/x0xWlTak+rTFDe3uhZJp63mJFwGxYNCFF+7FQ2Rd3MNGOL6KpxlYEs/DOrA2Ran16ip8Nicx fnufzXFSWS0WGyRF45uhrPbNgQ/sT7b3OKShVc69LlmCy9y9THbHFJq4kksHR7Z9dhWN1dgVulVh SzctlLd3Bply/o7lEM38Rj5m+vSyM3uWaEx6niVz0hjW90FORbABOoM8NJn/Eh6hIDGtk7ITk828 w/YFUJK3gU0TKFEkECaSHPz+O7N8MF5W/NCjuU6qyeltvRIySBf1KFHqAc6T8b7BhOVcxhFnJfNQ Xyas6JfSamWkBQ6zxh1c85JYlIFStL/03H4HI5ythsK7J9Kyltu2zNbOY225TKRZvl/BLOrtlE3o QJn8HvVy/1J0hkhQDAc9/Yw9pVfBCEhKQdTufg5KdCH1t/yA14Oc1leIiSIAXpvQBGwgi6djI88K ZCyt6uecjM3tAGeqdUxGKAiEYDQQCC3RZM/4e5KepmKDn1Lpo9pyLz8QMjQhmqFGwT/rjQ+T1qdA fAHmlmDTXggr4HqDH37Pf+QABMDG3IAg84XgeN6r8VLbK61F9vrMbiV7AvqJP/8/kzma05ARGzzG ww4TanFwBGl57dr3oP94aF0BigZk9+nFyaZSpl/o1g/J2lCPoCEHhua0k9/3yfN4Q9dlQEfWWh83 QiVBKPND3UQ/6h6T63BYIZSaBipuQthmt9mpC6j9FqhWZVNXieM+vyxDpqKzV+xG/dOidohKkyRp UDMGhvMvu57CfYWAG+4lkQmGnjNdkR1oW9Shl4b4s4l4WmOPLoXeuRIM20v+7muZbvLHP13rm9zv 5BDZXmQ4lN7P+ip0BMl3iBo5CtNW4IGCqh+pmG6jzpJmLXRDf+yGOzeXelE9AVwUd4uAexROC4HG eSXYzpnqAm1/Ibgaj1ni7qEjYf2r90OGveRzGTPWs2qOw+5jt7BZ1yejo0KMa1WttBlp7t3p7DlO itQIfWAdDhhOv2wDBkwvLUE9423357BkcS6/f0kxZDVCCoPZ5sqkBr4muGp3aEbYoG4oU6VecuZ8 0ip52xl0NadzlGnLvlMPrS09bOaXXddZmH9OYpE0rRR6+eSn75oHOsr4gSpA8W0vCMUX8CyAfzSm 52bMFQUJOVzbmoo3Xzt1s8GK851brdhNagpkbyO7g7FKovDE7cxUKO0RFW0chCB+rdjMYpJLRm2f VVyUfG1DCYRBxVkIgmxN2Ia5GZzJN+vo+xk3g3wykKZUi8LnJLZKhnw1rhSf+MITB2ynAEiWbysG 0qSd4lPmgXQiYuv/GNgx57pWGbhjrPVwQsiX+uIX2Y2BhsYZohPoCJyVB6aaCFdFh0SLwXhOwr0f qiDnCw/a4UN1y+z6aT5EePzmJmSoiHFhTGwuU438VbPvlfWX1ICtFrNkk3OfYm0V9Fog+waXprf3 Y3DvZxmAtVqTPoPmC8ZA/KJ0RwV5Kjb0BtMWP7Hnk/9Uv4vxQXVfJ73LpS8AJPanyZzlcTVhdtq2 6ZVSQ9HZHfmIko1KXU8sVr6Gjtvw/4BOcDQ+8rz370bLwLnt96jlikySNeK8KyEYxxkLjC8n/seB hyiYJqWfajhfPJiKSYeBlcff1QtWhiaNObRufAwToDx56AL8iz1REnetmMSrR0JVxcy2xWwmMQTF WVevJfmbD4Xsfp94UpimYvh4SRaUMIP9uG2YDMMEmykXy2x0l8j3dbZIZ9YklokELjtyZ4y3BYNw PvmDHJpN2OvMIYmV2EE8afnSpGPLozP250PGYgvw6sjCEKn/kz+Hj+IczIBZwehAAyYF+FCf6AU5 hZQe8p1xhS4hsGgPqOGb2hTWytmTMWHGREVI4pEBAXnyoEfsw/JiDpk4NCanJYmOQlZwRJC0r8XC CKR5ujcnl2kP5yGW1lKMm2kT3Er252Mq0r8jVJXu9UsWz4AqX+0tIsv+EuPR/5NIAVu6c8jxOHfY Th0ppqpMboH/t0zmqFszrjZtSyskohTkkrVuxzQpxZopr7yyBcYH7HgFmUESUsyO1XS9gBFumDW0 DyH8G1YYz9LCjSm9RXLI/mgykntbyy1Boaj9fAxxzJgX4S/IaeL9pIMP7+Iq1XeIAsuGYKkXnh2f V5jrpRHFHOG6vhCV9cp6tf11BeOm2e9Kbg3To1UpmHizzG24/Icc2TX1KyR/AQAMS3JVPCIZHIEw gicEGubY+8DRhUhmCIO2S4/z8+VqwmLug0NbQqZh/GzKwLg2qkJ8CLAPJDGBzuGu1uceT2OdKzp+ PhAQgT+uGpoKh2e6vpDFvhZYh+RmJRnms9mhx07YlQfOJZS14QDqcF/IkQeAyiD/wZl7HX79rDdC kQucILxuYLMo3PL0z/wrKeu6Eo6wqyZaZ6BKqUi8HD/m4sMnFZrQaenJqZcFylb4qHh6gqTAAOcf yaZxKaF4OoaAx6H8QBx1h3vmcpTuKjIUOJrH7W4fbXzvTgurdFupKIBVef0t8Yf1gos8+hVUeou2 kXxattsum01M+urNQL/O79NBHFYU65WcvWix20Arp1MnEc9mzMEMPfeK/ts4vLVdJwZcOydpG46w mopWjAkqYkv37ScQr8lsP6In0ztAHn/IK/j8g3iR/0bVKQQweKMku6ECEVpSmwN9tUobBCYse/j2 NJvzTJFYNn2hQE5vGgg+ZMya9UspmDVxKaAbYusGG4yD3ztNafupMIZQY0w8Ju1OgfIUwidIPzd7 2wfopO3/wi+ZQkPRu4uhC/YpS7SN/GIoahX7x51On9MWN4JpHRTfuRsUEhWLldKvMHCU+RbhG/eE FBS7QO0AMqkkYd45NiUCk062kDzd5FITs6l3EVNXYSwRpp+pzj7yDv1P2IC2VepIzfcTBHItQ4qc 5G+1DTIEQuZy7KIA+bnn89VGjiy19EXEQQVG2Z5skQC/zVmr9hHxNHJp+tclfUXgXYWhkDP/c5dR yy07Nadx/Pj/IDeBk10D4/esaFw4uTKghet5aEXIhRnaqsz2D5L6O8kU7umFj9Qg6VktWMzwxeTK UBszx3xJtK1L1WUdCgk0fv5SgZlW2QdtgV0rXiOpR7rM2wjFxGaQBHe1qcP1tzbRSfQreYVNMsTc DOOqIO/IiZ7N0vCkA02ST7vBubWd6zqz9yxcDAxTwgeFLJHKXcLipaE6yVuwR82XcTy20tFvrKIc WimyOL4BM4LGvQ9l/S3szObPoPbAiTtHMywwstEfqe5Ah1IJSW5s8qiVnu36tYkQneCiYTsvinFs yCLsYrkVi/PtPPXGtHzl95srbpqlz2ncYf6I+qJwfEy77pZ8BWzh5Mr9qVfcbHUEgxySngo3ev+C i8PB1mk4NWSwPguLfuecDNHgypCIe2qnYlGvqoXJhz+v8Rm8g29mjRhxKjNpXc8hgKtgMY3fH7py C9UkBsk5Pc9vBupRebIG0RNQfBIBAF6kwx3BH1JqnQ5ns7TcM5WcDpstOkT/U2eHL0W0Zmukq/tX nGqTai5qb78j1dgiijCKy9vVpXbXQV4jiY0nqVqVB0m7pKm4q2krofnWH45+LDNGwz7mm3TOtuD9 304u8xvWJddKbqhshec0esdJz7xcom4ljvuU7Jc/0o37/ohqslV5VQDM/DeU0m63PR6g4/N5+k15 mjHnPWhI9BmOUI01NbDa2stIA0jJR3IhxzURG64xY5tEJIIzh3jTW8GOHwT6whklSplwgnJkgdCh lIgKpV4r3zTpE/5ACmmcyI8k/IsLKWPn2OuzHPDCQODyxe6Y7JMFLiAcVLq8Gvkt8qeO64FFtFL2 qw5WHFX+IJ2M3FWAIEvNpr74A6qoAhGl/Z/PdS8IeUAnsCh57PbZeK0LIsLQ0VSqfAwyND7oUTue HZaR7DN6qsMO3pheS8ukhe4iA/YJtCiLuE0ZopLSnwOAVrd7wU5WPWne4X6WxZPtKwxpbbi+U0kg forD5YRAIJG2pdDa2nI00rAd+EbuDNzwBDV0QfRaw4bY0F/mkJ23Si+Tdgr2i19SIzlPkj6OvffR L+VBJl5JefxpuhUZszwxKyh/qpsylj3WTsVpIbMiLTUj/cTaj1uvrjBfB23VVVeP3IS/W8TGjmPE HCZCNHL5WlwWuy6QFdetSUItoTOAWv42Kc6Ut7COnoefjFqKZM2nfW/hUIVBr3dI8FXaa/78OF4c z77EULxopUmmos2aStlunWR/jMPrdHjAuJh9E6bd1QjCak9d+9eqrScQJg/YRU6p+8Z0B0+X5kaF HFLbccIo+fw1FggNjbMLQn05eFVBS+4uxr6rAQXVneD4Umy2yZiKYrqr844HcXamR9TJBHcu9FgC ad14xHhQmF9/yHQWgzXqvMz71mczBGj0CxJaf5+1QTZgTKnQXwOTbFtWufl8ZSNTEZd7WQdUN0Yp 1NMDfuttT8E/vDe29f7URB7HIO7VWA6J2fCyeSj67HqpHfP6AZFXJeNrQkLN9/x4oR0VFrTDoz+I qky6YpmKRUnt/ZaMZo+A5LomUddtqbUmfiosEf8R/CzVk5eMEXM/MNcMHWir/xnPXTvlpNeW1viv Hs5oaMCXKHHi2JBjWshPzbESQUXZsqVYFTkbBalQpZsntYzZXHsIQaYZQrF9KNb+Wxy/PtAGXGIq aDThAZGsEvzflX/KyZkjbGjenacT/IIPtguvl/di2RbwrHh7iCINMA4CTsYrFdd/dtkR25Y5rl9x S2t75ZUGMfrs0eUfi9VFSdKGyPxaixT4Xm1r4KDGI1uMAr0QRUJvj+rlColECclnAKeBiDXMIo/g MjE7mJazWfC8277/zujt/8xqOxdpO2r5oha0+rhmgyx9JGNwZ/WeyPwtrbu2qs1FaEZ12wmzE0J0 1WWxgif8J5jtsDW87K6/sOREkT9XYsVoOc1g1eV8ugSl4OjlZMPjCNEr66OrPF05w4slB2J+oipj vN9oSGKvp7hONcaIFfUEmwAntcadDaRVy9OZSlMbYLX8oO9l/C6j/2ehrM3STShkBnjeHvd3uQXq t/ci8n7ozTFaTSfCtz13si669fXlp2xHOtku9Ybt0WO5NzM0Yyz5LC/rvIfRAkvo3LesklNjWBa8 oREvf6SCidInPKFmV0GUacYqTksMFdAGqrbdYpUje9ccJNRAokLmzDv30GGG/eNL+SEILXAavFMy NNnULfnyUTgOdIy1sqV9NdVqKuCaVj0IhRZckCv/GFHEJ27+vtLKGFKX95SCOoqVcx5U/GK7zUvj Mgnbgv8BMZIbElKsRh5OGdP77vykbjPFoPhzO6+aqSp+Nt8IXGBcaqksnxilbelItoodDVkjW6NW FuDMrfW9bQlajhNb+N4r2t5UYN88/vcao3XjzpcO9s+xxGBrgJm80DTCDs3HOLt2zd0fxbzc5ydc CMPfcnGgirG2exjnbjiiBZBPeZku4Sp8rSvM1JnM21tjOPZDb4RZ9Al+U3Q05GnIuDet85UHotXq kE2IViII2NLaIFENkISeFcDwJ0k3POYm3yb23l7MJSZ+W5YL6JwQO1liwO2I0qVbZUwVWMF1Szih M/cTqHrXSblII0Hb7QRPDmOuWtacgCrjWvgfcpFZFko4maStoKMGJOGsc9YwlcA+doEkNsu2ymK+ wUqct2vAqwT5YCKkEHwndYfNm1mVH9LPTy3cuLv4rb1UbJNJJi/QaZeyXasuf9FRNtr5dQoMOT7O ChlzbWFd1zHYcR18h0xRSRA5DtCY5P0VYkGkqnMBS8Dm2ZXUqBf9Yve7KZo6LdpyPGiLIo2LWe7F uFTtp7JRqBT4D4dSKaH8k7llBA9UVvznglnx9SSSANvyZBJ7p8yBwNzDmVAxnc5tNXOqbSFbWYTa AUyacP02fOItkOXh98WdkL5HZCLz0arIioDod8a9wHxjlc/yBcTWpetKItLTsF1dkUut3Y/7LbjK ge+/t9l8ukKZn66lZezGk2Mtpb/7wIBh00M9F15oJT9bdDJfyMm6SoQQlEiafl6Qdc/q0zwoqsKN g0lZDXlzn/CWAzxmcMJFmJgUj7pUK3RZuFHpsLNPqDsV8l7g8bTvVT/uiet+bByLrLlXHxbotHPX PAVTaw0XsoYhy1a2L79v1tdRjjF0RYba22UOU6r9ZnuTnGkBuA0qwY4NsjEO5RXTjIMF/vDTzU1A pl+IT4sIXCfSO7xXefVPXRFM97b5Was7YFTMRp5MIhMmc3Zv62fnP67ldRpbQGKCgMUqZinn957c ZQmtZFGjNIuVfpWpw/t+YYlsyo/llB5HRQDIUeIAdiA5uj+GRYjWuyRK1KT/Wj1N8PWycnlMtRiH jRSE5E46AU5GxzIReI/iL9Q5zeIDto45Q7l2qhmXIzoZpWMf1k6mOaSk7RAX1qyFQkiXxpt7GXyF 5sT/mrVDxhU+r7gHLHDgpqCzRWZNQS4pzkmUjQiv0NB4X7XYfWomZAcN5d9UJJEZiVFVD0q6VEmj qwpt5M0RMdDHeVsINIEpk+cJXzfHvy1S+cGJYjKp9UUnr4VsTm6NvZnzgkQg8JgUB4gF8Aw9UpFX OUl+NQ9juiCVuunSb7I6IK7kxDUW8Ts+NkAlDmg2DNh/LgdeE0XLMxvJjYz9SXTZpl8V8veyRn7y GcQKLuf/dNrOyqkGecgtX91NrOBpnJwqmwDn7/UONa3vxrq2FEeWK3DqBHhGjpeLWcbNi/K65cJ5 BhfXP26/asndb0ZfGKQmIhXczESE/qGWsR92QV+9SfiMf7a9hM6WsyCbnOLt78xhkTqnF8Chb17B ByVE+CegJIWFbJWDGJlXd0KHc8pZ9wgyHDoRAxNqUGvHBw2BQXuJ1/Ex59y4+C8HWiyHn1225fBy +zJfSbpl1e5xHd2V9VymioAtj8aODrpoWXTbP5utqdOPlXfaiaeyBg5OCtrs4ZFaWZr8POZl0Ls0 NIhP4Vl4uqEQO+Bu1VmdXpJL/PgUd4ujHI0gre8Kl9ZxaIXilvLTTXVMojOQckmwcg44CZctSlQ3 ulEijFb4X00rUBGrTXyFtEyiq68RVFNXa7dAVlFdHHqwWtcqAUwzJmg0ylin7TK047SlS3K964N4 deNv4DgHbMu5DNMvOGrdxAo/BSsQoM0v0rCijEvaFb3M8A0BNkxi82XdDGICpKvlzrP1MsMSoHTw QEIzTzrUJ9oej7IfjSCIrVRf0fetl0+StaCSpOzLpW6cnm2056I+loY/ltnvcHcEBO/WSS2HoGA8 +/sgui3siZC5Yim1cCd7fHcCCBj4yR2c0OtDBTM353pPdGvwbi6cYn4pgwaojtM65BC7DBCmUDkT +FywRNfKwxGcfgpzm/66P39DTkTd6spqaMnczyVnXmnKq7pUwZQGogGnQYwa1jWQ9Wt+YHKcOxyr 4ITHsnN7QSjCNlfjNja3+d89StrkQNV5X2l8ehMBH6g01CL1DCGqKg0LxDbxeSuzjHFDOYm1xbTI A2hRVMAHDLVUdx66c7KH29UVZLHgQc/Pep0KhkRC0U6KcHN4ZQYpheR2BdwM/2h1s/uGZ8xEFnFd Qo2xyfJqtRi7EkUwxYq63cw2SutAb5K/ybC5in4kU0ATudJE0QklhWjbsUIUhAYOxl8571zQyA01 VbKoKw7O32ZT7hEXqavO8dN6NJ5pyhNNAJ+f4MQed6kYRoZQU7gtc3V3VjPjUOpIy976MVheYVla STV5qKCpIO4+cRfNM8r6zv00bkxM76cO3Q+vaxOMdhBUriH7Bz+kQlR+vyyD3g8Rwv6fagz8Zei5 s0Ouf3UgWm9F7PvWfkWTYNoDsYKyzhoeoOrADzpYkvZ5iIE90fx8FW64jPk6e+eG30Uxb6jfCM0I ii4Hg8u9Zak6NwlUn+2e7vASMWZiMwAqApyTF4OIgmUXK69ygKDokbiAEZpi0GkTy1b4VPLYIJOn 5RS73DcqboGIRXiDaIvxhGO1ofiTEav7f8eoQsDpiZuRffnQbnSZJQSOj8uE+MmquVsjebsp/EBN DdGuBqDM5UtoeXa6PiP+4xaSeezh5QbJ98XqgkEDSsiyLWdHqxjy3zhcjlxTFXWnyuZlas8ZVgzo QXvEZpgjqh8FDV0Et2iyjaN066X1M67Pe1NLpj34SsfnbL5c62B20/WdY6Jgfa58yEeHhhR0la5X V8Tc2ANr0Gqr6gjwgXdOJXtsjZtv8k4tKUxaMiRLkmaFlE9ZtlQWWpBAsgopIdf6WNuhLPJNKBG3 w/2U9DA4xaPbpk+Z/1aNYC/b/3fuJ357H5LKLEjYq93w4oHOvM+bk9cW53+nvxaIwkHlm54kltt9 2pnxsvaLVliML++AD6384d5tc2N0cWxsfuHMtTuhJZn5uZdAR+h7dc/cMy6Slafnyc9GeoLwICS2 JmiEP1VbsOUwu+NYlv24HJZbDlZHt5r3tta5kBWhYs1ZkEXkDRomokkFPJD7eNBNz6e0YPYtKo1V shWCCOzYUXc+HIiV6qrfTydsp+tMbCkFx4zGfwPDX80tF8swCz/qEsT7x1qXCK+LbsIi127aNwzw k/gxR8jen80U8ksFGpnbipylznpzx0pBNAUtr/YgH4Bz5ORPrs9zUDNud7P2lZbd6cZi39Nf3a+c orDza1NKRH5TFm92O+J3WPi6qfr60xX4uxpVNx7Mn43AnZMHhUDID+cIAbvWO7lIoEnYRRpZ8PlK OzHpTe2EKjG1vqhy4xSDP89w+euI9ekMbHQo2lPAc4aBx/GqzdRNPqKgqI19kWIZBIFgxNp7Xhjz 7bkB0apHQiZ96XZ+yYTRUnXcR4+2P9x+9lYB+d07WTE54MpQ0DiTNbSr9nvtuHOdGqE7GSaCbC9U /XU8ArV3X1l67DQBhTliIIlyVw10RqYlJqzeSA4Uo9hnEYQinXWHLsqk4jggNG4yBgvESg6+cG3l dvKo/VV7wEwkU7DCtnFcwXnAXP7w2onlTW5kPwTEB4dD2IUZYMKcIS9RdRHndLQSUQstso0dRsEn NRhMVumG18HfAVbWy90QL9b+lNU/4rDZeyvY3J+TG4mloudjK2PqzMGQZJmqoJv6QOyx7PwklgPM ZkLG8vxkPXzrRC5oEPLTyhqyG68RbAT/nmytpH6xV8tkorK//dVZr+czNXaaScVGjSfJFbDmr601 9SW1Si6DCcr8lm1hMAuNYmlbaPlcaweVC0Y5KP2vY1inoH4hnNcPBcSkBx6QdfxPVrKGTjeZtBof LF95D6kho2OyGqWXaJCCYsO0I0K0sWZkJ3aW8s87Ar75xzDG5mqrG8A/j+IcFZKA200j/KvgGweu zXR8x2fJOpwsZ9rkrG2KAewQg/B95AhtkuMF3H9YCB8Vx5BPFihgyu2DCmJbldD8r4B7u/Wj92wa lQ0mvpOg64h+M++sw3d+tXdeg0ZJGacRsTxu+EEF+GBx5GE1UYqzcGZ6eVpQlxvNx1aiO/CiYmOY nwvLRGUtfInN1IZcs0BimwnIPc8TrIDG9USW65AwcjBpYxLBCmB2c8Kti8j8Sbmdfgg0P3jqzhXF 4GyKQAPLML2qwETIHn3UYdQbmpOZDj6UCv5BmVByjf27MVKUBNM3W4JdIKgJsIbuJEw6rcz/7+GY +rA8kTGIsnKgxzKWZmubSD9WDTRPe2K6yhjyFp+9tkZF1e7N4d6borKAXSecBwHoy0dCFe7L/cSi 24zdgnCOF52ijAQorUQzQX3goWGTuImQoqLzDo3YKmwEADuvUKJtuLg7kdluiqjzAezMpiBVjNCZ nIcUVvcdpGc8+yfgYiLotQOtCh5Lzzx+zD7lPHKa2Z/ag74xcs8PvLkqnoqzZhCGFjLRiQZGjIm1 Z2aoXfJeJYXAm9HEvbeauHAEgc5kSA4PuqtvCu+OqYSGXpCZ4RmiHSXMfoMuI2MKzoIf5TqjbXh4 PI4Ogj9ZD8VDEKU+zH42cfXn0/JkVauOYPZoc5wCyvncFSiuesNdB6rRXhdi70bblDGUSOEk1Vw7 OWAGzBIvsfrwcfUPsAwE3BTpl4jlBIjFcTj3G2Zf+wM993jWPj80fFWy0RrWsyAp2OTt5hs+kN/G N8C2XTwdEWk1QeKfz/XkgBrN2cbHku7kODPnZ9P5UArvwboNQbFDiBcjJ69+nkuP3hVerZKlDtb0 pi0juNQu2myeI5F58yo/wiUhAPwSWYyoBJtGUyNXwoFkK8b1FjiRTenrqDcg8Yx4OGyRCotimioR 8L3pdx5tq8M2JMIFXdXG1npZRQGjdr/2YyRBi/KTyyec+T6gpIeBvSijmAeJgrhdspqZMlWNYdRI /A449rqVZQY22nOY57PwWEgKDRqTEFoloWocC1B6/NIzNOVbEtbKiS474yzNEGV3ZGvVol6LXpP0 ohlRMeRDJ/gtXtASKWi8zIc/56Mjx5/XT7mq7IcaawYbf5U6IHupiNs+Jv+ve0FTmVHJdG5zu3Eh RI63wp9T8IGScmQ/TU2BPs7eMeXKpmziWBL2fCK1fpES8Na+A/IbKMNvmr1Tdri1ktB8KSwQOHde phi3J4f9Cd8h8Ho3UXYafEUQBV+A+kAf4ebyNshWxQnKIe7Pfa43EaNfmyPYxV3h2zPLzPvm8ZGw 5LJC6kUG8kkcfdLkeaBhuMRmd6Vy+TYfWHoQLPXCQwe/sDx2eBqYnknpZorqjYE5t2Vi+SDG/QJt V6BWfw3newzsV5vMVxm/3h9m1i4/wM/mcAwIyvi/jrgMOzqxfsL9EHrEC1NTTW6Zc8BkHVMIQ4qh FZv43Is84+49RSHQFgWZCMP9nrELnfYyaL01EvQbtrswFuSqBz+dvdX6QFOBnD2Ar+w0ouF7PzS3 DPY/udhgKp2I5gOuseH/aPmLum5q9NUovBq1JQbqLhcaj0W17fOM5j1rpoq0LKTre1rviBThxNIE NWohyze8D4ilVyYmcpRuTmNaDGC7XIPOzqQN3CLSwzgyClQPrO3XPG9wOG0th5ZNPJxB87TXfyKr 5NHFoBSr9OfgxC0oO+VH1Uy+/E4+EqoquHICMJ7K0xthlziQjSdpVvghgAD8Z7MTyYRvhFV2KcDl FIXIy//fsaBvtpgBbDEwQD1CqAWepJMr9Kj/SYo0JvfjU/2wJjDDHgqNb4+6Ef+zLgYcNwb3DvuF UXXbQ1gu4hZOX2Zzqm5JvQnND0gi0fhkHU5N0zj3rGI/VSsvMRIMrMluwMTjzpwi/Z0RdOuRKUa4 h7nkIRQnUt+EtJQ4soL6YrpG6Ypn/2KGOxQ5mZgn7go5SCGlpIRnablXvimFr7ZDpYY2rgns9m/d qe0/eF6G9gR9hMMm6unEfBhTBDbumL8ydh33BrFPJYMs+vt5Mw6eVg5qX+wDRC4SfW9xYDcoWxL/ YeBms7w93vR2JoHwzfhG+QcW7qBDb+yI+9pzJ4AGIqYIdjuRr6kLCYgmAclKvIl+iHoZAWMNIANQ KulCvS3L2VKJaf+IbxJG+DCKZpo1Rc/ycKTf82fOJh8EQlPdhVJyW2RudRuFFlLF0Ex1L4pQabR3 ROTExBurMyh0UYJjs45n2bCm0dhQwDnaSaH3i6hLfjaJjnUbzZSOAKw+cNutIZuKdE6+21g0ZMG4 IsYs+wJ+M+YqpHrrjHFK2rTo4Ts7EMss2jboXHO9syykjo7ifgXXW4AHNQo/2LVy1Z0sLxKK08r1 8fmtaKB2iasxbNRSftHnRGWAM2APK6vxD9QNSl1rpaOgqyk4BQcWoMZpPKH0oAhqPofZFSmwA056 sCLp2CUWHxD6ScrzkRBgFED2iR+6+1pDDapz+Dbl3N5I33sTxfzufD1vdajPscrmpowE6HeqNS10 W6nR1R4ASIHWaIdYU2TkHFN/8lMnu00WT4KolOv27cH5/SyfLZqwmCbsPBEcoR5PGpZAf+blIVf8 8OJwkb01sFQZXZFdpoHhtoMaCUxWNBAlYbsVtvDKlOCYpgw2tOz/vBlb65bU4n43touT3kKLCSgq AjKwBWYmvHh/V/1uGRUtRt3Y+Twg7d16R05egaYQ8bpoma7JEj+KmJKrDlFb+4TgCX8tfxX1Ll45 gjR6gKY0PaKjV8fZKoIr52nvXQu6xvIdYFVAKS9XnAJhLW8SWoGwjo6EAgaS5w/ngkP+O/UPGDRx ZxOJmqJRXHrP/91hyP2aoRqfg3MlJjYC6iGGHMVUPf7imSxl6nAjWufnNVHeUeolYL9rwM43/esw QIADmCFTD6LORnvDi5oAcgjZrORFRkaidyffkGsfJw91bjkEVNJ6XGXNijZwUDKcFi01z4BEN0sC DqXWRgC6HCkMrBH/8HmtfsS9ZzKGBO7EEUhxvnBfAaZ5G8bj/ErYXwnauZiqps2KJX16cFRqyNI3 +cgwJ7cLRChweSLse0ad1l9g/Upj7YQogFs/69N0oE8XInkJtT6/Rz9jA/xTNiojvuPOLLLeU49f r1wgUWXW8FvYNFtz9NtScs1Sp4PvkwGlf4fjQAOGDj01HBVLqK81ApOV5LelXjJeKJhWnn5Abdyi jDAZJXL+lba7wKRhryZoNEavOS0CXyIR/vFAnsejAORQRqdLg2Yio8yQJRxEom6SRDTjrGBuwKYk PfoDKwFeoHGODSvjRb6CloJoJ+p/Mw1gQQTtp8isQ1WqymAh84jpevCG7tOM8xCwW4po+QWJha4l n+oVBz++snQemXzZK3kAdXQRhJ5/K6pEXxF4RtOrMhY/XUIiuKLxUc7pJz5cSYfoy+3Ss5SRRJ3V arFkdG/f6FPmkhfHp5RaOFeLTIKsS4PnDaSCl/7DA7RxCELK8u0vyYuvu8x+ZK7CwC+SFIbyKBaQ Kk8u2vHJHEhHqzubjeO/Ggg2F5iwmYC4ARRTBCuXxf3XadphYpSip9z3NrwBbrzhYYH2sJZ7PQLg p0thncUTds3x6rBu+MsKtP0Ab5lDD1pcxw3XW49WHgjC0Vo8/skt7nCwwGrCcqEEopoXrhStfsGV rg7f9dvIW/PclWM2Y2yq3x4evxopHcqndoCW9Htm3PhGsyNv26IdISIMs5NR0oiLAZ4y2X1q6VF2 TXM+gOhpbx9vI+sQX4NVdOQG5i13Q+BkCtK8CSzfuxoEG+cAfke5kBGkDxhXlMUQGGlK/kFZOrWE +A4s3s2xrYF/4JPJK9zkme5xFNZxN90u2SQmT+rkltpgII9RnQz3dAfXnU8SEhibvOCvtXxVfQQP xof9ezALBDLEqX1WayU8X2kyKsqsWMmlbTN9kf20FP5iyrJSHSRgAAB8DuBzYWjwgLAYvlsxPk+E Q/cqESUk1Wjs3hPpY8/xG4AR9Gz9keQgBD8W6nvJM0+q2tGWJEyb3DZoJyQXlPCOagcYlESFj2xI U/1lC8OCmUCZmBpzwYB8iEcvVOmKJjKw6OsE6WLkyZgrC9c7ttl7LjaSm6d2Di26vNhBxOAxLgrA tclF9cfCkgJgFtphKDK+/VkeNgfgomKIynvbRV23R9+7Eyr+M3gdIY+BJyy0fSyEWgA4X7+Q+M5g OsszwWmosQxj2aHf5LolLI6YPRDs9MadOhrfVHzSEiAqu4MxxFcvbgccqq0K6QzKORMH7vUPsRQz aY2IMEIss/lucTITNc2vYjuVzY2y+WyQ+gZidb4wyYIPPXD7LOuAiXblO+TX2R6a5/cZE1gvGklN AjQwdCoyMNwFXNQw6M6h/F1GuEm7R3wUgOKQFo4u+mdvwdn39sxqB/U4lmy0DBf/LsBZOnRzXSty yybShsgos2VfIy2eA2ECV7fwzQYgbzNEnfd4SXJr9e5BJKJgEEzpXqdSc5WpN/GgN/cQVfgvrM3R JITkOcoYom3hVQpy5ShJamXYA+BKzOOswZOvJmT9h0txlDdLwZ5ZMSbS5sh4WFIHxS1EVmqoOyWF 8h7xB1QdXjPZikhjR8ERcPzTfLHdFcPaOj7wRWGpi5Uxrkh1XdYjIh0Dlkx7Rp+LNxjuk8al/IjV x8OJYhauuZxv8sbtn66GvLCx3ZnWQkV2dX4Me8u4bOo2W2sr9lA2LMxs0cMmcQnVuhf4ZeOJijJu +XwxlTVN84GLNv8T/Mr3k7+xjhSw0/ctxkbnXiVXaGWb9P12pZAyYJI/ts88fhtZZK0zAUf6Q7er 80Z/FGFX8gonM/+7k9a5GpI+6jBHhYWdkKT2HTtOy+yVCSfaxXCVaof2Vc556zDLoN/VLpxOzheG CM3OShQFX4YNaYKud2BPhtAx37tuQiYUorwcrZtmxbNL68ZygyNAqE0QBwLQwXZCk8SrPduZsZa0 dsQabaIgLFV7on2H+p3ip4U3xeRcS3jN2VZY1OcpIapY8m9pyUWckZGYtB7Ll2ke8l6BHIHrNQdE MmtfQ2h+56yUiiaI6ruukgSI0qRZMpYcIaFzqzwWeuXi0q24yvEjOsNUlimVFh4KSpmjTyZJDhS3 iJkLi1c2FpB7XQv7eWMdcMCweIvW2jsRjkbqRN9KumWzeq1nXk8jWC+JSkGU0LN+DAb+6oO/kYTu iRsDplfG4KQZKkMmE1FnacUJzDXQD7RyVDnnhRUnsgX7tCA7d09RfNULK6axL+cS7iD7m9JXMWip 6C/FmhjoX+CMpjKqiY7k7hG2TQX0siJQpx0dbZl54JR2mQ48+jlvoEzgEoOXu8IWREvDVBeivITY PHw9/C8v4Aw+CcypiXgYPKXCQtvUgIq5+FH/QhJ9bsW5ptEA5Q5xT0HfkvhghxD85Mh89zxws2DP QpWYpXBNLTqVKfIAUGhZZScM6ueicROOw2+zoZRXB98XR/SSqxCUw1i7Rs7Xl+asVIPpKXfbd28A SvWGO8e+fHE66so1Hfe/yOsSAMZO28yfnASjH6H4ZY8HS7lOApv6KGEKzWw2HugTl27zijTdgyHM m6Espxzl0v9nRu6Fg7pfEuD/dGuO7A14FxOjky0Pb/0323yQsunS7HjvDTYrxtEthUTohL80MHHv rOAkCa9f+vTm+xQ4l5HtCb2eyCZuio3EPsuZ0cKp+09eJrmVUwop4PrHTosAJdknfpPm1/bRn1Bf arkKrELq4EWQCwWRSQAu18XQzgIWHC7/B09uEUghtD2bzkUwEEFDRsEQlLBmMdgmaMqYNLoTsOy1 P5gbspPKsB1M7MG7HWtj5VH3KMtQG5QUZwdSq7bggZGn4vs+gs5KYHtJDAZErIVNWgX6wu03qsvQ yu67VCnH9urmuravct2oe1g5ixvtVJFQONjNd6b7VYibPsLx1oJSEKB1CfS20nUwZjr+pFxqj/pr F9eLJlscto775AzuaucibaIujLEGbR6I6fvRGl3OLAnLD8FFKlOuo9485Y3puS2YHtXh3E9nN6gg bhau2q435RlDpBFQ/Ld40wNRq/teiArdaIY2m2XohXeXrS2SQ1kyuWOdMsGwJjIValEpmG97eqNg P2C4HcH/0IUkOq/c/kVjX5LVMd6JbliO71jvuRDHlN1iBDrxDG+twlQfCRep0SqzZS7IH5fT/CWP 90rEOWVbHMVSo6kBaZOqhITdZE0U1EWZKbIRgcQY5M4C5Ql/oyUaQTPyJu/tkmfG2zkFEwqc9AWT hQz6HxHK6nmJyduHM7IoJi2OxqflwfBNNmroetr+t9B0sSqNTufiZIo0b09P7Aps4olNBxR8BlJI eQLTvGJ/G8iKjHgD9TfbjG1As1BLLHEcAUY8IA/SOdoERHVg5TJVI/wj+srZgTZ/OZsgjqPjfNdv rbX/SCMoPT5boyMeErLkB/nVgcpdxUo7Z39+13nDUBuwIIEFh1IFfmDCFt+GsOWqb8ZQsG1kCu0S /GwnQNODpUej2+CGbAJC7iU3gbfht0V9fJ0EMu0+QKRb5tBkX94dCSXNYbHfKbI3NF3pIHNdbRjT EtEFBj1sVyx7LZ3fUUmwlntt88v5/D1gaHyToaA2g74nd/XHEpNPbcC0UE+9uxcW1z9msirmEOa/ ZJdN060cw9eEEbLibTzUet3LhkviH0F+ecZ9t6KtlhvDvFrfg0IDAEJ3cVvY5V1yd9lI1/nY9Lsx M5CR4PEULRQfnV4N9eEE6kgw/9mVr5rW8jdgArgE5YKbqSTtp6+G0pNjMAT7dnX7RVFMVnW5OyU2 Lu0JukEbuVN3xl0b9UuGn/op60vRGleUjW8Bbd6C3FAPMTwN1cBT0/dKKph7uRjRyocfxcAso+n0 BJ4mpXaVeMZzofHoLzjPC+sXQoV0VXgt8RtcZqVZC1wAne0cXLNtGYBj4LLpTpYNQigKr/W4IJ1V aOKkBnvRCiFQwKuVk2naIh6jxIWL2QFRLHPrc0thi2YnqlarMNWpSbEVSA07VdoM5Urf2h0kEflY liI7cIzrVoLGCYBs9SXhujUZkOqlgcIaPxZl9JHyuXOsBHsfHYcUlLHZlxPoPvo6/luMVqubrFW/ 4s8hAY6pvfSwmjDlmwPsC7piTie+tHESWzytoCQyTFEjO2QSJ6NQRW4spPy8iVZIjRoDZyerm4/V eKRpfgKYNziQDuwBzIXctgnvWB5ZdKCCxc9TTnilGkYBuFEG2Us++fSqoKi3ayVgN0x+CD4EBx/R kzvKY7UNCpJt8WgKBjdWtOPPb1FPhpd4X8xe4Qu/7QERQZOxU9ZPt4mfe0f1wXFV5WbA9zdScXwP 1QDQv21CcpICMqzQ+xWG9flAHSjBZm98c9xu6YkzVb1IP8L1bi8yF7dbDn6QtoUQrGEgiezP8roc otU01JnJWj77UjXlumRET+pVfcim/AHZ8pUJWnrTMCH+r7cuRNGVtKeMIOdjB337iRDfCi+ITGuy vhbvMoAdVuyJEW/jdn+X4Dkb7QnTorpsELnMrtFM6BMxSdj7OIs/DfhsaQxrrkxtUyjf1udPsuyP eBfs/RdSMqS+mieWAa6LN10nKqXY6mNUS4TsXJ87YV9YR+yfCT/0L0F3sskRyQOn9lgY84llK39A C0N7U95gmHUo6wVdnbt2jzcsTEcQFxVFi09OddKrTJPG0rGzwCTHXV/uRZdOPDeguAG1MBWj0iPU rj6gHvb1R7bGYwVjTd+GOZUheSzO+gX5OFhrbXWoJ6l7txYd2YcNv2QQhNbN1ahY0IflKG7JjIP+ 6OBiH60hFFLdcAypemcZ1hOvzr0E49vt6qItHVcgJ2PSpJBHl9CmQhlpRpcAxCg/bcWIFcOaM7Ei YTnZu9HGY7/YoPf4i/ZVnQQzwn80UjJV5eTvoi1e03U26d0/CRhRJNLAX9ryhv5Yemi+ReAkJuFD aVLFaK/enFZQOK0GK9sOXnMYe7/MnBNwZlTrx89il+YOkjF36ECnMcfIsUDB8QRTzTX10tBormJn xqUXDu3Z6OKscjyHToDO1LX4XmucJHuhlZyzbSj55JG6xGjVO8xpAzr6Lg06hiFU8xr0KwYKoMQW hN/ikxepVJsLRoaW6STJE23bgrRnVzND61vjDiLN6ilHG7gRvfvFQPs0pQMTDMzVe0WH1HFfv6rf zc1JDE6hYTKqNRNjev2+NawA2ow8TCHpBt95FEQrWzm9yb7xezDBdf0ZodieeW7cCCfmzHuUWVWJ Xzxm0tYGBy5lkpBVoopMz2E8/G3x/qRSaChmVKTbScaH9MTgVA8eGMzG5RjFZfLqKoDU14y57duI ES7c+YPdXnGKWASnH42YikI7JpAIVJI9zJuubdKhNVn1gQGK/LdmXNCuKAak3YWyPIWo0RUrsbGG cR5dRmdHc4AM3KhBuBTUHyLqZ9+qC5GJG7vYqjagnn25d1Gr2Jq4euzWueKO9cH0kjXEBYb661Jx JFCaA1IPWHVvGVX2B5eFUx8OlRp//jt/sCJA4aD4PrZgmyngzZIpSqxckA30ANT/0mjcK7vXYnEW V8U0r14FMoIEyipA9h0o0znCnZG8LlOjNUSMBW2L/y4sI1rgDCQRiTdiB4zgfECqIBTfDSLeEZnC +eUSdRy3kvnI7OZEcjrFJGX/eMKAMoTpPPNboLIqV5cvwEqFHcDzL6stGq9FkIK/Dc2z2Si3wd6r BJwG5ZGL/93yH83q5JP/RTbau1+BuCwl0KhJgHMjpKsoAD8OrSD6pWaRlMCOV0a462cMayteKTEQ lq1K5M70gtyNaYEhRpis5eb2mejs1iGYyD85heCcU5sqHb3H6QTO6UxkFedCkGH4hnzW5s/yKazE exWVQlSbq3aeXB4Ut2+z3MuX02UsAQwgvaXxvy0iVCGaxagT7ot6wUGk5m3jhN77vKOHJKnEDSMF 6bYE4qKLayp6IrWzmqKVpK1ORo7e9qrzX8FMKUgkm8wrtDN1teE6dvwJGDkFwkL9J2hYfhpTnHx/ QIzRCUUY9PmOqR1L/EVQ/T/A8mxcgejAsp0QmXGa+xhCFmnrIAohMG8C4fa23R85v1I4tyaudGRX XUZBmP16RbyEvTwRIV9ZEo4e1Y2eQwSGH2VrdZ+0UgFacplWKYu6Fu42pIMnKdRYrXpbi7qnW/oI 2lr0JuM7wXTiHGL6s7hqLZWC3TnmQfKjjQ+WRVsGL0JObYhA/1+09wDTpyw3jLgEvVMNb+JN4OyK 3I1SuirJRZ0Sx3Mo+bkq3TmTM1Pj+ECTdLrlq8wyvP+5oE7YSr0YHxSsAXe1YfA826Gj0y35M5mA EUnPrfJlUESrpg9mRP2ZfBUtHCW7ot+LZqtf8Tiw2pV/4uVPQ+UW3/dRUj+4MHzfNxUGcmlWEU4a WZOjSAZ6WuEz3knRir2WBeb9VyAg4qpNZJbstt/VL6hHxmgapMXiDHA8XBTntWsW3SwxZbOiu/Ib OmyObraF+lctWpEOg4E1nsVABeLuzImSF76pJUcehzyeO5Rr/G6yS3gCB9EHAUBBTTfUczf3Xv/c n+rARJsOkfTuIddk1MzV80kKN6bni6S4OzFh+DsiZ8TG6xd4yilvNIlkX9tko1PrpB00g9eOpzEk 2pyVSxDi9Upf2hbHaepUzL+K+BxqjUxd5bNYZHBO9OCDEceDkgpZ4J0CKr0Y04+SycDO3QWblhw7 RrOp4Yv272ppX9MYH5PNaYQpcZtYqgI5Ao4Jz2V3E/Bh9bQ1pzus/UTKmY+XwO5usepQGmY5sbCn zuRlJnEcq9i2/3qR4lYKJh50z5fMwO+rLFdJEFfA9k54gVgC72AdJqUdjxdihjLoSWXGjWBw1naO URijwissY2Tq73yFKPi/PworZV857L8V4qWHru3NI/bPQqTjZTKNZXBkkceyL+TyYYGMSB6Mfauo Fv33xv+iV8ZVPboQHmHdkxDyx2yICWJP99GjwN65bi6uVVoKomCdI+fZuyE/LLC7svz2YL6JPovd hGUgDzyZ3/6qvhIn+5mPRBONoDhRP1TGm1bMntkg3T9JCZ3WfoLkxRw/+zb8qshOq2cTIyuaAIU+ l0ShEE9VGozb35O7TFw+vJ+K4oKQOmruVy6vJbe4ZgL1EVl7QiecF4nlvaAvLGzAUWyInfyn2Vy1 EJ7bJOmH6uWIYrVlTsj3OreezZVHGWMXWOuXvKFo4nDjsLsfymYKNrIF4s62ob0QIUSZ8Ju/N3i3 TjyRKDnxlffSn+f4Px2i+M2RBxNT8o327MvLSHZjON3kcym6hPBsD17BE6x+Ioj12SLfjLlQXUOJ UxlR+fhytfJVNTYM7QiKwYeeSi1gPtZpgNhStPNsYOFlFPZ5ips4KXCG5n5LsuZUJLBFZfmSVGfE 3q4MZw0u2pLkmzhvQtWUmZStqwH2VomvlgFaSGmrOQodga2PgP9g6l4zyMwIbi4OjhHR77w9RJox uRmtzoGhIpb4MxjWWgU9Wog+GSnDajQZDrD++26KonwQjGiwkhpNwisiP4qvlO8L9HXJsnP5eSte /UozrlYSDZe7+NCdq7TK4EZa66TzSL9k6anhmTIlLRO7XUqj/bSzQGJsUwRiVU0mAyad28XvnK+N keEw9dFXyOjJxdGmRrHFxnu38J7qcGvduzDPYJ/h0r8DnD0oti3TqM6gTNnO708eUSqWhCnptBCM EFT9ySES2wKuSUzjeQAnDJNC1qIEXHRRtCa7DGYDDcE/QaOfuAvCdFjbGj7dw9a73U/lXdka/GPl M7o885DtnXQB220ydEEG4RU8XOzorsPtm2aZ0bzrI7wSZ3WzXqF3tSPh3qD6kGQVBoa4gqAa0iiM XgiArjSnHo3i7ICVnIPZCRBhY/McJdeR1k6IIJTvTplK8jhdmZx3VdBHRTNBKHSvovNZjK5hkkM1 bIqkTYuClAc7hboErcNts6quzUGdA9VbmSR+sCtFZxPt7RLh4cpktRVsXbGCwsl9leXODrOtFKoE jmS9jntL8rvGAZ9tk05a1AigXTYrOot2c9WoxsYHb0NWKjpmJSgECMrsW0DhCpTAnk8QoQX7wgzR tiCp2R6Rb0er5GUbElyvZFTTIoiDYHdw0BuADem56r+M2o7UoOnYPYGCoToDObJuzc9s+AVm4Z5U 2OzvJ2ljx5DxUxdGgv9QLKvyAteWNF8nhzRNM8YFD9mjp9aYSTcHt02kR+/JxsevN5PGDijOpOBy TqMLkVieRXTMlr0aEiR2bm6yGNR4HNfrTJFljCauvaTi4p9y5Ppg4efrOM1QSh8ciTsS5LaeH9oZ 5RvifmQOX/oImS2zUYxEyyD+stzoDJ4IDFujh941NLNzIqsVeZvFr/dNbSxb0UNisQBIiIyMr9A4 IpPRx6LKqFRSk9jiMnOxL8krcpg+3eQ/oAURzW7tZVga4A/98HvL7a0eVySk2za3jU1JO3lNP/Dd BzXMsvvkt1ic7WLf9YWEmsrQxfz1auiNvM3n+goWq3D9j7K7nJbFmcpUpgenQZsVY+tWFUIRJGOE 7pRf9iAp0tm1X+TOarcCJEsa29xpi8ls+vaQ1VCZw0VPocAeZrtOQpL0PUCwIF3b+C1+zp48Qwlj lnqePwl7k8TWjRybC56fMpf6XL+8aV3hfeatwzcyBf2SpczZ2wS23nivlDVNRoMyRn7sm6MwlWVi GEpLPrHgTzSMpnCT/1oFYEVxd+DDnlq8J3qtZV856fxvzCph/Sx6ZR/PCHF8sX1+2QPC6kOQAgY6 /navlMRTU4x19oldjbnnQztN7GDNNAjkso4kWpCFgR/NBU7D2dU01A1P4IGWu85CzULoAysNDqVY xZEVafaMOqlQXC/cJfxUTxKXumbTF+Yj0aLfw6ngEsOIExvjohcpvfx5j7eWSmeDH0nBoP4aVKfD bK7dPilN5w3mbDNtEGuh+2+Uag1IPQ41xP8/8kP5ctzH6Ls0ctXCahVPKXmOra/BxhP2NovHkkLe Ocm3NYLmAa5BJ6T6IXFdCYAher6KopceBHaIdEudDF6FesRA9f5X4fVIMywDnmtD1CtKuNOgMNOa DpxdHdh5fc5VlGY6qeLaZc84J6dJQtyEY8rr0m5eB1Nvqs0b0+Xb0uCmlQvCNWIlMRyAHcsqcl/u iAawEPPVMZ/qgVLCys7C4AIyoeyNU4JB55P2VCk52NSMTUS53FPj5Ko/imZut9z/ZzK4fMBu4xBs c26Q8OGdIClzV+3/jn2GOKtEySyZ8Yd3QY2HBPAgLLUJrVP1UXp7EqUwNkF+hH8lOGzHHg7jskDz XrJatXZEr4PlBEzllBrOlR8ty7XM5lZZl1QtjfEaE4IVEnwLFL0V11JqnVGLl9ZAHd9SB5j2kCIZ 3Zrf8IfozF7LtdyWvMVqwZuew9jFJHHObpDlMtTWWe8E3H1/KISOJaTRnlW3xlWS0zlUZHevHPqW n/wphifNadOW+SEZ8CK0EVtHoi8mKi/ou1ZFYbBsrhdt8U74Q2l0rbrAOp/Qg2ZWueIho9b3/Nc2 BmM5HUK2Nm11yU16dvlwh8xPOpMIVivXoz0xuPKazkMywIAjTxn1457P5L5DJYbBgPjsNgi6Ywt6 P3268Gchw8wrSzYVLfN4MljvA+pIzAunoIXhkO7V78ErzPHZvhVHWrjmOfoOq4nauo10HNkyH90R 0epRqtlQCU8EANNp/6iOb+uDDS3XyL6bLRfDSgKmJIcdsrwGL/QvkUTmsuiX1m6bOBZ11tmE+tYb B0moBiDB5UZ2LB2Jv35lDwtIanGLbZg1sUsGnVNcQ9badT+NPvsKcKRsiNmsr2tk+n5uZrlUmF0G dk+QlQ2gfODk35f8DX4rrpvm+y8P7Vh+WItRfRueBVJ/85HUZjb6DuKhAm72teWxKj5ND9md06k1 V/1chm3uvNzgw3yvIbAAzjcKmWpABeliEH8jfbkEnlPQvKVq108BM6QtkGgrK6mAdMLsn9yNV5PF gunK6Nv0W0vwpN8Aj4cWp9bv/sa2qyhVqLKHivkIaFXfjfboR53cg9Mm5LIVcR5rlPo3ZO9xDCHG oi9GOwAPZa6+x3dnyKe0AZ0LL9PLHTsIC0R4dKa7pMTvKV/xpM5iSOU6YimpT2CikkDwgJIJ7Yun nElZGHL1sA0OenVC9AcskFbBATJ5mwTiRehW3SiMPONtcKH5uSHwBKT5nDcOfI+JS9smPoycQR0c y56u0zew1ZQ2KTJ7eZ10JBBOHjQN91w+IWZ3ms9HNz3a+/8pDZHjzhJpSnCg5P82Ja738qUFtMv/ BgBgOLK8td/yz0IWrnx1dCR3lSKfD8aS19SL+yJXXz3L8TxnGH3qD7BBHn68pFbWVphmmPzprLPx Gi3AVzoKWZ73BDspkcFK5Z2O1JPciHQgYbUwwaoDYBRLvuEkPrTRhizh84oxn3O/qu/niaoBgH/S GZIw+4fvtFdINuWnthdFiN+geR4r5tIvlpmpKuNlD6IBnW6b6DLvpUKrHkHw3c3jgIjeE5tOBxT0 7BHPTk+t540KRNvngCnS/HQxjkpPC74ym2Cg/CxTQxHxhs/87Vn+E6R32wt0MXhlRISeqJ92UAin 7HJc0I9euQ1dVabeX/LaesPfFSpZflgeQ4huz9zhFSquhRksrzhoWuPMokAxUZ89EhMlKhj5pFjy GbWSIrWsYOZWTPg/776PyJg7irXC1wpCgZnR4nCHhD0vX63xFlZSI1GWA4va7/IOSCiGdAp5HnSP qG5nr54r3UEwNec+tBGOQ/2AxgmzF52ESfLT7R7AguVTGgX93kWXva3CT6ExpL3VBlBwE0iq2QVg AanG+fKVayzN3fg1t6fHZxlvf0jKSkfZ67rS1RL0sD7mmHSLVNnl0e4Z/ObDotypl7Qjje/5RNPj GN5QIUvRpDE4H7rKKMUipMiXD8oVg83yk0yvoj77tTblo9nuFWz+h6QB0mYbNIi304uKODNOoCVh 54mfC8KF3XDHn6eJaQY/CyyO4gfjDHMecjveHhdUH254JXnCEdBkkrN8/01dVTOea0Ef1oh37vWD tz8jDokiFod5kHKHvb+NvEQI4+Le3e6oY0f/YUhDi8ruVDz7ZS0oyBgcygNHsgFY8V7xM+jisx6J wHoZzv6nIjdoA4540x5bDM4gvzFVFaq3YLSiUnvLFaWv+3KMlHOp7oDwJFA2fwddckr5ly52uUCu /siRMkG2aQ/e8cOTbazRaKTxsJHijGuy4T9HWn5VVoRssVxmbI6QlVZfif6Kjk1Dvtlnv/YUPZvJ CC2T6SelDRDlbqklzZXidFTZNe7CQ1wSeNJJeyDkJ+ABfpyrrmCn79KCis92qOJH9a67SZqT/cg6 dcxThCgoXMkSqsPGx583E1BaHfPP4QQ2oe5K9Vz/gsCqe1gTr1PBqynDcqNpwOLS1BXk/VzUrkuC NGOJXgBKKayn1uVKv31qpcl23Nxr08+bqFCa/56ZHcAZFphPz76n7EjVZ4U34a+neTBsb3KlAw7C 1tNo4J3nQE4MGYHTFF411aGoshGHmR3p+2HZPj1pMjSTLGXQiloC03KhtiCor5UAI6cHSNNJquWe 6XAYon4U+yTh+KnBIVjFcrepWuoy1GpwQwxx9FZywEOwmPgF62aYdR5dSHT4c30tkFfZhqUHHWs8 0oWTKaMi/ojwqvjD4S/DXwWWQsjHPd3pYOCy8KZKDpmMPqy0qYzA1JiCV/aQ9EvWoGYpte9UbNbZ +JDSKzXC/VVTDvTzGF6FG1rlziRP+1otPXAjzBTWZR4n/MmlSpVCTSTME1wRB3ozExqyp5zxT06u w3946rLK29xDUsl0yZYlmCLn8B+GikKHIEDg82Qk337xX8tmn2QIIFLsG/RQG33Ae7hiN9Pw15Vj EDlrEyfJoWMDOwvUbXnKO3EXdN9VE8rD1Dx3f8qA1TPgTv7BbMRKUFD4Wjt6rYfAvRsWOnpIqOun uUidq1ddHIzTZxiKSjw36JvhX6p/LdKWPRlwSGlw0TLA8ZTB4Yx+E1TiAnNAyJ95t69bDOvoog0h WsY4darHzEgWXPIr2fdPUY0HS/lZAfEH0p6JJvZcBv28cKtDriOr2WtCO4wsXqXMRukogb8eanH+ Mnxfa3jePMZLbietO4LuuiNlW6IByhNti3ZxS3hikp0wFZnBFRF2fHHiPZpuA3JhuYoQgtLmBCrS 1o9vxWj8v9wGkbB82aYc8T+N6a6fg1m+aWzxgCuc2YtwX9GcYluqiUwgCURrkcwCmD641/hZV/iC C3SmOeQQg1gePuXKgj02AEcpkzE+2GyIEw8vo7KN9ny8OPSojkBPPQvjzVkZVZ0WlHXAOAFcSAQV Unmp15n3Hjw6Xl8Fph1fdnQ3lpm/YriSwikm9Hz0VGuIcMC/yiccbaY96+8A+Yvt/i9RSS4NEzCs sv9CP/eHzYNgVa4O3SVnuoIuQZ//d4IhePCf8a8/Ae+CL7iUp6oBOOcfmDaYlqgppinuugs+jTOr SlnGI0m/ANWdZBIjn0ql2H+gMuJkwZk63I9fAnAlcZDb+2kcnZBnUGRBC24RdRPGIFNhnbR7LeOm X1jPq4fE8uQnqcR37Nbd5PC9d68QJym7HgCb0v8T7O3Ac6JfjqByKtCCviH0xHgspDOqyJMrp1/F jWUHlc20hsW4DcBSLWHjDWEmPRrb2Y1s9tnJYk9v43GAWP3k12oSPi5O2KcnQYdptCjcHZvNuRYf YWvAjTa6heZTN6A956yvEh4At0NyxAhTDKCcmvmZa/Ku7+L+RD/9tpgkB5CjOejZfTl7iv8BaUu+ rejHA+OWs4sTRT0WruZJoqxfK5G6kFtH51Q2DbA7Ti67fdowiVU5j+vQMUcQzU/FYwxK8qjg+APU /5Ufb1JG5Yz/DrRavz/+OyB/t5qL50VZaePMvjvQQkRfpeyrXdL2oLLCjNOOIlSu2oEn6dmTOHTS 53VNFM3JUKbftEZlz1GI8+i2rEwWbcNdv5BNW2InkvthL/9MlpyQR9ouSg43TJFJ9ZpAuH1ns4ZT hKS18U0JjrjF+99HsraQKmu0lQhNVG3Doct6519flb+qDVzDTg8JmUgaSWzCIm1SupHdIblhTIJS CMTowM76hZLHu11b2hOcGmArpijoPYec3+f/YIes4JBZj8eR/GeQnJDoBHpQaDK1LSSkVne+5Lc+ zR+NSXBvnYVd6i/H7V/75MfqTG0ML4tapoOISy+kfWwavx7YomFpdIzIG1Q1K8lE5FRlwQgluPqY d3Xw9a3W45yT4EkJZw57BiAgn8GtWT2J3OoBzHfX7sW/7Ng4IzGB76+txlFAO5Zj4a2/dRtmJFhb EblPpcREGsb99QvTSfdPSy4+Iro98DAZg0sH8g0G5XKi3cJY3OQ/6zBYxyS8xxWb5l4oHhU+h0PY AfV28bdITzQGr34Af54m9iuwBv+sRizvZhGUVxxg1k3iLKJnwobgn4yXHH0x8DhFNNGaWKrPubBF //clvAqFh4aMmaDsPm7+klB+dtjr5my748NS7yqhZ24oKDVO9sfJtiJsSDvEP6kLDkxX6vx7GABY TiBB9ZWhUBu6XXHMHxfBieGDumqKMmP70/JY/FBwb3LGTRud1ps6MlpblwRC7LQh8E7wW80EfbEh oV0Zw8iblAlBLGZbiFjyHLM0+UiLlhSwy6vnznsjW8whNMNWzpwZDF+MTUA6rrol8hlEEFQgwsqn taA+L/Yq6RJPGefVD3RPucQhwgDHVmS6qja9Op0qHcTHOchrnrI2q5/hcfUScW4h5O+832LVz44j 5L4IF+ZiXmdGWsrl1/q8NDfG2776ep6WWQ38rUZwdVVYbp1qFU9wQlmReuvvZSVtegaYmfPCl01t Jiz6ceIdn1BKkDKVvoYDjABbcXQ8W4j/i9d6THuD/A7PWQtstDzXV2QNu20fEzBDmKwP4N2FjJ+f 9YrXXALkEPdDo8AyipaGS1rUbrmoOmOEMyKLSSoqkuij5UUz/6jofQTZQkXVLLxJ6ojlguyjzLd+ AkGVQjKUpxZjYF3fuzOFPq+hdMVMQtMDTOkM2o9Tu5sfzvdOxnQVo1BIP2DADTqw/O6ri3X4MOX3 NuEi8WtRUhQxFSfeKKJwOdakBpUyirRX0NLfEFXZ3acpLvRqo6DWM09Z1lBs1Vau/OWTgJ34LPqr OKHSRHqRN9q4a1wsCYph3JsQoR/qtypT0PandS9I3g/+bg/uCJhdVJ61FGXbsxytyY+G9NA/7swI GLg6pPlYFvnnLMPGZxCC6Kc1WgwCh/M5gbXbQYbnRz0mcU5OZcgwV6c88bVj5iix1bwFJFwEONC8 fmvU0SiSREwEXpQcWX2Xy38a7zFcctt8HuGla7Y0pUFzv2cQ346zCfG7Z/W0Vdhgmrjbha8oXMH5 GoFV0EIDW32dR0mnz2MkS8FK2jHY43keWMZamb2v0phzeNYS2rIA13spmq/Q8PxV9n7GEC/c3vTw 23rftQYu9qhoX+VkK/222AdY7nGJDrF3O8OYyOOV8xFfiR+AmfBhg7zSZHULoVbIsbyVc23iT3Sw 25TwbEP0YHhYBAQkdimLARjw+t4v1TE7NVL7Z8qT/N8OHX72ifoW+9AyyVkM0CBaSI3kxZMg6RCR 5Mw+o7IN1QsPGw8sE+hMK3+8/TtmUjpVQ8T2OVGQcZMRGVYlnBy4YaFfpz43RebPq+y5y3/JjRrn Pn5iiQJHHkMgoRD7PjS6OMF5rLqlQD/fkSHFQTv0oUDfFCOQ0+pM5Bt6hpI5vcGOmHBDE5l+ASHn PXyLcQ7iFrFDt/O8+r//7ipGmN6fndQgYqjBKguwa5B+1CDh9RSAVlILht2pp5umRXx/ttoZXGWb pYIXl4eF3BUTubkCGwRn8fguG7oKSXJ4kmUfqeeFgspooYPUjNTnZiyE2YOQXkzj3uYsSGXR3LMd quv1AOvFiEyZxcD8KNAGiKTz31h7ijVfL1ZuW4nmY9xFomdCszXtsDB8O2p9 `protect end_protected
mit
33a579ed2f1fcc32a6fa8d6ae52d71d5
0.953938
1.811681
false
false
false
false
kb3gtn/mojo_dactest
src/dac_test.vhd
1
3,099
---------------------------------------------------------- -- DAC_TEST.VHD -- -- Simple module to generate a cosine wave using a NCO -- and a lookup table. -- -- Goal is to generate a 16bit sinewave. -- -- We will use the top N-bits as needed for each sample -- depending on dac depth. -- --------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; use IEEE.math_real.ALL; entity dac_test is port ( i_clk : in std_logic; i_rst : in std_logic; ------------------------------------------ o_dac_cmode : out std_logic; o_dac_mode : out std_logic; o_dac_reset : out std_logic; o_dac_sleep : out std_logic; o_dac_clk : out std_logic; o_dac_db : out signed(11 downto 0); ------------------------------------------ i_nco_ftw : in unsigned(31 downto 0) -- 32bit nco word ); end entity dac_test; architecture rtl of dac_test is constant SAMPLE_WIDTH : integer := 12; -- 12 bit samples ( 11 downto 0 ) constant MEM_DEPTH : integer := 2**SAMPLE_WIDTH; -- number of lookup table points needed. type mem_type is array ( 0 to MEM_DEPTH-1) of signed(SAMPLE_WIDTH-1 downto 0); -- define memory data type -- function to fill memory with cos table (at compile time) function init_lookuptable return mem_type is constant SCALE : real := 2**(real(SAMPLE_WIDTH-1)); constant STEP : real := 1.0/real(MEM_DEPTH); variable temp_mem : mem_type; begin for i in 0 to MEM_DEPTH-1 loop temp_mem(i) := to_signed( integer(cos(2.0*MATH_PI*real(i)*STEP)*SCALE), SAMPLE_WIDTH); end loop; return temp_mem; end; -- constant rom of cos lookup table for 2^11 entries.. (2048x12b) -- initalized at compile time. constant cos_lookup : mem_type := init_lookuptable; -- address for the sample we want to send to the DAC signal lookup_addr : unsigned( 31 downto 0 ); signal sample_out : signed( 11 downto 0 ); begin o_dac_cmode <= '1'; -- '1' for differential clocking o_dac_mode <= '1'; -- '1' = 2's compliment samples o_dac_sleep <= '0'; -- forces dac to run, set to '1' to place dac in sleep o_dac_reset <= '1'; -- (pin) forces dac into pin operation mode.. (spi is disabled) -- output clock for sample generation o_dac_clk <= i_clk; o_dac_db <= sample_out; dac_nco : process( i_clk ) begin if ( rising_edge(i_clk) ) then if ( i_rst = '1' ) then lookup_addr <= (others=>'0'); sample_out <= cos_lookup(to_integer(lookup_addr(31 downto 31-SAMPLE_WIDTH))); else lookup_addr <= lookup_addr + i_nco_ftw; sample_out <= cos_lookup(to_integer(lookup_addr(31 downto 31-SAMPLE_WIDTH))); end if; end if; end process; end architecture;
apache-2.0
dd401065f80ee8363a663621a228fa74
0.527912
3.788509
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/when/rule_001_test_input.fixed.vhd
1
655
architecture ARCH of ENTITY is begin a <= sig1 when b = '1' else sig2 when b = '0' else sig3; process begin d <= sig1 when b = '1' else--This is a comment sig2 when c = '0' else -- This is a comment sig3 when d = '1' else sig4; end process; -- Violations below a <= sig1 when b = '1' else sig2 when b = '0' else sig3; process begin d <= sig1 when b = '1' else--This is a comment sig2 when c = '0' else -- This is a comment sig3 when d = '1' else sig4; end process; a <= b when (c = '1')else d; a <= b when (c = '1'else d; end architecture ARCH;
gpl-3.0
7e31d369e6577b4520deb955a0286f25
0.535878
3.119048
false
false
false
false
Yarr/Yarr-fw
rtl/spartan6/ddr3-core/ip_cores/ddr3_ctrl_spec_bank3_64b_32b/example_design/rtl/example_top.vhd
2
45,380
--***************************************************************************** -- (c) Copyright 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor : Xilinx -- \ \ \/ Version : 3.9 -- \ \ Application : MIG -- / / Filename : example_top.vhd -- /___/ /\ Date Last Modified : $Date: 2011/06/02 07:16:59 $ -- \ \ / \ Date Created : Jul 03 2009 -- \___\/\___\ -- --Device : Spartan-6 --Design Name : DDR/DDR2/DDR3/LPDDR --Purpose : This is the design top level. which instantiates top wrapper, -- test bench top and infrastructure modules. --Reference : --Revision History : --***************************************************************************** library ieee; use ieee.std_logic_1164.all; entity example_top is generic ( C3_P0_MASK_SIZE : integer := 8; C3_P0_DATA_PORT_SIZE : integer := 64; C3_P1_MASK_SIZE : integer := 4; C3_P1_DATA_PORT_SIZE : integer := 32; C3_MEMCLK_PERIOD : integer := 3000; -- Memory data transfer clock period. C3_RST_ACT_LOW : integer := 0; -- # = 1 for active low reset, -- # = 0 for active high reset. C3_INPUT_CLK_TYPE : string := "SINGLE_ENDED"; -- input clock type DIFFERENTIAL or SINGLE_ENDED. C3_CALIB_SOFT_IP : string := "TRUE"; -- # = TRUE, Enables the soft calibration logic, -- # = FALSE, Disables the soft calibration logic. C3_SIMULATION : string := "FALSE"; -- # = TRUE, Simulating the design. Useful to reduce the simulation time, -- # = FALSE, Implementing the design. C3_HW_TESTING : string := "FALSE"; -- Determines the address space accessed by the traffic generator, -- # = FALSE, Smaller address space, -- # = TRUE, Large address space. DEBUG_EN : integer := 0; -- # = 1, Enable debug signals/controls, -- = 0, Disable debug signals/controls. C3_MEM_ADDR_ORDER : string := "ROW_BANK_COLUMN"; -- The order in which user address is provided to the memory controller, -- ROW_BANK_COLUMN or BANK_ROW_COLUMN. C3_NUM_DQ_PINS : integer := 16; -- External memory data width. C3_MEM_ADDR_WIDTH : integer := 14; -- External memory address width. C3_MEM_BANKADDR_WIDTH : integer := 3 -- External memory bank address width. ); port ( calib_done : out std_logic; error : out std_logic; mcb3_dram_dq : inout std_logic_vector(C3_NUM_DQ_PINS-1 downto 0); mcb3_dram_a : out std_logic_vector(C3_MEM_ADDR_WIDTH-1 downto 0); mcb3_dram_ba : out std_logic_vector(C3_MEM_BANKADDR_WIDTH-1 downto 0); mcb3_dram_ras_n : out std_logic; mcb3_dram_cas_n : out std_logic; mcb3_dram_we_n : out std_logic; mcb3_dram_odt : out std_logic; mcb3_dram_reset_n : out std_logic; mcb3_dram_cke : out std_logic; mcb3_dram_dm : out std_logic; mcb3_dram_udqs : inout std_logic; mcb3_dram_udqs_n : inout std_logic; mcb3_rzq : inout std_logic; mcb3_dram_udm : out std_logic; c3_sys_clk : in std_logic; c3_sys_rst_i : in std_logic; mcb3_dram_dqs : inout std_logic; mcb3_dram_dqs_n : inout std_logic; mcb3_dram_ck : out std_logic; mcb3_dram_ck_n : out std_logic ); end example_top; architecture arc of example_top is component memc3_infrastructure is generic ( C_RST_ACT_LOW : integer; C_INPUT_CLK_TYPE : string; C_CLKOUT0_DIVIDE : integer; C_CLKOUT1_DIVIDE : integer; C_CLKOUT2_DIVIDE : integer; C_CLKOUT3_DIVIDE : integer; C_CLKFBOUT_MULT : integer; C_DIVCLK_DIVIDE : integer; C_INCLK_PERIOD : integer ); port ( sys_clk_p : in std_logic; sys_clk_n : in std_logic; sys_clk : in std_logic; sys_rst_i : in std_logic; clk0 : out std_logic; rst0 : out std_logic; async_rst : out std_logic; sysclk_2x : out std_logic; sysclk_2x_180 : out std_logic; pll_ce_0 : out std_logic; pll_ce_90 : out std_logic; pll_lock : out std_logic; mcb_drp_clk : out std_logic ); end component; component memc3_wrapper is generic ( C_MEMCLK_PERIOD : integer; C_CALIB_SOFT_IP : string; C_SIMULATION : string; C_P0_MASK_SIZE : integer; C_P0_DATA_PORT_SIZE : integer; C_P1_MASK_SIZE : integer; C_P1_DATA_PORT_SIZE : integer; C_ARB_NUM_TIME_SLOTS : integer; C_ARB_TIME_SLOT_0 : bit_vector(5 downto 0); C_ARB_TIME_SLOT_1 : bit_vector(5 downto 0); C_ARB_TIME_SLOT_2 : bit_vector(5 downto 0); C_ARB_TIME_SLOT_3 : bit_vector(5 downto 0); C_ARB_TIME_SLOT_4 : bit_vector(5 downto 0); C_ARB_TIME_SLOT_5 : bit_vector(5 downto 0); C_ARB_TIME_SLOT_6 : bit_vector(5 downto 0); C_ARB_TIME_SLOT_7 : bit_vector(5 downto 0); C_ARB_TIME_SLOT_8 : bit_vector(5 downto 0); C_ARB_TIME_SLOT_9 : bit_vector(5 downto 0); C_ARB_TIME_SLOT_10 : bit_vector(5 downto 0); C_ARB_TIME_SLOT_11 : bit_vector(5 downto 0); C_MEM_TRAS : integer; C_MEM_TRCD : integer; C_MEM_TREFI : integer; C_MEM_TRFC : integer; C_MEM_TRP : integer; C_MEM_TWR : integer; C_MEM_TRTP : integer; C_MEM_TWTR : integer; C_MEM_ADDR_ORDER : string; C_NUM_DQ_PINS : integer; C_MEM_TYPE : string; C_MEM_DENSITY : string; C_MEM_BURST_LEN : integer; C_MEM_CAS_LATENCY : integer; C_MEM_ADDR_WIDTH : integer; C_MEM_BANKADDR_WIDTH : integer; C_MEM_NUM_COL_BITS : integer; C_MEM_DDR1_2_ODS : string; C_MEM_DDR2_RTT : string; C_MEM_DDR2_DIFF_DQS_EN : string; C_MEM_DDR2_3_PA_SR : string; C_MEM_DDR2_3_HIGH_TEMP_SR : string; C_MEM_DDR3_CAS_LATENCY : integer; C_MEM_DDR3_ODS : string; C_MEM_DDR3_RTT : string; C_MEM_DDR3_CAS_WR_LATENCY : integer; C_MEM_DDR3_AUTO_SR : string; C_MEM_MOBILE_PA_SR : string; C_MEM_MDDR_ODS : string; C_MC_CALIB_BYPASS : string; C_MC_CALIBRATION_MODE : string; C_MC_CALIBRATION_DELAY : string; C_SKIP_IN_TERM_CAL : integer; C_SKIP_DYNAMIC_CAL : integer; C_LDQSP_TAP_DELAY_VAL : integer; C_LDQSN_TAP_DELAY_VAL : integer; C_UDQSP_TAP_DELAY_VAL : integer; C_UDQSN_TAP_DELAY_VAL : integer; C_DQ0_TAP_DELAY_VAL : integer; C_DQ1_TAP_DELAY_VAL : integer; C_DQ2_TAP_DELAY_VAL : integer; C_DQ3_TAP_DELAY_VAL : integer; C_DQ4_TAP_DELAY_VAL : integer; C_DQ5_TAP_DELAY_VAL : integer; C_DQ6_TAP_DELAY_VAL : integer; C_DQ7_TAP_DELAY_VAL : integer; C_DQ8_TAP_DELAY_VAL : integer; C_DQ9_TAP_DELAY_VAL : integer; C_DQ10_TAP_DELAY_VAL : integer; C_DQ11_TAP_DELAY_VAL : integer; C_DQ12_TAP_DELAY_VAL : integer; C_DQ13_TAP_DELAY_VAL : integer; C_DQ14_TAP_DELAY_VAL : integer; C_DQ15_TAP_DELAY_VAL : integer ); port ( mcb3_dram_dq : inout std_logic_vector((C_NUM_DQ_PINS-1) downto 0); mcb3_dram_a : out std_logic_vector((C_MEM_ADDR_WIDTH-1) downto 0); mcb3_dram_ba : out std_logic_vector((C_MEM_BANKADDR_WIDTH-1) downto 0); mcb3_dram_ras_n : out std_logic; mcb3_dram_cas_n : out std_logic; mcb3_dram_we_n : out std_logic; mcb3_dram_odt : out std_logic; mcb3_dram_reset_n : out std_logic; mcb3_dram_cke : out std_logic; mcb3_dram_dm : out std_logic; mcb3_dram_udqs : inout std_logic; mcb3_dram_udqs_n : inout std_logic; mcb3_rzq : inout std_logic; mcb3_dram_udm : out std_logic; calib_done : out std_logic; async_rst : in std_logic; sysclk_2x : in std_logic; sysclk_2x_180 : in std_logic; pll_ce_0 : in std_logic; pll_ce_90 : in std_logic; pll_lock : in std_logic; mcb_drp_clk : in std_logic; mcb3_dram_dqs : inout std_logic; mcb3_dram_dqs_n : inout std_logic; mcb3_dram_ck : out std_logic; mcb3_dram_ck_n : out std_logic; p0_cmd_clk : in std_logic; p0_cmd_en : in std_logic; p0_cmd_instr : in std_logic_vector(2 downto 0); p0_cmd_bl : in std_logic_vector(5 downto 0); p0_cmd_byte_addr : in std_logic_vector(29 downto 0); p0_cmd_empty : out std_logic; p0_cmd_full : out std_logic; p0_wr_clk : in std_logic; p0_wr_en : in std_logic; p0_wr_mask : in std_logic_vector(C_P0_MASK_SIZE - 1 downto 0); p0_wr_data : in std_logic_vector(C_P0_DATA_PORT_SIZE - 1 downto 0); p0_wr_full : out std_logic; p0_wr_empty : out std_logic; p0_wr_count : out std_logic_vector(6 downto 0); p0_wr_underrun : out std_logic; p0_wr_error : out std_logic; p0_rd_clk : in std_logic; p0_rd_en : in std_logic; p0_rd_data : out std_logic_vector(C_P0_DATA_PORT_SIZE - 1 downto 0); p0_rd_full : out std_logic; p0_rd_empty : out std_logic; p0_rd_count : out std_logic_vector(6 downto 0); p0_rd_overflow : out std_logic; p0_rd_error : out std_logic; p1_cmd_clk : in std_logic; p1_cmd_en : in std_logic; p1_cmd_instr : in std_logic_vector(2 downto 0); p1_cmd_bl : in std_logic_vector(5 downto 0); p1_cmd_byte_addr : in std_logic_vector(29 downto 0); p1_cmd_empty : out std_logic; p1_cmd_full : out std_logic; p1_wr_clk : in std_logic; p1_wr_en : in std_logic; p1_wr_mask : in std_logic_vector(C_P1_MASK_SIZE - 1 downto 0); p1_wr_data : in std_logic_vector(C_P1_DATA_PORT_SIZE - 1 downto 0); p1_wr_full : out std_logic; p1_wr_empty : out std_logic; p1_wr_count : out std_logic_vector(6 downto 0); p1_wr_underrun : out std_logic; p1_wr_error : out std_logic; p1_rd_clk : in std_logic; p1_rd_en : in std_logic; p1_rd_data : out std_logic_vector(C_P1_DATA_PORT_SIZE - 1 downto 0); p1_rd_full : out std_logic; p1_rd_empty : out std_logic; p1_rd_count : out std_logic_vector(6 downto 0); p1_rd_overflow : out std_logic; p1_rd_error : out std_logic; selfrefresh_enter : in std_logic; selfrefresh_mode : out std_logic ); end component; component memc3_tb_top is generic ( C_SIMULATION : string; C_P0_MASK_SIZE : integer; C_P0_DATA_PORT_SIZE : integer; C_P1_MASK_SIZE : integer; C_P1_DATA_PORT_SIZE : integer; C_NUM_DQ_PINS : integer; C_MEM_BURST_LEN : integer; C_MEM_NUM_COL_BITS : integer; C_SMALL_DEVICE : string; C_p0_BEGIN_ADDRESS : std_logic_vector(31 downto 0); C_p0_DATA_MODE : std_logic_vector(3 downto 0); C_p0_END_ADDRESS : std_logic_vector(31 downto 0); C_p0_PRBS_EADDR_MASK_POS : std_logic_vector(31 downto 0); C_p0_PRBS_SADDR_MASK_POS : std_logic_vector(31 downto 0); C_p1_BEGIN_ADDRESS : std_logic_vector(31 downto 0); C_p1_DATA_MODE : std_logic_vector(3 downto 0); C_p1_END_ADDRESS : std_logic_vector(31 downto 0); C_p1_PRBS_EADDR_MASK_POS : std_logic_vector(31 downto 0); C_p1_PRBS_SADDR_MASK_POS : std_logic_vector(31 downto 0) ); port ( error : out std_logic; calib_done : in std_logic; clk0 : in std_logic; rst0 : in std_logic; cmp_error : out std_logic; cmp_data_valid : out std_logic; vio_modify_enable : in std_logic; error_status : out std_logic_vector(191 downto 0); vio_data_mode_value : in std_logic_vector(2 downto 0); vio_addr_mode_value : in std_logic_vector(2 downto 0); cmp_data : out std_logic_vector(31 downto 0); p0_mcb_cmd_en_o : out std_logic; p0_mcb_cmd_instr_o : out std_logic_vector(2 downto 0); p0_mcb_cmd_bl_o : out std_logic_vector(5 downto 0); p0_mcb_cmd_addr_o : out std_logic_vector(29 downto 0); p0_mcb_cmd_full_i : in std_logic; p0_mcb_wr_en_o : out std_logic; p0_mcb_wr_mask_o : out std_logic_vector(C_P0_MASK_SIZE - 1 downto 0); p0_mcb_wr_data_o : out std_logic_vector(C_P0_DATA_PORT_SIZE - 1 downto 0); p0_mcb_wr_full_i : in std_logic; p0_mcb_wr_fifo_counts : in std_logic_vector(6 downto 0); p0_mcb_rd_en_o : out std_logic; p0_mcb_rd_data_i : in std_logic_vector(C_P0_DATA_PORT_SIZE - 1 downto 0); p0_mcb_rd_empty_i : in std_logic; p0_mcb_rd_fifo_counts : in std_logic_vector(6 downto 0); p1_mcb_cmd_en_o : out std_logic; p1_mcb_cmd_instr_o : out std_logic_vector(2 downto 0); p1_mcb_cmd_bl_o : out std_logic_vector(5 downto 0); p1_mcb_cmd_addr_o : out std_logic_vector(29 downto 0); p1_mcb_cmd_full_i : in std_logic; p1_mcb_wr_en_o : out std_logic; p1_mcb_wr_mask_o : out std_logic_vector(C_P1_MASK_SIZE - 1 downto 0); p1_mcb_wr_data_o : out std_logic_vector(C_P1_DATA_PORT_SIZE - 1 downto 0); p1_mcb_wr_full_i : in std_logic; p1_mcb_wr_fifo_counts : in std_logic_vector(6 downto 0); p1_mcb_rd_en_o : out std_logic; p1_mcb_rd_data_i : in std_logic_vector(C_P1_DATA_PORT_SIZE - 1 downto 0); p1_mcb_rd_empty_i : in std_logic; p1_mcb_rd_fifo_counts : in std_logic_vector(6 downto 0) ); end component; function c3_sim_hw (val1:std_logic_vector( 31 downto 0); val2: std_logic_vector( 31 downto 0) ) return std_logic_vector is begin if (C3_HW_TESTING = "FALSE") then return val1; else return val2; end if; end function; constant C3_CLKOUT0_DIVIDE : integer := 1; constant C3_CLKOUT1_DIVIDE : integer := 1; constant C3_CLKOUT2_DIVIDE : integer := 16; constant C3_CLKOUT3_DIVIDE : integer := 8; constant C3_CLKFBOUT_MULT : integer := 2; constant C3_DIVCLK_DIVIDE : integer := 1; constant C3_INCLK_PERIOD : integer := ((C3_MEMCLK_PERIOD * C3_CLKFBOUT_MULT) / (C3_DIVCLK_DIVIDE * C3_CLKOUT0_DIVIDE * 2)); constant C3_ARB_NUM_TIME_SLOTS : integer := 12; constant C3_ARB_TIME_SLOT_0 : bit_vector(5 downto 0) := o"02"; constant C3_ARB_TIME_SLOT_1 : bit_vector(5 downto 0) := o"20"; constant C3_ARB_TIME_SLOT_2 : bit_vector(5 downto 0) := o"02"; constant C3_ARB_TIME_SLOT_3 : bit_vector(5 downto 0) := o"20"; constant C3_ARB_TIME_SLOT_4 : bit_vector(5 downto 0) := o"02"; constant C3_ARB_TIME_SLOT_5 : bit_vector(5 downto 0) := o"20"; constant C3_ARB_TIME_SLOT_6 : bit_vector(5 downto 0) := o"02"; constant C3_ARB_TIME_SLOT_7 : bit_vector(5 downto 0) := o"20"; constant C3_ARB_TIME_SLOT_8 : bit_vector(5 downto 0) := o"02"; constant C3_ARB_TIME_SLOT_9 : bit_vector(5 downto 0) := o"20"; constant C3_ARB_TIME_SLOT_10 : bit_vector(5 downto 0) := o"02"; constant C3_ARB_TIME_SLOT_11 : bit_vector(5 downto 0) := o"20"; constant C3_MEM_TRAS : integer := 36000; constant C3_MEM_TRCD : integer := 13500; constant C3_MEM_TREFI : integer := 7800000; constant C3_MEM_TRFC : integer := 160000; constant C3_MEM_TRP : integer := 13500; constant C3_MEM_TWR : integer := 15000; constant C3_MEM_TRTP : integer := 7500; constant C3_MEM_TWTR : integer := 7500; constant C3_MEM_TYPE : string := "DDR3"; constant C3_MEM_DENSITY : string := "2Gb"; constant C3_MEM_BURST_LEN : integer := 8; constant C3_MEM_CAS_LATENCY : integer := 6; constant C3_MEM_NUM_COL_BITS : integer := 10; constant C3_MEM_DDR1_2_ODS : string := "FULL"; constant C3_MEM_DDR2_RTT : string := "50OHMS"; constant C3_MEM_DDR2_DIFF_DQS_EN : string := "YES"; constant C3_MEM_DDR2_3_PA_SR : string := "FULL"; constant C3_MEM_DDR2_3_HIGH_TEMP_SR : string := "NORMAL"; constant C3_MEM_DDR3_CAS_LATENCY : integer := 6; constant C3_MEM_DDR3_ODS : string := "DIV6"; constant C3_MEM_DDR3_RTT : string := "DIV4"; constant C3_MEM_DDR3_CAS_WR_LATENCY : integer := 5; constant C3_MEM_DDR3_AUTO_SR : string := "ENABLED"; constant C3_MEM_MOBILE_PA_SR : string := "FULL"; constant C3_MEM_MDDR_ODS : string := "FULL"; constant C3_MC_CALIB_BYPASS : string := "NO"; constant C3_MC_CALIBRATION_MODE : string := "CALIBRATION"; constant C3_MC_CALIBRATION_DELAY : string := "HALF"; constant C3_SKIP_IN_TERM_CAL : integer := 1; constant C3_SKIP_DYNAMIC_CAL : integer := 0; constant C3_LDQSP_TAP_DELAY_VAL : integer := 0; constant C3_LDQSN_TAP_DELAY_VAL : integer := 0; constant C3_UDQSP_TAP_DELAY_VAL : integer := 0; constant C3_UDQSN_TAP_DELAY_VAL : integer := 0; constant C3_DQ0_TAP_DELAY_VAL : integer := 0; constant C3_DQ1_TAP_DELAY_VAL : integer := 0; constant C3_DQ2_TAP_DELAY_VAL : integer := 0; constant C3_DQ3_TAP_DELAY_VAL : integer := 0; constant C3_DQ4_TAP_DELAY_VAL : integer := 0; constant C3_DQ5_TAP_DELAY_VAL : integer := 0; constant C3_DQ6_TAP_DELAY_VAL : integer := 0; constant C3_DQ7_TAP_DELAY_VAL : integer := 0; constant C3_DQ8_TAP_DELAY_VAL : integer := 0; constant C3_DQ9_TAP_DELAY_VAL : integer := 0; constant C3_DQ10_TAP_DELAY_VAL : integer := 0; constant C3_DQ11_TAP_DELAY_VAL : integer := 0; constant C3_DQ12_TAP_DELAY_VAL : integer := 0; constant C3_DQ13_TAP_DELAY_VAL : integer := 0; constant C3_DQ14_TAP_DELAY_VAL : integer := 0; constant C3_DQ15_TAP_DELAY_VAL : integer := 0; constant C3_SMALL_DEVICE : string := "FALSE"; -- The parameter is set to TRUE for all packages of xc6slx9 device -- as most of them cannot fit the complete example design when the -- Chip scope modules are enabled constant C3_p0_BEGIN_ADDRESS : std_logic_vector(31 downto 0) := c3_sim_hw (x"00000200", x"01000000"); constant C3_p0_DATA_MODE : std_logic_vector(3 downto 0) := "0010"; constant C3_p0_END_ADDRESS : std_logic_vector(31 downto 0) := c3_sim_hw (x"000003ff", x"02ffffff"); constant C3_p0_PRBS_EADDR_MASK_POS : std_logic_vector(31 downto 0) := c3_sim_hw (x"fffff800", x"fc000000"); constant C3_p0_PRBS_SADDR_MASK_POS : std_logic_vector(31 downto 0) := c3_sim_hw (x"00000200", x"01000000"); constant C3_p1_BEGIN_ADDRESS : std_logic_vector(31 downto 0) := c3_sim_hw (x"00000400", x"03000000"); constant C3_p1_DATA_MODE : std_logic_vector(3 downto 0) := "0010"; constant C3_p1_END_ADDRESS : std_logic_vector(31 downto 0) := c3_sim_hw (x"000005ff", x"04ffffff"); constant C3_p1_PRBS_EADDR_MASK_POS : std_logic_vector(31 downto 0) := c3_sim_hw (x"fffff000", x"f8000000"); constant C3_p1_PRBS_SADDR_MASK_POS : std_logic_vector(31 downto 0) := c3_sim_hw (x"00000400", x"03000000"); signal c3_sys_clk_p : std_logic; signal c3_sys_clk_n : std_logic; signal c3_error : std_logic; signal c3_calib_done : std_logic; signal c3_clk0 : std_logic; signal c3_rst0 : std_logic; signal c3_async_rst : std_logic; signal c3_sysclk_2x : std_logic; signal c3_sysclk_2x_180 : std_logic; signal c3_pll_ce_0 : std_logic; signal c3_pll_ce_90 : std_logic; signal c3_pll_lock : std_logic; signal c3_mcb_drp_clk : std_logic; signal c3_cmp_error : std_logic; signal c3_cmp_data_valid : std_logic; signal c3_vio_modify_enable : std_logic; signal c3_error_status : std_logic_vector(191 downto 0); signal c3_vio_data_mode_value : std_logic_vector(2 downto 0); signal c3_vio_addr_mode_value : std_logic_vector(2 downto 0); signal c3_cmp_data : std_logic_vector(31 downto 0); signal c3_p0_cmd_en : std_logic; signal c3_p0_cmd_instr : std_logic_vector(2 downto 0); signal c3_p0_cmd_bl : std_logic_vector(5 downto 0); signal c3_p0_cmd_byte_addr : std_logic_vector(29 downto 0); signal c3_p0_cmd_empty : std_logic; signal c3_p0_cmd_full : std_logic; signal c3_p0_wr_en : std_logic; signal c3_p0_wr_mask : std_logic_vector(C3_P0_MASK_SIZE - 1 downto 0); signal c3_p0_wr_data : std_logic_vector(C3_P0_DATA_PORT_SIZE - 1 downto 0); signal c3_p0_wr_full : std_logic; signal c3_p0_wr_empty : std_logic; signal c3_p0_wr_count : std_logic_vector(6 downto 0); signal c3_p0_wr_underrun : std_logic; signal c3_p0_wr_error : std_logic; signal c3_p0_rd_en : std_logic; signal c3_p0_rd_data : std_logic_vector(C3_P0_DATA_PORT_SIZE - 1 downto 0); signal c3_p0_rd_full : std_logic; signal c3_p0_rd_empty : std_logic; signal c3_p0_rd_count : std_logic_vector(6 downto 0); signal c3_p0_rd_overflow : std_logic; signal c3_p0_rd_error : std_logic; signal c3_p1_cmd_en : std_logic; signal c3_p1_cmd_instr : std_logic_vector(2 downto 0); signal c3_p1_cmd_bl : std_logic_vector(5 downto 0); signal c3_p1_cmd_byte_addr : std_logic_vector(29 downto 0); signal c3_p1_cmd_empty : std_logic; signal c3_p1_cmd_full : std_logic; signal c3_p1_wr_en : std_logic; signal c3_p1_wr_mask : std_logic_vector(C3_P1_MASK_SIZE - 1 downto 0); signal c3_p1_wr_data : std_logic_vector(C3_P1_DATA_PORT_SIZE - 1 downto 0); signal c3_p1_wr_full : std_logic; signal c3_p1_wr_empty : std_logic; signal c3_p1_wr_count : std_logic_vector(6 downto 0); signal c3_p1_wr_underrun : std_logic; signal c3_p1_wr_error : std_logic; signal c3_p1_rd_en : std_logic; signal c3_p1_rd_data : std_logic_vector(C3_P1_DATA_PORT_SIZE - 1 downto 0); signal c3_p1_rd_full : std_logic; signal c3_p1_rd_empty : std_logic; signal c3_p1_rd_count : std_logic_vector(6 downto 0); signal c3_p1_rd_overflow : std_logic; signal c3_p1_rd_error : std_logic; signal c3_selfrefresh_enter : std_logic; signal c3_selfrefresh_mode : std_logic; begin error <= c3_error; calib_done <= c3_calib_done; c3_sys_clk_p <= '0'; c3_sys_clk_n <= '0'; c3_selfrefresh_enter <= '0'; memc3_infrastructure_inst : memc3_infrastructure generic map ( C_RST_ACT_LOW => C3_RST_ACT_LOW, C_INPUT_CLK_TYPE => C3_INPUT_CLK_TYPE, C_CLKOUT0_DIVIDE => C3_CLKOUT0_DIVIDE, C_CLKOUT1_DIVIDE => C3_CLKOUT1_DIVIDE, C_CLKOUT2_DIVIDE => C3_CLKOUT2_DIVIDE, C_CLKOUT3_DIVIDE => C3_CLKOUT3_DIVIDE, C_CLKFBOUT_MULT => C3_CLKFBOUT_MULT, C_DIVCLK_DIVIDE => C3_DIVCLK_DIVIDE, C_INCLK_PERIOD => C3_INCLK_PERIOD ) port map ( sys_clk_p => c3_sys_clk_p, sys_clk_n => c3_sys_clk_n, sys_clk => c3_sys_clk, sys_rst_i => c3_sys_rst_i, clk0 => c3_clk0, rst0 => c3_rst0, async_rst => c3_async_rst, sysclk_2x => c3_sysclk_2x, sysclk_2x_180 => c3_sysclk_2x_180, pll_ce_0 => c3_pll_ce_0, pll_ce_90 => c3_pll_ce_90, pll_lock => c3_pll_lock, mcb_drp_clk => c3_mcb_drp_clk ); -- wrapper instantiation memc3_wrapper_inst : memc3_wrapper generic map ( C_MEMCLK_PERIOD => C3_MEMCLK_PERIOD, C_CALIB_SOFT_IP => C3_CALIB_SOFT_IP, C_SIMULATION => C3_SIMULATION, C_P0_MASK_SIZE => C3_P0_MASK_SIZE, C_P0_DATA_PORT_SIZE => C3_P0_DATA_PORT_SIZE, C_P1_MASK_SIZE => C3_P1_MASK_SIZE, C_P1_DATA_PORT_SIZE => C3_P1_DATA_PORT_SIZE, C_ARB_NUM_TIME_SLOTS => C3_ARB_NUM_TIME_SLOTS, C_ARB_TIME_SLOT_0 => C3_ARB_TIME_SLOT_0, C_ARB_TIME_SLOT_1 => C3_ARB_TIME_SLOT_1, C_ARB_TIME_SLOT_2 => C3_ARB_TIME_SLOT_2, C_ARB_TIME_SLOT_3 => C3_ARB_TIME_SLOT_3, C_ARB_TIME_SLOT_4 => C3_ARB_TIME_SLOT_4, C_ARB_TIME_SLOT_5 => C3_ARB_TIME_SLOT_5, C_ARB_TIME_SLOT_6 => C3_ARB_TIME_SLOT_6, C_ARB_TIME_SLOT_7 => C3_ARB_TIME_SLOT_7, C_ARB_TIME_SLOT_8 => C3_ARB_TIME_SLOT_8, C_ARB_TIME_SLOT_9 => C3_ARB_TIME_SLOT_9, C_ARB_TIME_SLOT_10 => C3_ARB_TIME_SLOT_10, C_ARB_TIME_SLOT_11 => C3_ARB_TIME_SLOT_11, C_MEM_TRAS => C3_MEM_TRAS, C_MEM_TRCD => C3_MEM_TRCD, C_MEM_TREFI => C3_MEM_TREFI, C_MEM_TRFC => C3_MEM_TRFC, C_MEM_TRP => C3_MEM_TRP, C_MEM_TWR => C3_MEM_TWR, C_MEM_TRTP => C3_MEM_TRTP, C_MEM_TWTR => C3_MEM_TWTR, C_MEM_ADDR_ORDER => C3_MEM_ADDR_ORDER, C_NUM_DQ_PINS => C3_NUM_DQ_PINS, C_MEM_TYPE => C3_MEM_TYPE, C_MEM_DENSITY => C3_MEM_DENSITY, C_MEM_BURST_LEN => C3_MEM_BURST_LEN, C_MEM_CAS_LATENCY => C3_MEM_CAS_LATENCY, C_MEM_ADDR_WIDTH => C3_MEM_ADDR_WIDTH, C_MEM_BANKADDR_WIDTH => C3_MEM_BANKADDR_WIDTH, C_MEM_NUM_COL_BITS => C3_MEM_NUM_COL_BITS, C_MEM_DDR1_2_ODS => C3_MEM_DDR1_2_ODS, C_MEM_DDR2_RTT => C3_MEM_DDR2_RTT, C_MEM_DDR2_DIFF_DQS_EN => C3_MEM_DDR2_DIFF_DQS_EN, C_MEM_DDR2_3_PA_SR => C3_MEM_DDR2_3_PA_SR, C_MEM_DDR2_3_HIGH_TEMP_SR => C3_MEM_DDR2_3_HIGH_TEMP_SR, C_MEM_DDR3_CAS_LATENCY => C3_MEM_DDR3_CAS_LATENCY, C_MEM_DDR3_ODS => C3_MEM_DDR3_ODS, C_MEM_DDR3_RTT => C3_MEM_DDR3_RTT, C_MEM_DDR3_CAS_WR_LATENCY => C3_MEM_DDR3_CAS_WR_LATENCY, C_MEM_DDR3_AUTO_SR => C3_MEM_DDR3_AUTO_SR, C_MEM_MOBILE_PA_SR => C3_MEM_MOBILE_PA_SR, C_MEM_MDDR_ODS => C3_MEM_MDDR_ODS, C_MC_CALIB_BYPASS => C3_MC_CALIB_BYPASS, C_MC_CALIBRATION_MODE => C3_MC_CALIBRATION_MODE, C_MC_CALIBRATION_DELAY => C3_MC_CALIBRATION_DELAY, C_SKIP_IN_TERM_CAL => C3_SKIP_IN_TERM_CAL, C_SKIP_DYNAMIC_CAL => C3_SKIP_DYNAMIC_CAL, C_LDQSP_TAP_DELAY_VAL => C3_LDQSP_TAP_DELAY_VAL, C_LDQSN_TAP_DELAY_VAL => C3_LDQSN_TAP_DELAY_VAL, C_UDQSP_TAP_DELAY_VAL => C3_UDQSP_TAP_DELAY_VAL, C_UDQSN_TAP_DELAY_VAL => C3_UDQSN_TAP_DELAY_VAL, C_DQ0_TAP_DELAY_VAL => C3_DQ0_TAP_DELAY_VAL, C_DQ1_TAP_DELAY_VAL => C3_DQ1_TAP_DELAY_VAL, C_DQ2_TAP_DELAY_VAL => C3_DQ2_TAP_DELAY_VAL, C_DQ3_TAP_DELAY_VAL => C3_DQ3_TAP_DELAY_VAL, C_DQ4_TAP_DELAY_VAL => C3_DQ4_TAP_DELAY_VAL, C_DQ5_TAP_DELAY_VAL => C3_DQ5_TAP_DELAY_VAL, C_DQ6_TAP_DELAY_VAL => C3_DQ6_TAP_DELAY_VAL, C_DQ7_TAP_DELAY_VAL => C3_DQ7_TAP_DELAY_VAL, C_DQ8_TAP_DELAY_VAL => C3_DQ8_TAP_DELAY_VAL, C_DQ9_TAP_DELAY_VAL => C3_DQ9_TAP_DELAY_VAL, C_DQ10_TAP_DELAY_VAL => C3_DQ10_TAP_DELAY_VAL, C_DQ11_TAP_DELAY_VAL => C3_DQ11_TAP_DELAY_VAL, C_DQ12_TAP_DELAY_VAL => C3_DQ12_TAP_DELAY_VAL, C_DQ13_TAP_DELAY_VAL => C3_DQ13_TAP_DELAY_VAL, C_DQ14_TAP_DELAY_VAL => C3_DQ14_TAP_DELAY_VAL, C_DQ15_TAP_DELAY_VAL => C3_DQ15_TAP_DELAY_VAL ) port map ( mcb3_dram_dq => mcb3_dram_dq, mcb3_dram_a => mcb3_dram_a, mcb3_dram_ba => mcb3_dram_ba, mcb3_dram_ras_n => mcb3_dram_ras_n, mcb3_dram_cas_n => mcb3_dram_cas_n, mcb3_dram_we_n => mcb3_dram_we_n, mcb3_dram_odt => mcb3_dram_odt, mcb3_dram_reset_n => mcb3_dram_reset_n, mcb3_dram_cke => mcb3_dram_cke, mcb3_dram_dm => mcb3_dram_dm, mcb3_dram_udqs => mcb3_dram_udqs, mcb3_dram_udqs_n => mcb3_dram_udqs_n, mcb3_rzq => mcb3_rzq, mcb3_dram_udm => mcb3_dram_udm, calib_done => c3_calib_done, async_rst => c3_async_rst, sysclk_2x => c3_sysclk_2x, sysclk_2x_180 => c3_sysclk_2x_180, pll_ce_0 => c3_pll_ce_0, pll_ce_90 => c3_pll_ce_90, pll_lock => c3_pll_lock, mcb_drp_clk => c3_mcb_drp_clk, mcb3_dram_dqs => mcb3_dram_dqs, mcb3_dram_dqs_n => mcb3_dram_dqs_n, mcb3_dram_ck => mcb3_dram_ck, mcb3_dram_ck_n => mcb3_dram_ck_n, p0_cmd_clk => c3_clk0, p0_cmd_en => c3_p0_cmd_en, p0_cmd_instr => c3_p0_cmd_instr, p0_cmd_bl => c3_p0_cmd_bl, p0_cmd_byte_addr => c3_p0_cmd_byte_addr, p0_cmd_empty => c3_p0_cmd_empty, p0_cmd_full => c3_p0_cmd_full, p0_wr_clk => c3_clk0, p0_wr_en => c3_p0_wr_en, p0_wr_mask => c3_p0_wr_mask, p0_wr_data => c3_p0_wr_data, p0_wr_full => c3_p0_wr_full, p0_wr_empty => c3_p0_wr_empty, p0_wr_count => c3_p0_wr_count, p0_wr_underrun => c3_p0_wr_underrun, p0_wr_error => c3_p0_wr_error, p0_rd_clk => c3_clk0, p0_rd_en => c3_p0_rd_en, p0_rd_data => c3_p0_rd_data, p0_rd_full => c3_p0_rd_full, p0_rd_empty => c3_p0_rd_empty, p0_rd_count => c3_p0_rd_count, p0_rd_overflow => c3_p0_rd_overflow, p0_rd_error => c3_p0_rd_error, p1_cmd_clk => c3_clk0, p1_cmd_en => c3_p1_cmd_en, p1_cmd_instr => c3_p1_cmd_instr, p1_cmd_bl => c3_p1_cmd_bl, p1_cmd_byte_addr => c3_p1_cmd_byte_addr, p1_cmd_empty => c3_p1_cmd_empty, p1_cmd_full => c3_p1_cmd_full, p1_wr_clk => c3_clk0, p1_wr_en => c3_p1_wr_en, p1_wr_mask => c3_p1_wr_mask, p1_wr_data => c3_p1_wr_data, p1_wr_full => c3_p1_wr_full, p1_wr_empty => c3_p1_wr_empty, p1_wr_count => c3_p1_wr_count, p1_wr_underrun => c3_p1_wr_underrun, p1_wr_error => c3_p1_wr_error, p1_rd_clk => c3_clk0, p1_rd_en => c3_p1_rd_en, p1_rd_data => c3_p1_rd_data, p1_rd_full => c3_p1_rd_full, p1_rd_empty => c3_p1_rd_empty, p1_rd_count => c3_p1_rd_count, p1_rd_overflow => c3_p1_rd_overflow, p1_rd_error => c3_p1_rd_error, selfrefresh_enter => c3_selfrefresh_enter, selfrefresh_mode => c3_selfrefresh_mode ); memc3_tb_top_inst : memc3_tb_top generic map ( C_SIMULATION => C3_SIMULATION, C_P0_MASK_SIZE => C3_P0_MASK_SIZE, C_P0_DATA_PORT_SIZE => C3_P0_DATA_PORT_SIZE, C_P1_MASK_SIZE => C3_P1_MASK_SIZE, C_P1_DATA_PORT_SIZE => C3_P1_DATA_PORT_SIZE, C_NUM_DQ_PINS => C3_NUM_DQ_PINS, C_MEM_BURST_LEN => C3_MEM_BURST_LEN, C_MEM_NUM_COL_BITS => C3_MEM_NUM_COL_BITS, C_SMALL_DEVICE => C3_SMALL_DEVICE, C_p0_BEGIN_ADDRESS => C3_p0_BEGIN_ADDRESS, C_p0_DATA_MODE => C3_p0_DATA_MODE, C_p0_END_ADDRESS => C3_p0_END_ADDRESS, C_p0_PRBS_EADDR_MASK_POS => C3_p0_PRBS_EADDR_MASK_POS, C_p0_PRBS_SADDR_MASK_POS => C3_p0_PRBS_SADDR_MASK_POS, C_p1_BEGIN_ADDRESS => C3_p1_BEGIN_ADDRESS, C_p1_DATA_MODE => C3_p1_DATA_MODE, C_p1_END_ADDRESS => C3_p1_END_ADDRESS, C_p1_PRBS_EADDR_MASK_POS => C3_p1_PRBS_EADDR_MASK_POS, C_p1_PRBS_SADDR_MASK_POS => C3_p1_PRBS_SADDR_MASK_POS ) port map ( error => c3_error, calib_done => c3_calib_done, clk0 => c3_clk0, rst0 => c3_rst0, cmp_error => c3_cmp_error, cmp_data_valid => c3_cmp_data_valid, vio_modify_enable => c3_vio_modify_enable, error_status => c3_error_status, vio_data_mode_value => c3_vio_data_mode_value, vio_addr_mode_value => c3_vio_addr_mode_value, cmp_data => c3_cmp_data, p0_mcb_cmd_en_o => c3_p0_cmd_en, p0_mcb_cmd_instr_o => c3_p0_cmd_instr, p0_mcb_cmd_bl_o => c3_p0_cmd_bl, p0_mcb_cmd_addr_o => c3_p0_cmd_byte_addr, p0_mcb_cmd_full_i => c3_p0_cmd_full, p0_mcb_wr_en_o => c3_p0_wr_en, p0_mcb_wr_mask_o => c3_p0_wr_mask, p0_mcb_wr_data_o => c3_p0_wr_data, p0_mcb_wr_full_i => c3_p0_wr_full, p0_mcb_wr_fifo_counts => c3_p0_wr_count, p0_mcb_rd_en_o => c3_p0_rd_en, p0_mcb_rd_data_i => c3_p0_rd_data, p0_mcb_rd_empty_i => c3_p0_rd_empty, p0_mcb_rd_fifo_counts => c3_p0_rd_count, p1_mcb_cmd_en_o => c3_p1_cmd_en, p1_mcb_cmd_instr_o => c3_p1_cmd_instr, p1_mcb_cmd_bl_o => c3_p1_cmd_bl, p1_mcb_cmd_addr_o => c3_p1_cmd_byte_addr, p1_mcb_cmd_full_i => c3_p1_cmd_full, p1_mcb_wr_en_o => c3_p1_wr_en, p1_mcb_wr_mask_o => c3_p1_wr_mask, p1_mcb_wr_data_o => c3_p1_wr_data, p1_mcb_wr_full_i => c3_p1_wr_full, p1_mcb_wr_fifo_counts => c3_p1_wr_count, p1_mcb_rd_en_o => c3_p1_rd_en, p1_mcb_rd_data_i => c3_p1_rd_data, p1_mcb_rd_empty_i => c3_p1_rd_empty, p1_mcb_rd_fifo_counts => c3_p1_rd_count ); end arc;
gpl-3.0
27f96700c0894ae929c7a75ac249ce14
0.452821
3.401289
false
false
false
false
Yarr/Yarr-fw
rtl/spartan6/ddr3-core/ip_cores/ddr3_ctrl_spec_bank3_64b_32b/user_design/sim/rd_data_gen.vhd
20
16,459
--***************************************************************************** -- (c) Copyright 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: %version -- \ \ Application: MIG -- / / Filename: rd_data_gen.vhd -- /___/ /\ Date Last Modified: $Date: 2011/05/27 15:50:28 $ -- \ \ / \ Date Created: Jul 03 2009 -- \___\/\___\ -- -- Device: Spartan6 -- Design Name: DDR/DDR2/DDR3/LPDDR -- Purpose: This module has all the timing control for generating "compare data" -- to compare the read data from memory. -- Reference: -- Revision History: 2010/01/09 parameter MEM_BURST_LEN is missing in v6_data_gen instance module. --***************************************************************************** LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; entity rd_data_gen is generic ( FAMILY : string := "SPARTAN6"; -- "SPARTAN6", "VIRTEX6" MEM_BURST_LEN : integer := 8; ADDR_WIDTH : integer := 32; BL_WIDTH : integer := 6; DWIDTH : integer := 32; DATA_PATTERN : string := "DGEN_PRBS"; --"DGEN__HAMMER", "DGEN_WALING1","DGEN_WALING0","DGEN_ADDR","DGEN_NEIGHBOR","DGEN_PRBS","DGEN_ALL" NUM_DQ_PINS : integer := 8; SEL_VICTIM_LINE : integer := 3; -- VICTIM LINE is one of the DQ pins is selected to be different than hammer pattern COLUMN_WIDTH : integer := 10 ); port ( clk_i : in std_logic; -- rst_i : in std_logic_vector(4 downto 0); prbs_fseed_i : in std_logic_vector(31 downto 0); data_mode_i : in std_logic_vector(3 downto 0); -- "00" = bram; rd_mdata_en : in std_logic; cmd_rdy_o : out std_logic; -- ready to receive command. It should assert when data_port is ready at the // beginning and will be deasserted once see the cmd_valid_i is asserted. -- And then it should reasserted when -- it is generating the last_word. cmd_valid_i : in std_logic; -- when both cmd_valid_i and cmd_rdy_o is high, the command is valid. last_word_o : out std_logic; -- m_addr_i : in std_logic_vector(ADDR_WIDTH - 1 downto 0); -- generated address used to determine data pattern. fixed_data_i : in std_logic_vector(DWIDTH - 1 downto 0); addr_i : in std_logic_vector(ADDR_WIDTH - 1 downto 0); -- generated address used to determine data pattern. bl_i : in std_logic_vector(BL_WIDTH - 1 downto 0); -- generated burst length for control the burst data user_bl_cnt_is_1_o : out std_logic; data_rdy_i : in std_logic; -- connect from mcb_wr_full when used as wr_data_gen in sp6 -- connect from mcb_rd_empty when used as rd_data_gen in sp6 -- connect from rd_data_valid in v6 -- When both data_rdy and data_valid is asserted, the ouput data is valid. data_valid_o : out std_logic; -- connect to wr_en or rd_en and is asserted whenever the -- pattern is available. data_o : out std_logic_vector(DWIDTH - 1 downto 0) -- generated data pattern ); end entity rd_data_gen; ARCHITECTURE trans OF rd_data_gen IS COMPONENT sp6_data_gen IS GENERIC ( ADDR_WIDTH : INTEGER := 32; BL_WIDTH : INTEGER := 6; DWIDTH : INTEGER := 32; DATA_PATTERN : STRING := "DGEN_PRBS"; NUM_DQ_PINS : INTEGER := 8; COLUMN_WIDTH : INTEGER := 10 ); PORT ( clk_i : IN STD_LOGIC; rst_i : IN STD_LOGIC; prbs_fseed_i : IN STD_LOGIC_VECTOR(31 DOWNTO 0); data_mode_i : IN STD_LOGIC_VECTOR(3 DOWNTO 0); data_rdy_i : IN STD_LOGIC; cmd_startA : IN STD_LOGIC; cmd_startB : IN STD_LOGIC; cmd_startC : IN STD_LOGIC; cmd_startD : IN STD_LOGIC; cmd_startE : IN STD_LOGIC; fixed_data_i : in std_logic_vector(DWIDTH - 1 downto 0); addr_i : IN STD_LOGIC_VECTOR(ADDR_WIDTH - 1 DOWNTO 0); user_burst_cnt : IN STD_LOGIC_VECTOR(BL_WIDTH DOWNTO 0); fifo_rdy_i : IN STD_LOGIC; data_o : OUT STD_LOGIC_VECTOR(DWIDTH - 1 DOWNTO 0) ); END COMPONENT; COMPONENT v6_data_gen IS GENERIC ( ADDR_WIDTH : INTEGER := 32; MEM_BURST_LEN : integer := 8; BL_WIDTH : INTEGER := 6; DWIDTH : INTEGER := 32; DATA_PATTERN : STRING := "DGEN_ALL"; NUM_DQ_PINS : INTEGER := 8; SEL_VICTIM_LINE : INTEGER := 3; COLUMN_WIDTH : INTEGER := 10 ); PORT ( clk_i : IN STD_LOGIC; rst_i : IN STD_LOGIC; prbs_fseed_i : IN STD_LOGIC_VECTOR(31 DOWNTO 0); data_mode_i : IN STD_LOGIC_VECTOR(3 DOWNTO 0); data_rdy_i : IN STD_LOGIC; cmd_startA : IN STD_LOGIC; cmd_startB : IN STD_LOGIC; cmd_startC : IN STD_LOGIC; cmd_startD : IN STD_LOGIC; cmd_startE : IN STD_LOGIC; fixed_data_i : in std_logic_vector(DWIDTH - 1 downto 0); m_addr_i : IN STD_LOGIC_VECTOR(ADDR_WIDTH - 1 DOWNTO 0); addr_i : IN STD_LOGIC_VECTOR(ADDR_WIDTH - 1 DOWNTO 0); user_burst_cnt : IN STD_LOGIC_VECTOR(BL_WIDTH DOWNTO 0); fifo_rdy_i : IN STD_LOGIC; data_o : OUT STD_LOGIC_VECTOR(NUM_DQ_PINS*4 - 1 DOWNTO 0) ); END COMPONENT; SIGNAL prbs_data : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL cmd_start : STD_LOGIC; SIGNAL adata : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL hdata : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL ndata : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL w1data : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL v6_w1data : STD_LOGIC_VECTOR(NUM_DQ_PINS * 4 - 1 DOWNTO 0); signal w0data : std_logic_vector(31 downto 0); signal data : std_logic_vector(DWIDTH - 1 downto 0); signal cmd_rdy : std_logic; signal data_valid : std_logic; signal user_burst_cnt : std_logic_vector(6 downto 0); signal data_rdy_r1 : std_logic; signal data_rdy_r2 : std_logic; signal next_count_is_one : std_logic; signal cmd_valid_r1 : std_logic; signal w3data : std_logic_vector(31 downto 0); signal data_port_fifo_rdy : std_logic; --assign cmd_start = cmd_valid_i & cmd_rdy ; signal user_bl_cnt_is_1 : std_logic; signal cmd_start_b : std_logic; -- need to wait for extra cycle for data coming out from rd_post_fifo in V6 interface -- need to wait for extra cycle for data coming out from rd_post_fifo in V6 interface -- counter to count user burst length signal u_bcount_2 : std_logic; -- Declare intermediate signals for referenced outputs signal last_word_o_xhdl1 : std_logic; signal data_o_xhdl0 : std_logic_vector(DWIDTH - 1 downto 0); begin -- Drive referenced outputs last_word_o <= last_word_o_xhdl1; data_port_fifo_rdy <= data_rdy_i; process (clk_i) begin if (clk_i'event and clk_i = '1') then data_rdy_r1 <= data_rdy_i; data_rdy_r2 <= data_rdy_r1; cmd_valid_r1 <= cmd_valid_i; end if; end process; process (clk_i) begin if (clk_i'event and clk_i = '1') then if (user_burst_cnt = "0000010" and data_rdy_i = '1') then next_count_is_one <= '1'; else next_count_is_one <= '0'; end if; end if; end process; user_bl_cnt_is_1_o <= user_bl_cnt_is_1; process (clk_i) begin if (clk_i'event and clk_i = '1') then if ((user_burst_cnt = "0000010" and data_port_fifo_rdy = '1' and FAMILY = "SPARTAN6") or (user_burst_cnt = "0000010" and data_port_fifo_rdy = '1' and FAMILY = "VIRTEX6") ) then user_bl_cnt_is_1 <= '1'; else user_bl_cnt_is_1 <= '0'; end if; end if; end process; process (cmd_valid_i, cmd_valid_r1, cmd_rdy, user_bl_cnt_is_1, rd_mdata_en) begin if (FAMILY = "SPARTAN6") then cmd_start <= cmd_valid_i and cmd_rdy; cmd_start_b <= cmd_valid_i and cmd_rdy; else if (MEM_BURST_LEN = 4) then cmd_start <= rd_mdata_en; else cmd_start <= (not(cmd_valid_r1) and cmd_valid_i) or user_bl_cnt_is_1; cmd_start_b <= (not(cmd_valid_r1) and cmd_valid_i) or user_bl_cnt_is_1; end if; end if; end process; process (clk_i) begin if (clk_i'event and clk_i = '1') then if ((rst_i(0)) = '1') then user_burst_cnt <= "0000000"; elsif (cmd_start = '1') then if (bl_i = "000000") then user_burst_cnt <= "1000000" ; else user_burst_cnt <= ('0' & bl_i) ; end if; elsif (data_port_fifo_rdy = '1') then if (user_burst_cnt /= "0000000") then user_burst_cnt <= user_burst_cnt - "0000001"; else user_burst_cnt <= "0000000"; end if; end if; end if; end process; process (clk_i) begin if (clk_i'event and clk_i = '1') then if ((user_burst_cnt = "0000010" and data_rdy_i = '1') or (cmd_start = '1' and bl_i = "000001")) then u_bcount_2 <= '1'; elsif (last_word_o_xhdl1 = '1') then u_bcount_2 <= '0'; end if; end if; end process; last_word_o_xhdl1 <= u_bcount_2 and data_rdy_i; -- cmd_rdy_o assert when the dat fifo is not full and deassert once cmd_valid_i -- is assert and reassert during the last data --data_valid_o logic cmd_rdy_o <= cmd_rdy; process (clk_i) begin if (clk_i'event and clk_i = '1') then if ((rst_i(0)) = '1') then cmd_rdy <= '1'; elsif (cmd_start = '1') then cmd_rdy <= '0'; elsif (data_port_fifo_rdy = '1' and user_burst_cnt = "0000001") then cmd_rdy <= '1'; end if; end if; end process; process (clk_i) begin if (clk_i'event and clk_i = '1') then if ((rst_i(0)) = '1') then data_valid <= '0'; elsif (user_burst_cnt = "0000001" and data_port_fifo_rdy = '1') then data_valid <= '0'; elsif ((user_burst_cnt >= "0000001") or cmd_start = '1') then data_valid <= '1'; end if; end if; end process; process (data_valid, data_port_fifo_rdy) begin if (FAMILY = "SPARTAN6") then data_valid_o <= data_valid; else data_valid_o <= data_port_fifo_rdy; end if; end process; xhdl2 : if (FAMILY = "SPARTAN6") generate sp6_data_gen_inst : sp6_data_gen generic map ( ADDR_WIDTH => 32, BL_WIDTH => BL_WIDTH, DWIDTH => DWIDTH, DATA_PATTERN => DATA_PATTERN, NUM_DQ_PINS => NUM_DQ_PINS, COLUMN_WIDTH => COLUMN_WIDTH ) port map ( clk_i => clk_i, rst_i => rst_i(1), data_rdy_i => data_rdy_i, prbs_fseed_i => prbs_fseed_i, data_mode_i => data_mode_i, cmd_startA => cmd_start, cmd_startB => cmd_start, cmd_startC => cmd_start, cmd_startD => cmd_start, cmd_startE => cmd_start, fixed_data_i => fixed_data_i, addr_i => addr_i, user_burst_cnt => user_burst_cnt, fifo_rdy_i => data_port_fifo_rdy, data_o => data_o ); end generate; xhdl3 : if (FAMILY = "VIRTEX6") generate v6_data_gen_inst : v6_data_gen generic map ( ADDR_WIDTH => 32, BL_WIDTH => BL_WIDTH, MEM_BURST_LEN => MEM_BURST_LEN, DWIDTH => DWIDTH, DATA_PATTERN => DATA_PATTERN, NUM_DQ_PINS => NUM_DQ_PINS, SEL_VICTIM_LINE => SEL_VICTIM_LINE, COLUMN_WIDTH => COLUMN_WIDTH ) port map ( clk_i => clk_i, rst_i => rst_i(1), data_rdy_i => data_rdy_i, prbs_fseed_i => prbs_fseed_i, data_mode_i => data_mode_i, cmd_startA => cmd_start, cmd_startB => cmd_start, cmd_startC => cmd_start, cmd_startD => cmd_start, cmd_startE => cmd_start, fixed_data_i => fixed_data_i, m_addr_i => addr_i, --(m_addr_i ), addr_i => addr_i, user_burst_cnt => user_burst_cnt, fifo_rdy_i => data_port_fifo_rdy, data_o => data_o ); end generate; end architecture trans;
gpl-3.0
23407cbf24985e93135067a043c43484
0.511817
3.784548
false
false
false
false
NicoLedwith/Dr.AluOpysel
RAT_MCU/VGAdrive.vhd
3
3,620
-- -- Sends the given RGB data to a VGA interface. -- -- Original author: unknown -- -- Peter Heatwole, Aaron Barton -- CPE233, Winter 2012, CalPoly -- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity VGAdrive is port( clock : in std_logic; -- 25.175 Mhz clock red, green : in std_logic_vector(2 downto 0); blue : in std_logic_vector(1 downto 0); row, column : out std_logic_vector(9 downto 0); -- for current pixel Rout, Gout : out std_logic_vector(2 downto 0); Bout : out std_logic_vector(1 downto 0); H, V : out std_logic); -- VGA drive signals -- The signals Rout, Gout, Bout, H and V are output to the monitor. -- The row and column outputs are used to know when to assert red, -- green and blue to color the current pixel. For VGA, the column -- values that are valid are from 0 to 639, all other values should -- be ignored. The row values that are valid are from 0 to 479 and -- again, all other values are ignored. To turn on a pixel on the -- VGA monitor, some combination of red, green and blue should be -- asserted before the rising edge of the clock. Objects which are -- displayed on the monitor, assert their combination of red, green and -- blue when they detect the row and column values are within their -- range. For multiple objects sharing a screen, they must be combined -- using logic to create single red, green, and blue signals. end VGAdrive; architecture Behavioral of VGAdrive is subtype counter is std_logic_vector(9 downto 0); constant B : natural := 93; -- horizontal blank: 3.77 us constant C : natural := 45; -- front guard: 1.89 us constant D : natural := 640; -- horizontal columns: 25.17 us constant E : natural := 22; -- rear guard: 0.94 us constant A : natural := B + C + D + E; -- one horizontal sync cycle: 31.77 us constant P : natural := 2; -- vertical blank: 64 us constant Q : natural := 32; -- front guard: 1.02 ms constant R : natural := 480; -- vertical rows: 15.25 ms constant S : natural := 11; -- rear guard: 0.35 ms constant O : natural := P + Q + R + S; -- one vertical sync cycle: 16.6 ms begin -- Rout <= red; -- Gout <= green; -- Bout <= blue; process variable vertical, horizontal : counter; -- define counters begin wait until clock = '1'; -- increment counters if horizontal < A - 1 then horizontal := horizontal + 1; else horizontal := (others => '0'); if vertical < O - 1 then -- less than oh vertical := vertical + 1; else vertical := (others => '0'); -- is set to zero end if; end if; -- define H pulse if horizontal >= (D + E) and horizontal < (D + E + B) then H <= '0'; else H <= '1'; end if; -- define V pulse if vertical >= (R + S) and vertical < (R + S + P) then V <= '0'; else V <= '1'; end if; -- mapping of the variable to the signals -- negative signs are because the conversion bits are reversed if vertical <= 479 and horizontal <= 639 then Rout <= red; Gout <= green; Bout <= blue; else Rout <= "000"; Gout <= "000"; Bout <= "00"; end if; row <= vertical; column <= horizontal; end process; end Behavioral;
mit
0bec8fec3ad8889769c4e11a996a4fb6
0.577901
3.879957
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/instantiation/rule_034_test_input.vhd
1
699
architecture ARCH of ENTITY1 is begin U_INST1 : INST1 generic map ( G_GEN_1 => 3, G_GEN_2 => 4, G_GEN_3 => 5 ) port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); U_INST2 : component INST2 generic map ( G_GEN_1 => 3, G_GEN_2 => 4, G_GEN_3 => 5 ) port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); U_INST3 : entity fifo_dsn.INST3(rtl) generic map ( G_GEN_1 => 3, G_GEN_2 => 4, G_GEN_3 => 5 ) port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); end architecture ARCH;
gpl-3.0
ff3d477ce0b287fa8306b9d7e29ff93b
0.447783
2.688462
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/concurrent/rule_400_test_input.vhd
1
395
architecture rtl of fifo is begin s_foo <= ( item => 12, another_item => 34 ); s_foo <= ( item => 12, another_item => 34 ); s_foo <= ( item1 => 12, item2=> f(a, b ,c), item3 => 36 ); s_foo <= (a and b and c); end architecture rtl;
gpl-3.0
e3bd3fdaad9c553a387c01bb72c866a2
0.334177
3.95
false
false
false
false
Nibble-Knowledge/peripheral-ethernet
vhdl-serial/ram.vhd
1
1,920
------------------------------------------------------- -- Design Name : ram_sp_ar_aw -- File Name : ram_sp_ar_aw.vhd -- Function : Asynchronous read write RAM -- Coder : Deepak Kumar Tala (Verilog) -- Translator : Alexander H Pham (VHDL) ------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity ram_sp_ar_aw is generic ( DATA_WIDTH :integer := 8; ADDR_WIDTH :integer := 15 ); port ( address :in std_logic_vector (ADDR_WIDTH-1 downto 0); -- address Input data :inout std_logic_vector (DATA_WIDTH-1 downto 0); -- data bi-directional cs :in std_logic; -- Chip Select we :in std_logic; -- Write Enable/Read Enable oe :in std_logic -- Output Enable ); end entity; architecture rtl of ram_sp_ar_aw is ----------------Internal variables---------------- constant RAM_DEPTH :integer := 2**ADDR_WIDTH; signal data_out :std_logic_vector (DATA_WIDTH-1 downto 0); type RAM is array (integer range <>)of std_logic_vector (DATA_WIDTH-1 downto 0); signal mem : RAM (0 to RAM_DEPTH-1); begin ----------------Code Starts Here------------------ -- Tri-State Buffer control data <= data_out when (cs = '1' and oe = '1' and we = '0') else (others=>'Z'); -- Memory Write Block MEM_WRITE: process (address, data, cs, we) begin if (cs = '1' and we = '1') then mem(conv_integer(address)) <= data; end if; end process; -- Memory Read Block MEM_READ: process (address, cs, we, oe, mem) begin if (cs = '1' and we = '0' and oe = '1') then data_out <= mem(conv_integer(address)); end if; end process; end architecture;
unlicense
acd71b7455d496fde5361f11f610a82e
0.503125
3.894523
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/styles/jcl/graphicsaccelerator/Bresenhamer.fixed.vhd
1
9,594
library IEEE; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_unsigned.all; entity BRESENHAMER is port ( WRITEENABLE : out std_logic; X : out std_logic_vector(9 downto 0); Y : out std_logic_vector(8 downto 0); X1 : in std_logic_vector(9 downto 0); Y1 : in std_logic_vector(8 downto 0); X2 : in std_logic_vector(9 downto 0); Y2 : in std_logic_vector(8 downto 0); SS : out std_logic_vector(3 downto 0); CLK : in std_logic; STARTDRAW : in std_logic; DBG : out std_logic_vector(11 downto 0); RESET : in std_logic ); end entity BRESENHAMER; architecture BEHAVIORAL of BRESENHAMER is signal myx1, myx2 : std_logic_vector(11 downto 0); signal myy1, myy2 : std_logic_vector(11 downto 0); signal p : std_logic_vector(11 downto 0); signal p0_1 : std_logic_vector(11 downto 0); signal p0_2 : std_logic_vector(11 downto 0); signal p0_3 : std_logic_vector(11 downto 0); signal p0_4 : std_logic_vector(11 downto 0); signal p0_5 : std_logic_vector(11 downto 0); signal p0_6 : std_logic_vector(11 downto 0); signal p0_7 : std_logic_vector(11 downto 0); signal p0_8 : std_logic_vector(11 downto 0); signal p_1 : std_logic_vector(11 downto 0); signal p_2 : std_logic_vector(11 downto 0); signal p_3 : std_logic_vector(11 downto 0); signal p_4 : std_logic_vector(11 downto 0); signal p_5 : std_logic_vector(11 downto 0); signal p_6 : std_logic_vector(11 downto 0); signal p_7 : std_logic_vector(11 downto 0); signal p_8 : std_logic_vector(11 downto 0); signal ndx, ndy : std_logic_vector(11 downto 0); signal dx : std_logic_vector(11 downto 0); signal dy : std_logic_vector(11 downto 0); signal t_2dx : std_logic_vector(11 downto 0); signal t_2dy : std_logic_vector(11 downto 0); signal neg_dx : std_logic_vector(11 downto 0); signal neg_dy : std_logic_vector(11 downto 0); signal t_2neg_dx : std_logic_vector(11 downto 0); signal t_2neg_dy : std_logic_vector(11 downto 0); signal dx_minus_dy : std_logic_vector(11 downto 0); signal minus_dx_minus_dy : std_logic_vector(11 downto 0); signal minus_dx_plus_dy : std_logic_vector(11 downto 0); signal dx_plus_dy : std_logic_vector(11 downto 0); signal state : std_logic_vector(3 downto 0) := "0000"; signal condx1x2, condy1y2 : std_logic; signal ccounter : std_logic_vector(18 downto 0) := "0000000000000000000"; constant idle : std_logic_vector(3 downto 0) := "0000"; constant init : std_logic_vector(3 downto 0) := "0001"; constant case1 : std_logic_vector(3 downto 0) := "0010"; constant case2 : std_logic_vector(3 downto 0) := "0011"; constant case3 : std_logic_vector(3 downto 0) := "0100"; constant case4 : std_logic_vector(3 downto 0) := "0101"; constant case5 : std_logic_vector(3 downto 0) := "0110"; constant case6 : std_logic_vector(3 downto 0) := "0111"; constant case7 : std_logic_vector(3 downto 0) := "1000"; constant case8 : std_logic_vector(3 downto 0) := "1001"; constant clear : std_logic_vector(3 downto 0) := "1010"; begin ndx <= ("00" & X2) - ("00" & X1); ndy <= ("000" & Y2) - ("000" & Y1); neg_dx <= 0 - dx; neg_dy <= 0 - dy; DBG <= p; dx_minus_dy <= dx + neg_dy; minus_dx_minus_dy <= neg_dx + neg_dy; minus_dx_plus_dy <= neg_dx + dy; dx_plus_dy <= dx + dy; t_2dy <= dy(10 downto 0) & '0'; t_2dx <= dx(10 downto 0) & '0'; t_2neg_dy <= neg_dy(10 downto 0) & '0'; t_2neg_dx <= neg_dx(10 downto 0) & '0'; p0_1 <= t_2dy + neg_dx; p0_2 <= t_2dx + neg_dy; p0_3 <= t_2neg_dx + dy; p0_4 <= t_2dy + neg_dx; p0_5 <= t_2neg_dy + dx; p0_6 <= t_2neg_dx + dy; p0_7 <= t_2dx + neg_dy; p0_8 <= t_2neg_dy + dx; p_1 <= p + t_2dy when p(11)='1' else p + t_2dy + t_2neg_dx; p_2 <= p + t_2dx when p(11)='1' else p + t_2dx + t_2neg_dy; p_3 <= p + t_2neg_dx when p(11)='1' else p + t_2neg_dx + t_2neg_dy; p_4 <= p + t_2dy when p(11)='1' else p + t_2dy + t_2dx; p_5 <= p + t_2neg_dy when p(11)='1' else p + t_2neg_dy + t_2dx; p_6 <= p + t_2neg_dx when p(11)='1' else p + t_2neg_dx + t_2dy; p_7 <= p + t_2dx when p(11)='1' else p + t_2dx + t_2dy; p_8 <= p + t_2neg_dy when p(11)='1' else p + t_2neg_dy + t_2neg_dx; X <= ccounter(9 downto 0) when state = clear else myx1(9 downto 0); Y <= ccounter(18 downto 10) when state = clear else myy1(8 downto 0); SS <= state; WRITEENABLE <= '0' when state = idle or state = init else '1'; process (CLK) is begin if (rising_edge(CLK)) then if (state = idle) then if (RESET = '1') then state <= clear; ccounter <= (others => '0'); elsif (STARTDRAW = '1') then myx1(9 downto 0) <= X1; myx1(11 downto 10) <= "00"; myy1(8 downto 0) <= Y1; myy1(11 downto 9) <= "000"; myx2(9 downto 0) <= X2; myx2(11 downto 10) <= "00"; myy2(8 downto 0) <= Y2; myy2(11 downto 9) <= "000"; dx <= ndx; dy <= ndy; state <= init; end if; elsif (state = init) then if (dx(11) = '0' and dy(11) = '0' and dx_minus_dy(11) = '0') then state <= case1; p <= p0_1; elsif (dx(11) = '0' and dy(11) = '0' and dx_minus_dy(11) = '1') then state <= case2; p <= p0_2; elsif (dx(11) = '1' and dy(11) = '0' and minus_dx_minus_dy(11) = '1') then state <= case3; p <= p0_3; elsif (dx(11) = '1' and dy(11) = '0' and minus_dx_minus_dy(11) = '0') then state <= case4; p <= p0_4; elsif (dx(11) = '1' and dy(11) = '1' and minus_dx_plus_dy(11) = '0') then state <= case5; p <= p0_5; elsif (dx(11) = '1' and dy(11) = '1' and minus_dx_plus_dy(11) = '1') then state <= case6; p <= p0_6; elsif (dx(11) = '0' and dy(11) = '1' and dx_plus_dy(11) = '1') then state <= case7; p <= p0_7; else state <= case8; p <= p0_8; end if; elsif (state = case1) then if (myx1 = myx2) then state <= idle; else myx1 <= myx1 + 1; p <= p_1; if (p(11) = '0') then myy1 <= myy1 + 1; end if; end if; elsif (state = case2) then if (myy1 = myy2) then state <= idle; else myy1 <= myy1 + 1; p <= p_2; if (p(11) = '0') then myx1 <= myx1 + 1; end if; end if; elsif (state = case3) then if (myy1 = myy2) then state <= idle; else myy1 <= myy1 + 1; p <= p_3; if (p(11) = '0') then myx1 <= myx1 - 1; end if; end if; elsif (state = case4) then if (myx1 = myx2) then state <= idle; else myx1 <= myx1 - 1; p <= p_4; if (p(11) = '0') then myy1 <= myy1 + 1; end if; end if; elsif (state = case5) then if (myx1 = myx2) then state <= idle; else myx1 <= myx1 - 1; p <= p_5; if (p(11) = '0') then myy1 <= myy1 - 1; end if; end if; elsif (state = case6) then if (myy1 = myy2) then state <= idle; else myy1 <= myy1 - 1; p <= p_6; if (p(11) = '0') then myx1 <= myx1 - 1; end if; end if; elsif (state = case7) then if (myy1 = myy2) then state <= idle; else myy1 <= myy1 - 1; p <= p_7; if (p(11) = '0') then myx1 <= myx1 + 1; end if; end if; elsif (state = case8) then if (myx1 = myx2) then state <= idle; else myx1 <= myx1 + 1; p <= p_8; if (p(11) = '0') then myy1 <= myy1 - 1; end if; end if; elsif (state = clear) then ccounter <= ccounter + 1; if (ccounter = "1111111111111111111") then state <= idle; end if; end if; end if; end process; end architecture BEHAVIORAL;
gpl-3.0
4a30630071478c77fb38a99c051b30eb
0.442464
3.15696
false
false
false
false
Yarr/Yarr-fw
rtl/spartan6/rx-core/data_alignment.vhd
3
4,097
-- word alignment library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity data_alignment is port ( clk : in std_logic := '0'; reset : in std_logic := '0'; din : in std_logic_vector(1 downto 0) := "00"; din_valid : in std_logic_vector(1 downto 0) := "00"; dout : out std_logic_vector(9 downto 0) := (others => '0'); dout_valid : out std_logic := '0'; dout_sync : out std_logic := '0' ); end data_alignment; architecture Behavioral of data_alignment is -- FE-I4 Encoded Komma Words used for Alignment of the Decoder constant idle_word : std_logic_vector(9 downto 0) := "0011111001"; constant sof_word : std_logic_vector(9 downto 0) := "0011111000"; constant eof_word : std_logic_vector(9 downto 0) := "0011111010"; -- Count of Bits before a new sync word is necessary (currently arbitrary) constant fei4syncPeriod: integer := 160000000; signal data_sr : std_logic_vector(10 downto 0) := (others => '0'); signal dcnt : integer range 0 to 11 := 0; signal scnt : integer range 0 to fei4syncPeriod := 0; signal sync : std_logic := '0'; signal sync_word : std_logic_vector(1 downto 0) := (others => '0'); signal sync_holdoff : std_logic := '0'; begin -- search for sync words process (reset, data_sr) begin if reset = '1' then sync_word <= "00"; else if sync_holdoff = '0' then if (data_sr(9 downto 0) = idle_word) or (data_sr(9 downto 0) = not idle_word) or (data_sr(9 downto 0) = sof_word) or (data_sr(9 downto 0) = not sof_word) or (data_sr(9 downto 0) = eof_word) or (data_sr(9 downto 0) = not eof_word) then sync_word <= "01"; elsif (data_sr(10 downto 1) = idle_word) or (data_sr(10 downto 1) = not idle_word) or (data_sr(10 downto 1) = sof_word) or (data_sr(10 downto 1) = not sof_word) or (data_sr(10 downto 1) = eof_word) or (data_sr(10 downto 1) = not eof_word) then sync_word <= "10"; else sync_word <= "00"; end if; else sync_word <= "00"; end if; end if; end process; -- data alignment process begin wait until rising_edge(clk); if reset = '1' then dout <= (others => '0'); dout_valid <= '0'; sync <= '0'; data_sr <= (others => '0'); dcnt <= 0; scnt <= 0; else -- clear sync flag if scnt = fei4syncPeriod then sync <= '0'; scnt <= 0; else scnt <= scnt + 1; end if; -- shift in new data if din_valid = "01" then data_sr <= data_sr(9 downto 0) & din(0); if sync_word = "01" then sync <= '1'; scnt <= 1; dcnt <= 1; sync_holdoff <= '1'; elsif sync_word = "10" then sync <= '1'; scnt <= 2; dcnt <= 2; sync_holdoff <= '1'; else dcnt <= dcnt + 1; end if; if dcnt = 10 then dout <= data_sr(9 downto 0); dout_valid <= '1'; sync_holdoff <= '0'; dcnt <= 1; elsif dcnt = 11 then dout <= data_sr(10 downto 1); dout_valid <= '1'; sync_holdoff <= '0'; dcnt <= 2; else dout_valid <= '0'; end if; elsif din_valid = "11" then data_sr <= data_sr(8 downto 0) & din(0) & din(1); if sync_word = "01" then sync <= '1'; scnt <= 2; dcnt <= 2; elsif sync_word = "10" then sync <= '1'; scnt <= 3; dcnt <= 3; else dcnt <= dcnt + 2; end if; if dcnt = 10 then dout <= data_sr(9 downto 0); dout_valid <= '1' and sync; sync_holdoff <= '0'; dcnt <= 2; elsif dcnt = 11 then dout <= data_sr(10 downto 1); dout_valid <= '1' and sync; sync_holdoff <= '0'; dcnt <= 3; else dout_valid <= '0'; end if; else if sync_word = "01" then sync <= '1'; scnt <= 0; dcnt <= 0; elsif sync_word = "10" then sync <= '1'; scnt <= 1; dcnt <= 1; end if; if dcnt = 10 then dout <= data_sr(9 downto 0); dout_valid <= '1' and sync; sync_holdoff <= '0'; dcnt <= 0; elsif dcnt = 11 then dout <= data_sr(10 downto 1); dout_valid <= '1' and sync; sync_holdoff <= '0'; dcnt <= 1; else dout_valid <= '0'; end if; end if; end if; end process; dout_sync <= sync; end Behavioral;
gpl-3.0
50d5fbb63b8ec9403616c70b7f4fe91c
0.562607
2.755212
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/constant/rule_016_test_input_positional.fixed.vhd
1
842
architecture rtl of fifo is constant GBE_RX_AVST_NULL : t_avst_packet(data(7 downto 0), empty(0 downto 0), error(5 downto 0)) := ( x"00", '0', '0', '0', "-", "000000" ); constant GBE_RX_AVST_NULL : t_avst_packet(data(7 downto 0), empty(0 downto 0), error(5 downto 0)) := ( x"00", '0', '0', '0', "-", "000000" ); -- Verify others are still handled constant cons2 : t_type := ( (others => (valid => '0', data => (others => '0'))), (others => (1 => '0', (others => '0'))) ); -- Verify assignments are still handled constant cons1 : t_type := ( 1 => func1(std_logic_vector(G_GEN), G_GEN2), 2 => func1(std_logic_vector(G_GEN3), G_GEN4) ); constant AVMM_MASTER_NULL : t_avmm_master := ( (others => '0'), (others => '0'), '0', '0' ); begin end architecture rtl;
gpl-3.0
c9d828ca1d11bb2598be46375e4fad29
0.535629
2.806667
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/conditional_expressions/rule_500_test_input.fixed_upper.vhd
1
400
architecture rtl of fifo is begin process begin var1 := '0' WHEN rd_en = '1' else '1'; var2 := '0' WHEN rd_en = '1' else '1'; wr_en_a <= force '0' WHEN rd_en = '1' else '1'; wr_en_b <= force '0' WHEN rd_en = '1' else '1'; end process; concurrent_wr_en_a <= '0' WHEN rd_en = '1' else '1'; concurrent_wr_en_b <= '0' when rd_en = '1' else '1'; end architecture rtl;
gpl-3.0
d478e8fe056ee067dc25e5314c4c3bc6
0.54
2.564103
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/variable_assignment/rule_401_test_input.vhd
1
384
architecture rtl of fifo is begin process begin wr_data := ( (name => "Hold in reset", clk_in => "01", rst_in => "11", cnt_en_in => "00", cnt_out => "00"), (name => "Not enabled", clk_in => "01", rst_in => "00", cnt_en_in => "00", cnt_out => "00") ); end process; end architecture rtl;
gpl-3.0
e02ee137c1d491f03d5317777b9381eb
0.429688
3.282051
false
false
false
false
Nibble-Knowledge/peripheral-ethernet
vhdl-serial/pc2periph.vhd
1
4,458
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 20:14:34 02/22/2016 -- Design Name: -- Module Name: pc2periph - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity pc2periph is Port ( clk_uart : in STD_LOGIC; --Clock set to the baud rate reset : in STD_LOGIC; rs232_td : in STD_LOGIC; --Data transmitted from PC --rs232_rts : in STD_LOGIC; --Request from PC to transmit data mem_inuse : in STD_LOGIC; rs232_cts : out STD_LOGIC; --Response to PC that the peripheral is ready to accept data ram_addr : out STD_LOGIC_VECTOR (14 downto 0); ram_data : out STD_LOGIC_VECTOR (7 downto 0)); end pc2periph; architecture Behavioral of pc2periph is --signal mem_captured : std_logic; --Memory is exclusively used by this component and no other signal mem_addr : integer; signal mem_increment : std_logic; --We'd like to increment the address in START only when we've read a byte signal data_buf : std_logic_vector(ram_data'range); signal data_buf_pos : integer; --FSM type PERIPHSTATE is (START, LISTEN, STOPANDWRITE); signal CurrState : PERIPHSTATE; begin process(clk_uart, reset) begin if reset = '1' then CurrState <= START;--WAIT4RTS; ram_data <= (others => '0'); --rs232_cts <= '0'; --mem_captured <= '0'; mem_addr <= 0; mem_increment <= '0'; data_buf <= (others => '0'); elsif rising_edge(clk_uart) then --if rs232_rts = '1' then case CurrState is --begin FSM --when WAIT4RTS => --Waiting for PC to send an RTS --When it does, ensure that memory is not currently in use --If that's the case, await the start signal -- if mem_inuse = '0' then --and mem_inuse = '0' then -- CurrState <= START; -- end if; when START => --await the start signal, i.e. transition from high to low --YES, YOU HEARD THAT RIGHT! HIGH TO LOW! Why? Everything is going through a CMOS circuit, so signals get inverted --BUT! Since the bits themselves are inverted, the CMOS circuit puts them correctly! Strange, innit? --So when transition is detected, go to the listening state and buffer the signals if rs232_td = '0' then CurrState <= LISTEN; data_buf_pos <= ram_data'length - 1; rs232_cts <= '1'; else rs232_cts <= '0'; --If RTS is lost, wait for it to come back --elsif rs232_rts = '0' then -- CurrState <= WAIT4RTS; end if; if mem_increment = '1' then mem_addr <= mem_addr + 1; mem_increment <= '0'; end if; when LISTEN => --Receive bits --In the event that RTS is lost, decrement the address and wait for RTS to come back --if rs232_rts = '0' then -- CurrState <= WAIT4RTS; -- mem_addr <= mem_addr - 1; --Otherwise, buffer the incoming data --else data_buf <= rs232_td & data_buf(data_buf'length-1 downto 1); --If this is the last bit received, go to the next state --Otherwise, decrement the bit counter if data_buf_pos = 0 then CurrState <= STOPANDWRITE; else data_buf_pos <= data_buf_pos - 1; end if; --end if; when STOPANDWRITE => --hope that the signals were not screwed up in any way and write it to RAM ram_data <= data_buf; CurrState <= START; mem_increment <= '1'; end case; --else --Release control of the memory (and turn off CTS) -- mem_captured <= '0'; --end if; end if; end process; --If memory is captured, that means we can signal the PC that we're ready to accept data --rs232_cts <= mem_captured; --Do not write directly to these outputs ram_addr <= std_logic_vector(to_unsigned(mem_addr, ram_addr'length)); end Behavioral;
unlicense
1031ee22aace97c763eda4e25936ac20
0.602064
3.490995
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_scc.vhd
1
48,409
------------------------------------------------------------------------------- -- axi_datamover_scc.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_scc.vhd -- -- Description: -- This file implements the DataMover Lite Master Simple Command Calculator (SCC). -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_datamover_scc.vhd -- ------------------------------------------------------------------------------- -- Revision History: -- -- -- Author: DET -- -- History: -- DET 04/19/2011 Initial Version for EDK 13.3 -- -- -- PVK 9/16/2011 -- ~~~~~ -- -- Removed unused signals from the sensitivity list and added missing -- signals to sensitivity list in SCC_SM_COMB process. -- ^^^^^^^ -- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; ------------------------------------------------------------------------------- entity axi_datamover_scc is generic ( C_SEL_ADDR_WIDTH : Integer range 1 to 8 := 5; -- Sets the width of the LS address bus used for -- Muxing/Demuxing data to/from a wider AXI4 data bus C_ADDR_WIDTH : Integer range 32 to 64 := 32; -- Sets the width of the AXi Address Channel C_STREAM_DWIDTH : Integer range 8 to 64 := 32; -- Sets the width of the Native Data width that -- is being supported by the PCC C_MAX_BURST_LEN : Integer range 2 to 64 := 16; -- Indicates the max allowed burst length to use for -- AXI4 transfer calculations C_CMD_WIDTH : Integer := 68; -- Sets the width of the input command port C_MICRO_DMA : integer range 0 to 1 := 0; C_TAG_WIDTH : Integer range 1 to 8 := 4 -- Sets the width of the Tag field in the input command ); port ( -- Clock and Reset inputs ------------------------------------- primary_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- Reset input -- mmap_reset : in std_logic; -- -- Reset used for the internal master logic -- --------------------------------------------------------------- -- Command Input Interface --------------------------------------------------------- -- cmd2mstr_command : in std_logic_vector(C_CMD_WIDTH-1 downto 0); -- -- The next command value available from the Command FIFO/Register -- -- cache2mstr_command : in std_logic_vector(7 downto 0); -- -- The next command value available from the Command FIFO/Register -- -- cmd2mstr_cmd_valid : in std_logic; -- -- Handshake bit indicating if the Command FIFO/Register has at leasdt 1 entry -- -- mst2cmd_cmd_ready : out std_logic; -- -- Handshake bit indicating the Command Calculator is ready to accept -- -- another command -- ------------------------------------------------------------------------------------ -- Address Channel Controller Interface -------------------------------------------- -- mstr2addr_tag : out std_logic_vector(C_TAG_WIDTH-1 downto 0); -- -- The next command tag -- -- mstr2addr_addr : out std_logic_vector(C_ADDR_WIDTH-1 downto 0); -- -- The next command address to put on the AXI MMap ADDR -- -- mstr2addr_len : out std_logic_vector(7 downto 0); -- -- The next command length to put on the AXI MMap LEN -- -- mstr2addr_size : out std_logic_vector(2 downto 0); -- -- The next command size to put on the AXI MMap SIZE -- -- mstr2addr_burst : out std_logic_vector(1 downto 0); -- -- The next command burst type to put on the AXI MMap BURST -- -- mstr2addr_cache : out std_logic_vector(3 downto 0); -- -- The next command burst type to put on the AXI MMap BURST -- -- mstr2addr_user : out std_logic_vector(3 downto 0); -- -- The next command burst type to put on the AXI MMap BURST -- -- mstr2addr_cmd_cmplt : out std_logic; -- -- The indication to the Address Channel that the current -- -- sub-command output is the last one compiled from the -- -- parent command pulled from the Command FIFO -- -- mstr2addr_calc_error : out std_logic; -- -- Indication if the next command in the calculation pipe -- -- has a calcualtion error -- -- mstr2addr_cmd_valid : out std_logic; -- -- The next command valid indication to the Address Channel -- -- Controller for the AXI MMap -- -- addr2mstr_cmd_ready : In std_logic; -- -- Indication from the Address Channel Controller that the -- -- command is being accepted -- ------------------------------------------------------------------------------------ -- Data Channel Controller Interface ---------------------------------------------- -- mstr2data_tag : out std_logic_vector(C_TAG_WIDTH-1 downto 0); -- -- The next command tag -- -- mstr2data_saddr_lsb : out std_logic_vector(C_SEL_ADDR_WIDTH-1 downto 0); -- -- The next command start address LSbs to use for the read data -- -- mux (only used if Stream data width is 8 or 16 bits). -- -- mstr2data_len : out std_logic_vector(7 downto 0); -- -- The LEN value output to the Address Channel -- -- mstr2data_strt_strb : out std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); -- -- The starting strobe value to use for the data transfer -- -- mstr2data_last_strb : out std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); -- -- The endiing (LAST) strobe value to use for the data transfer -- -- mstr2data_sof : out std_logic; -- -- The starting tranfer of a sequence of transfers -- -- mstr2data_eof : out std_logic; -- -- The endiing tranfer of a sequence of parent transfer commands -- -- mstr2data_calc_error : out std_logic; -- -- Indication if the next command in the calculation pipe -- -- has a calculation error -- -- mstr2data_cmd_cmplt : out std_logic; -- -- The indication to the Data Channel that the current -- -- sub-command output is the last one compiled from the -- -- parent command pulled from the Command FIFO -- -- mstr2data_cmd_valid : out std_logic; -- -- The next command valid indication to the Data Channel -- -- Controller for the AXI MMap -- -- data2mstr_cmd_ready : In std_logic ; -- -- Indication from the Data Channel Controller that the -- -- command is being accepted on the AXI Address -- -- Channel -- -- calc_error : Out std_logic -- -- Indication from the Command Calculator that a calculation -- -- error has occured. -- ------------------------------------------------------------------------------------ ); end entity axi_datamover_scc; architecture implementation of axi_datamover_scc is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_slice_width -- -- Function Description: -- Calculates the bits to rip from the Command BTT field to calculate -- the LEN value output to the AXI Address Channel. -- ------------------------------------------------------------------- function funct_get_slice_width (max_burst_len : integer) return integer is Variable temp_slice_width : Integer := 0; begin case max_burst_len is when 64 => temp_slice_width := 7; when 32 => temp_slice_width := 6; when 16 => temp_slice_width := 5; when 8 => temp_slice_width := 4; when 4 => temp_slice_width := 3; when others => -- assume 16 dbeats is max LEN temp_slice_width := 2; end case; Return (temp_slice_width); end function funct_get_slice_width; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_residue_width -- -- Function Description: -- Calculates the number of Least significant bits of the BTT field -- that are unused for the LEN calculation -- ------------------------------------------------------------------- function funct_get_btt_ls_unused (transfer_width : integer) return integer is Variable temp_btt_ls_unused : Integer := 0; -- 8-bit stream begin case transfer_width is when 64 => temp_btt_ls_unused := 3; when 32 => temp_btt_ls_unused := 2; when 16 => temp_btt_ls_unused := 1; when others => -- assume 8-bit transfers temp_btt_ls_unused := 0; end case; Return (temp_btt_ls_unused); end function funct_get_btt_ls_unused; -- Constant Declarations ---------------------------------------- Constant BASE_CMD_WIDTH : integer := 32; -- Bit Width of Command LS (no address) Constant CMD_TYPE_INDEX : integer := 23; Constant CMD_ADDR_LS_INDEX : integer := BASE_CMD_WIDTH; Constant CMD_EOF_INDEX : integer := BASE_CMD_WIDTH-2; Constant CMD_ADDR_MS_INDEX : integer := (C_ADDR_WIDTH+BASE_CMD_WIDTH)-1; Constant CMD_TAG_WIDTH : integer := C_TAG_WIDTH; Constant CMD_TAG_LS_INDEX : integer := C_ADDR_WIDTH+BASE_CMD_WIDTH; Constant CMD_TAG_MS_INDEX : integer := (CMD_TAG_LS_INDEX+CMD_TAG_WIDTH)-1; Constant AXI_BURST_FIXED : std_logic_vector(1 downto 0) := "00"; Constant AXI_BURST_INCR : std_logic_vector(1 downto 0) := "01"; Constant AXI_BURST_WRAP : std_logic_vector(1 downto 0) := "10"; Constant AXI_BURST_RESVD : std_logic_vector(1 downto 0) := "11"; Constant AXI_SIZE_1BYTE : std_logic_vector(2 downto 0) := "000"; Constant AXI_SIZE_2BYTE : std_logic_vector(2 downto 0) := "001"; Constant AXI_SIZE_4BYTE : std_logic_vector(2 downto 0) := "010"; Constant AXI_SIZE_8BYTE : std_logic_vector(2 downto 0) := "011"; Constant AXI_SIZE_16BYTE : std_logic_vector(2 downto 0) := "100"; Constant AXI_SIZE_32BYTE : std_logic_vector(2 downto 0) := "101"; Constant AXI_SIZE_64BYTE : std_logic_vector(2 downto 0) := "110"; Constant AXI_SIZE_128BYTE : std_logic_vector(2 downto 0) := "111"; Constant BTT_SLICE_SIZE : integer := funct_get_slice_width(C_MAX_BURST_LEN); Constant MAX_BURST_LEN_US : unsigned(BTT_SLICE_SIZE-1 downto 0) := TO_UNSIGNED(C_MAX_BURST_LEN-1, BTT_SLICE_SIZE); Constant BTT_LS_UNUSED_WIDTH : integer := funct_get_btt_ls_unused(C_STREAM_DWIDTH); Constant CMD_BTT_WIDTH : integer := BTT_SLICE_SIZE+BTT_LS_UNUSED_WIDTH; Constant CMD_BTT_LS_INDEX : integer := 0; Constant CMD_BTT_MS_INDEX : integer := CMD_BTT_WIDTH-1; Constant BTT_ZEROS : std_logic_vector(CMD_BTT_WIDTH-1 downto 0) := (others => '0'); Constant BTT_RESIDUE_ZEROS : unsigned(BTT_LS_UNUSED_WIDTH-1 downto 0) := (others => '0'); Constant BTT_SLICE_ONE : unsigned(BTT_SLICE_SIZE-1 downto 0) := TO_UNSIGNED(1, BTT_SLICE_SIZE); Constant STRB_WIDTH : integer := C_STREAM_DWIDTH/8; -- Number of bytes in the Stream Constant LEN_WIDTH : integer := 8; -- Type Declarations -------------------------------------------- type SCC_SM_STATE_TYPE is ( INIT, POP_RECOVER, GET_NXT_CMD, CHK_AND_CALC, PUSH_TO_AXI, ERROR_TRAP ); -- Signal Declarations -------------------------------------------- signal sm_scc_state : SCC_SM_STATE_TYPE := INIT; signal sm_scc_state_ns : SCC_SM_STATE_TYPE := INIT; signal sm_pop_input_cmd : std_logic := '0'; signal sm_pop_input_cmd_ns : std_logic := '0'; signal sm_set_push2axi : std_logic := '0'; signal sm_set_push2axi_ns : std_logic := '0'; signal sm_set_error : std_logic := '0'; signal sm_set_error_ns : std_logic := '0'; Signal sm_scc_sm_ready : std_logic := '0'; Signal sm_scc_sm_ready_ns : std_logic := '0'; signal sig_cmd2data_valid : std_logic := '0'; signal sig_clr_cmd2data_valid : std_logic := '0'; signal sig_cmd2addr_valid : std_logic := '0'; signal sig_clr_cmd2addr_valid : std_logic := '0'; signal sig_addr_data_rdy_pending : std_logic := '0'; signal sig_cmd_btt_slice : std_logic_vector(CMD_BTT_WIDTH-1 downto 0) := (others => '0'); signal sig_load_input_cmd : std_logic := '0'; signal sig_cmd_reg_empty : std_logic := '0'; signal sig_cmd_reg_full : std_logic := '0'; signal sig_cmd_addr_reg : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_cmd_btt_reg : std_logic_vector(CMD_BTT_WIDTH-1 downto 0) := (others => '0'); signal sig_cmd_type_reg : std_logic := '0'; signal sig_cmd_burst_reg : std_logic_vector (1 downto 0) := "00"; signal sig_cmd_tag_reg : std_logic_vector(CMD_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_addr_data_rdy4cmd : std_logic := '0'; signal sig_btt_raw : std_logic := '0'; signal sig_btt_is_zero : std_logic := '0'; signal sig_btt_is_zero_reg : std_logic := '0'; signal sig_next_tag : std_logic_vector(CMD_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_next_addr : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_next_len : std_logic_vector(LEN_WIDTH-1 downto 0) := (others => '0'); signal sig_next_size : std_logic_vector(2 downto 0) := (others => '0'); signal sig_next_burst : std_logic_vector(1 downto 0) := (others => '0'); signal sig_next_cache : std_logic_vector(3 downto 0) := (others => '0'); signal sig_next_user : std_logic_vector(3 downto 0) := (others => '0'); signal sig_next_strt_strb : std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0) := (others => '0'); signal sig_next_end_strb : std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0) := (others => '0'); signal sig_input_eof_reg : std_logic; begin --(architecture implementation) -- Assign calculation error output calc_error <= sm_set_error; -- Assign the ready output to the Command FIFO mst2cmd_cmd_ready <= sig_cmd_reg_empty and sm_scc_sm_ready; -- Assign the Address Channel Controller Qualifiers mstr2addr_tag <= sig_next_tag ; mstr2addr_addr <= sig_next_addr ; mstr2addr_len <= sig_next_len ; mstr2addr_size <= sig_next_size ; mstr2addr_burst <= sig_cmd_burst_reg; mstr2addr_cache <= sig_next_cache; mstr2addr_user <= sig_next_user; mstr2addr_cmd_valid <= sig_cmd2addr_valid; mstr2addr_calc_error <= sm_set_error ; mstr2addr_cmd_cmplt <= '1' ; -- Lite mode is always 1 -- Assign the Data Channel Controller Qualifiers mstr2data_tag <= sig_next_tag ; mstr2data_saddr_lsb <= sig_cmd_addr_reg(C_SEL_ADDR_WIDTH-1 downto 0); mstr2data_len <= sig_next_len ; mstr2data_strt_strb <= sig_next_strt_strb; mstr2data_last_strb <= sig_next_end_strb; mstr2data_sof <= '1'; -- Lite mode is always 1 cmd mstr2data_eof <= sig_input_eof_reg; -- Lite mode is always 1 cmd mstr2data_cmd_cmplt <= '1'; -- Lite mode is always 1 cmd mstr2data_cmd_valid <= sig_cmd2data_valid; mstr2data_calc_error <= sm_set_error; -- Internal logic ------------------------------ sig_addr_data_rdy_pending <= sig_cmd2addr_valid or sig_cmd2data_valid; sig_clr_cmd2data_valid <= sig_cmd2data_valid and data2mstr_cmd_ready; sig_clr_cmd2addr_valid <= sig_cmd2addr_valid and addr2mstr_cmd_ready; sig_load_input_cmd <= cmd2mstr_cmd_valid and sig_cmd_reg_empty and sm_scc_sm_ready; sig_next_tag <= sig_cmd_tag_reg; sig_next_addr <= sig_cmd_addr_reg; sig_addr_data_rdy4cmd <= addr2mstr_cmd_ready and data2mstr_cmd_ready; sig_cmd_btt_slice <= cmd2mstr_command(CMD_BTT_MS_INDEX downto CMD_BTT_LS_INDEX); sig_btt_is_zero <= '1' when (sig_cmd_btt_slice = BTT_ZEROS) Else '0'; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_NO_RESIDUE_BITS -- -- If Generate Description: -- -- -- ------------------------------------------------------------ GEN_NO_RESIDUE_BITS : if (BTT_LS_UNUSED_WIDTH = 0) generate -- signals signal sig_len_btt_slice : unsigned(BTT_SLICE_SIZE-1 downto 0) := (others => '0'); signal sig_len_btt_slice_minus_1 : unsigned(BTT_SLICE_SIZE-1 downto 0) := (others => '0'); signal sig_len2use : unsigned(BTT_SLICE_SIZE-1 downto 0) := (others => '0'); begin -- LEN Calculation logic ------------------------------------------ sig_next_len <= STD_LOGIC_VECTOR(RESIZE(sig_len2use, LEN_WIDTH)); sig_len_btt_slice <= UNSIGNED(sig_cmd_btt_reg(CMD_BTT_MS_INDEX downto 0)); sig_len_btt_slice_minus_1 <= sig_len_btt_slice-BTT_SLICE_ONE when sig_btt_is_zero_reg = '0' else (others => '0'); -- clip at zero -- If most significant bit of BTT set then limit to -- Max Burst Len, else rip it from the BTT value, -- otheriwse subtract 1 from the BTT ripped value -- 1 from the BTT ripped value sig_len2use <= MAX_BURST_LEN_US When (sig_cmd_btt_reg(CMD_BTT_MS_INDEX) = '1') Else sig_len_btt_slice_minus_1; end generate GEN_NO_RESIDUE_BITS; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_HAS_RESIDUE_BITS -- -- If Generate Description: -- -- -- ------------------------------------------------------------ GEN_HAS_RESIDUE_BITS : if (BTT_LS_UNUSED_WIDTH > 0) generate -- signals signal sig_btt_len_residue : unsigned(BTT_LS_UNUSED_WIDTH-1 downto 0) := (others => '0'); signal sig_len_btt_slice : unsigned(BTT_SLICE_SIZE-1 downto 0) := (others => '0'); signal sig_len_btt_slice_minus_1 : unsigned(BTT_SLICE_SIZE-1 downto 0) := (others => '0'); signal sig_len2use : unsigned(BTT_SLICE_SIZE-1 downto 0) := (others => '0'); begin -- LEN Calculation logic ------------------------------------------ sig_next_len <= STD_LOGIC_VECTOR(RESIZE(sig_len2use, LEN_WIDTH)); sig_len_btt_slice <= UNSIGNED(sig_cmd_btt_reg(CMD_BTT_MS_INDEX downto BTT_LS_UNUSED_WIDTH)); sig_len_btt_slice_minus_1 <= sig_len_btt_slice-BTT_SLICE_ONE when sig_btt_is_zero_reg = '0' else (others => '0'); -- clip at zero sig_btt_len_residue <= UNSIGNED(sig_cmd_btt_reg(BTT_LS_UNUSED_WIDTH-1 downto 0)); -- If most significant bit of BTT set then limit to -- Max Burst Len, else rip it from the BTT value -- However if residue bits are zeroes then subtract -- 1 from the BTT ripped value sig_len2use <= MAX_BURST_LEN_US When (sig_cmd_btt_reg(CMD_BTT_MS_INDEX) = '1') Else sig_len_btt_slice_minus_1 when (sig_btt_len_residue = BTT_RESIDUE_ZEROS) Else sig_len_btt_slice; end generate GEN_HAS_RESIDUE_BITS; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_INPUT_CMD -- -- Process Description: -- Implements the input command holding registers -- ------------------------------------------------------------- REG_INPUT_CMD : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1' or sm_pop_input_cmd = '1') then sig_cmd_btt_reg <= (others => '0'); sig_cmd_type_reg <= '0'; sig_cmd_addr_reg <= (others => '0'); sig_cmd_tag_reg <= (others => '0'); sig_btt_is_zero_reg <= '0'; sig_cmd_reg_empty <= '1'; sig_cmd_reg_full <= '0'; sig_input_eof_reg <= '0'; sig_cmd_burst_reg <= "00"; elsif (sig_load_input_cmd = '1') then sig_cmd_btt_reg <= sig_cmd_btt_slice; sig_cmd_type_reg <= cmd2mstr_command(CMD_TYPE_INDEX); sig_cmd_addr_reg <= cmd2mstr_command(CMD_ADDR_MS_INDEX downto CMD_ADDR_LS_INDEX); sig_cmd_tag_reg <= cmd2mstr_command(CMD_TAG_MS_INDEX downto CMD_TAG_LS_INDEX); sig_btt_is_zero_reg <= sig_btt_is_zero; sig_cmd_reg_empty <= '0'; sig_cmd_reg_full <= '1'; sig_cmd_burst_reg <= sig_next_burst; if (C_MICRO_DMA = 1) then sig_input_eof_reg <= cmd2mstr_command(CMD_EOF_INDEX); else sig_input_eof_reg <= '1'; end if; else null; -- Hold current State end if; end if; end process REG_INPUT_CMD; -- Only Incrementing Burst type supported (per Interface_X guidelines) sig_next_burst <= AXI_BURST_INCR when (cmd2mstr_command(CMD_TYPE_INDEX) = '1') else AXI_BURST_FIXED; sig_next_user <= cache2mstr_command (7 downto 4); sig_next_cache <= cache2mstr_command (3 downto 0); ------------------------------------------------------------ -- If Generate -- -- Label: GEN_LEN_SDWIDTH_64 -- -- If Generate Description: -- This IfGen implements the AXI LEN qualifier calculation -- and the Stream data channel start/end STRB value. -- -- This IfGen is for the 64-bit Stream data Width case. -- ------------------------------------------------------------ GEN_LEN_SDWIDTH_64 : if (C_STREAM_DWIDTH = 64) generate -- Local Constants Constant AXI_SIZE2USE : std_logic_vector(2 downto 0) := AXI_SIZE_8BYTE; Constant RESIDUE_BIT_WIDTH : integer := 3; -- local signals signal sig_last_strb2use : std_logic_vector(STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_last_strb : std_logic_vector(STRB_WIDTH-1 downto 0) := (others => '0'); Signal sig_btt_ms_bit_value : std_logic := '0'; signal lsig_btt_len_residue : std_logic_vector(BTT_LS_UNUSED_WIDTH-1 downto 0) := (others => '0'); signal sig_btt_len_residue_composite : std_logic_vector(RESIDUE_BIT_WIDTH downto 0) := (others => '0'); -- note 1 extra bit implied begin -- Assign the Address Channel Controller Size Qualifier Value sig_next_size <= AXI_SIZE2USE; -- Assign the Strobe Values sig_next_strt_strb <= (others => '1'); -- always aligned on first databeat for LITE DataMover sig_next_end_strb <= sig_last_strb; -- Local calculations ------------------------------ lsig_btt_len_residue <= sig_cmd_btt_reg(BTT_LS_UNUSED_WIDTH-1 downto 0); sig_btt_ms_bit_value <= sig_cmd_btt_reg(CMD_BTT_MS_INDEX); sig_btt_len_residue_composite <= sig_btt_ms_bit_value & lsig_btt_len_residue; ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_LAST_STRB_8bit -- -- Process Description: -- Generates the Strobe values for the LAST databeat of the -- Burst to MMap when the Stream is 64 bits wide and 8 strobe -- bits are required. -- ------------------------------------------------------------- IMP_LAST_STRB_8bit : process (sig_btt_len_residue_composite) begin case sig_btt_len_residue_composite is when "0001" => sig_last_strb <= "00000001"; when "0010" => sig_last_strb <= "00000011"; when "0011" => sig_last_strb <= "00000111"; when "0100" => sig_last_strb <= "00001111"; when "0101" => sig_last_strb <= "00011111"; when "0110" => sig_last_strb <= "00111111"; when "0111" => sig_last_strb <= "01111111"; when others => sig_last_strb <= "11111111"; end case; end process IMP_LAST_STRB_8bit; end generate GEN_LEN_SDWIDTH_64; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_LEN_SDWIDTH_32 -- -- If Generate Description: -- This IfGen implements the AXI LEN qualifier calculation -- and the Stream data channel start/end STRB value. -- -- This IfGen is for the 32-bit Stream data Width case. -- ------------------------------------------------------------ GEN_LEN_SDWIDTH_32 : if (C_STREAM_DWIDTH = 32) generate -- Local Constants Constant AXI_SIZE2USE : std_logic_vector(2 downto 0) := AXI_SIZE_4BYTE; Constant RESIDUE_BIT_WIDTH : integer := 2; -- local signals signal sig_last_strb2use : std_logic_vector(STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_last_strb : std_logic_vector(STRB_WIDTH-1 downto 0) := (others => '0'); Signal sig_btt_ms_bit_value : std_logic := '0'; signal sig_btt_len_residue_composite : std_logic_vector(RESIDUE_BIT_WIDTH downto 0) := (others => '0'); -- 1 extra bit signal lsig_btt_len_residue : std_logic_vector(BTT_LS_UNUSED_WIDTH-1 downto 0) := (others => '0'); begin -- Assign the Address Channel Controller Size Qualifier Value sig_next_size <= AXI_SIZE2USE; -- Assign the Strobe Values sig_next_strt_strb <= (others => '1'); -- always aligned on first databeat for LITE DataMover sig_next_end_strb <= sig_last_strb; -- Local calculations ------------------------------ lsig_btt_len_residue <= sig_cmd_btt_reg(BTT_LS_UNUSED_WIDTH-1 downto 0); sig_btt_ms_bit_value <= sig_cmd_btt_reg(CMD_BTT_MS_INDEX); sig_btt_len_residue_composite <= sig_btt_ms_bit_value & lsig_btt_len_residue; ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_LAST_STRB_4bit -- -- Process Description: -- Generates the Strobe values for the LAST databeat of the -- Burst to MMap when the Stream is 32 bits wide and 4 strobe -- bits are required. -- ------------------------------------------------------------- IMP_LAST_STRB_4bit : process (sig_btt_len_residue_composite) begin case sig_btt_len_residue_composite is when "001" => sig_last_strb <= "0001"; when "010" => sig_last_strb <= "0011"; when "011" => sig_last_strb <= "0111"; when others => sig_last_strb <= "1111"; end case; end process IMP_LAST_STRB_4bit; end generate GEN_LEN_SDWIDTH_32; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_LEN_SDWIDTH_16 -- -- If Generate Description: -- This IfGen implements the AXI LEN qualifier calculation -- and the Stream data channel start/end STRB value. -- -- This IfGen is for the 16-bit Stream data Width case. -- ------------------------------------------------------------ GEN_LEN_SDWIDTH_16 : if (C_STREAM_DWIDTH = 16) generate -- Local Constants Constant AXI_SIZE2USE : std_logic_vector(2 downto 0) := AXI_SIZE_2BYTE; Constant RESIDUE_BIT_WIDTH : integer := 1; -- local signals signal sig_last_strb2use : std_logic_vector(STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_last_strb : std_logic_vector(STRB_WIDTH-1 downto 0) := (others => '0'); Signal sig_btt_ms_bit_value : std_logic := '0'; signal sig_btt_len_residue_composite : std_logic_vector(RESIDUE_BIT_WIDTH downto 0) := (others => '0'); -- 1 extra bit signal lsig_btt_len_residue : std_logic_vector(BTT_LS_UNUSED_WIDTH-1 downto 0) := (others => '0'); begin -- Assign the Address Channel Controller Size Qualifier Value sig_next_size <= AXI_SIZE2USE; -- Assign the Strobe Values sig_next_strt_strb <= (others => '1'); -- always aligned on first databeat for LITE DataMover sig_next_end_strb <= sig_last_strb; -- Local calculations ------------------------------ lsig_btt_len_residue <= sig_cmd_btt_reg(BTT_LS_UNUSED_WIDTH-1 downto 0); sig_btt_ms_bit_value <= sig_cmd_btt_reg(CMD_BTT_MS_INDEX); sig_btt_len_residue_composite <= sig_btt_ms_bit_value & lsig_btt_len_residue; ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_LAST_STRB_2bit -- -- Process Description: -- Generates the Strobe values for the LAST databeat of the -- Burst to MMap when the Stream is 16 bits wide and 2 strobe -- bits are required. -- ------------------------------------------------------------- IMP_LAST_STRB_2bit : process (sig_btt_len_residue_composite) begin case sig_btt_len_residue_composite is when "01" => sig_last_strb <= "01"; when others => sig_last_strb <= "11"; end case; end process IMP_LAST_STRB_2bit; end generate GEN_LEN_SDWIDTH_16; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_LEN_SDWIDTH_8 -- -- If Generate Description: -- This IfGen implements the AXI LEN qualifier calculation -- and the Stream data channel start/end STRB value. -- -- This IfGen is for the 8-bit Stream data Width case. -- ------------------------------------------------------------ GEN_LEN_SDWIDTH_8 : if (C_STREAM_DWIDTH = 8) generate -- Local Constants Constant AXI_SIZE2USE : std_logic_vector(2 downto 0) := AXI_SIZE_1BYTE; begin -- Assign the Address Channel Controller Qualifiers sig_next_size <= AXI_SIZE2USE; -- Assign the Data Channel Controller Qualifiers sig_next_strt_strb <= (others => '1'); sig_next_end_strb <= (others => '1'); end generate GEN_LEN_SDWIDTH_8; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: CMD2DATA_VALID_FLOP -- -- Process Description: -- Implements the set/reset flop for the Command Ready control -- to the Data Controller Module. -- ------------------------------------------------------------- CMD2DATA_VALID_FLOP : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1' or sig_clr_cmd2data_valid = '1') then sig_cmd2data_valid <= '0'; elsif (sm_set_push2axi_ns = '1') then sig_cmd2data_valid <= '1'; else null; -- hold current state end if; end if; end process CMD2DATA_VALID_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: CMD2ADDR_VALID_FLOP -- -- Process Description: -- Implements the set/reset flop for the Command Ready control -- to the Address Controller Module. -- ------------------------------------------------------------- CMD2ADDR_VALID_FLOP : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1' or sig_clr_cmd2addr_valid = '1') then sig_cmd2addr_valid <= '0'; elsif (sm_set_push2axi_ns = '1') then sig_cmd2addr_valid <= '1'; else null; -- hold current state end if; end if; end process CMD2ADDR_VALID_FLOP; ------------------------------------------------------------- -- Combinational Process -- -- Label: SCC_SM_COMB -- -- Process Description: -- Implements combinational portion of state machine -- ------------------------------------------------------------- SCC_SM_COMB : process (sm_scc_state, cmd2mstr_cmd_valid, sig_addr_data_rdy_pending, sig_cmd_reg_full, sig_btt_is_zero_reg ) begin -- Set default State machine outputs sm_pop_input_cmd_ns <= '0'; sm_set_push2axi_ns <= '0'; sm_scc_state_ns <= sm_scc_state; sm_set_error_ns <= '0'; sm_scc_sm_ready_ns <= '1'; case sm_scc_state is ---------------------------------------------------- when INIT => -- if (sig_addr_data_rdy4cmd = '1') then if (cmd2mstr_cmd_valid = '1') then -- wait for first cmd valid after reset sm_scc_state_ns <= GET_NXT_CMD; -- jump to get command else sm_scc_sm_ready_ns <= '0'; sm_scc_state_ns <= INIT; -- Stay in Init End if; ---------------------------------------------------- when POP_RECOVER => sm_scc_state_ns <= GET_NXT_CMD; -- jump to next state ---------------------------------------------------- when GET_NXT_CMD => if (sig_cmd_reg_full = '1') then sm_scc_state_ns <= CHK_AND_CALC; -- jump to next state else sm_scc_state_ns <= GET_NXT_CMD; -- stay in this state end if; ---------------------------------------------------- when CHK_AND_CALC => sm_set_push2axi_ns <= '1'; -- Push the command to ADDR and DATA if (sig_btt_is_zero_reg = '1') then sm_scc_state_ns <= ERROR_TRAP; -- jump to error trap sm_set_error_ns <= '1'; -- Set internal error flag else sm_scc_state_ns <= PUSH_TO_AXI; end if; ---------------------------------------------------- when PUSH_TO_AXI => if (sig_addr_data_rdy_pending = '1') then sm_scc_state_ns <= PUSH_TO_AXI; -- stay in this state -- until both Addr and Data have taken commands else sm_pop_input_cmd_ns <= '1'; sm_scc_state_ns <= POP_RECOVER; -- jump back to fetch new cmd input end if; ---------------------------------------------------- when ERROR_TRAP => sm_scc_state_ns <= ERROR_TRAP; -- stay in this state sm_set_error_ns <= '1'; ---------------------------------------------------- when others => sm_scc_state_ns <= INIT; -- error so always jump to init state end case; end process SCC_SM_COMB; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: SCC_SM_REG -- -- Process Description: -- Implements registered portion of state machine -- ------------------------------------------------------------- SCC_SM_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sm_scc_state <= INIT; sm_pop_input_cmd <= '0' ; sm_set_push2axi <= '0' ; sm_set_error <= '0' ; sm_scc_sm_ready <= '0' ; else sm_scc_state <= sm_scc_state_ns ; sm_pop_input_cmd <= sm_pop_input_cmd_ns ; sm_set_push2axi <= sm_set_push2axi_ns ; sm_set_error <= sm_set_error_ns ; sm_scc_sm_ready <= sm_scc_sm_ready_ns ; end if; end if; end process SCC_SM_REG; end implementation;
bsd-2-clause
67312e03de68267332d38cd454ad1925
0.426677
4.819693
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/axi_sg_v4_1/hdl/src/vhdl/axi_sg_datamover.vhd
1
51,672
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg.vhd -- -- Description: -- Top level VHDL wrapper for the AXI DataMover -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library proc_common_v4_0; use proc_common_v4_0.family_support; library axi_sg_v4_1; use axi_sg_v4_1.axi_sg_mm2s_basic_wrap; use axi_sg_v4_1.axi_sg_s2mm_basic_wrap; ------------------------------------------------------------------------------- entity axi_sg_datamover is generic ( C_INCLUDE_MM2S : Integer range 0 to 2 := 2; -- Specifies the type of MM2S function to include -- 0 = Omit MM2S functionality -- 1 = Full MM2S Functionality -- 2 = Basic MM2S functionality C_M_AXI_MM2S_ARID : Integer range 0 to 255 := 0; -- Specifies the constant value to output on -- the ARID output port C_M_AXI_MM2S_ID_WIDTH : Integer range 1 to 8 := 4; -- Specifies the width of the MM2S ID port C_M_AXI_MM2S_ADDR_WIDTH : Integer range 32 to 64 := 32; -- Specifies the width of the MMap Read Address Channel -- Address bus C_M_AXI_MM2S_DATA_WIDTH : Integer range 32 to 1024 := 32; -- Specifies the width of the MMap Read Data Channel -- data bus C_M_AXIS_MM2S_TDATA_WIDTH : Integer range 8 to 1024 := 32; -- Specifies the width of the MM2S Master Stream Data -- Channel data bus C_INCLUDE_MM2S_STSFIFO : Integer range 0 to 1 := 1; -- Specifies if a Status FIFO is to be implemented -- 0 = Omit MM2S Status FIFO -- 1 = Include MM2S Status FIFO C_MM2S_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 4; -- Specifies the depth of the MM2S Command FIFO and the -- optional Status FIFO -- Valid values are 1,4,8,16 C_MM2S_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0; -- Specifies if the Status and Command interfaces need to -- be asynchronous to the primary data path clocking -- 0 = Use same clocking as data path -- 1 = Use special Status/Command clock for the interfaces C_INCLUDE_MM2S_DRE : Integer range 0 to 1 := 1; -- Specifies if DRE is to be included in the MM2S function -- 0 = Omit DRE -- 1 = Include DRE C_MM2S_BURST_SIZE : Integer range 16 to 256 := 16; -- Specifies the max number of databeats to use for MMap -- burst transfers by the MM2S function C_MM2S_BTT_USED : Integer range 8 to 23 := 16; -- Specifies the number of bits used from the BTT field -- of the input Command Word of the MM2S Command Interface C_MM2S_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 3; -- This parameter specifies the depth of the MM2S internal -- child command queues in the Read Address Controller and -- the Read Data Controller. Increasing this value will -- allow more Read Addresses to be issued to the AXI4 Read -- Address Channel before receipt of the associated read -- data on the Read Data Channel. C_MM2S_INCLUDE_SF : Integer range 0 to 1 := 1 ; -- This parameter specifies the inclusion/omission of the -- MM2S (Read) Store and Forward function -- 0 = Omit MM2S Store and Forward -- 1 = Include MM2S Store and Forward C_INCLUDE_S2MM : Integer range 0 to 4 := 2; -- Specifies the type of S2MM function to include -- 0 = Omit S2MM functionality -- 1 = Full S2MM Functionality -- 2 = Basic S2MM functionality C_M_AXI_S2MM_AWID : Integer range 0 to 255 := 1; -- Specifies the constant value to output on -- the ARID output port C_M_AXI_S2MM_ID_WIDTH : Integer range 1 to 8 := 4; -- Specifies the width of the S2MM ID port C_M_AXI_S2MM_ADDR_WIDTH : Integer range 32 to 64 := 32; -- Specifies the width of the MMap Read Address Channel -- Address bus C_M_AXI_S2MM_DATA_WIDTH : Integer range 32 to 1024 := 32; -- Specifies the width of the MMap Read Data Channel -- data bus C_S_AXIS_S2MM_TDATA_WIDTH : Integer range 8 to 1024 := 32; -- Specifies the width of the S2MM Master Stream Data -- Channel data bus C_INCLUDE_S2MM_STSFIFO : Integer range 0 to 1 := 1; -- Specifies if a Status FIFO is to be implemented -- 0 = Omit S2MM Status FIFO -- 1 = Include S2MM Status FIFO C_S2MM_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 4; -- Specifies the depth of the S2MM Command FIFO and the -- optional Status FIFO -- Valid values are 1,4,8,16 C_S2MM_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0; -- Specifies if the Status and Command interfaces need to -- be asynchronous to the primary data path clocking -- 0 = Use same clocking as data path -- 1 = Use special Status/Command clock for the interfaces C_INCLUDE_S2MM_DRE : Integer range 0 to 1 := 1; -- Specifies if DRE is to be included in the S2MM function -- 0 = Omit DRE -- 1 = Include DRE C_S2MM_BURST_SIZE : Integer range 16 to 256 := 16; -- Specifies the max number of databeats to use for MMap -- burst transfers by the S2MM function C_S2MM_BTT_USED : Integer range 8 to 23 := 16; -- Specifies the number of bits used from the BTT field -- of the input Command Word of the S2MM Command Interface C_S2MM_SUPPORT_INDET_BTT : Integer range 0 to 1 := 0; -- Specifies if support for indeterminate packet lengths -- are to be received on the input Stream interface -- 0 = Omit support (User MUST transfer the exact number of -- bytes on the Stream interface as specified in the BTT -- field of the Corresponding DataMover Command) -- 1 = Include support for indeterminate packet lengths -- This causes FIFOs to be added and "Store and Forward" -- behavior of the S2MM function C_S2MM_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 3; -- This parameter specifies the depth of the S2MM internal -- address pipeline queues in the Write Address Controller -- and the Write Data Controller. Increasing this value will -- allow more Write Addresses to be issued to the AXI4 Write -- Address Channel before transmission of the associated -- write data on the Write Data Channel. C_S2MM_INCLUDE_SF : Integer range 0 to 1 := 1 ; -- This parameter specifies the inclusion/omission of the -- S2MM (Write) Store and Forward function -- 0 = Omit S2MM Store and Forward -- 1 = Include S2MM Store and Forward C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 1; C_ENABLE_EXTRA_FIELD : integer range 0 to 1 := 0; C_FAMILY : String := "virtex7" -- Specifies the target FPGA family type ); port ( -- MM2S Primary Clock input ---------------------------------- m_axi_mm2s_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- MM2S Primary Reset input -- m_axi_mm2s_aresetn : in std_logic; -- -- Reset used for the internal master logic -- -------------------------------------------------------------- sg_ctl : in std_logic_vector (7 downto 0) ; -- MM2S Halt request input control -------------------- mm2s_halt : in std_logic; -- -- Active high soft shutdown request -- -- -- MM2S Halt Complete status flag -- mm2s_halt_cmplt : Out std_logic; -- -- Active high soft shutdown complete status -- ------------------------------------------------------- -- Error discrete output ------------------------- mm2s_err : Out std_logic; -- -- Composite Error indication -- -------------------------------------------------- -- Memory Map to Stream Command FIFO and Status FIFO I/O --------- m_axis_mm2s_cmdsts_aclk : in std_logic; -- -- Secondary Clock input for async CMD/Status interface -- -- m_axis_mm2s_cmdsts_aresetn : in std_logic; -- -- Secondary Reset input for async CMD/Status interface -- ------------------------------------------------------------------ -- User Command Interface Ports (AXI Stream) ------------------------------------------------- s_axis_mm2s_cmd_tvalid : in std_logic; -- s_axis_mm2s_cmd_tready : out std_logic; -- s_axis_mm2s_cmd_tdata : in std_logic_vector(((1+C_ENABLE_MULTI_CHANNEL)*C_M_AXI_MM2S_ADDR_WIDTH+40)-1 downto 0); -- ---------------------------------------------------------------------------------------------- -- User Status Interface Ports (AXI Stream) ------------------------ m_axis_mm2s_sts_tvalid : out std_logic; -- m_axis_mm2s_sts_tready : in std_logic; -- m_axis_mm2s_sts_tdata : out std_logic_vector(7 downto 0); -- m_axis_mm2s_sts_tkeep : out std_logic_vector(0 downto 0); -- m_axis_mm2s_sts_tlast : out std_logic; -- -------------------------------------------------------------------- -- Address Posting contols ----------------------- mm2s_allow_addr_req : in std_logic; -- mm2s_addr_req_posted : out std_logic; -- mm2s_rd_xfer_cmplt : out std_logic; -- -------------------------------------------------- -- MM2S AXI Address Channel I/O -------------------------------------------------- m_axi_mm2s_arid : out std_logic_vector(C_M_AXI_MM2S_ID_WIDTH-1 downto 0); -- -- AXI Address Channel ID output -- -- m_axi_mm2s_araddr : out std_logic_vector(C_M_AXI_MM2S_ADDR_WIDTH-1 downto 0); -- -- AXI Address Channel Address output -- -- m_axi_mm2s_arlen : out std_logic_vector(7 downto 0); -- -- AXI Address Channel LEN output -- -- Sized to support 256 data beat bursts -- -- m_axi_mm2s_arsize : out std_logic_vector(2 downto 0); -- -- AXI Address Channel SIZE output -- -- m_axi_mm2s_arburst : out std_logic_vector(1 downto 0); -- -- AXI Address Channel BURST output -- -- m_axi_mm2s_arprot : out std_logic_vector(2 downto 0); -- -- AXI Address Channel PROT output -- -- m_axi_mm2s_arcache : out std_logic_vector(3 downto 0); -- -- AXI Address Channel CACHE output -- m_axi_mm2s_aruser : out std_logic_vector(3 downto 0); -- -- AXI Address Channel USER output -- -- m_axi_mm2s_arvalid : out std_logic; -- -- AXI Address Channel VALID output -- -- m_axi_mm2s_arready : in std_logic; -- -- AXI Address Channel READY input -- ----------------------------------------------------------------------------------- -- Currently unsupported AXI Address Channel output signals ------- -- m_axi_mm2s_alock : out std_logic_vector(2 downto 0); -- -- m_axi_mm2s_acache : out std_logic_vector(4 downto 0); -- -- m_axi_mm2s_aqos : out std_logic_vector(3 downto 0); -- -- m_axi_mm2s_aregion : out std_logic_vector(3 downto 0); -- ------------------------------------------------------------------- -- MM2S AXI MMap Read Data Channel I/O ------------------------------------------------ m_axi_mm2s_rdata : In std_logic_vector(C_M_AXI_MM2S_DATA_WIDTH-1 downto 0); -- m_axi_mm2s_rresp : In std_logic_vector(1 downto 0); -- m_axi_mm2s_rlast : In std_logic; -- m_axi_mm2s_rvalid : In std_logic; -- m_axi_mm2s_rready : Out std_logic; -- ---------------------------------------------------------------------------------------- -- MM2S AXI Master Stream Channel I/O ------------------------------------------------------- m_axis_mm2s_tdata : Out std_logic_vector(C_M_AXIS_MM2S_TDATA_WIDTH-1 downto 0); -- m_axis_mm2s_tkeep : Out std_logic_vector((C_M_AXIS_MM2S_TDATA_WIDTH/8)-1 downto 0); -- m_axis_mm2s_tlast : Out std_logic; -- m_axis_mm2s_tvalid : Out std_logic; -- m_axis_mm2s_tready : In std_logic; -- ---------------------------------------------------------------------------------------------- -- Testing Support I/O -------------------------------------------------------- mm2s_dbg_sel : in std_logic_vector( 3 downto 0); -- mm2s_dbg_data : out std_logic_vector(31 downto 0) ; -- ------------------------------------------------------------------------------- -- S2MM Primary Clock input --------------------------------- m_axi_s2mm_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- S2MM Primary Reset input -- m_axi_s2mm_aresetn : in std_logic; -- -- Reset used for the internal master logic -- ------------------------------------------------------------- -- S2MM Halt request input control ------------------ s2mm_halt : in std_logic; -- -- Active high soft shutdown request -- -- -- S2MM Halt Complete status flag -- s2mm_halt_cmplt : out std_logic; -- -- Active high soft shutdown complete status -- ----------------------------------------------------- -- S2MM Error discrete output ------------------ s2mm_err : Out std_logic; -- -- Composite Error indication -- ------------------------------------------------ -- Memory Map to Stream Command FIFO and Status FIFO I/O ----------------- m_axis_s2mm_cmdsts_awclk : in std_logic; -- -- Secondary Clock input for async CMD/Status interface -- -- m_axis_s2mm_cmdsts_aresetn : in std_logic; -- -- Secondary Reset input for async CMD/Status interface -- -------------------------------------------------------------------------- -- User Command Interface Ports (AXI Stream) -------------------------------------------------- s_axis_s2mm_cmd_tvalid : in std_logic; -- s_axis_s2mm_cmd_tready : out std_logic; -- s_axis_s2mm_cmd_tdata : in std_logic_vector(((1+C_ENABLE_MULTI_CHANNEL)*C_M_AXI_S2MM_ADDR_WIDTH+40)-1 downto 0); -- ----------------------------------------------------------------------------------------------- -- User Status Interface Ports (AXI Stream) ----------------------------------------------------------- m_axis_s2mm_sts_tvalid : out std_logic; -- m_axis_s2mm_sts_tready : in std_logic; -- m_axis_s2mm_sts_tdata : out std_logic_vector(((C_S2MM_SUPPORT_INDET_BTT*24)+8)-1 downto 0); -- m_axis_s2mm_sts_tkeep : out std_logic_vector((((C_S2MM_SUPPORT_INDET_BTT*24)+8)/8)-1 downto 0); -- m_axis_s2mm_sts_tlast : out std_logic; -- ------------------------------------------------------------------------------------------------------- -- Address posting controls ----------------------------------------- s2mm_allow_addr_req : in std_logic; -- s2mm_addr_req_posted : out std_logic; -- s2mm_wr_xfer_cmplt : out std_logic; -- s2mm_ld_nxt_len : out std_logic; -- s2mm_wr_len : out std_logic_vector(7 downto 0); -- --------------------------------------------------------------------- -- S2MM AXI Address Channel I/O ---------------------------------------------------- m_axi_s2mm_awid : out std_logic_vector(C_M_AXI_S2MM_ID_WIDTH-1 downto 0); -- -- AXI Address Channel ID output -- -- m_axi_s2mm_awaddr : out std_logic_vector(C_M_AXI_S2MM_ADDR_WIDTH-1 downto 0); -- -- AXI Address Channel Address output -- -- m_axi_s2mm_awlen : out std_logic_vector(7 downto 0); -- -- AXI Address Channel LEN output -- -- Sized to support 256 data beat bursts -- -- m_axi_s2mm_awsize : out std_logic_vector(2 downto 0); -- -- AXI Address Channel SIZE output -- -- m_axi_s2mm_awburst : out std_logic_vector(1 downto 0); -- -- AXI Address Channel BURST output -- -- m_axi_s2mm_awprot : out std_logic_vector(2 downto 0); -- -- AXI Address Channel PROT output -- -- m_axi_s2mm_awcache : out std_logic_vector(3 downto 0); -- -- AXI Address Channel CACHE output -- m_axi_s2mm_awuser : out std_logic_vector(3 downto 0); -- -- AXI Address Channel USER output -- -- m_axi_s2mm_awvalid : out std_logic; -- -- AXI Address Channel VALID output -- -- m_axi_s2mm_awready : in std_logic; -- -- AXI Address Channel READY input -- ------------------------------------------------------------------------------------- -- Currently unsupported AXI Address Channel output signals ------- -- m_axi_s2mm__awlock : out std_logic_vector(2 downto 0); -- -- m_axi_s2mm__awcache : out std_logic_vector(4 downto 0); -- -- m_axi_s2mm__awqos : out std_logic_vector(3 downto 0); -- -- m_axi_s2mm__awregion : out std_logic_vector(3 downto 0); -- ------------------------------------------------------------------- -- S2MM AXI MMap Write Data Channel I/O -------------------------------------------------- m_axi_s2mm_wdata : Out std_logic_vector(C_M_AXI_S2MM_DATA_WIDTH-1 downto 0); -- m_axi_s2mm_wstrb : Out std_logic_vector((C_M_AXI_S2MM_DATA_WIDTH/8)-1 downto 0); -- m_axi_s2mm_wlast : Out std_logic; -- m_axi_s2mm_wvalid : Out std_logic; -- m_axi_s2mm_wready : In std_logic; -- ------------------------------------------------------------------------------------------- -- S2MM AXI MMap Write response Channel I/O ------------------------- m_axi_s2mm_bresp : In std_logic_vector(1 downto 0); -- m_axi_s2mm_bvalid : In std_logic; -- m_axi_s2mm_bready : Out std_logic; -- ---------------------------------------------------------------------- -- S2MM AXI Slave Stream Channel I/O ------------------------------------------------------- s_axis_s2mm_tdata : In std_logic_vector(C_S_AXIS_S2MM_TDATA_WIDTH-1 downto 0); -- s_axis_s2mm_tkeep : In std_logic_vector((C_S_AXIS_S2MM_TDATA_WIDTH/8)-1 downto 0); -- s_axis_s2mm_tlast : In std_logic; -- s_axis_s2mm_tvalid : In std_logic; -- s_axis_s2mm_tready : Out std_logic; -- --------------------------------------------------------------------------------------------- -- Testing Support I/O ------------------------------------------------ s2mm_dbg_sel : in std_logic_vector( 3 downto 0); -- s2mm_dbg_data : out std_logic_vector(31 downto 0) -- ------------------------------------------------------------------------ ); end entity axi_sg_datamover; architecture implementation of axi_sg_datamover is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function Declarations ------------------------------------------------------------------- -- Function -- -- Function Name: funct_clip_brst_len -- -- Function Description: -- This function is used to limit the parameterized max burst -- databeats when the tranfer data width is 256 bits or greater. -- This is required to keep from crossing the 4K byte xfer -- boundary required by AXI. This process is further complicated -- by the inclusion/omission of upsizers or downsizers in the -- data path. -- ------------------------------------------------------------------- function funct_clip_brst_len (param_burst_beats : integer; mmap_transfer_bit_width : integer; stream_transfer_bit_width : integer; down_up_sizers_enabled : integer) return integer is constant FCONST_SIZERS_ENABLED : boolean := (down_up_sizers_enabled > 0); Variable fvar_max_burst_dbeats : Integer; begin -- coverage off if (FCONST_SIZERS_ENABLED) then -- use MMap dwidth for calc If (mmap_transfer_bit_width <= 128) Then -- allowed fvar_max_burst_dbeats := param_burst_beats; Elsif (mmap_transfer_bit_width <= 256) Then If (param_burst_beats <= 128) Then fvar_max_burst_dbeats := param_burst_beats; Else fvar_max_burst_dbeats := 128; End if; Elsif (mmap_transfer_bit_width <= 512) Then If (param_burst_beats <= 64) Then fvar_max_burst_dbeats := param_burst_beats; Else fvar_max_burst_dbeats := 64; End if; Else -- 1024 bit mmap width case If (param_burst_beats <= 32) Then fvar_max_burst_dbeats := param_burst_beats; Else fvar_max_burst_dbeats := 32; End if; End if; else -- use stream dwidth for calc If (stream_transfer_bit_width <= 128) Then -- allowed fvar_max_burst_dbeats := param_burst_beats; Elsif (stream_transfer_bit_width <= 256) Then If (param_burst_beats <= 128) Then fvar_max_burst_dbeats := param_burst_beats; Else fvar_max_burst_dbeats := 128; End if; Elsif (stream_transfer_bit_width <= 512) Then If (param_burst_beats <= 64) Then fvar_max_burst_dbeats := param_burst_beats; Else fvar_max_burst_dbeats := 64; End if; Else -- 1024 bit stream width case If (param_burst_beats <= 32) Then fvar_max_burst_dbeats := param_burst_beats; Else fvar_max_burst_dbeats := 32; End if; -- coverage on End if; end if; Return (fvar_max_burst_dbeats); end function funct_clip_brst_len; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_fix_depth_16 -- -- Function Description: -- This function is used to fix the Command and Status FIFO depths to -- 16 entries when Async clocking mode is enabled. This is required -- due to the way the async_fifo_fg.vhd design in proc_common is -- implemented. ------------------------------------------------------------------- function funct_fix_depth_16 (async_clocking_mode : integer; requested_depth : integer) return integer is Variable fvar_depth_2_use : Integer; begin -- coverage off If (async_clocking_mode = 1) Then -- async mode so fix at 16 fvar_depth_2_use := 16; Elsif (requested_depth > 16) Then -- limit at 16 fvar_depth_2_use := 16; -- coverage on Else -- use requested depth fvar_depth_2_use := requested_depth; End if; Return (fvar_depth_2_use); end function funct_fix_depth_16; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_min_btt_width -- -- Function Description: -- This function calculates the minimum required value -- for the used width of the command BTT field. -- ------------------------------------------------------------------- function funct_get_min_btt_width (max_burst_beats : integer; bytes_per_beat : integer ) return integer is Variable var_min_btt_needed : Integer; Variable var_max_bytes_per_burst : Integer; begin var_max_bytes_per_burst := max_burst_beats*bytes_per_beat; -- coverage off if (var_max_bytes_per_burst <= 16) then var_min_btt_needed := 5; elsif (var_max_bytes_per_burst <= 32) then var_min_btt_needed := 6; -- coverage on elsif (var_max_bytes_per_burst <= 64) then var_min_btt_needed := 7; -- coverage off elsif (var_max_bytes_per_burst <= 128) then var_min_btt_needed := 8; elsif (var_max_bytes_per_burst <= 256) then var_min_btt_needed := 9; elsif (var_max_bytes_per_burst <= 512) then var_min_btt_needed := 10; elsif (var_max_bytes_per_burst <= 1024) then var_min_btt_needed := 11; elsif (var_max_bytes_per_burst <= 2048) then var_min_btt_needed := 12; elsif (var_max_bytes_per_burst <= 4096) then var_min_btt_needed := 13; else -- 8K byte range var_min_btt_needed := 14; end if; -- coverage on Return (var_min_btt_needed); end function funct_get_min_btt_width; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_xfer_bytes_per_dbeat -- -- Function Description: -- Calculates the nuber of bytes that will transfered per databeat -- on the AXI4 MMap Bus. -- ------------------------------------------------------------------- function funct_get_xfer_bytes_per_dbeat (mmap_transfer_bit_width : integer; stream_transfer_bit_width : integer; down_up_sizers_enabled : integer) return integer is Variable temp_bytes_per_dbeat : Integer := 4; begin -- coverage off if (down_up_sizers_enabled > 0) then -- down/up sizers are in use, use full mmap dwidth temp_bytes_per_dbeat := mmap_transfer_bit_width/8; -- coverage on else -- No down/up sizers so use Stream data width temp_bytes_per_dbeat := stream_transfer_bit_width/8; end if; Return (temp_bytes_per_dbeat); end function funct_get_xfer_bytes_per_dbeat; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_fix_btt_used -- -- Function Description: -- THis function makes sure the BTT width used is at least the -- minimum needed. -- ------------------------------------------------------------------- function funct_fix_btt_used (requested_btt_width : integer; min_btt_width : integer) return integer is Variable var_corrected_btt_width : Integer; begin -- coverage off If (requested_btt_width < min_btt_width) Then var_corrected_btt_width := min_btt_width; -- coverage on else var_corrected_btt_width := requested_btt_width; End if; Return (var_corrected_btt_width); end function funct_fix_btt_used; ------------------------------------------------------------------- -- Constant Declarations ------------------------------------------------------------------- Constant MM2S_TAG_WIDTH : integer := 4; Constant S2MM_TAG_WIDTH : integer := 4; Constant MM2S_DOWNSIZER_ENABLED : integer := C_MM2S_INCLUDE_SF; Constant S2MM_UPSIZER_ENABLED : integer := C_S2MM_INCLUDE_SF + C_S2MM_SUPPORT_INDET_BTT; Constant MM2S_MAX_BURST_BEATS : integer := funct_clip_brst_len(C_MM2S_BURST_SIZE, C_M_AXI_MM2S_DATA_WIDTH, C_M_AXIS_MM2S_TDATA_WIDTH, MM2S_DOWNSIZER_ENABLED); Constant S2MM_MAX_BURST_BEATS : integer := funct_clip_brst_len(C_S2MM_BURST_SIZE, C_M_AXI_S2MM_DATA_WIDTH, C_S_AXIS_S2MM_TDATA_WIDTH, S2MM_UPSIZER_ENABLED); Constant MM2S_CMDSTS_FIFO_DEPTH : integer := funct_fix_depth_16(C_MM2S_STSCMD_IS_ASYNC, C_MM2S_STSCMD_FIFO_DEPTH); Constant S2MM_CMDSTS_FIFO_DEPTH : integer := funct_fix_depth_16(C_S2MM_STSCMD_IS_ASYNC, C_S2MM_STSCMD_FIFO_DEPTH); Constant MM2S_BYTES_PER_BEAT : integer := funct_get_xfer_bytes_per_dbeat(C_M_AXI_MM2S_DATA_WIDTH, C_M_AXIS_MM2S_TDATA_WIDTH, MM2S_DOWNSIZER_ENABLED); Constant MM2S_MIN_BTT_NEEDED : integer := funct_get_min_btt_width(MM2S_MAX_BURST_BEATS, MM2S_BYTES_PER_BEAT); Constant MM2S_CORRECTED_BTT_USED : integer := funct_fix_btt_used(C_MM2S_BTT_USED, MM2S_MIN_BTT_NEEDED); Constant S2MM_BYTES_PER_BEAT : integer := funct_get_xfer_bytes_per_dbeat(C_M_AXI_S2MM_DATA_WIDTH, C_S_AXIS_S2MM_TDATA_WIDTH, S2MM_UPSIZER_ENABLED); Constant S2MM_MIN_BTT_NEEDED : integer := funct_get_min_btt_width(S2MM_MAX_BURST_BEATS, S2MM_BYTES_PER_BEAT); Constant S2MM_CORRECTED_BTT_USED : integer := funct_fix_btt_used(C_S2MM_BTT_USED, S2MM_MIN_BTT_NEEDED); -- Signals signal sig_mm2s_tstrb : std_logic_vector((C_M_AXIS_MM2S_TDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_mm2s_sts_tstrb : std_logic_vector(0 downto 0) := (others => '0'); signal sig_s2mm_tstrb : std_logic_vector((C_S_AXIS_S2MM_TDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_s2mm_sts_tstrb : std_logic_vector((((C_S2MM_SUPPORT_INDET_BTT*24)+8)/8)-1 downto 0) := (others => '0'); begin --(architecture implementation) ------------------------------------------------------------- -- Conversion to tkeep for external stream connnections ------------------------------------------------------------- -- MM2S Stream Output m_axis_mm2s_tkeep <= sig_mm2s_tstrb ; -- MM2S Status Stream Output m_axis_mm2s_sts_tkeep <= sig_mm2s_sts_tstrb ; -- S2MM Stream Input sig_s2mm_tstrb <= s_axis_s2mm_tkeep ; -- S2MM Status Stream Output m_axis_s2mm_sts_tkeep <= sig_s2mm_sts_tstrb ; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_MM2S_BASIC -- -- If Generate Description: -- Instantiate the MM2S Basic Wrapper -- -- ------------------------------------------------------------ GEN_MM2S_BASIC : if (C_INCLUDE_MM2S = 2) generate begin ------------------------------------------------------------ -- Instance: I_MM2S_BASIC_WRAPPER -- -- Description: -- Read Basic Wrapper Instance -- ------------------------------------------------------------ I_MM2S_BASIC_WRAPPER : entity axi_sg_v4_1.axi_sg_mm2s_basic_wrap generic map ( C_INCLUDE_MM2S => C_INCLUDE_MM2S , C_MM2S_ARID => C_M_AXI_MM2S_ARID , C_MM2S_ID_WIDTH => C_M_AXI_MM2S_ID_WIDTH , C_MM2S_ADDR_WIDTH => C_M_AXI_MM2S_ADDR_WIDTH , C_MM2S_MDATA_WIDTH => C_M_AXI_MM2S_DATA_WIDTH , C_MM2S_SDATA_WIDTH => C_M_AXIS_MM2S_TDATA_WIDTH , C_INCLUDE_MM2S_STSFIFO => C_INCLUDE_MM2S_STSFIFO , C_MM2S_STSCMD_FIFO_DEPTH => MM2S_CMDSTS_FIFO_DEPTH , C_MM2S_STSCMD_IS_ASYNC => C_MM2S_STSCMD_IS_ASYNC , C_INCLUDE_MM2S_DRE => C_INCLUDE_MM2S_DRE , C_MM2S_BURST_SIZE => MM2S_MAX_BURST_BEATS , C_MM2S_BTT_USED => MM2S_CORRECTED_BTT_USED , C_MM2S_ADDR_PIPE_DEPTH => C_MM2S_ADDR_PIPE_DEPTH , C_TAG_WIDTH => MM2S_TAG_WIDTH , C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL , C_ENABLE_EXTRA_FIELD => C_ENABLE_EXTRA_FIELD, C_FAMILY => C_FAMILY ) port map ( mm2s_aclk => m_axi_mm2s_aclk , mm2s_aresetn => m_axi_mm2s_aresetn , sg_ctl => sg_ctl , mm2s_halt => mm2s_halt , mm2s_halt_cmplt => mm2s_halt_cmplt , mm2s_err => mm2s_err , mm2s_cmdsts_awclk => m_axis_mm2s_cmdsts_aclk , mm2s_cmdsts_aresetn => m_axis_mm2s_cmdsts_aresetn , mm2s_cmd_wvalid => s_axis_mm2s_cmd_tvalid , mm2s_cmd_wready => s_axis_mm2s_cmd_tready , mm2s_cmd_wdata => s_axis_mm2s_cmd_tdata , mm2s_sts_wvalid => m_axis_mm2s_sts_tvalid , mm2s_sts_wready => m_axis_mm2s_sts_tready , mm2s_sts_wdata => m_axis_mm2s_sts_tdata , mm2s_sts_wstrb => sig_mm2s_sts_tstrb , mm2s_sts_wlast => m_axis_mm2s_sts_tlast , mm2s_allow_addr_req => mm2s_allow_addr_req , mm2s_addr_req_posted => mm2s_addr_req_posted , mm2s_rd_xfer_cmplt => mm2s_rd_xfer_cmplt , mm2s_arid => m_axi_mm2s_arid , mm2s_araddr => m_axi_mm2s_araddr , mm2s_arlen => m_axi_mm2s_arlen , mm2s_arsize => m_axi_mm2s_arsize , mm2s_arburst => m_axi_mm2s_arburst , mm2s_arprot => m_axi_mm2s_arprot , mm2s_arcache => m_axi_mm2s_arcache , mm2s_aruser => m_axi_mm2s_aruser , mm2s_arvalid => m_axi_mm2s_arvalid , mm2s_arready => m_axi_mm2s_arready , mm2s_rdata => m_axi_mm2s_rdata , mm2s_rresp => m_axi_mm2s_rresp , mm2s_rlast => m_axi_mm2s_rlast , mm2s_rvalid => m_axi_mm2s_rvalid , mm2s_rready => m_axi_mm2s_rready , mm2s_strm_wdata => m_axis_mm2s_tdata , mm2s_strm_wstrb => sig_mm2s_tstrb , mm2s_strm_wlast => m_axis_mm2s_tlast , mm2s_strm_wvalid => m_axis_mm2s_tvalid , mm2s_strm_wready => m_axis_mm2s_tready , mm2s_dbg_sel => mm2s_dbg_sel , mm2s_dbg_data => mm2s_dbg_data ); end generate GEN_MM2S_BASIC; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_S2MM_BASIC -- -- If Generate Description: -- Instantiate the S2MM Basic Wrapper -- -- ------------------------------------------------------------ GEN_S2MM_BASIC : if (C_INCLUDE_S2MM = 2) generate begin ------------------------------------------------------------ -- Instance: I_S2MM_BASIC_WRAPPER -- -- Description: -- Write Basic Wrapper Instance -- ------------------------------------------------------------ I_S2MM_BASIC_WRAPPER : entity axi_sg_v4_1.axi_sg_s2mm_basic_wrap generic map ( C_INCLUDE_S2MM => C_INCLUDE_S2MM , C_S2MM_AWID => C_M_AXI_S2MM_AWID , C_S2MM_ID_WIDTH => C_M_AXI_S2MM_ID_WIDTH , C_S2MM_ADDR_WIDTH => C_M_AXI_S2MM_ADDR_WIDTH , C_S2MM_MDATA_WIDTH => C_M_AXI_S2MM_DATA_WIDTH , C_S2MM_SDATA_WIDTH => C_S_AXIS_S2MM_TDATA_WIDTH , C_INCLUDE_S2MM_STSFIFO => C_INCLUDE_S2MM_STSFIFO , C_S2MM_STSCMD_FIFO_DEPTH => S2MM_CMDSTS_FIFO_DEPTH , C_S2MM_STSCMD_IS_ASYNC => C_S2MM_STSCMD_IS_ASYNC , C_INCLUDE_S2MM_DRE => C_INCLUDE_S2MM_DRE , C_S2MM_BURST_SIZE => S2MM_MAX_BURST_BEATS , C_S2MM_ADDR_PIPE_DEPTH => C_S2MM_ADDR_PIPE_DEPTH , C_TAG_WIDTH => S2MM_TAG_WIDTH , C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL , C_ENABLE_EXTRA_FIELD => C_ENABLE_EXTRA_FIELD, C_FAMILY => C_FAMILY ) port map ( s2mm_aclk => m_axi_s2mm_aclk , s2mm_aresetn => m_axi_s2mm_aresetn , sg_ctl => sg_ctl , s2mm_halt => s2mm_halt , s2mm_halt_cmplt => s2mm_halt_cmplt , s2mm_err => s2mm_err , s2mm_cmdsts_awclk => m_axis_s2mm_cmdsts_awclk , s2mm_cmdsts_aresetn => m_axis_s2mm_cmdsts_aresetn , s2mm_cmd_wvalid => s_axis_s2mm_cmd_tvalid , s2mm_cmd_wready => s_axis_s2mm_cmd_tready , s2mm_cmd_wdata => s_axis_s2mm_cmd_tdata , s2mm_sts_wvalid => m_axis_s2mm_sts_tvalid , s2mm_sts_wready => m_axis_s2mm_sts_tready , s2mm_sts_wdata => m_axis_s2mm_sts_tdata , s2mm_sts_wstrb => sig_s2mm_sts_tstrb , s2mm_sts_wlast => m_axis_s2mm_sts_tlast , s2mm_allow_addr_req => s2mm_allow_addr_req , s2mm_addr_req_posted => s2mm_addr_req_posted , s2mm_wr_xfer_cmplt => s2mm_wr_xfer_cmplt , s2mm_ld_nxt_len => s2mm_ld_nxt_len , s2mm_wr_len => s2mm_wr_len , s2mm_awid => m_axi_s2mm_awid , s2mm_awaddr => m_axi_s2mm_awaddr , s2mm_awlen => m_axi_s2mm_awlen , s2mm_awsize => m_axi_s2mm_awsize , s2mm_awburst => m_axi_s2mm_awburst , s2mm_awprot => m_axi_s2mm_awprot , s2mm_awcache => m_axi_s2mm_awcache , s2mm_awuser => m_axi_s2mm_awuser , s2mm_awvalid => m_axi_s2mm_awvalid , s2mm_awready => m_axi_s2mm_awready , s2mm_wdata => m_axi_s2mm_wdata , s2mm_wstrb => m_axi_s2mm_wstrb , s2mm_wlast => m_axi_s2mm_wlast , s2mm_wvalid => m_axi_s2mm_wvalid , s2mm_wready => m_axi_s2mm_wready , s2mm_bresp => m_axi_s2mm_bresp , s2mm_bvalid => m_axi_s2mm_bvalid , s2mm_bready => m_axi_s2mm_bready , s2mm_strm_wdata => s_axis_s2mm_tdata , s2mm_strm_wstrb => sig_s2mm_tstrb , s2mm_strm_wlast => s_axis_s2mm_tlast , s2mm_strm_wvalid => s_axis_s2mm_tvalid , s2mm_strm_wready => s_axis_s2mm_tready , s2mm_dbg_sel => s2mm_dbg_sel , s2mm_dbg_data => s2mm_dbg_data ); end generate GEN_S2MM_BASIC; end implementation;
bsd-2-clause
2aec1b1709cabe00e54421e7044ab2fe
0.408055
4.603706
false
false
false
false
Logistic1994/CPU
module_IR.vhd
1
2,039
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 23:37:33 05/14/2015 -- Design Name: -- Module Name: module_IR - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity module_IR is port ( clk_IR: in std_logic; nreset: in std_logic; LD_IR1, LD_IR2, LD_IR3: in std_logic; -- ¿ØÖÆÐźŠnARen: in std_logic; -- ramµØÖ·¿ØÖÆÐźŠdatai: in std_logic_vector(7 downto 0); IR: out std_logic_vector(7 downto 0); -- IRÖ¸Áî±àÂë PC: out std_logic_vector(11 downto 0); -- PCеØÖ· ARo: out std_logic_vector(6 downto 0); -- RAM¶ÁдµØÖ· ao: out std_logic; RS, RD: out std_logic); -- Ô´¼Ä´æÆ÷ºÍÄ¿µÄ¼Ä´æÆ÷ end module_IR; architecture Behavioral of module_IR is signal thePC2AR: std_logic_vector(6 downto 0); begin process(nreset, clk_IR) begin if nreset = '0' then thePC2AR <= (others => '0'); elsif rising_edge(clk_IR) then if LD_IR1 = '1' then IR <= datai; RS <= datai(0); RD <= datai(1); ARo <= (others => 'Z'); ao <= '0'; elsif LD_IR2 = '1' then PC(11 downto 8) <= datai(3 downto 0); ARo <= (others => 'Z'); ao <= '0'; elsif LD_IR3 = '1' then PC(7 downto 0) <= datai(7 downto 0); thePC2AR <= datai(6 downto 0); ARo <= (others => 'Z'); ao <= '0'; elsif nARen = '0' then ARo <= thePC2AR; ao <= '1'; else ARo <= (others => 'Z'); ao <= '0'; end if; end if; end process; end Behavioral;
gpl-2.0
0a4bd22e7f291c84e9daf221b69cff20
0.562531
2.908702
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/sequential/rule_401_test_input.vhd
1
1,812
architecture rtl of fifo is begin process begin my_signal <= '1' when input = "00" else my_signal2 or my_sig3 when input = "01" else my_sig4 and my_sig5 when input = "10" else '0'; my_signal <= '1' when input = "0000" else my_signal2 or my_sig3 when input = "0100" and input = "1100" else my_sig4 when input = "0010" else '0'; my_signal <= '1' when input(1 downto 0) = "00" and func1(func2(G_VALUE1), to_integer(cons1(37 downto 0))) = 256 else '0' when input(3 downto 0) = "0010" else 'Z'; my_signal <= '1' when input(1 downto 0) = "00" and func1(func2(G_VALUE1), to_integer(cons1(37 downto 0))) = 256 else '0' when input(3 downto 0) = "0010" else 'Z'; my_signal <= '1' when a = "0000" and func1(345) or b = "1000" and func2(567) and c = "00" else sig1 when a = "1000" and func2(560) and b = "0010" else '0'; my_signal <= '1' when input(1 downto 0) = "00" and func1(func2(G_VALUE1), to_integer(cons1(37 downto 0))) = 256 else my_signal when input(3 downto 0) = "0010" else 'Z'; -- Testing no code after assignment my_signal <= '1' when input(1 downto 0) = "00" and func1(func2(G_VALUE1), to_integer(cons1(37 downto 0))) = 256 else my_signal when input(3 downto 0) = "0010" else 'Z'; my_signal <= (others => '0') when input(1 downto 0) = "00" and func1(func2(G_VALUE1), to_integer(cons1(37 downto 0))) = 256 else my_signal when input(3 downto 0) = "0010" else 'Z'; end process; end architecture rtl;
gpl-3.0
4600f989e1e9873f9ca630d93d3482f6
0.510486
3.330882
false
false
false
false
cwilkens/ecen4024-microphone-array
microphone-array/microphone-array.srcs/sources_1/ip/cascaded_integrator_comb/cic_compiler_v4_0/hdl/cic_compiler_v4_0_hdl_comps.vhd
1
17,132
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block nKVTfvcWhy28Ms26bEhRUS7fPydc/AXX6LgehZvlNIfcTdExDRrVzSrBF+nQF1s3JescpJIg062m LzkWOyb0ww== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block LK3mjx0VqTFSmTOSWdupJTnxHxK5dolG5xJheNXzgiJiYMFor6r67fDbO7Nl+4UPnH+002X1y8f/ ihEMfWlvvX5eEDwAvc8DRzSWcDXkTaWuRg8/QbvDiUC/F4DQis4rjafWmwaVj+oIKRNZbPQJVKiU /kaM4ZtaN4/cvpp+gTU= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block oN63i3eymy+WSnUxna0bXPz0pmOhrWFgBADyDF0GX1dhsgn5ECHPwG1GOhS2TLtMkEu2IQffP8Kk e9pE5k6k22eyqZAYuja7x2uWS3b0aLSq7+cm1Fd3d7Q4qtKakUZ6CFE628fHuGr17a643w0XzpSA VtVFP2mtOSeSaHBiIJIx8QFASLT84h8hXbG25OdH2i+5G9qr6A87F633A4RdGIMnaVKvdqop7xsY clUQagFB1isZ+pmggN44N8wW4vzErDc4O6WJaHkvjT/MN0apnupAFgOUfK7Kx6dLjqaPw5cwMoOm jYCIMUSuX/0Y8fc9lPOV1VHRQBnGccwCwNEE+A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block a89haZQIScljY374naP6JBI3HeQ+tg8qToeP7/GNAh2rHKQfezJX++BDRV3nXc8aG2kiQc87Ap17 qaC1efEmRbiclb1Ol8GrEVM42vXB12rrM5kT35t8G186Gcr/aFnc0nT5odsNSIIH8GfZWdclSh9A sGUzlEf8+my2pAcgWk0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block LRChAyjqYMtfpLdZfB27oWV2x2CQkQ3GE03NOzUH8asDz0tUUtCbca3WQ6FQKkfVSzarvPwDpXGX dNogsYiocDnSRkJ30FXseEdTkHSx2JQzk8wa8WBU/Zc+yTjOd8QNtKNMn/bm6BD/shpPCh/LNUXV W9iorMge2lvFdQ/7lNEfyjS3N5FRj4tm4DogNFNPbH3S8bVIKnU44OcN53lYQVB5+zlsJZSMdySg olCd3SllgDqibnUF4QFwci+yeYfx59aFiZk5dstz+XdDosrZj4SStWfPOS58V1gH92TomfF1/cCN 9X+CaBxLlvLWG+HDPizmcRbJ6MKvl8tP5PNjRw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10944) `protect data_block /6UTqixguvDNKQsD9p7BjA1IgdMHhUp3grHNh+GW9wQn/0v9omX9ltuc/aovRfdXmMo1L+Y0ZS43 6Pz0rjPOcV/QzpbmldWNHJ8Hpvkq7UySbcUlNpRv9Vkdod7pkGKZCyPAx3VTXWbtu//dEIoenI4u uVxzLM6lwpOVkw4JHCDE7pQJXYYKQFu/YWDY7Pa6DyG2JKl3MRYu2DezhhLaUD1UrxwUhXpv/F6N aw3TdwahEv0f2wNvANf9OTYBin05Lk/CRcaTpXxtXCLg8DZkEruYlZI8nMUrHMxyduWeApD2lHgV YuD+Lyl7kmimcK53THG6fY3waTgqDdKMvJ6S17KjI2saQHaI5CD0UDJ0GJQu6kzwggU2kuvAreR8 tvblKFXXjtX3o27DxganmquKLTzCI3ZHHmXrQyTTheZJ/icd6hKGI+teK8o5XfxFRlopDrpz9z0m ta0rFPXhv9wSi2+RhCfjCmlQ+mpvWLANdIgqUtM/DZniQdxpxwxK7JSZKadi2E5SOuo4bV3j0GAK m117GUpn3XMIybEObD9rw5+tPcsQB45ENwQeY8r+aMFQqid9ZbWn6evcYKCRxSrTdpSJHDlvD7YX EL+9mOTODR8kpJITMO1QXZIw5iB78URKNkZW960krSO2FG+CmGIyQW+0cBtvahzWMxz3lWSIvXpo sR1amMts+rDnvKaniQNyYxtwzyBm6kgjIewqRqqSKzo+bO/xhJKcq90W8tU5MLnrTJVNAVYDAvga WRYZSop4Rplu0uzZwcBTlIWG6ZX0fsa6QliBQWA3PrReKLTmTcR8CiLa2mURll3utV1C12rJY5Ne oDeQQUkBDeL9tMp4ChxiDxW4L7KViJPAyLBvZ+HpEpiqRKptOre4keEDDyA2WyGUftzfmdV8ZsSm n2BJpgmT+zuHnMRLj1zT7buAR8XyXrhco25OtjDR9busHs4TMpl5awPz2uMFKl/Zcz3Lw46FvW0r dORATZWomSyzZ9F6TxVmxbVzEiaG6hqvbHTe54Yh5HMQ7rmjM8UwabF7XTNy0Mw2j5nypMeaWNGA bNRaU4ZKueMW2EGPkiqGPDfaLKR7B28lYYUADHPHMMUjUMDfQ5GK+k22WuJFbXqvEfUCySgPfP+b s6iaM4nOI7qlyFpRWAt8aMTKHdfnza91LX1KDywU5F99Nx9/Rc55BPuoTmrFZBqSLB2tRXNQ/9Hx JSrQIQPpxn/tnk4eaYRjCv3qJLKFasCSu3scftmfIcOPkAWdvchi84cic6ZZ6ezHzSlyMHaJTVvV BTJuLanNU9kvYzUKotHkbDPcV1WjwtPup2Q2c8sAFihPvN37wvWmADGw1aYR6UVTBcTe8f+O2Pcm xO9XY7Ibe9hRf9mXLH7vXQFI6KK0NiwKu7fXOstEQMOHuFu75A7+irLRyuUiXiFzGwtulI/OBV/I bKVov8fcW1IhBsieAhFjppJK+92N/vSV9A8qqIZviq7DmRY7mDZ/ltc/HQun4UU5l1yQaCjb67Ew PP5YTE1n2KSZrgTilkgktvJ7ubSlI0nKuRB9Ib3/wjolo9P+JFymTi4ti9ptcsNAuTf8rW/h2ksL Pcaxq3QRGyxCG2MtIyxHJNdsF1lDicZWxGPiBi4lX96UEKZnP6F4sUXVT5/q0Ug/UIB56ZIEOUzs /78ZvP4+ReJQYqv9se+MwWl/sNhabJdVxLNXXhBT1WaFRLiU7iLnBcrcqn8xn/IPzwHF0e7KdXna hrMtpQIlDKwL7MRZtAT1+FskZR9cldNFdfbM5CAQK8LE5zrEt28YQeWQY0hjyRct8TJpo8VKWUUC MFcjPvtwWRgrmwKJ7CTdJ8X7ya6HBU3TLjss6zax9SruyFA8SreD+JtgQ7JFQkkRBoOEGVlvP2kv MNwCWGx3nDgxYmLy80yJWN3Pikp8R1roWfCye047Qj/CnuZ7pXMyFCxvAyoM1MMU0u6vYMXbb+5q eclY4DN3GyHc5YMX6srcIAGFyZF44iNGF1AHVeRVzdxxvr0tPWENwX1sUOPAs37aQS0O5NZLOqOK FDGkT8E7g355WN9HqXAsYbxscYsZHUet17UFkhTI4yvhKu5fDrlDt/Azv9bDV/cSKiFcP1U6EpGG enxOo7zRfw44CEBvHjsXcSKkI9RhSl8OdNI/yasHKExcut+tQWfK2XTNFQv6djxjkIKyZn75lfPz ndC5SA2Cs3DzgOvVQGSXfZnIH39kpLQG2mGHRMVi5U5Fea3FnAJlDutqv5uaUdSnt6wr2Mxs9gYm 7pyZbB6kWmAh3J3nEe10e5ic+R1BLzFyuuK4ZjyJjwwJLhQsz9Cl4q//jL78tfG1dNnez0hQL5x7 tYMQkpBc3fZ/b2uZIkqffIFPBpmx6WXEZg9QqBTkUacLRt/V2uFSjr7RxngxUQz6dKeX5hCitFMl KGDq4R0ds76etwzFlUJab8TS3ZrBu1l5v5pCRj7x+dIqpN9VHMwYIrpx7EodeH7J5O42zqCNGhmk xz0l0mqCYZSTH2LyQvvklpIiz82bl7G0xAjs+1tihiFcfb1GGS1VnXwjny2wiahOp4R1kqdCOq8u A+n/qNa/qNmA/OaSkOm6VMeM++AFoI7I1oi+gOdOQjQT/Vj4Fkdc7SLH7Dyasb5FtpehVCXfzxlv ErOKDNuYdYFbWqL4PKC86GVPEWsWiFrSzvaFDUSltLs4JECl5v5C3Y7Y3ojnJHNpZQVWX42TC8zN 5SSCnMD0hrIdEEH+RJufbgf2r5twucVQzwYqFU2P94uzYr3Av8d6wcFMwEP2u873L1zlzbmlkHcs 5qaKMDne6ycqsrdKJH8Km9EyPl1yjEIcocGX9VdDFS7wdfFo5MLWuMaNzUF/RfPJ0cyY2JRRolCO J2Oysuw/vP/VU0ehdx1iH7HHdVF06o32Hgx+7dZrVN3fYbtnAwBnbkwVkuV0lamP1uO2JMMve5/t 5eH8t9jZeyCoYUk0/CgBrYGvHUIGBVZE8MHjfCS5qPn1jt4ShBCkdULJ8PaQ9SGZxIE9MjQL4orS YC/5QzNxUe+Hz+Y4QW29al8e9h5cFu9tQNfLzRz0FPyStYVPgllLat/metqLvJq33eKxiqtvhip4 hN5YemLaB3HP9yxM+EELrjL8y3GNKKgixGG45OVhi0J/iNuBCZ5vudubP/ozA2+8S3DpXWQrS5PG S2SSUFlU2bgYVT1MWSmI7Fs49tA1ry8CWfCDwsUBI+DZnIFsHl56ugvm42dTl7CE0SQubcYdhrJS dGRuKJhHvEs8QXx9knnUJJRwGjYZBkO6IfKvDAUywDXQAH1QQUTRHNfOH7ACkpR5J29a7QkfVgAA O4Sb0oFtuugSOpREXdGPBHtfCF05/6rbYrjzrmaSLl7jKRa0VHuLllhOgz/cdvJsOztWvWqbLAhM f9FrxAduhhXfHNYwPFoAWaxBIwm/nBAdP9KJX0Tq+qNU+x11yVks3kgL8HnK1OUbfMnmUAXY8JOY PlS6bP8MXuRyEGfpfcHAtAPltsCqSOg1Mbgvvsz/dRXydluRyCEfCVQE+cnXVqiB4YIDNQ89Dnkb RSuzUR4edjIjv7Bksk8DoqgjwyD3SEoNJNhSZwJNpe6sk1HecbWYeOXBPBtqz3Lz5KXiWR3noGOP EgSL7mUFbz4X8MKZjIi1xCCSfg32SF2nChqABEI7JclcMO9eOVbBNoKG+dslczfJb+rUh6eg+Nvw hWPpkBCzFqtnFFibjrkyGdj3uVgq+OKlgh+whKBsc8aTbNSx0iYK4w+CiOxtJaaDJoWPqMCemWBl KV+Nmh9kYXNZjanmVeNVRudUhc2tcS6/oL346gtYUcsYNjP2cC+gFcPIK3P3dc4KLeno0pDNc6SB NdQldBw+dRb0pH2r8yy87DGXMjcAreOh7W3l+YqlAyQR2OAwqneYFkjn1wy3n/BYHv8p7txsGQ4p /+AmpNA7k1Kwa+ZyRsAtRA2GCDBdeDOo4Mo2OCKKAE4lOSpkygsJ2BcHBrr2+lSiHScYme9475jC hcN5SpV+aiMOeowFtL1gx06Ro2h5BJ5shYgrPt6YsMylcMft0/9flSKdbxpi/s23LVufFTzorZv7 prBJ0x+aNpx8V6rVqVEeazn8Yuysj6VYEx+gAZBHm5XAb3c8OQI9CNofpnCH77cBnw1NYNnLTthz lEWojy/Hd0F2bRgaj3i0G9cCUD8NNsRvNfj7UzHuGIc9it94n4jn7yTm7AU29XeQvh1FFdLfWqsa wQiAWWAwYUdSFwP/yhqwDcM4nZ9HeeLK+4kAqom47s5MvJBhBuOEUTEEGFql6L5COWh4UqsPi3uH 1jog3ixK6tPSNdNA470bhN9/YZqn5it3FQ2sXw1hCYMpfrE4yUWkxv7p9+3XcLAJ4u1Lo5UqrGPk HSfI0dxfxmYmcBt5q2Zxssn+CHBPmhs2NOxLrV7rAEOQYw4BK7xQP68rpXc1wGRBTqJ3juZlTMMq BpaA0l9DnTtPgptg3Nl/b6ItEhiXMGm852+VXrgWQX7MORaWsZqs4XWEwH/iXrLM9O+rfy9/woAb UgS9+n7qcP8D9wUtUjWi7AaW78igBboD1j3L1zaZWtMeTEMuDJbHGQH5pOl4/2j3zU/kYcrQiVUy +NCKywaVhSWH7ogfoYNlLNi/0E6nl8PiRJCKZp6VvTkYEnHPNu7Y/T6vJ3K6Ke/JgHqWM/N6I+5E ubraxq0rxONYbY2r9eRRN2NOioh8KS+KuN2NJt+LdAJwysxQMoFmf1t9Zd1aiWlmi9qHN7Zm3udX OnYqLND6DoDTnMAWLR161lXqrEv16ImGZWQfzfIjFGvrMOm8sXhRuuaeGIas0rpgN7FifyImqU61 ZaSjEucy/3UlSXaofN6oo/Va5OKqGth4DnOVRBoH1QJfy0qqlIdMafu9DAAKWOqKlGArUU4/SVb9 3L7MjHjVzSWjw7n6MRYJjAuRSy25Bg8lhGEJpoRXV7h4UsYEYSv1Zbi7ymWDP09jksLLaLdz93V6 eenl0+rdLE8ngAW0SXYoC7aW06tKtBaj5FYTYn0V/9/ckQGGubKkPpjVCV0vToci2XRmL0yzaIUK mGtz6ed4tJXwsVWXVrBjbPsnDzJ02MCDhNlQWKaE5BM6W1CA5AIrDHvQ5bU53M+vOk0m4OkJPL9q B26DRIil0hRgpEAH8bdxruUegINNsUDpJtreiof+c7LzqjVxJxgDxJxf79XAwUVSVHqAcqACi9m7 /U1chGu9R8F+fjl8QzSI3Pb5idtnJopgRGD67qxNg0/XUcloyx6qKRF67dpU29FctkmUM+CJo9Em wEuVInLPqE8W8FV9rYf1WohUZDnHCQ31PY4iiLHQG2peiz/dEOwc7rxtNvgU1677CNBAxwwdJLR4 wnuee8HuaqxTQXcoERMgbl6HhzE30ZJ3I8kFQLcwQf9U1rcegH4VnTEL//eZOYQeApPydMsus3bN X9gNYxWW9yvCoOpfxLOvUL9bo+t6aNwPoJfZTgIpfwe383jrhu6HkRLdt3uQX7lGmSCREZY+fZG3 iGS4/gM+UqcJS+d8xfFJMJRgDSzXm76UNDug46nECG9QknZ7mq9hlQNOrkp1Rgn666iFYXKX/dVi o9XXGnjWCH5uyvml+z5HazawGlTkhy1vwc7sZS/fT6ZAnMynAub5OgvoLxYzBv/xmimur51q6ypR 4XZoJIsCjKgFXUCLG3HGeRNqClRiP0CVSCcjBNr7bMvK2tZC8cOBQPGLP4bld4H/GBGhtGAiPMJE 4EO13dWzPETtWsaU4pzr8538/j5KUJrTjX+2Z96Hxgq5IGyFDI7VtJ4zeyHCpWDrfQ567D8FFCDP UrjFjR74StgWYBXLIVoMWNf2rfdeIl8Bbw+HMXF6w1ixXrEI8loudbMAebSxI+cil01RWFkbkglD Cbkmh7L8r0lDg5pAYnk97/5HagaIKeRKC3oPT+PFX/y/iDluaznTbV/vqGne8MjUPU7+G8QMdXMh svSrrhtHtShhipL1XrOjRJfCExEW2w16O9GSTG+IGhTjTUvN2UaOJKzWgnWL/3S2wKIV9UZRzGRX TfeoITIFAt4ersHFFEQOo5FKHUj7StQKaCY6q1JakVQiGyrjfyvolhEfe7S4lqOA+f/Og7nJTeDJ E0i+lFr8D0nIgcIrcL6hqiJPDYiIZkHEEZ2w8y8KrypTDImQ8K07y5zutqCMFZDOVLB2VaTFSK2+ ilsmLKBmhaXcdGUWCSiCc6sovzOGNVInV+lz6vRDrnbcAn1geHP/U2bi7YX6OBwOJSah/+PFy3mv Uj14wnT6wvdz6OiZFiOY7UVIuBbAr7QThHQI5y5PNGh3+++cl81Sq+qINOjvEt1Dzvo5Wh8kKTd3 ep9fGzJueXLg82Xi16jW3yoZbOyjxOqul1TPIJIsJO13ZL8nU/vl4V1Bo5SfMZEGfUC5fGz4SEYE l4Wwgm27mBSlK984QdZNhn+NjhJTY6E8rdDuXvuKjsKpdCKVjC5VhO0PZevO+8HRiyTVIOrytKsm 1eOdhlMMdftxVe//t1QKVP1edjejzjtF95z34E1CcGKAdupdDoAag9RBHYCGVBfeIzazZG133eR1 Xqxzp1dWi7EzimOqBlVMJ8Od9/80oUEInFXIIPXhS+/9EkqVP5S6+gsapqQmnmn+hdbr2Pjgov86 DBywq57bpiy3NO7lVUPAPLSpiw5kAg3i98x6jBD4vQDfY/P25kE6pU57F0Ii2S60WTcuqrsyUD+6 GWTBJremNre+B47W6rr5jQSrqbNS66L0cd0hYqKuUeb8qaNGqhGcZ7higsHSEjhVzPMscUucITm7 ZBJVgNL7nb8C3fzZeM7r/aDzbPXroYidZL2mJQr65rw2mpOSS7+teuaQ18AYoiXagsoaDTYTEwOE E4ZNQr6+EeePj4+ZYzQhsXMDdr++biTPzk1WS7G9XBgWbyGJel5/v7qe3sTUBc+fx8NsQxhzy3nM YwVK9q9IfNiADBu9qMmZhu/EqasFMKcUhEeTqXpsWML49/EPhyzzcNJvKX/ccLocIJR8yH9PUh9v LPIssHpCifAdasdkWdIoFEKXS14LNNgqLTGgrdKPYjN3IVjya9ZVCsOtM0TW+F9ptX0O9CH9nmm9 Egw7fGs4cshoOJalJe4ramgkv1TrTYbvWQq0cCSHK1RfnLKyGVnZzjvORbxrg0u3qBUcof9zQI37 bqVIu2t3Gr/e/4V2lawDN8+kZ0Ekihix84x3iSTIJsKNuBiAhNUnotZLagkzt2xGUzhbq/psknuK Y/70+B8kqJcMs1fWuCugGsrigBVa9m/BSdt248mjyYn2920wZtzkhOSR/9iOkmWmqBmMEcsN66Rw wxFUJMPip8rG2a+sFLTMVxmbI4IEam/ZMNYJIEQC1z9vC/Znv3+3CVSakAScesJgtAU9yEg/0Ut6 Eix+7a07Raw4O4oXBIGQ3S65U3l0ZccdGLNQV4MMaDWEHi65ETptdTE0CvDuER/+OOFvF8xCj0Ms vuMzregjSg6oo/YQBI19cUNr1Hb01vtBVCsC7oOWSd0FhyKF1KVHCZptpHXCVNPLdXaQ8USuklmw P4GB7qpJuy2gbDx06nlFjXD326rKUHv1ildPVnTE+NqoBaRJ1k9q4HUH4FDH3HkdWhd3LnCXJloA zOqy2+ZlMkvPTonYbLDqPKdeaoaQsaKIyYOTlttDnS+DupZMclLHccpi+4WRZlrEYnuG+VTqld4D UzP6vy8OWDv6Qqn86VDAsHYaB1x12KbpQAIqfzBlM6zsJkXKhxCVNPBnwt1Tb8AI91I0BFRrqs74 MdRHUJoiD7BHACwuAb3ztSwVTzUUFbq1GXQX9uY84wYOvMUSfG3JWaZFluF7SiLjlmg2f8a/MlMp IsIazSB7dwT2SOtJNmpT8uGEHGmt/fHL/tZNHmaKiQ9lsIbJnzVuc9cKfWaeMSajX2UR3Vs3P+Ba z/1NHVhG+cE00UAKVM+xUQQ4q2O43PSVtUumZUNKtRI9PcnWLL48wMhyTtfQU3553wn1BTZRWcYY cvpE48JewEAB6DRRszeKJHYJQOUqxMA+qpcKJx0y9rMEQ+SDaG/+jKQBfIwUgaBNch7wJCOnMyO9 iCB1Un++/jcgWPz5NQdjt8Beiw4qu6Oe4xDavpkfNDVWkvA16zJp7aMIBj5HvdsdQD6sQqmvNPQ+ jjehmvDbBSi0uEbMM5Z8irGL0t3bJatoV56Xv4GHQ+LYNopExTUavotd2eDwVwsXuvqBFYpu0Ljv hSujjnLuJA530uulE40B/+LGK6YaLIuFNeo9aZKE4xmxUYIvxsLy3miqXnqXwNOxuPKaclMDeADM 5seEHBeM9kod8E95+NEuyqyn7PHmLvcsm3GwNaWYnVN3inFWR0nvexHXIMDFoNNZY2BVOQtTyz4R OwiA+FpXdjo6sZLteVECrdqZK7zCqVdf3xgtypEXZp3nPgdwZ4y7+gVh34JrNl9zTu3rx4XXtkLf 43cqQP3fDhFJ0Z4HZKzP11a03S0CBksqKqQQFWXT9up4olXeOkTCvxs7wxPJyJZBtb5llKL8/vcb oEB5UhVBGHf7CI1k+RqtD5dcodi9oKLQWfsvqBWGXmQ6//jwvncXGPJ/L9rQQ+5UevzJL259Ew80 Zxq5aSmmzzFIKxB0NmekH5TzQgmWaLZ+xmlSc//chdrC3o7xeeTsqSpBGq1vHomJp025y/TffuHo RP5QtA+EFnNe1cl5ojj4HIclmQS3VwtQtLxhGszwtuI5IaTClDuK5JJKR1RFzrTg9UPhlXWwqdwm PfkaeN8mfYUySEj1vW7wYLUTg+TngIVrLt9G5u+0QgqLJHRL/AKWGfRuIAldA/HSFB+MafunqLAD gWO8WV1r8p515802lQHwliDtNXOZPwuMkgNXxzGfi01JxGYJPgDdTUIxKLa+S/LSHj60rZ5U3uDY 5AtjOIJL6UCmohAZI8AGs9/DneBcoz4OJ211ov0+xPDNRdlFPJKkpRrsELPvKw6xnawsozFXwCOY NtlOzqIinIrnkIEnGjtR7Q2MtefzmlBjiVTpJekakrqJ2ERAFilk84NE8Ci7Mnfk/4wk3RVES/2P WV4UG7lk8cCB2IGlN8R26wY+TDOoZKoz+hqX7dQl/QXimgwV/Xtg3EduQMW+kfT7C2gQBCfFlv/L fUf+N18JAuFuJM5XUFgSloP8U8vfOO6zvM0ubSp8ILcqoCRpsMVJxgQ7R0nSr4j9QxoW67JuRejO wfXn4LkmRrIEF8nFHs+ZNL0m5ORlohVekbJalZkxiHF+itNaRv1a9lE57xsB3n4ZT5osEKK4T8Kk bT+XrXQCOBhYR8i1VD9w2z+4I9UFym62e9fcf1JVgcO5+rVX6w/N61iybTvkEIsRuNPliB8HkQt3 6o4edrefcPn9PS5ADhTgfszMT2Z1yJqh58YKuQm+NIOCsJsBooZxaBpFX4lPEcaV8kYcoDhJgywN Xee/0SKrD54RS7sOQTpZGHMccyrhvJ1FxWwbX1uUgS7j+BWnpVw4zcNbX2P174mJgbCkSvqQwqID lh0NVQUxB3v5KZ7DIvkpwF4h0tilLszyVaGMV4N0+tVBJSu9JZmKXBPj6OFsRl8HQVB2KvoqjDuc 62IRXb+Jeaj5/v4y1s1hWkpUMbYY9mEOElQFQjYxW6gbn9BFaAzEgKjH/7cN1V6m4blgObjuAAhO 3X6XYHjSlQbv0aE6+tzqCdCwKwc6Kxn1KdCjXiYK/pCDAl70ywIqDYMdRDUyZIwyoehklEBl34kp SsUaJ/IMZvBx5NjPx3dDxG/5iObjCZjnmOpdzpxoTEFTcwAyil6Jnxk3Wa7cTX/Ejf7V/XMjJ7pG ETcpC8k9OPG92uT8sQzyILbHwOtiodiuvKdfCnUG1/o76sCrFSY2clZSZqya6iGs6htQZaiXl1DO nBxg5jOj7AJcrNK+Yr3HoSLI0mjnevKfuxvPaVO5tQnOxZFGJTvtLqkHX+TOaqNBnOjQYuy7ySj3 ZQtgxW3YySa7gR6e04WgOo5or5Ldgz0M7er91ixpyCuCVGvX+c5HhMuoq53+p/qw4yVlx6u3DNq0 OQX9nXe1nt1b6PouM2i5080RN8NCByQlQ3KU/l4OULPPvqyX5dEFCdww0TuvwrN+nug/SL6FXIoq 1JgWGBL+xDBCiBxWh7t192ut5UIX8oMB+A5d2TncNIma+PCqbNDm3uhUEmZKIm0PJnGdNu86BoYn F+yIfIIf3FQzAH4csRRVcXeM81gef+HJ0MjWbvXSDwujvdln9kkgKFfZSwq3oN8zoRRMbvaMiELQ wUbCOrBwHl3EmYk6digfoLBQ71ZHLLTHQdMOru+6vWcBUGXhoqNC83UeMnjUamLN5UP49WoL1gWv FjUJG7Uulh+ubsO9TRkPZNYumcjWd+3ZOEyiLb+gtZRaAgxrt4NKaRjmah+y3q5Z+dLd8ZrlqOdB BwmuHwaPLKDyoxW/srLzaPnMgGIjiuDxTviiUdCwWKEF9ihqDUQF61mpIRQrGRDkaBiNTrqsKsig zB9cBT5Tmgqhk5U8pDKqGh9O/qhQpB4r3KMKsey1lrDc5Fjmux0WUCUPMeCk0C0uwQVgRKdfqUYw LkreEKvzFT65dIkhe4bXBagGjNuTGXfxyJlboSwdHqhhQwz3GxJTmFThDIZGMy83/SSR2IWNWoRO 2SsomNeMuyoQfI3CNpeLS4C7UxX+yNs36RB6vn0p8mnPcnSfLcbHl9b2QpAD8kzEHjvIQ3cdaNEm FI+e/nIEKPd767WBOtJn0KQ2fYVpndLdZh1Y3U/C5sn8WsiB3vfyxehlPFhBvJbwW1REVluwbcaM mOswN2AlA+zrxUIdExOuQHmhHedM3PbS2CWteriCc1magcJmUmuKq6RR84QF176qPlb87ns+qavZ UwiIoe+lqoa68UJBJzGdtpW/mSIPbg3tyfX6aMWg6HD3OpY88QyTlPgmTpnIPO880fK4uJDif6XL Q/KovDvOGcUBKTYJ9H4im8eW9iJ7XDB2uICI0uGVOnbz85PQj88LQ0ODJKZE3GuW1uc2zbESJ4sE yX/YtHrBSzv/4WFmb6QUreCMyU9VYor7Zkark7f/gx9CCgg/Kiib5LrQEV9PbrhZm6+eKB/Ki8uD LdiLbN4vzIZClq9JUbB9tl6AiMvxC+JmAPz1BdWKVGBDQRaEASAvEypNK+2bvoDjGvljHmutYuVe PkWNdxq7vRl4AczleA8VFEldWpWQJp+N7sY1sYDnBAsPpj7YoxqTpDEu/tcjVUm77wN97DG42x+o ZxkJs9JGquG6RFeCq/vK6QAF7rqTX2fVr3DJEXv6I8zJb5T92BleJjXTyd6AbpnGLrYfldmwXZYa 1x6/3RsA74IC3Yi5Bdyr70uzDxeGD12xzgdUvqQayocs9ueFJ4TopFjiArOQeO/nV/v22MHNGSoG A5uP051IO/01JUIZeSJqlZEmcSVkHGahgzlORO35bIxRAPAiOmAxWFcbiSnYwX3AI1rXEKTiS0yz CCL5iI5e3DXNRfBxHDhZ5jxVknfHq4+5hurAH7gEKvb6LceygjsZ2R2hIqQXX8fPjaIg8/Yyv0nX qNeC4RGtuOv1cFiGDtK1JT3OOBCM0zFzO8kSXKs6W5zbDANQpifVDqL0gA/cdfRNoGSfFsGp8quy HjDp4zZ3g3fbERy0lMurgPlg/XAdjlmrFzMV6WHiqu8CQZj0KLmzKlZX76ZV20eVqewxZo0jjK8v 6aJ9f8S29VnbgSrCcVjhnPxSqpHhWZgto3BwFTCX+XXbdhDbeoSI353NTn4lV4jZHK0Ov+sZlR2U qn6SKt/qFUwxyIMlVI00tAs6KErMXZmk6EkhpmFUlpw+coUjPWLtiKz51wcHRtCD0+eU2yPpLnqe 5eEUa7tDwpXkmKNa54xqGL/neI2StiWgcb7W5maHfUkBg3GpLvtFkNpBqRoPdb53x8GHFp8ILT33 +Hs7Vgvo8kZOo622IO4dpDtmyBoX1tIaU/yrseLh5NK0GwlyOG5cfyalkVUJQ/QOnNlyxA++3Q5n xTlgtz6wYN5f7Jc0uUW/ykkTjoB7crn8Bdj/Y9m0z4W593yHH6XXY4gcQEZSgGAYrg4XseVw6dIq 2VVYdCdebZZ+pEpiHPh0ZHr0EyCiyCvNa4g3IxJkr+sE97ehVpDcqzQGkWmiCspGanyB2VdShuOO ihj+AegB853A3Q4USl6qd2GvE2Lvp7zfaHXXG1tJuLClBZYlAdf8U+5zKmpL3ipEE04rq2cqtDmv hA3GrGNi6L74myTHqawhQ10CE6x7uhDuSugQwkoaN2toHttD1zHP5kQZk03wvTzhiyFPGsMrTlH4 30CdzM1kYR3LeFUwoKpfFQ83mxMZqJhmBsCfFV0tji8j+yK8hJFxH9R0PwJFiksBKjvJlemDwS8b xcB2OfA8aaBQxDFQ9YFx1hAQMFElQMpjJMGmJSG9fPG6wX+pc/YzrHWX0SABVphqYv/Xd0XV4KVj MxMESfjb6iay4y2WzHVbuLaRSZAZrnii+1eH4Wcur7EaamPkm6+AYo5YCAIzflgFfUX3teoT9mY4 exPeKTbrbTbYCIaln1n6mUy+Zj0cOd6tO/NJGphhb0HnhLamm4CH8J4h+392PyDti3EzQ3cqmXKp F46KFhIWWTq2QvMTNYaUi9/9YQ6oKLkETSbwqdY4aTlIb8zG0VAf3bztRpeNhPHgoHDZbrRxMDV2 t3CxU90zL95NEd7D5bijQ55/JIC0hLa0ExsIIUB0t85vHxOyeX9UVmXTSZReJtAyeFpa4h5Riktn KNau+iXl20m1EJdhf5yYufJeiO108T5H2L7atof2zWgpmQHckUu3WX4qsxNEjZja1LAwbQhlQYsm VYeqXeNQZKGqJ5M8aS9CU4F4JqBZZlRmZ6z5jlLjSTGe7JEDIlKXUdl312KqaoZYvQCrSjpvfF/f sc1u2D3WqsAfAsyf1dLoOeTjICWPD8EoUt0T5z6e/2/rlxBInlmSZqVLI/du6VEfYPOifY95HYcf Qk/XxO/XRSCQgcfT009b7gO1Xoh6J87weFaw9OztV7zSOyioeXPrs8ad3LKRExyT/wJlVtZCaFuh UdRzKOmk2bLaEzaMN7v6ue7pbGgl86AgsqSr9uMd+BLiIYANWna2nUA/wqZ+M6lW0Y7f5ULo8bWt ah7KONHtsz5oRjo/mLJ6knBaP/iQKv0KYhD7uLhFSim+tXJrYPj24+Q77q55mfDHHpk/FHZ4/XK8 DQ8eMObrDTvHxCfu3NuEVRYpAYaTRAQpP7c3UjYNW+7ZIKKyim8bAyyxffYI2ZfVpl4ChT2ZTjqS 2Yi+PoUH8R2YEubjJxEi1R8wguKlzKHgGxSAKrfYUA6tM+ZkRKMJMbgnfu+8RB3Top5JbryDLl1E Ofhg7wTOIq8hsdu7D6N5fRT7hBGBYcwmAE9Q+8T/0lKhrNtcVz8MOtKYVJxZMFfRF0agsOM/Kuf6 kuRVWXZq+YMBu/zxM2t0u43lLxtDmUE8T/seM1vfAdDcNOwGNOUbsnsxlqK/RB8xSAnmpogwdnZz NCTke/Nii+RUBVaJkffqIc3qh97eL8dmQtp0GftVlEY6YHQcaUFA0ahzsKPY/hAS8mxk8i3Y4ay+ vDMdjksEOArf5i+9zdoEKVUHfQ3D2KILk0j3z3aRtKmU5+ArFoVLzxFIvTf4xNR4izJvk+8j0ib6 zu5psL/8ADeLZiFexLMlYH7UgfqFbbh6bpg1fRI50Iv1n/KKkKsvKg22qJjT++doKXygGmVQWJTf oNxr3R1iMX1SfZw0EfWdB5ndKzCJdT++oPJejzIW8eDPFagvTzMs/58VqMO5q8QfSmNxBEXb1m77 226rLPCa/+XDdo11cqx9i9HLaJk2EuFl0VhrpLbybvFAU0CocTSL++tr2kT/XojlFL51RlPOPdRv dLMYg3wfRm0iACWLIKmM+YaAmBf0A3ePl3gukmMu78EzslbA4xCJ7bXEaIbnnGs11M5xzh3Z0624 51js7fRROAGcrP8G6CvrRf6KKHd9CJ83eUWETGK6kJrkN4dMmiwNqIIcOvbU3TwBRISdryJ7L0ug KT1XrkqC1Mm1ZcXdd6xrfh5OK5OVSEf7s7vmOa9FCrV1A3JdUfnAY+zsAecI5HNk726KpKweEmOb l7DTrszO9nH1CjR9dh+90t08HwUTcc2bsI0fbunHYOqNzzMGoK+zBSBKfgFj1WV4RM8Bq27aVDeP xPoY6TjuTwToA/vJoTDMnjAqFRMpR+K6SnIQn8+bJvsewPUCDgMRxcn4mOg4e7cPw2128TTN1gnI WQmRHLS/atRN2tYl6JDP0d70j4pAOGuMlqMVWCRMLg9vyApjjjWAbb5JZevql+ewoQi2wioydCsQ ynA2mqHVK3/fUWQC+DTxqceNy+sfoSXcthGQIqqkh4NC0jXBxixT2/vXtzJ5b5tP6ftF6ENDdF8g ed71qZjeRE7xkEZReYecPJS2/3HuoPwF7hEDSfkGBdNsNxE0B8bFm7WMeXmDlslmsGSNvcOHXK7T `protect end_protected
mit
19f9cdde6bfc7e0352e4fe374cdeaa86
0.938361
1.875219
false
false
false
false
cwilkens/ecen4024-microphone-array
microphone-array/microphone-array.srcs/sources_1/ip/lp_FIR/fir_compiler_v7_1/hdl/mem.vhd
2
16,549
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block OTIiKUktUS1gPFLGjeGDiDWTDNPSt+zKTDnHf5JUvHEdGFh0yUDfuB47TNsWmakxOzhvk54vgTeG duPeQQXnDw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block eIIo3ruDg4XrBzQYp+MHPygg0bR8dPrVeXZqtSiqAEBOkL61GHPo1ndOlY6wkYsGuCGTfW7k6Qto 15tTdRrkMHg2pNjrcq4ryX9Lf16nVuCrJYFpLleYI6bQDj3tJEt7ClDLsqAVuQHIDpjK2isS5Yes 33JUF0hwl0vkfHcrb4o= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block hZDiUIl83ZrS307vcoRKLDrpndsyKwfp4zVGCgCAiehBAIW2FcOz31V7R3qcqEedZqn8gywPcsHj qkPew3SUWJjdnPXNrqqjJdKqg/vyqwrmWeAcuNZxucAh57G3YVcGG7HnDx07bjfYmdRnHgutoybT Xrup6JZpMWi0APuKAMVF9X4CXTv457lhUs7k+uVlPr1/MtY19XyKimOBUhcLkzyQQDl7mr7Xu6UD M6mXbwleuwGwwVCqA22smcGTcy7VIhMHmhQKYeTkyFaS3U10QJrPZRJAsBXUGaBfMKsi7jKJlpaK xKRlwpNEi1goL34q6v15HnMcZ70ZrqUU16PblQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IF8QW/8xEnkEUIYq5QuzjxinzgvhKoXydAyzlfi/jgsV9+2K7YJKV1I1bccN1Bufpf8J4vm+pqr1 OCCuaWCjZSdZwxMi4iWGlNSjZJUkS2wmWy+xbNZ/hQrtWm+xR++yw/Bvegc5G9p3sMMrjD1BAwJn UIjETAS/xYCs3nc20Ro= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block s+L0j0ceRwbsqOUV4AiuRBAih2lDwi86t4jV3xnIRJtSwpl0BEfXd3o9ua7fgy+mj0GGuwBD+Vmr bWnYBGdwb5JTG+w5GrYuh+hhHMD9DdKfTcULOZqbr5rytBxFgeQfqVgpPpahp8LcfoZztGf5oP3T XzRV3/IFw6xMgvbz52XJgg3RiseN7AuvY9RHRjcmMTkIZDouAZ4ysSsYyUiRN3JxAAsZ8ZDYrY5Q 1V9U5Fn9KMRCSQIneNDWZVRT7QgBsPmGUG65Up+oVxKCmLaCW4+puq5BANbV5nsTh+sfPLhgwXQH Y7bqnWXtn7364mBgCz+l3bXAg+7N+msLHen1AQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10512) `protect data_block PLgdvjA65g6Ynk/raCMzGUeD8l12ploKWchosNGVUQMkRxinT1FirCwdMoiPW2teX7XzYE2BUWv8 iQwCzTIRvUZnbWYGXdjYXsyq+kBjJCzzOsHc0o4dWnNKtuTpSOrp6UyuZCKK4h4XZvY1OII9ePTH GkbxPQJClTDnnbYVNoEG3cyxtWFX017jW1L1YehsAOVoDe4AwcJDbHn+wUPrZ/RE2NmUvASWjKGy db9tPMSr0eChBF5BFaBoi/kuP9dzn0QKcdm13IFvQAs+0IlSg1ckwIeFx1aFB169/AncSiWcDMmH fYVsGpkLPBD0y6RV0JRmjtrDq1seO69KkpfnrDfPv0//XFlGTQijvjJggj1e22IUBLA10KdLdKPG FU0yKwwvdpH6Nec3XF7fTlIfu31jodBlswYACYiCEXRcV6FeYiUDnIjBb1sWnLrzHvn3fNsRlL53 enXDDTjnrwJvDsm0ysVdsM9R2BC2lkhcEszWbRh+emtP88n+y/3/a02DnCvVwWKq4oj9X/LtAKTV /VEK0cjY6FkP2OPT1sjBsYEKdk3AEHxjZUeOs93J3tY4A3FotSuDUESvt8mp85++eVrXHz4urFi2 Odd2fiFPDU3dqhMHczdVjykb0uyGEhs4ry3R97oqnX1QGwsJmYgeRbIfNN4XnzM9vnhk3MLalaLh rMD6WMyiAA9pfBCNoALj+OoRIIm7WcAGYui61rVuRJ6PFQgqPTvdh+vFWm8nFIw46B5ior7n39rA bf+zpzeU+5L2Aj9noBvzrqeDb9x7vFZAHA/z5Hvlngc0X4aBTVTXDjQNaYJiM7IUhggMvsRBM3+p HiYMRo8iMb/9HQIbGNro5p/muQ1xCTcRPtkuLcHTsg4JNkfotupFUyGgDYhSLiGPzkevCnCu9+qh UyYoOl6xsGmE4UCeXlF5y+o0N+qaZlx9SkNq+j9VwL9iioPu4+I6WuIheY/5X/lSXMbOgfMagdNV HWVtO1JZ4iQmf7JvPbS1m9ZaGhmkWgs/vqfYOCKzAY4jTllH6y2J+Zx8l9cUxs4iFzM0KklKHQT1 HtefI2r9pBRXtN5lO1u6pLWLHmFz6cz6IG4BIIAqa3kg0DgcciAGLjGC+trXfi9cDo5AXiFAPPmk Zzqt7vJ4vfrrx4LIKz+85VAXjBJpvfvYKWoxr4j+uUxTbPmREjlkFsq+BUyhizu6duyWfxK4MfrI hpxCLks2lxOYHXu2IfnzUA4h51t97n596dLVJOrnKvr3y/A0YoZ6zgCbeVPld2zFK5Q4E9xFRVUp nB9/xXEZPZlH+M7bJQWVFy3nUSIRi+j7jWRGIlaTNMx6O7bNDpq1ZMeySEhaUp4A2o+e+JPHUrhc bcblg+OOP6OH4oiFaO7pr6zWIczITxshBM8qemus2avj2CGoUVKeBRF0SzaCn2i5nxAQz/3fEg0v 1qgx6F4Mb6DiEHZ05wPCNiLdfMPoVvrFJa2g6M96plf900TSKutIPSVq/2CJNvnxx68dIjm7qotX MNZc3rRbekeaFIlmPq2ceWF6Zmr53GkLVD9HSVzmxX5mMdBepS8/H0ZAYuPBooVHhoz23E0ryU3Q 42N8a2jQdGkfMiKzy5oOexW6M8Vs3+fc9QsX9sOvMTUjJfi36iB1vvNfyYBsJ5dpV9ejqX2o3vwG moHJuW+aPwpEuThMXR1EQwTMw4tH83rd9ln9y2JBX59C8J9RWqkXfBSgqzEvH2ApVBw8NfplPJzk FVy8cwF0FkbPj1Wi65McNitSUoLw2sQyTXMKbhpjf1w1Q+cgBZ6DtQu428XnE54SiixwSPb8GfHf QWrJqd2Rbl4GTLcMI6hLrsQmqa47Ti8iraETu1zP2HgE3KSVonpol+XXcDVz7G9H8R0jXbzkavmw ZYzNlv08JDwPsjd6NVHasGPBkZhf3mau4HbKZNmwO8rFRNlDcNCEhV/pSOlpafWfSneIVmdxbUEa ZswXDPfc1oupxzzWOcmLBin3iR4x8Ltt5lgvMmqgh+ziha3pBfYWqiDpzBWUGM/RuOkBwt/856jq FaXG2LQNOFdL5EAZ+ZyCsIUFwPJh0Sto03nRLhdUvPrSJTiRhXKFj1BvMBexZ2N9X5k7Oq1W8W/H Q8Zsaq+UpwqnIjp0Su2NnK6307T1rH1pPo4NQLMZPxyefTIForbNBUjJgFh4hN+8/idnJs2jzAeu tpyVYR9Zd9j6NyDau/SJjNv3Qf/9uBfpnWfgPeFTEofGs4YJ5QekZj9O8NOXXfL8ntLTQ0WOtj5b or6S0TCVIPsSOvtbV3BmPlQTUDlbEDEEQAzmJn6FaxBPfZwrczz8arHMNljRkfCz01wob95eSYoz +tfhR4Rw9xBqzE324udYSkm2RoTu2s2fgfki3/w8SyqUwGKM9MtTny+qEiB7rr6xCpV3Dmq/kp+K UgQQ9Byn0N9tVDoeUvOvOe204HcSup/sHbCYAzEj3eedUkMu3y6fkT8cz33ahbXlIiva1ERRA5w1 Mh82nwgsqJsRkwUcE8mWZEQhBQp+SKpikrHWX/jA0vXdiv2wYsmS54eYnRwoArnWX7QUFeCzcBat UIwqmi5AxwFfr4DP2s4wnH9DpE3xCZXdlbaMAnO5JcfN1RIgN69gPiOHtgz0WtLHPXuehtoMwdWB np/bEWp58nO4yfGtJdg+oAs6tYBkeKtJATGwPsnYAujnBWFaBdTJeOSmVo+bEut/R74K2IOcWWy9 YWgqaOIn7WbGl4kbZX4TfzyZ9oz+v52FKWmNHloH8quWcBFaJPrWYXftLLHdcv97fLEs7uuKLQB5 TqXG/RyNlYkc6BcduVJibWNlaCuejcoaAWNWJIyGWOYaMKHBa1dptCTJLA/pMLvJrdRfdDMRGb1T nEjh5wICyOu2/zXe/iywXA7XoxGd3zU+XlK8t/b0yVSd0iw4tOvPjOj5uE4X9Kh/IA/1Kpz+GrVA AuDF2VlOnXx0dLRpzALk3GI0FDV9k/5zRsLDNKNNHwuH94kRUE5TttUTNZOqdExEGe3Egm1EAZJb xz6DBMiMxCIj3vg4HAa+VC1B6j2OSTgFUXnPr1lq3gHwZbpA1Bf3lppmuAZzu5OdtcDxy8GfNGdA ncmNVntIUbbV+tClay5Fn353WRWn+QPwULjCdLmWixHD+5FJ9NIO/XEVcchXg3jVehcRLXY+IEbi DeWQu35nkzeoH1t2WnjT073qAhLwdfmXYJfN8LG/mq/D5p4kyIhwRpGegrt+BX4rZeHW6wGzvI6W UtVJwI4Fnjzo1nxwIwfEAXDtxFo3WYZQpq1mMmCvg168md4/dxHL2asBgkPlHfteZNQBrjiXpghN 430qW0PLEPNq/H0qH2U9v9WAPZqFov1l1C/ybfx3wkng8KNd+ul3WJUpBHMTl6eudlNo566Mjz8b 1UPWClc6v8Q+jxi8w9Jm3n14at9L/g0nVSskvaIUajy0ljnQpO5jgDl6U1s/+JORKsfVGueEEZEU Twl8Ieel6OQ6CflYLpBOU/LtG/RMi8PR0sAxW7ZfpRbTIUDBQzopU00ImlNnPakZwts4Ze/ppc/y jRdIqKf8wpViwNy3114LQVpTG6nRhFVg/TR/zamMnvIOFmIDoz0/cVDpzaUy7fpcAxyyxl4wYffr Cz+eN8hkRefYh9YILWZ/fXST2CDDmc02Z6xFjGribP0IeRIFjUxTlo1FJqodxD/3oj9LifljO03K nV2O4bQ+95f7/cBnEc0E8BInFSX9VpFLP28a3ot6gUbu+N8Ri4ITuO+smFUdvG9biJRZkC/j1TQI uYxtGiG1XGsYXuHawmQ22qBwUKYasaTJfmrzt2rOUO7jNAmmPOBJBeSbCwVvN/U0laqPzVJ2cjuH 2cTEoEEv9VemL1tF31zv+T1OH4V45fhP+Cvgm3Z2NS0bK1gNYSfk2qW8swT8bJaAcoqH0bBOREGT LvQIN4muADYvxVvLf3jCe5u2ppGPMhOs9Mu6vmUATF04sTXec9AiLw3x2or4yBNrpvuGsj9zhcvn AuhietZAe+fiaBjObiDYtnpg9aZkqLw2wk5U2/Qlnr0HsfY5nfi94f/E9FdQNyhpt3efy/CGTgaE i2VvskAyFtMmV0abo743HQSeZi9YG2sv2ncL6vjVrkTWfU6K+0UVv2oqRbFV9W4Dk3TqCPs734Cq WDvN8sha+zBDRheZlrDwyTUroi9oJE5Frz9wCRf93FuN+H3gGoFaLt3ly9FSGrPH7kBpRI/S/uBm hbUgy5GKoZSEer9cEqGENa5CGYAX7dX6kFmzSV7uWNCod9sLT89n/IiJcGjZ+eUqtLm+4LAHraOr Y/g3gtO4BXMhDTARcnIbPHUAH1i/aqFGDVzrtw3fDDDDkg1vNmosFo/okDI4JU8VYPRKq49GVeMS 6Z2BbBK2ScfkVUUCsXi/cCzbaLVqexY39oN1lGsCaqcLkzsnRrH9fnWHnwHix9jXNFon3YxCnFyV D3uk2Sd1UOHpO+iQ6RQBeHAXontxPm3TbJbaoSMMxZpkKyrKl44mR3rszZnZbDZ8bxyWSP31D2FR +txO2N2fugR2VRb9lr1J3d+z3wzFDq7GtbBgS3Qxt6cpcJ2UWxux27GmM0aYteqCm35oRjwjj+c4 /jhsKGgpYddv8NGhvtdJTNIRWeOELg7QxjmrIyiC8yUbqZWsh9oPfkSAEG8ul/fMn5imM9nUEAxq FOkPTcfuARm4n4mF1KV1JSHMf3ZaxqVmWTAg5h7iE3uRL+x4CLa2sDnpUmAztNLtXfQSFQ7z60QI ulMZ/OKsvHr1NS3N6TDGHwhoqlnSwe+aa7giUqVplAJiNYyq3UD6EherZ5RoQ4YPQIeyE7j7xMQn c9dXa0XKLMl/B25tEOyczEd+4eOXzm8VohZ5Hsohl+cW+FNaBoEkOopF+xTXzcWi22bZmwH4uuN8 4QiNdFbpPxyBqzSzUXhnufqMQj5I61rZ2zsLM8b1RmyJBChcVAT+1wPOS+3otqpAt2UfeIysNonQ yzs6IqYRFk9WxqrN23hGUtsI3rqsnGUb9DNYD0BbFFNSFGv7nSoLNL0etbMOzXDEERr6ev5I3Nro cbUECl4YRaRZ7SB8fdcmifZZ2ixwYdms2h8dEcoSmtdR4ZPzUVX9iSH+TRLX/Lz683vucKjazv9f FHM931JXYopriyTE8GKQ9rektrrYW1XnhCSZT3MSj8WKLSQ2HgWWd6Xqmlxazut0l2P8EhvCHurl Ot4A0sEZlwU/+k9Mz27wfNwX3BcWjwZ9H71jJN0V5QOrWP7xkkF1Mppu3/lwsU7w730JeKmfiuiI ckUfeL5+TbdUnpIfGHgzSn6CuaLb3it9Wdrw/QrFVM8Je3DWQDKSEQ47baOm3rfafYayuuKPhE/z onjQwbM3pXM5jvKs5RZW8edEAK23X7xvn1+j9GZbQMpDCALfdWlKjxa27HXM8UCbDdP+xyqBYgpS Zt/ms5h7T+elTa1GYmPCvFV+H8y5eRImt+5me5yySLpzuCuSMl/LOgPlOmEFa2jlOunwsLgMZgOc ZWMKLqZheEuwKGQyuvzXIcGxWtZuCSH6lzJrhXRj+oagyNpjkbxLzeBgQlLVQc0p7wMCL9dM7PLL /+TOG45+uryJTaBk4gkKryP97ngpR4Geqfcq24yRLATf6ciu/fLzgv+oOYq0JW7v+ocSTfYZ2ExB K372OghiNL7eNX3yASQ8tIwXq3vt8Pumjg4DXnDO+Pk9KCAPkPsEs36gITr0BxqNs+wcwNwQQlpL NBINhEpK27ZNGdLz4esZUuN9ik1R8ueJvGm3ulA/ITm4VFvmUgXHHYrXix5VYK5p5I5a8d+u1ipP 6V4hpv1L0/m1eEXFw8+ZF3UJ4zhNXiXigXTW9vg/45KdXu2eJDryHOJwqFxGXrVptkPz1ME0mCie PtnjMfPjhcGa7DNUwvdLYX9VhP7lau2GbbF6hMBGlMuPIrOZ1YlQRRaPuWWG5GlA0dQE3SGUqERq iq4ygEBZzxARQhUr0evKjn+RCf7xrBdivyDnRFNJ3n3rkNzA/hA58NT5sdJHurTfDWXZIBy/dxdW DAF8mUlpsfCiMnHC4A2JOvUcZOOtj0QG8pY5nxpg7zqWyzzKPLOtUCdDNwqtvOrpR3A+seV6Opp2 Ymgn2uYNGv4uYMjnpUsWfQo3D7RISbL3sQdFkf3QUlcR633oeNnrgZ6MkRPwmQAmK/voij2miCy2 Uz3z/tD5hEOQzigr0bBzTtUQ2pOy7Z6Avnk9OGPRjUEU00CRP8fKICfCSPXQRu0DE6knbPUvBc37 COloFYbcWYsPZttlXAUsPHRS3pXx/lgGZ8YICj9m4ZTeAlalPbVvx+RZngge3fnPZFMFNAhJXhsr 5lKZKODqEINwUNHPVDwKMJFR5Rf/OcrOnSd26Cyfa8fGNRL6W930Tf7o3ZUT7H/67IRlDghkLihw gqQRRCJUqA1sB8PF4BJdx1jYtGjQMuI3nL9nKmOQasIuV2JJ4saTjp99+Ct9y3pfiDaOYJ2E9w1l TxTmk0prQgHmPFwDoDs1ZKtV0zNq3R3s0Z4EwX7jSnZ8u8xdbx98E/siq2ExfBLEVYFMu6K+O3mH vKaYpoX98U7w+onwb+Cz81etSqScdHAoMSp017vBcrTiD4ZO+MzV4TNbAEFcMercav/cCjK0e6xl QruEFJE13QYS3OpS5684d9idHqb8TnXse+PJ/nBztq7q5XSShwTTU+Q57XcO4YENBHX9G0sbe85h NsObOjY0Znc68MHFpDNOZ4hD+r+J1Q4Wl59Q1dZT/86BAT9qjrwo551KOlOZr6YLU2bVapIN0LcI /nc6bE1/uZI76aDbq+hl8en/exqjfXyJ1H3kcaSfcPlA3OAUitBB6lYNiuvi4xlBioU/cqsNqhwg Fri4BCco1DnMEe+YikcPCNhbjd4y+cTc0XDZRoQDUAdEIjb2FRvWt60ufHhvP6MQwDWaSzFEkfUq JDzVHlE+kVB8iK5SL1HrCfSIQ1mSU6YYIpkN+kfHD4y180nlAUqfmyNR5EEMlbCDQ7NKGEdlI9SN gp9A83lDdFR1RCv3hYyWvJSJVCGXmoz6RjcLqj91C2FXtjEBPJmirtsEYyxxkGfe57FUbFdZZnxi oWELN3gzRySRrqR/6nDyfmm/e1emnOEN00RgaDtmcZnT0AgsCNykLhArrP77PSxcr2lLwlJ55rPI pdduEGPRAUvMF8NYuNi3OkEf9iIdLvNf5Ts5S3E6FTDt5Pu1gcoGO00LLQ8J2opTrWaSOrVmBKf4 qttY5T1B5OoT4acQRvr3RiyeW3ZnSwnRH0KLu3GEikBGEdtPKhI22Xc/hr5gGMpxSm8+ALahURDe WD+bn3AFzYMFIjwqygh0QBxz90DRTNxuj/PHsU1cSXHb0UP5rPjQ0T183ypPHSz5vnuOAMYjtLDk CpbE/zgwTlgFYP7TmUUQw9ZrnEEDwqjeWMaabpD1s1c299ImZ010SjV1LVX/1Q7WtQVL8zal/ySO TNp64bKUkNJ4+ddKTCbTJL0cWEivJURIKdsAn7O57TBE0hY00VEBvui/zRnhvrTmRd2UpOuGlIUR RamS2/TdtXzf8vXZVae2d63s1zYxkV7S5wAqP0Qq3oxpsEyFQuAReNGLyGvUO6Y9zA9K/eZnF27a pauxToDWNxanq4AYy4LqpOqO6oC42G7kgvB2Zbsl3k10moXYlD94ovD5StVejTSVEIyc7rgfTWQs CL4BgV8jURV3UmVMMmI+67xmohaYAaf+Axofjqu9wDfg5XoZ+NMFlEX2MTE7w1ZcWbvP89CxA5gL tL+ri1cvjDzGPAcKJHSAzzjpK2C0wcxkmtUdZWXbMIi91wH1L+8AbCT3QM9TRgHuoAJPbiaGBE/x GISr0Fo1+Egpuow4qqFWtzzir72l+8qDghX8TeIZFUZ74PjWVuFFquE/FQMODHGnYuj3oCg5Rqwr qP3h5SmqAySgqJoWuUgb08Qk2fdJzmC/TN/KNj1NxK3bSn31DUkm87mY/OkiaIVXkHlaF93x6BiV tV9S7gmdHz7WCltNKL3EF90CWZM9O5/gNstBF0zkBnIlyrT6JpUjGqfeDiefl6kAfSG+7HU4IHix ZGyg9mBP1PtxTVte3DW6NAo6KuB88E8hDrCF8Y+7w5q2AM23YLeL/iAPirSUp3ilZjdfy88rDkti LeK7y1itLJ53CBtTIhPMgI+Ab+v9zb1CApMPe/qVNtmv+oS8tkvxGQNEQ2uDjA+pTXinUYfxtYss iw5ZHzQr6NOwtXLhI4cuUkUbWYYlfIbSjAtvgBbvjCE/zAe0IKBEtenhnRvmxRrVEZQ+4CEvHweu o0PPC9AiO6VkdfjK34zTRrECIMn/9sln9kpP7kkHh86HuOGUOkkUFZ/X1ZTMQhVG4XUUjMMaazAB 17kcGjMg6fzZp170OlMYqNcem05CP50cKlB1CW5YUDzhnBwnkualb6wuZP6E2IBX0AA3FGkGouS2 Bv3nHDgp7E8b9k1lEyI8TFJdcN+ZNXnGpZ6M5i4+v8tbC/7Zd1IzMgRhlqJ07A3kShvUzu/H15eM NWdK3g6Lo3Fmm7uo0Jh2WJfzvFcRNqZ9gk9/pu04fVILi/MmgzCPHpy82+m8c975/uzJXszbcDf3 NDmJfx4tj9IQ6EBpINtDfNPE8BvKu8l0n0uo/2jgNYGcl8bjIuJjqi+ED41Is2XgbcoHKtZ0VsLj Iq9Yk6X/S8Yvrx6lJ+pH2AxXt9U4LQgEpIeLtrXYUCrW9rBasxsXZvoMbAW3TF+nI4M7BJAEEpwU FPd/pe46CPo0HY6eBk89nPV/7ocxEqb90gniSwE1Zee+sXGrcg1Kwmw7x2Px21UQvmf+l9uLcwzv Sbjcys+qBgcd1Yi1SgxKx+eg83GviFyadX6tiluAOlf/CEuwfNqtrtv7yh7x5qNZdBVCMT8LgKeN lav9GROodoZ1OFaqhh8gQ7cWWKx3/uqq9YsjyRgx6eXsIYoBkOKVkSlVjRwYrjuogb9+klkfNu4x X8xZg506OyiombRnAr56aByGpIgo1v2D/BcJq78mcAibZF1m1lwuDMZdKFiZvd1eWNQBXo3JNGeQ mHwfeIEUR+heXq2ZCHwcUD0qNwwXJd2BUyWbTlJNgSQ1ggaSS02RoMtBdYPXtm8fXkbDKQj0Ij/b +5zNXXEMo+XUvCEi59DkUFD5hranq10+azKYQc/O+fcRrzdhhAiwdAC9DPeW9Z0fvDALG78lpyPv qVIc1lh8Aj4xPC/vWFOFGKUe6bWZX85iRIxiRUwwv/mckrxZCGsrYpSZ24tSSWyI7my7MGG//8NF hWDYJ/ZwVN46qXiIgt8+BXl+NCuNt3ntIyxcWHaOZuMl4RcFBUauiKo+5sYk7rmod+yVxNS0OOXE bJqdfJC4EJIHcOxVsBjMIFtx1j/+JlosJ0A1fD4CYBLA9ZaU+N8wXuY4leLPsS028VOeoBzyXjgS LypaulIFSEMGHbL3hUx4sY05A21X0UNj6HKePsO7E/kEkUPOjaOllgri3GCHzuOb6EkbtidYK+JF ny2Y/DIiMXtXfQ4ZJonnaadDQt06giWxXOW48DQ0T8imAK4HjVFm61eaS6dqlwsZlOgn4tBFQmfy 6tz83pjuN6I/OysSF9pyml76c5Nv0vHfN79pM4n1gJLPc1J7dbGxD3AOOOVAkC/FDb6iw+ihNe5N isjsMQpN71tGSWvJEBeP4vv40eYnYYjbq5joY7lIpmvCn4NJsQno0R5fKkES1sBiWGSimP5VrpxZ 4TrZ+foVsSHganW2J2DNxxTVwgb/Sq0mgc6aE5O+IRPl6sieiXvhsM8aUpuC5cMs9q4qX41o/LLG m/wkz2cicWax38r373mGHmWg3z8pJzWePbWg23lT038xp+c03wELCf1TFu25pnNXqUI3uFm51G5h jbpoKYYNnPVOYAbui00eag3p2Q4daeeyIL43NnaVvAB3ZodPQ0x4MfcK+8sDBM3pe9fdJSUdaTkJ a2NkdIBWbigXl1NJFY7wN4r7ta3rJZ9rFx22F4ke2y6Edzawf0HqbSzLoLbaDr6+zOcmOn3Jel+L FYgb5ktHkh93wPKTMBSLG2DD/1RUSqDX2fAF4QlhZ7VMNXv6Xfxxz8OUAqVnjXA8vwAVQiqI32Dt X0Fuxna2gDGsURK9YLSy0Al+yXKVt8YreoW8HM65uzdi66wJF7C/mTHFXPp1qpSMyxT127bpalI5 CvLJ6x/ff9zO+PiERYyu4xGoHSBCtjp3wMJmFv16Iu2lmn+HlwgAZx+o/NQcOJ2wAcSs3xVi3wfa R/UAZNk6rvqIcTWigowflL/fnqAY0cxaEa6hZi3isDZLPBzdTZJSCVs++KS8btupH5OAqEDYBzk6 KvWNhf2vnJTSn1rqVJnmBBp/cgZN2kOAYhkbjvtau2hkTNRNRGu3C343VZdFNuVrekEQ6xz/5Oss utgOWjMjw0KAYFHD6zNfJuUbP2LVmDnZexRFJxE0pzd2ahjx+XYvC5pshxmCAQqbo1qppOOtP9xY QSD3qFzU2pHEgRcbk5cYWuGnjllShnRlQjkD5mML0XmQVwwqhsQrvGnNIBkYnA3F3bB3Fb8jWi5V 2HT0GA0ftHtMZvOnLT6HR0/NVk9C76pTWNHXGsNpb/Z3KzddIzmusAA3dxmBK3KkVkbmnPhIzZtD ldqj5WvYUm9/gkspOiRo9pt1rZEHYAnIEJS3itpMhL7wPODNPXxMip9X0qkCzTOxXlQFvJGNq7gq m5hJHcn328O2mbxLLNRC9PgPS7x+1HDCfdAfeu0BldD674SeZv9H9KP0WqtlAcjjPabv5SgZYcyF f2jk+l5O/8UFlUpxhhnCWfkEc+dt60avtxEY68+Qg8RujQ4BvYWytp9bsM4Iz87dAuCxX9hU3I5k H50A0TLsLhRLXbZu2g/X2VcLx5Awaa1MKskFTQb3pJbXLaayjGJU7p7JO2bLEpaA3b5lCDfOwnBP AZlheCNBbV6//CWDDnw6PASo8z9ihnIl34C3XZCE5bIWquhFFCf/WUg3ukRrvVtzCz9sGd6Jy0qO bucTkAbDqEgx0Fnr/UzZwuTe/s7It7+xAtjaLd6WV6zD1UcLXQ4i5ygaTfaucv34GKbkTn/E7TEQ fxp07o+VwOGyzlNggKUAdj3G49Q2hj5XKUT+jU4Y1QzMTU/90ur/0x2RzD35AGMhHZFKh9cLrHcW JS06zSqaXH6lwyrOQeX9ldhoVAPckknbjg5KpbBs4au6U0XVxv4/gffb0c+JMHD9kFi0xEPAaYm/ y3NprsUm4i3qDuyr8hGBfGpzIPBh+kiamZfRIFIEyZ6nZ9r7fnp002LqCVH2dmegGQdt5EaVpiI/ UnEc4gOLXzJe+g54RrOECWhZc3qfc9I3WEzqABGWsoMUVcB0lKe/Xo977v1JHonpQkyeB4nigRFG 6dEIJ8BUXGwhYq/lR8f/Hevv/5f1D60LLriM505k+Dt/muv29KQRU/AM5S43O749AYwC86dY4ndL YWhF2+hshwCgsTacm6E0bQbRO7mVIgLm/lG2w8U6E1vluGANptDp51pfMQmCVtwcInspXjz4dfVF UAOYE/71RkOkPK7YO+w5DN3rMHMQGzUOmUGeSF6dBw85qWEmz7Xiv7walgMERmJNb69g6Hxg0PcG 0r7P87YC8fP2M2lZLYjLTk4UO/73UU45RXeNZ4XYBjwHTEbPfG8NsU7qTV1t2AmvrW0ROeqPOI4i sKPftTrLIBLwn8CxxUDTn7A6nOQm9tOrMf7PcRsJGvfM4fRmvzqgzAKehXgSFUamyWUC4ZlFaGiP IGH7QbR4642DsJPEadJiqB5IyI+KTBCFesSyX6CedMh4nbiq5BQIIw/sPQ10oaDQUUI6LQfD7wM3 Tir1Llnnrt5P3a5gSsB0sze/gNYJ36JLAtjFIOYYyAm1LKfko/0bQ1gOpjf2Kk41/TsLKTSACc/D TciUvu3Pat7MdPmOZd5qnnBozIEoYFTVDIOD5c9UbBDdrfGazxYOcsIil4NXrJnnFmV6+xQW50w5 4L8GXmYYk++HFymdFHOwk+iPXAxuRM4NXukX6kS9nia46nVG6mGtuArRJa0enBZu7+D/Oz8edGqr lfOcc+ZngDfjricFra17BeItHGwLq0NPZQnZhrDDOzk3doI2DGMmi8TYw/w0lqeVV2tOu6gJbxvE OkbCPXfKMlTB/k1HlYZr2q85r7W1e7zMV1M1SbE/61hk6EywzZ32Xc7l2cBTbPzG2l8SexAXpT4v 2e0TpZ5ZzEqazjs/g/DXqFzUr+zFUMDIMTlQhoydXJaqMEL0aNbmMm8zBIYw6W8UfvWKwzPQQltS abQVmyTtQBBt58qwnxyFeXvPSrml6enx1OSvWhZ8sBUmheJl4Uo4rlK/Momoj/KOfUM7eUwdxn1H O9mcIu4Sul/nSzKZEvcGbBXU+3q6dN6rxecv5CrcKpJWbWIzXZGnRgsBxVJtvxsMB4kFpkgpX08w o9pNhEg79Dua9aTWjms5trPVn+UIrTtFAiNwkacGiStZBMsey9iFL1AGb4OtScvQ5RlR/DipZoZm C7vXwNWZrW+pVDTWHobmSnl+RFpDcES7u4lp0ekgMvr8sh1jXKbGHF8sAZuVPlPU/gSw1526ezL3 Ej7yFSydQSK91jKG9TUXzQj1M4RZjLaaPlam3Pixg7EmTLkA7kBa6B8ATAHyrP+nMG0neThfOXVK Z9oPDiO5Mf8g4MPmKIQS5Zq+PyLqFmewsvYcpQoD+O5tbwWoN8pTdmRrccKFPkXwRnGwfS+b5/LA Egh/lo3n3Y23QX4Lh4YsQCwoD4YwT2XGXs94W6pDtZFbcgEY5AmI1ck0cvbXp0BgfsLN/1vG19lk yCHzHNZHIrdMXBERoqrqMU7roW5E4kAopk25FDbjYQpr/1o5VxiHpt+/5uOzaz9bwaEhhIkAWlw5 tbRMWxTEqjNU7tSGCCGu3ehGIhOZQ7NIwFIW4pnK8FKroyO+chsz91F4ceF5lKYEEUGgvNeAvAW6 Yx9kWf6B+rO6PKTlHxqADbAxy0MUm+aHjMue1UUK7km47c6h9ZN1CFpo+wsAb9rRFUTH1CcYNrYL MGMCxtU/Y1mdBGEzQNWmBN9h0R/22JIrlzeCSEMG2V4zhl8tjuAOxc0vQwIUWlEl1NEO4BLE+8ws FM9odMfUvhrUZ0W47EM234J/HiV7X2c2YHey8EyABjkQSZD9ekYsmgYv6or6XJVfwrciQS8EA++B PK1JVE0qbzEigB3HwRa6h8khVmsJnbvaCjHuCEX0KpYrQmraWJnItk0Q+4xXDfrBPs3utY+MPhrl qtFbfdFn+HLPNVaf9J798IlwrimQqySFDaAvcjeiUbZtbwqZdTIaro11bRB1YdlJYoXr7GnUq+l+ 1EcH8GAZRSGWysZUOzCPrfMqgmVE7DoEVtMza5Uc2p5MdJbxSsnEGAA5a1lXo8kFAIhV29Hdqa8n x+/rqHnLDnlCMZoXMjgIdlE9l9D+Z6ZiTHuqAY0wMEY54IfgtSvKzh/LVJ+LtrEJyMnmF87m+LUO ltnuq+YeceAsfwtWh3tX1HM7fT2kAnCfv/SHDxIK6cHDccugK/6JcVagtZhXfxvvqQ7XRfzWIwlJ GerTAdf+cbXhKTxpI1PiOqaWeRwk885xYZFSN3Aixij7E5vrhOGyDCDAErzd46kbj5vuJ1CLjle7 qy8gZmdQVM44F5pFImwjCE88uCRroa1BoL9Ze513O8sTOmdhAo9Q4OA93k0LpwXRCyQfKrMM7fSR gTjb1ni36hFHuuy01jpOBFDEz5Tbx3zZatBl13pt4ozKIrj3S3B7StuQNDZH8p/QxP0oyHqUHtOs /+uCd2ifbK8qoBTvVbUHDdgwXRAd2WMeI1nBjEwZrHvt8gXcNe9iv708sZfzOTwDM23fMUowgyV6 Ct/9dou4hF6GYnnhgzten6bVaYyYd0ox `protect end_protected
mit
635ab01a9297cceb2a735a1c5388f346
0.938123
1.872271
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/fifo_generator_v11_0/ramfifo/wr_logic_pkt_fifo.vhd
2
31,831
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block gWe5RKJSqsApORR4QRWJE8si8V0VFbIHjDv5KRgCcTsGkQXiqPh4+wpwfkGGW/zLE8ZodT+Nzz90 5VF0S8vdwA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block fYjR65rOgWPTSjx5mqtUW8XiDEOZd25uECW3wo0Nstl2R+8mRuTr4YWMYLuqdliEQ8JHabEgKrkO XoqKlq0vqIYfTB2fZqBHamR0gWd2EZc3Mpx07eg+4zaAF+qVQJrDAvro7nHCH6PkxOyxMtIOm4BX GoVszV7syIEzqMREq3w= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block pLEeEqX43TEirItuk3OY3gcxZOk6G3suBw+8yqdhhNtfxpXwZnVWUQOJSfZD2oySF/q/DN1iVd5A 5X1GVFF/ODZRhomAtwYKEMwbZ8gka5J5KmjZpoGG5VA0Xne3Cqn1Y9IA4X4mk7nSWJJYqIOqYCEX At6xX776fZC7F++Qa11dL7jo7bSUdoqQu9ix9qFXvBCTAmiOEwqwBqbT2xUvAljzkK3noxqf36hU /uiJk0zEYb4UZ0eGxsaVfvM/Z9jSg8rZHFOsik6Q3iBOCVJh/CCM1sSe90I3R8+PS1z2vbogcQem /Prswohd77/jLl+9+b6zy6MPO2OGgdA/ukfC8A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block zeV37P6Y75QOtHQGjWQoEsDaUQFHxfhiAK7pVhlK4PWLGR03vZllu+WWB7iqyGOIawV3J0EXQLn1 XLoOh7q8hsX9+zToKO6RTjRh3R7OUl+9SLL0JgA2hAOfz5Fp1hIpxHPxSnq4tKY8T73h5tMzRcMo 4vdENKp6L+a2aTyrUfU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Mdw+kXChM7u+q2lXT44Lqpq2ITGyPtxDmIGz37ry3Vo36bvbrEAFpTi1t291LWFsKf/WIvZJIsZi IA6zBQb+NZVTRRojZG50IzNtew0Hp/KUFtF731WgBtYJT7LUs5A/aZAO3dBdX801qQ6nKTMhaQfI gqQStpHT/zWyxatM1hW9tD0Wek7GyvrL7WkV5pcwrYFD1+jVgihg+6hT7FR8WM44YQ55g0WNPbaH Cxu5HtMzfxrfuhEcmoyrLxN6Q4XoWOET78HyVg4okxZRhOIAqt/kEF5Q068krgQmawt4zKqFBwyr b/prULhxxdYtGCKkvGDBbNdZgywhyAGJkx/vug== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 21824) `protect data_block vmPOGD/k6hFMUmg3wim72r5pSzhkQIRS69yxhr3bWXyEWG92aifA5UrrFXeMkq2jUOX/iiWMzM9w x3OGpSzqKFO0757GYvTHt1JCE2mSWsfV8jiCoCMOz7woX4uM3ReYRbj66oeKPDFmt84i6Oy8Dt0q shenRP80F3wydrPBngCxShSvAV3CbBZOWdWnB1G7Hn26bEqm153B++HadP7OT9DMOIJmv8hIlHzL 1GHB9wjWIdvLr/vJOJO+IlWXAFVwRupSOXnI2JVqi7nhR5FdwqN9kN+rijCkuyFC9AYY2Ka3QFo8 5I3VXMKchcdFkoZQmdMBIWWVu1Ze00130rDhuCatYFOed9Vtkum2/KNsaNhOeWBEUScTndg+Wc5z uszBzoAdh8bGsFkGWt++PdVo33M/JABm+d+0Tl8zot1vUJPcHBLUtiSQjr/x82sHEvz2s+SaLBxL 0mSkDVWJYrIiRviWhtbM9kBMZfMlnWVhNdN8vS3IkgGALUSGETGHC++pLVDZTMLv2nK3KupHh9uG 8mpmM12gyiqdj4FfZfGPPXDhJSVCRKwh/33BL/V8KPu541FODM0JiASIkAfJrWI817IdAqyDwiDw lYEFyjW3sj5m0qkj4VlA619xcEB+ub/0DuouB7cfTzyAEeeHEREyP64lJswEcts7DdsxsVmbUb0O 04rYqaSlySrLQnbbFOYcJO1N1MPVG1rw3SbeVre+VfGnvBlPxu64Twv7BMqjj185hBSMmzxber6y 1otgDY3PibcHNHGQZI+8binljboOldv6GVZXu2l2HA/t0ejSkbaapuJZ6MFXTMEqV2zIQdx5hJzH E8eIQr4ZTjJzJJ8pYf3BqTO+BefDYwTe4EbEANyyaG5XGP1xcKzue7ksMVzsMZ1cT0/CuBic8KaX ed3xwau6YLvIOiSJhRIDxelVOTDauxI3wzZMRDa/eEtSRRJoSYXrJMZ9fqVZ+SiKGkWCgG6Ans94 FFhQjfQR/pnGb+UwfwWOaYt0wwpCErQ80XmtfCl3di06UfH4WUBuHhAYqOsCPCOST0OB9HZqo9Sv jZM7Td5VA284eq+nCgDnhaU503CxOEZH4jItBauib3l+HW08GNPHvJ1ZJaNEM9O/KcUht4ZLKmOK NvD9Hic5BnrPXx0DR4qdAwkeD8d1yGh+TFCxcW5WHxS+YQGsV3H7cJKj1hemc2kyYI3iZTNGRSS7 zdhjsSFBogiLNC7qqKeS1YZK8azOT05W2Z9C7gcG2Dx8yQx6PVekcmqs5xm4YQ/M8/Px0YeQ05Gy fVxnW9Z/n+FPl/oogoZrMhiubLruNFBAz6dNrzqFIKxA6cfh5zYwOQBDjjONiU6w41l+IHpa2Isc AYVwxQ/TKH6cxRhpxdABX0TNX9z75fp0tBPFmv8XcbNWnPXX7JvqGS+Stk21X3wDAidtcq8C+Isw IuQPrKZLWdOuIC/UUlejnDPrFann4snosnIYePlU6t9mI0P4dECd3ep46UkSvFcZjj0iU8jh73f8 ISwAxYCXzUolAga4NAmaGqFioW77DCoNqAzl833Q4j1AmvcKKlYILaNuMMFH4jyNpENuBtSGHeTd pVsDizFmbYHWqXIQPFGusGOyqu4123Xsqr/LHdspWqi/9ba4n7b3+MKW1HcQplvqr/FopGvsTQiy 12L8Gr64Vk+YUdKoc0dG19uzz+EjmDf7bcDokBOvJ3WsK1I8DydIkSfDx+xD4mZnJZrTCjS3X2iU mS6AP4xBLwXOikd0j0K97UWBIAffiFrBct819h8OklACofsvuX6fUhftEnVxfRN3HbkvpVOcTCb2 yGENdkVCo7ABxkfln1DPFzFnUGMcpd0COstf3P1rxD1jxwYr3acJQyZQP4+1w8qjdKMMDnDBfMyl TcwORdiWYgTj4jjdFw45QAKY1ed1l4genE0rBFPrZ7a9f1P5zFZ2cyDwcY3tLxsexjdPythR263K ld9tPB5eu/CJdsnblQNOZk7TomA/pZBx57Abq+GvRFpIK2xoXmDLWmdRVpzoVeRsLxtNhBvInlRS 7zvrTXt7rjEDwetd9XD5osqaBMSRKYLyMdNEY1q4ZCsYGECTY1TSj28Om4UhnxL3nvg01Q9FVq5u 28vuLE7uchPqc8gjaltTMXzKIXmoYx2ooe/eXsmFtvR+TstS1r0pNgrdzPPeJjz88s/BKAud1usc lsxWArtn687R7nqpQD2Cbt5Haf92+TEYWWS8QGBxA9vk14mSN0ckg2nyLLRSgIH5FZ2C3aKBxCJZ ubIPYO2GUq6yoGOysvidPqI9F3pj4sSqL5adz9766ATF3iz+g2lfZPdn/3sxTP8TuEPUnvIkMAGt NdXaXJDOKmAj7CSuMyiPh6eLlXgO6e38CZZsnzfYKOQPwspR6jYI4HVDl7xGH6XPwnW+Ytz2NQvg G0zysmMgKxdlSgS9ETxww7mqrGdyFgtb4yKlh5W3tUg5HXl4uNxJNP11wsmcT3E4bAbC3LuOpGIz 5W0ZHk476NkyiIy8vMJTIWL8mzMxyprvYnooKBNo9XvDwDS+2dhbF9/6eaFSVdNGgkn0vwGBECkF I6z76TqqhAkEV4ARxGOmYAO+ZNw9lEcIEwghuu52pL4mnMijLo/ALmsClxSkRTgdlAesBSbcKYwd mbh39zNOgBVZ/ohGn4gXGy9CXgG5frXhwTW1kZ3fSTvcgR5eLMq9mUgeV87YcaOaDVw+KU84tGzJ eXWDSUKTMfqi5ZnYl36Ymld7G6YsRk6tUF7XES3tSMaO+/k8lsfrOP4Dnhu6mMNYbqNaYRALkKrC wqXrrLa5PwbDYeYuXvW93hIIuEpk/ijfFQhb3Unbnk5hT6gjxTImJ2Dp5epCHBE7CYsOLRXZmUEj IdhoDqD5Vf0KP9yRrBAgGpg7kgUbBYWZtGjzg/Nom7Xz9A90FFzRXzIuKd9Fx7Kg3qaP7H7Eh9SJ skMIwBtyXLHhRC0fhFc2UB1mSGsIrEohzxx8LSV+RElNwWioO+tIhxJbey1Skj3gkrbE1qTOy+05 n8zZCNIzn21eknjg59NnM5Og69+YFXlAiDUsV+PqQRjApMQkog2h2sOFyWjuWXIiShRcjmpFlh3q dbXlZSGk28DufUuK1npRmE3zxI/SUIswlTxkKMvJWrmL0rG9KL/EnYNxcDdfC4ax+etnatSAa09h hiGvlcC29Nac87+IUkWCiGGbw+L1XTDnRk1/1OVE2SiA0N0degdZhuVZpFv0oDlzjvxLAyn83FiI ZJ1YuGis83TQHus7gbl1BxF4wFME3wKFwCftUxCagCeXTuuAoauQ/bH6B0mRLJPDkCs7hRpmKoza PwmVPgzui0yiYWDk6rgja07BaC/yzvJcXvlqXfh3DmlVU+LbXu64rklVozVJV37BOTdlFA7QHsLL KoG0Ai7MKM/K8d3/6ai3Q4L4j6D22RvVWmHU/RXQPh/T5ph+Cs9a4xrqrkW56bmdbGeJx4qCDImE fxU2EWBjoWPx2kgZmzwURGmNohPU+Rn4rAYCNqn05XXyJrpFIHVxNgDtmk4a+Yn+6LEgHFmKbQRV wcZBQrA8ZNBWgVI/m4MeB4hBmcaqGtC7MpO46CMSDi4F+w2aRVRSULWyjXWpe2VpTWwy5kK+PDNK OBPxaOHL4oSD7cgI4hz4GWWqSLf9IJ4rT+fLVofCq+Zbe51Z7sKK20X8MXmbBWO2XOoqJkkl7Net DzTAxYEVeamFDBmmf8lu9YMWpXnGIIX9Tk8ZAQSOpGFdcDHDyYDt8iyiVN8Nb5i6wgBDZwSdJKVG eynBzDl+6OO7FUi5j7DWjUNg/QIS9cPAoWOpOYdCXW9URCkvF/52DDj+loFlX55kHUkGWT3/+9p/ vwGykFfwFfS9ldRiutVCV2n3V2L1A+dS7wEMFkFhOLRu3eDtkjgGY2aToaZPrY2SbGm36xfJKkKn P1tlfzLnZjyTBJoEyX9e6ObOU+n1e6keOJnYMyISaKH36R7M8yQjvbck6pqdRoWRc8ozRl9Ifksg AmBJy2FEexEgJLOa42eo7JH4aVcmTotuPEiuIHpw+Ym9VbX8Gu2T3OwIIWlevfEIP8NxAMgfF8Zb EIWCVIyPaVwfGorkshhoo7V4CSmKMX4gG8cvw8mETsFrz78jtyWQIcDpOmpdCctdXG5iP5USeKgv +GK/I9PzeHwmGDI90HQx4GonRKQpRJz/959AswbQkE37eIntXHEP/ZQrA0XQWQLrvOLNRG1CLjTF 0hUiBX497UI4U5aEHyx2sNBoGddxCmfikZeAViIUFKiApDqEo/hqurMs9sH2EUSJZaG+0eBuuur1 +FKk0oL+5DYVGcRt4bKOR1HE0eucL50ejSBdUA408IxS7OiTEtdlLxRJC+8CBAd2uZex0fRWmjSw pg7sV6qhbWaBVu8X/FLKYzFC53qY59Dv/vLIV63WfNmDERdIU99Oua0V/GzhpjalFGfD3YBAkwt0 8JYNh0n3b5I6RGcAHRiQRJkie5OZGJ5BGcGUTG+pJpcKFJMIBf+xGUp6Hgd25f7JI8PPlj4lm2gj fa/5W5Xxhgu1bwNtqojpXQaLGoCap3ECLtEQratOt+/VVKAAKN7UhhRGI7dYMOfBmaSWHktD52XI zG9bCJR9alEps9QSmILptSbcAUP83s2J5EOxUmF98CZQjGvBBditzvzxeJC9DLtvrLXnvi9/aCyq UQJyURTq/S4HbttspbeXhq0gZMKElwlI+BgmBcrUEBKGhke38j0v52cMDxOrL4eg8DGN2+E50vwQ W4KNyaZyZaRvBm4w7c0SOqtMsKWAfbDwXOsuLAiAs9yOYpG/aR/K7AGHUUiiZQ/y8TdIu9J9Hhzh daWOX99ngKCXix+eDNLxcSXNIXZR/K8Ezdeq9Ohz0/z1w4pcNUeowLQeSM82QOXyeCs6384GtSb2 P6KMvy4KVOYne20fbkceDYzcmZDb9H966y0ubdFW2oAT7FiyJiuOikKEDqGrqPG5QMiPUrUHVU+Z bRQrtQh9HxnWS7CAIcs0buCgwZEeoZhGDH51D3NwJ5GHlZ57Iir87B7NRZruhWnLaC5bn808eTIZ 8IjHAFos4T2EeJ41Rqposz/jWhCPk36BZHRblEGhBsF+inRWv7mUYYGtHD32xF/+/KqGXGfe/iq/ srHMTWJRYJk3rHnrW4RhCH312xg5IzxcIsn4OScM3pH4B0/GKGqyqPp7zOaysncrYoEn47R8j8cd VbUclgGELuomwAbHbrIa21Yn1i1DQzyoz22jpftCOLkh0HXDcrgTdW6TGhWuZ6Vn7bD/ENBcvn5i h/BvgUepG67lbav42tJ/Xo0A1ke8I6tfK7Gi9zjByQCJp3tgeboUUt4D6f9UApTSGq+/IRND2VNh mtL9ES3hZHCDQzObOIhsOKOOsgcNNom16Dx7uXIPZ00aqtHCztG/LbROyvIqOy15vwEB3G+dkkvl ymRZxxMPJDPth8WtMYXbrhIsi6bcTmZqStLrF5Mm9SV14gnjImGClXa+HNgFMjktajTmCTptJyvE 1+VrhD0Uwb6vlr/UqMck6ztY1nwpRjBf0/HcfZHFqYb7PSWyvvANGS/lQq8eBCjdTKBZ0QdTOvaQ LAy/Qwq6SeAZFKQW6MPIjUkQKdvWB3Hr9vOoauStuqKPfhYnjvTJ63EyPMVBUgGD3HllLcRHzN7L 3V2zMcFSqeXBgkjbRlFz36NM5QLbzlmQVPlUCEIWgAI36qbb6gSV0pDgNNp/wbNJYwMvqU7kMr3H c4NFOq7XDON0EC1IPTuuzF/1p+0yFxHSn/lOD7c/jeO8mJcyLTHUxuVz2goYsRx0BXrLyHIzqH5i AiokSpSKje2KNy+E9ZCIOBgFa09xmjyR5yCV+PqL+4ZT+WN2hthrkJbzf1p3eDTFpudp9MU6rcxz K9i1g/A3uWNKlpt9uJfPsJeLqkdTLP9uHDShGbbhWtBhKBZpdK9zRuRhuuYihWPr3jnRn2W2EVNa fet/shDpoj7cryhPcmldo7zEp4aNGFChAb9QbhLBv7zPkOqDr7mTN1rpXjC4WbG3xdZwfJ2pUzz6 5lpG4OuAFltAVT5+MtBAt4VEI9gzz+RSrmDdHOw9m/qu/HKqshuOjXWJMFVsoOaAFZubx9Uscbzj +/boAsyKl3N+Q1Hu1/xITvNuPmCH8nr2W6OgvWxCCcteftwWur0lS1z+go8mMtjiOMXWglUeUI8F NvpNhDl/k7KD8aHyqXcPNVIWj9TWztyTEV3a1XmpcME3YTfQCInFDUK7lU7DpCfE2P0z5b7q9kqY GQ1f56MNHrkHQrdeix5rixEAE1xj9A41mDaIni+YQX8OuIodpTsMtx3J66oI7YV0CFhlgIj1GFLH nxOCi3d+dzunWD/htaCwdxlveIgIYJz2mfrtSymRq25cEqJtNDT3YNkQwgeUuYH7YjXuSmbQytBR TiSquYjl8i2e/ElRQSuHcU55fFsLSHbu4m2mukH/Mr4B79v3QbJGXlJ1pzyiTMRWlJo43SUO18xD YkDPiOI+YpcM+/m8+xi6yCWlom35YoXUmaN2iJ9getLwsajjdMuBM8xhK23lUnSpJme/tZUfAhqg 7z2LS4CyadfsV2uMQaey9upLsmZWP+EB5nmmvRnT9jitvcnSE8/FWJ8hZdseWzLl0/6LZ+kujMuh +2aQp/MoRhSs8RpoMXq/2Wr8Rndys+1LktJr6nlQA2bG17HokHYXxlKI6pCyUszZPXXK52h6Ueyh WWSXP52Xq20sO3AzngkgzOP06CO/yPqCxZ8XM0fJHofT53Tkqv9GrgwXywtIGYfhScuE/i4bvg4U Oog5SvshanhyvleeQpimSkCZDZlajUE+j27xX3uqJ7JBfA4A4AT6Uvl2Bm/FReDSQ/1QXs5+UYLM Plp14WAvUKdUg9LEjp6AXgrhs3REhmqG6kBS8AAtgyF07zphxJyKsw33z4BvK3Bglei7TYNOYEYx QSp/xMy8+RjKaTTld+7Les4FPyMGLNFXkWKILqJ9vh3EwnLV3bq/InPBJntpE6FEzZ6i2WI5LKVK 7smNn0u+3MH83ayhfI3DMeTzsGoDreCsERT4JUSm/G2LVPsobSEpKlPSwPpWRB+MwQI5bOX6z+WB ctx6i5XzihrBj8LAbFW2d2a7PyYyD4vHrdg/8R82EDculsYXm2fAg/TgjXJ6w3ZiLLPG5EP/uJuL 8RnbksWkmtyvMxE0mDoVrvoIitVNWFeS21fhDb4/m2J7xZXsgtFXctLEMmh09lH9mX1a3NNJwA03 /VTtYJN8Di20Ro2mF3sUeFwjXX4p9jaT6S9a6l+UMsFgU4WxyZRlhBEBXDN6o5lseiUCIkqBJIo7 mtIpoDogyGhyiwK7zvs5kz+Xm17iLw54VqDpvtzqwwraJ8jqa3p4yZqUc0OW7A2xJgpELlQwGINN O6dsjL0amw+wiTAgETb5HGChASh3HlAyMcidCCYcOsfeuT5ZqEsJOAZuFL/j6ikbjn9Vf4TxAOYx Dp5iACf4KQwdLlkNGFXPkf4eAAk/X1BltaH2ZajsJduKkLzFLomFTCEom/zt3U3o3QmE4serjCi6 X3h6L4A+ZcbrFyFPub4W+ctREgDEl6ode5ay1sr3OXRfaOnS7RkBhy5HmQIKA4du48c1YxK7S230 lL/tnauVW5mKRJWmdJLr4jept1Rvoy4DsJlSUmAq2ISwtIArNrzTrR9hl+D7G0tOZ+uKUCmklTX2 FLn3Vxqp0BZbx3rGM38ltwhVcjcpM+jvaLryVU+wnLa5EqqkQdKJomPrUgZUdysOtAvj7w6VjFpv PZaiGHcM0Y7nK8k81FKCDyintUrBo3nZn1ZMHo39202nzL8d6WFxumaQLl7G2nB9XdTtsGc+nKGQ poNGcbC0xH03629qrePYJiYerZ9dLdd5VklUR+/+cU1Q/nZWJXckvhAgsWgXmhXYyXWkVAF59J/P UxYZ/JGh3NTnpvsRpVj4zYmJHVHT4Qb+XvhkYbmDx10YAgL1ws0xsBQFX6bLdqqXj2muZCRdmUE3 HKUmc8Ys+9nvTWrfT6ugl7DD6I7sKKo6GfBn6vZcs7yG2+kGL+UfM8Qu9GPLiygS1oy6Ts1WeSxb xPfbS4YLbu6Dh6MgaqWbIjDAbg3LBiQXHjQhSjisz61blbmjZHxV5PZnQA3GiOfeLyZoch+pGjjs 8qc8UYn9GkzuEsQfPfEdzKlus0cZXPyS8Wnsr7CaC2X5wSXbxtKaKMW3gwe99+CDs5qlMlWm1AK4 lNqkHdb/PNBJLYqGmdhe7VSMkluXfE03bW0bid8Be3yAtN58XKC7L5quGte/SMTSEoBxg/nba0TG nGwaEA+a0Bz8lOk3PPUZL2Uyt/JR05lQyprSdraLRA+0e5sgRsONj/t7woSx3ySnZqTrHJWfh0xr 48UNV4pBUbyCUr192UcxtjcCI23+ewg5eU5H1dd+lX97QcYTOCh+CssQolCLNoOHwcVUMBJC8O9u 6ehf1MOr2Ah4Ljjr7/wSMECuVsRuBPsD1X/h3W1QiLPJijcLAMwb9OoXDHebcBW3+TOc2iach5WE 5BrXW5WsWfQU8J62xmyzAp4XOlE6ABc3TDpOS4xYeVmK7+NeENPc38kERaKHvg1sOkAJg5mxr5TZ Umw/tejvBIvFle/OehPbS/CFA3LDOmDO0m98glBT/BLqJ63vEgd5SFK4k5FnQhhMkV3qeIQguGdG mGiRm+M1RQR/MU+YGq+VytMAzHJNOA3cvNZqcrAQPj3pPsK6n0qQHleNlRjo/5is52slJPN2/xKx 653YDFS4ME1It4b311LmK03CZxws7jJHkdavnVLvxQXwVw1M1HLqiq9MOfQkGkl2n5c2SpoP85lq REcy54U9wX9LRfHkLr/VvHznLhe7KGvZRK4+LIwutXB2xxN8CGxbRJLStn1RDjLC9A7sQSCBjaMz 2f5EU2BBWPTVmKUcT+e5fm5u4/lvhZEIHVKjgj4e+4DcupKQK3tKI/Zz56iuvpGQC1NUTqWiV5Ci aKHSCriZvlVjjep81nV4ikbhRElMaU3oyK70RjVQUY+o3U9xW5FLLj2nU5oH0SbGKHFHUdWH03fr hS+SNGNVgy7SveSRxnpkJajIqvSyxAGlLkKMnqcmZ3TqHawRa2EM+fGk5lpFgJS6K5ATQ7vxkBoF 3xR4jzJZJLpytiPG4yqpqlr2g7McnyiGhaYhcLPTCAAFQ2HdphrQdtLehGNw+/8PRyBqJx2GLKdp xuXObeAWJ42gUFcnXPh0W9dYH+Woy/rIkrP5GDIf+qNqLnglTj5CDalC3+fhs/7Vu6JADlUjJwuU YbPZBOZszsTybEHbrUZSLW/71ap4gu/L/WPL/GnpRfDIA8qAbnQ4e/0RSxf4DN4D4Yr5rJGNUY+8 OwjFgO+Tdy29XCJBgQytY9ynurwy6HrLRJJ5abmDtpl2HlpS/XVT/bbyajUUWFC4rBaeNNUcENOJ C93kYGZYX5fUk35t17hWwCAC2gL0fz2jD5JoirtvtnpXpC8mJFkdKeGYBBzNG/NE+MKZNhxmT8Rn eYXZSiAGlljU2n3D2fo+73MnLX24UvgsVZI2kOw2MqccO2qeycmDwBLnZB6mqPd+AKfBL2BrBc0b or7h1SdRGg8urWhHjGaZ5nYWnUv2RUer1PYvP5YjQOwsocKnCw+bXQgcmWZE2wJf+ftKWqObhAYb jaVXYskKVTFwEhcOOzoaV8PWT4SaeQ9TyzWGej0TOkRHStuQ4Ftcn7X/cEJ1WYFCLYrqRJ2GJpKi gaE7GAjlDE0olzxyQx8fC8afyVKjXroIJhEbIRlwlYB5pF5+olia/51BVSaIcYSQIGnPeR+6nA56 EYgKAJhaGBUxqDTdxx/+iYZPGkQ1KHg6BUwVr5c2W+kkb1oVb1tUXfuaTIT2R0McPiDAqXb6cWAn RAzNn3bOJc9dKIuoJ2yZTpJPWxoPR7a+vaSWLJVmSGZ0cMCsHRnt6nABnfvqMtKDlN6Dp+EHhTUE zh1+mBxF9zSp9vBFgvOJMAIixHHG76qUYzqTjF5WYjEJM5UQYtkTrUIrTUW2Y+5JRxUMCS5W0w+a cfK6zZa+dlXWMYPj6NybAgV2pWTlNtH0L5sky7l7E5l3LcBa2oXAnQU1lctSo+v/JLGzrkL81zwf hcFXxyANXN6wDzFrzj5N0mnan/Pxq9PHbnhEGyienTJ5OLH1G+7ZtanzrXF+OC9Jm+UKtRhlr6+O gFe+qlwWrPzzfgX7papDTx66Lgy4z940ZeIId1YpiQD54zioAJkYT+vMjzHBckepQHiMMFSeMU7J 3CuOjQxXvk+grFziyaPeo4CtCRnd0FvrJJvEhSWtLfDGAtmMklUbXXVy9RFFDI8N4HYp0JtceqfK rJa8rcrNwvL+hPIVS5JTrK3URWQjWXuRnsuZtfTSM20sD/VwRjGOMv0t2KU9HngDs4BTe/j2WaDD 4M/OpNvoFF9g1m2K2gRK2rddrIaGbhGL2z5yjUBn5RLF2e4n09ciXecOIrkcogoKUDYr9yNhcFlk Xs9wC+2lTbJdk2CoNXv2SSeubtbatMtKTqmhKPR1tMEwI9MIMlUQX5CQAVnju2hIunDh1CbEb0hu U5hvPywapyRYElcGglDSI+NN3lbqI4Tto3rFoTeSwSqLa0YdIS930ZYC3wHWfFRZObrsqiPznnXm 4OEQ/rrm0pXqXT8h2RAg92UZhrabnHtXVasilZAxMM/sn6be1t+jOFnm38Fhroq7kqFSYhWBDZPc SUnfh0W62OhFltrVsOpDh6HhEf2bPaC/dSLpbuRM3d5cTUmTotLHIIfCBG7t9Gsz6m68HvXijDxP fctuD/aG//mbNKHfH288hzerW9SSrFxDrqDBXawEuOuX4xvK6ePpyVtDqvBVXv0VEgiVNksv0/dA fiyouZl9C18KyFxChgb00jqaa0AJ/omISPtwKQF4CZTuqb6c0IMyGKfR5INvjkM+T0QW2UxV7Hvh MJxs8lWgxjZnjqbumvPiBAAnprerX2nCoiEXs4YXIvbmpLBCZxWJBWURjSQgMmLtlYwjAlQVlNn2 ajJ5KipIbecGUYBSjco60Hc5Y712dnr4Bz6h7OlODS5+0gj4bGBbxMnnfrmUqttDIbXC94nRI0jo aZl7/pyxhYIxEUenolPhtEqcitZXh9pP4V/05Q8EKu2iQfZ1jnJ9VBptqBFwwojJY0dcbxbIZ9jV CyxuShK0IC3+VWY6XX1/AkzugR+riJijVsm9/z2IW0+5b34nn4fnug3QGVKRB73g/GRrRUw6KB+j nk9hk92aCunF7lp3GIBY+0hfnKfhhn60FGdmPDrtFuKittsIJYhc3cZnv6KJ7+zTb3aYsTR54aRw BQUbb7C4PcSb5BqDBX5jEOcB+prO/RbnNgYhmmAKlVui/QCq+GVUq/x1bV7Gj3wBd+S1YI8oCjQb jp+N7h52BgXWpPWCWaYe43dq229GTGUHW+uh2xYWaQhLMo3AZbyWqp5ccxld092EK6rcYJJpD3KD PFWn0u6LRw6h6osb5TkISBIoKZenW94vl5LK2wneow6TVLfl5DwMwZ2Vuv3QyGZnFgVFPaDCvQOy TRlB0Qy3Jtyr4QbgKmOgULvxVbTsESCOtTQYcwYRSKiRuvMnCMMHJhJztarNA355h+6l4Iscx2V6 nQqOn3W4lOIz4kBddsCjnUKK+XHh87GrhmdJFkfCURU6zOSvDsbQ1TTW+3wNa3jm3Ns4lIQkepH4 2ULuL2pqjezrEJ3M3h7GVBocdNXSod7LK92p6JQTntGvMldDa37c1513OszWoK9DltpTxqdPulfM BaW49znEwIxTteSCvO5pddQgTGq6XwZY2McUD7cQeM4uspKRnhNAkiIkKXaqUUYzkwvtckW4pE2/ aLvqES97oE+eLdaEoecn83Ys4FwVosNWMZE0+trrq7Y+jILvxubLrXaxQ3425CcScF2D/Vob0he2 ZqTa8LT08KJRH4rs0xPBEgt5x+PehSVCk3JcK/7KHnqCfB7T2LVz14WDyJMHNGD9B6f4TTk+l9xY QKJ8cVlq5hBnla9tKX9xWvchJmnuo0EVbO1yUGzp6lp5oWkOivBHKAKoXcJxooyS8XQM81PXSjUi 8LTomvEKUSa+klva9aErDwBO8mx5pJlqKq7EvGqOcwItBheFy+n6hDaNtGwA0e09klugANduJF0U dMuzGRPRRgkroGIj6f700D50WMYuFJcoapuhv6tC028ixbc71JX4DMejZ2AANocd41Z8khSUQMQ/ Ap5ghtXLUfMj8v6U+RjNoa91lkumBIjs57lMp1+l5PeFgsqDCPc0/oZeiUXq7pysSbUsJ0IxpFBP JPZiG1BksqHzMKN2/2rZWQiqudZrwbSjdNztx3xjCj9r4dDyVRtKV4np3mFOBz6r0e8qJurHkBQU sjOJo1p+pf1u0G3T5hL9D9DnUkLpcOBc/XXaF0SyPfKIxy+Xd+HCFdgD+SjucEDEV7eun8nSLNuL DdEWTMm/ZtPJW99KM/m+ojaXxs/zWHC29mEWDGUH9wTPZGd8oh4khUO24JzR/L9n04Y49M2NrWls 68AeoB61Jwr51KyWIeOpyvv4xWRlMDvG8TwEOEz737+hyzw6eLJZxQ0vtxAuhL3vvldlhFukFI05 w+G5h7CJivGkgKlzunwcP0IFAQHSzTFZVvvFanCQVwvkxSc1BUFW+qyO9wNlE5CZUdcjmjn6xFFy ivbj7FHhaqLX9vq/EbUJQPXkkFJ+551N0qH1CeHFBntwgc9j+HJXXl5lW8xDApXtzQoj0kmWkMRG Vy+Zut0JX+d2GQ3OskotW5ihCQnUkn9IaY0LMSnOwpb+NcsnjnfKNZWKeaLAee0UpfnO4nCbg4np /zwHHfrFn+x7xDqN8dUFjWjs6j0foKprn+INc84A/iEkwXnO3/qjTqvQzSRQAcOZjnopvvvEFjn6 PlKXLCi0Gxuw3EP+HFlZK/v41lf9jAFDyj0hEz85F/OaKpyUzE/1QSW6+U33U2y0lrY5Swc1X2fa UotEz4ZDxxEy9UY2JSZN0YvFUxMp50wjoS4LKd9NRntcUDwd5YK6qOLxuwGw6krNfz4JuQhTo24+ YdrXvWKBjEIsgydUGNl1bo8KP196IBIp+dbhRksk9nhkwvK4VbnV32cVD3zGRxw5foiX8MfgtS4g 8rw3BSI7ZJMzwru0gE5a6XEM6Ui25Td6g/cTPsdG9vsaYcV0YelfOHlWhTZIg8nUkk+JY+iTGbp6 mw7cec82UVvYInOVaR3LA8V52brD3lPBlMo3CIugOhQmVUaI/zVdgWaLjTIi4E1dKquzqnI62Csz jlEgCsu6tbTzcvfcTNt26zMh5j65gF69wF/EtE9j3SnohIkZzJQjSqFO327IDn12CRJLVgHy5uuV LMYxc9vcF68CSed75wsbiIxvSLM7wQcsbML8iij3XqrYC/0ZUoAPUThOL4UdrP5P6uDgb3Gk4Zu1 mgf25zchZR/F1IV1i09Vr9E1TjUwZUWHqyrbG2EbdBfRni1VfdZnqd6f5ZFtCuz550wVOAik6vXP gAMgfkDkDP5iyOeCeUuH84SdVvnU+/Mf1WRDphMhIxWF63KIoLtBCdniruAtF73WKVyOBwGFemaR NAItIO1Mg2qPr7hG93qhkO9H918fHGCYfWnw/EBdRxXOOWUSFuu4kSquv2ZGApMPQpbATSTqj9w1 YG6mW8N/NgxW5tT1TALH8ULzH9StX8TGURge4z8bAGFHXk7x6W/4M9jTbh9zBiIdcnl5ROw8hGaS OJlZ7/jHCLpZnRIhDy5mDd+IUy9H6fPIgtgpbz8wC2TFBQtUqLMoJhuwVWLoB/8hwD4VOMbjkbqT XjPyEvoCpVs9ylMcnoj+EpY6UHRC0VuuQf23ZHJEUmdxBGfwYuhuKhbdNNucJYLCL4tN+ioTQSCE xZ8bOsQ37YwNFyn3gJaUaMMrkKPnvq6yu5L5gcqf1JvU3pdxKTbPH6lX4iWB+Ri0sNAuV2HeSlpZ zrJgh0J+Dky1wYd5/GfT2lyDK/XcLvLxYeD02zE6VEtaAxneHIxJY5Nj/cbFjqPqsor5mJLsXq7V 1yGhsgwK+AXIouX/z+No9IMQs2RarTw/HbPOHcrw2kUOF68GzhVgYvDOWhXpVv3bsTQ0Uy3lh6Qv IrVRTcRykiL+2ObqVXdzk/uj4JHnJ7yWQmM86rIzQC1eyY6FqE/Rw1hQljiGglHMfn8GduHk8yHT 6dgBfJv2CLBlepTW6hz5BKSU9Jl8x5XlzaTYJVFfR3dvO42mEde0/Za/ID2G4zz2sE1XA90/FQR2 tztPSMkyMV/lVlQUg0E6/RL9EeaDVmqYhdWhwVpzB7OkN9clAZCSSi7Qkm20GZLGQo7OF6LByd8v ubrdqf2M5lT9LVsKUEPsh8XrUNVhTOfL6xRO/b/KYLFCifke8/Av1S7heC8sGH/q/eebmYYom5hh vNCTVe+qBpt7MPXLdejg/wWkLs04FYP9FHHhPTeo7CvJBektSZBw7EclPsmunWXtDhUvr9NjEWak 8ym/O+2hLhM9A2X4A2PLLHfowyx6eCJZgLDo+wBtH4TQtd0T/05FoU+xu685QdixYTCKFKYn0bRi jcAbfwGmVj079oh9+5Hpmt8ER2x4IhEL+FRjVhDJiKhzLAI3n4tp9STFmbjmKTznx2ZcetCk6/QA F26M5ynJbfky9OnIN0C6VPCVh5ZlqzZLO3Vy401fzW2owmnDZaQIAvZECYBy4YOZSHuIWCHO3p5z YkFVtkr471AFdoVWJsD2ZMkJ0dcdOoMe++hvfo4UmfboRj+QamrG9vUo2I39ApqGmhhLa9WAxPFZ v+tEaOFR+qVdbC4lxVCM/4/+rEnkT1Cg3M6DVPSb9cG1Vj60VV5xHWidA1zDGMvAjL4YEOom7CTb qF14CU4XJyOCghQSwW0uknD9Ia/hyzKb2G0Hs7Qb13kvgmvMg06pHrOYf0MCn8QdKrJDAHUtu9wo fJye1D5Q6yCShC9XBPsUtvDFK2SYiWkRUyPS1fwLIOJXwc07KTegeaRILcO0KPp+zLbTLf4bbpaY NUtCJmBOGgqVNG15kCH95omleOxdwqZPP65PfNcBop3GGIZUr4Fk+3S431Yy2w1t2/+Hs6iCM3iH W1aK3x/fwJkfFC287mOu0WVFn/mvVJtHT85rvJ3gknwZ1Mc1RNx0qWcU9j9QbuzmnXi+pRm9kzQB ysDPn4AKHeTR6XMTGxwjof8Xua7D28b2q//ViLr/m8SuG0WFaoHpjidamIJSYOJ2qMYPmTZwwJNf 7W1+Nj7GkmZ2ggOduGxNm+UifuyT8x9HCVBsMNhKxPbL36crooaR9Vcb3iBzNZIqtfc59Ytt91gH 4L/xYlEpBlL7FJrIIY5ifR2bHZLiDbTlRikSHy1WUj+pBJzHGZ+oVXAaW5hYmF1yElusEgG10LJr HPtRyX2v0UyCsv4PZhBpEK94/yLdNzhHBvttJYTaMvJpud2UPcsyxG3pZWZc+22BfJ71SigSAWnL MLOpS3ASa2S0wP7PlIiY2//tEUZ2sL0lzqa18cJDkboa+u7JA6F4drjZWB94rm52vz28MMhZaHKu QKGJwOlZBBLH53OnHHg7lpvn0dZZm+ZyDerO8kJd535jbSustiTkIwGjmrhi0JLFyexS8aw7QzF9 SYV3+Ywr26c/42NUxWh22ffjd8Xzsedj9nKc/bkfeRHS1mAJaRDE/9KtnUeLOKmfXEQHyBpBIjxs BbQ4LEt7EoYQSDYMzr9j351ZmUUkLLLSr0kBvZZ4Zkd9QcNYSgrMJulFYJYtI1ybinLNsO27dVsC Y5AdGaflJJz+EIPORIO3gj0iUJQMN1WEtxtLEQD9mXHiCSClUD40kFgM1wBr2RgaPBMDEcMvGSrb I2lGBYgp2OO6bj5oSrubUXosdQNehZMOgMeBnxgOVLdIr5duTMXTY/ruxMxQ3/TYmZ3EAtrqc/1N TdMmWPGXztkuZd5VovenF/osPx85jKmhne7rdlGxZM9yEB0S6iuusKpPvM6gvvKdopeRijxdgG4y V2XECRB2v9nlbcOg/zmejHz1/qjiTddh89zx7l2CxgnfpIsShXstTQGfZXda/eY1SE8Yn4ho9v7S Sj0i0t2USTT+RnzIxs5r5j4LpA8ZTFiCwPFAcgRgOLWCccK/ufY8IrLrhfeGI9eRZeAwYCjv2lnw IPzdoXRkyvfibU0nApc3vr1ofljHSJeIdyV8P5Lb+g2BS24E5drRCT1sNgcFh7hF29eITFBN1Or/ rpsyL2YiY4hPeceufoUXZ5eY+XsSR3Fx1Ai2dV+CE5d6pahEzjYP0o/LkrS+Dz2KMgE3zkjlUSq+ lbtLfFQ4yXqnPAmzH3fWQg2BCO06o7s1erS5xANMlD+VM47M9psz95+S+twGxnrQ977nzQd6oVVh g6xd2zDXNZXptJXzwnYwszNEHZZ+ZHZl1dAlpaLrd1JQ/dUDKWXOyakIfoOwIzyfaN3ZsXk5pfHq FXBzzcSvXWEXUouR6CgHSm4ex9VmjgtHiljuPlRuCli0QIWflEm0pMMFfmy40TUX6iC1ulwnPkm2 kNoogIap5ZeMZRg2+/V2eGi4M/YKmaRQNshlRxN2zkGDabEAx7LC+66JYRiFPg8yz+wjHuYAMR4z eF+eUlPnRWwMW/A1sSi6993AkPEZH3aatgIXTeNZXhQ7P2KmA3ZGNkcqTqHGYR4ia64cMi+SAmnI BSnjDMqW5SS/hjbPAxXHaSJ47qjamBn2dEYp+VvU/DLAP2kCcmPCaTNgK1T34Xlyi76OZuCK7BAm CQs3QbXAftQsv2wmV5c5cxmYkKZha4a9Ut/HG2GzGuOmoPqOqLXHeX4EE9RpJtvNrPrMtcshji2I HKSNmawTFN/Wf9Sa21BbZIgzgfW1NvjG9dEdAv3nrJ3BUOWDDM85o6s6qV6ZXCtlX1ap+krCZBiM HOmI8MIDkEMYrBMgF48Uni27/rOKxeLLQafne98IKq+9g/pjToOPu+I6apny9eGYj2DKSUVtPQjM VuaHX49FRGqww+aUJXbKTznh/RpLOaCDOdhAJcJ4YvkzHfXM+GfNAD+BFKRNKHBrwQQViI0kgBsY vN7phXbRkMH7SwQ4fmZDkuTh+a5uaZrKO0pf2t1AmfB6UO+BhSAp3MTj8JvQSPaRS7SeZPdA2sVN lokIL+dSbJ/5ftZNxRR2HdKu+LSupPt/clUVFlvYlfc7oh5r+OOvRX3e7F/+BecAWIIfuni2ZPYg cRkHOdvzSCDXmo1rhcZcgCPlOQjr+CQ0dd7XzjIg9fltNMYmQePnWZ7fOU0p4j/jcsevetZ4Sjbr MTOIHjxyj40gJyxzWinTdK/OxjCvDUlSBd6CNTPLZ3LguHCdr7YWpJg8kStUXrLm1ORweqcC9jSP qqJ5FPa7ONXjVFcJ09p28WgjkcbwdrXjhcyFodKQ+FfB8c2uZlxKJXgmIihX+XlSPpKcXHNasc4o arWhV9SepIBHW+3F8m5+U66XJNX6RS/V/cwnCV6AuXx9PU453bUPz2pYh9/ovXWGarcMz4gPQ8EM p/flGoM35dBl4xWUIvCw5d99OBgJ1tOoDrla+f7fDhwXv35Oc6CyWqpEO9lLHh4qGMmPcFwJvMKW bFEs/pezNQYO2drbakfVNYiQFOvXzSBOOO1ry+DaIVWBp//Rqx1Oztv162i9Kk5TtfsTLF57dXAo 8G3OGLcbJt4dWNTe2hhutMIq7nxKRbTEsnNUQUbDJx2TXPSUX9237sSp9NKym6wNkJ/bkos+eXgf JzkkL9cipCY/p8swAe6hoqUm3qQ0gVnBXgWGXG2wS4FGKukxpFGYwIariw7UoC7URI87qTkLLAJR lnebL7HMcUV41QjvGsqaOnATEfNpjZwNL5GbXyRHwS2yoT5BT7baLYGpYXTrM044Thj4wiolmUZf KvO3250dQLk7mY15Mf6HkRmiF4N9ttIaq5cdIYJ//irB9TEIShF4NYQ25ISRQXeMyN166C3ieqtO n+1CDx5+5dnZnSIE96UFb6XOyyVQaW9PySGX9k7YUO/73UxIzAMo4aPHz2N8OC/D4nKlTbU+5lOx fOP6lJVtrvRlurBOq+BoZgaQZT+zNTZXRNch//vEHgU96iT9SdqpCmHeF30tX5hAya0bpv78nbY1 mEBIFoaZXkDPGy2zK4PXmFK30Gq3oDFsLK6gbAsdRoZGnIEY+vVJqjRcm0bdCZBJ64UgvYKFABvh gS4/Rkn1iHuAZi+8sivOv1XW6gfhvl1RAwadbt/Cxl0SklX2WSyeJLPyaE7o/HjeuJ9UgZrYXHp0 HiqkJLRV8oEndiEFcG86kGmb5/VHIdt4hLY4NMrir/fD4Ckt6x+15UuiCy6SWFkO8/CTMW+jwMRG GkccT7LzDszx/go5Jt2Xk6e9qEPpWVNHNfE5iMMAjci/7wimYhpSC4FmE49WD7K3NxMKN1jsMZgc 0TWpp+JZVAP/FA2YBri4WqgYbFYC7uTGw7BWEV9W86mjosNFPqPNePzEDhP1PG4TItZPzLUQRTp1 k+9ZKrSdrY/1NeE6KWtaoBZwSJ5qzO2Gab8pj8vqXtza99FLPfRBlgzlRd2EsCj3xqZh08FYbihh Ay1/IDKYK6QkWe2jtrMXK+gVBM+b06aISWZCmo2fUzDf6POoNxxNcdm0c29CK8YzEL3bzD4Atk0G 10/ieVE4Q2h84mQxJ+5LeAvXfK8FMe95nSJYFK1mZRBQ/0iG3opnhKPMefXV/T30dk1lZQEatdYN SZCyaZPXDT8XSYkeyH88x6OkKRlJB4Uzxhexf4g3sCT6efgc+nCsiVNCXECrCPfGLcOW2lnS+l3e D0ZGsUFUykL4lyVVs/JqKzZ2VOdGrqnfs21Jdq3/kZoKTaIwMFcnQEj65gY7j97iehxIY+uLFi93 0RavFGjyjfw3HZwTpHEOEFU4gyxN+ssGvcEHvWlJVNmz7VMPzYsgA+jDVKianlX/O29g5m11wkxR oRfIQH0ARtkBbyL2Arl69LDVwtn649L50HRIk4bzkBM0p3L1Vzaboh0GvVYlly6iEFyLHA+XfqM9 DQhzhTrxe/osDwvwWhcz4HZVr5eB50rfhUcLYMTVC8wqWobUUuhOoWCqqhGCYRvtE6OaWiLqRnqU 4m8DS/Aj1TuaIpVt8x9NYF02RWq5ucuEHXcnUbenqGJRQGoC45VlZYvWGeoRFPWqDDViiPelqCqk 20H4WUg/rw5k3LjD38focH5IQ4GXfcdUWeglP0gry0QjBERGM4Y4K99Z5PuiK+38bQiMT6mKsaqV w4VUYBuqQcx350cJGVNeRqYJcK6LRwdf7k9MW4fyA2fpqJEmuuotkjXpD8pu2S9TBqnc6fwdQSEp 7GR/EVSILVTNhDZyGeOxkyC7rP5J+Lxi9gDknQFTpdcV9V4HWon9s9KKzf9Fnqv2/SeKeNk+G9Mn bYnoJSRS229nlPgdkwza182LnPnr1jDMkYjlnImL7FCFByY9Xah6iJTwcFhnobX+FmS4t+TlK+XS 8HGS+/TJM2no3F99SpyIgglmKD9Dn1yl7gP45ZeUQJSzPBUHWeqg3Dhpj5zjd5QxwV4MBJiyHnWZ Y7QnwoXHxmLE8tgPX6BPnmHkYyC9B/myZlsM91Vz9N57YnuGJm3+73vUUnr/BaYnfW1bW+Wcb8J4 n+G22qzLhsPSbIu+o52gPEYuDawiVoj3UUi2rMtpF4XnQOxQf4ExHRm+x1MlUoXueuNEeOE9Vr/i JxTA3tBbmulv8VNOzRxPjKaQs+PfhC6f2fO5bEBSHjNAnUCV5jRmFN+SUFcBafnAQfraCsnlWt1l gjvkVIot/9HfaA9c3Gq+zl1UZoPqoettSxnwBsF9+Ax7Ms0Ttq39Ase1dDE0fvH0mnxuUdWHFYxV IDvsnn2ymy2Na0x4yp8Un5wq33bPovtLUCJ9H9/+8UEqwJAG43nqIkwLBAw1O3Mw+uF8LTcLw6u3 c3SnmBKosuCl3XUuNqsSOdizC04RZuBThJHdftpT3oZLRW5pf+A1ShfmyPkfGXtIh0XaKSd01yv7 Z9iw1YToEHA7E8QcpB203Ar98Ok2w7PJIH/9nEXg7DNpNQP+ll3q7wX4KcKMn54ZkP1tVKQw9AcT NAXmzx9j277+e2l27SJBOY2zzc/CbcHCmiMfJSnqdPqluZ97gx4H7zmQYlJVRIm07z6pwWIByXUA HRdZdLtDvWwjqCSiQZwQpF+cn/e5bTiG69Zt5LaO6oE1lCBYkNEzdtMSwrAa8NPpCwrKWGlhBzlq oyCcpuJwHmJJgDVjC1/rGs11YJyv14wkdkPh49jm9rrCJmbfMcADt8KaGzTs8/iHn/PAMXnRYA2b DXTth2me45VK0UelxFt061+bhDbSiJS3qbPAXep4XRAM54Sl/tBO0KG6nzmUII+kquOv64rOlopY qqUo2EFks0jTi3XSz4rDpjDujVNjmwwvHeJk1qhY5Ddm7hvM2McjF1Wz0MEI47osjTqfPHWT0o14 +1xp58jVvq2K96RS6l31o2goGF4z59GVMEn/WwSV7ii9QG1L3bTpt1b5YBvyqLxgNKW3IfQgJiMP l4ry4FbyyBCRqD3cZE0R4WV+OATDueX+AMGMjD3I7kode6ko7CXB+/hxIFTAPnEc3yUO2GZrxLGn mi84NmsYEXF7tYpWlt451WAmxALy3kmRXkgU1rNtQevQ3K6+X/1QAcCd9ppPR1uHDhCh/TOeWt0t TqQv+EKTRg9I6axeR1SgsEiE1t8xJAgRSGHoVD2LNldrWUrZzOlFUb6qcmIlKfjehcsHp43aQyxv vf729lN7vk3lKCz9+5UiJ8x9ZbOiedp2ZVo5YsRKA+wOfoj16k0yPzrClJ6M2+BVeOpLwMYeP56Y CEpLcdhj9RNXgmN62aZF9KLmdYqJl8+FpSVAi7sFNc6s1bFrq1PCWqou0oizkl2JMHiqQrgKjSNL AypiLJ+O4PSpmihUwuEssT6w2NCEURubGsLw4YkOV4JM5BrDRxNUKKYLDA4nCGMcJ6cS6c+3Tsri NUrhMd1iYL4txW+nigcShpAKUTM6bYCzOZ0C7knq4G4fxMXGSXt1ttoBG90qnpIVBVL+j902NOrU swbqpyL55bwLhlaHX7v6Ugw6yW4xF8IMng1MNC+ur1cWWnUQ4qKlUw4bzAWePm1IMsAeYSS4hG29 tVPHHN7LY8MrRE25aq325CVWsV2rtnZXy0lRdfmKBJvb3OjqFUzojohR/5TSE2KEUT56fOabr3kB L/NbBMAl331xv9KwNrzg05fIZ9pzATKoRx2mR3lBfSqYKiW0o1TNdn46NR8RyAhVV+2KZ+emFn+4 Q6nwclhUOMNgP5VgyJwCy1r9CtOLmSZjdiKINjFsaZEKKBDeT1KutJsWjK4nj4S/7T1KOvT6VymJ s26SZhDg3FKmPMqSxLjeH8O55a88gyyf3AXi1zh/l2IIXiI8FEShlopznRsRYSJd/+vLXQl3cjfG yIgyZDk3HEFsEZomOa3E30t3TwARURKvWwr0yVzXmr/fpqHtUUbdKnChaQKeCElreavjTQjRlMFj 130bhEKX1duHQmYC3sLuyKtgz11K+0p8LBfyvT326XLSEOQN1m1ZPXc86HZV1USLtjJIQdZ5XVTv qAM1L22HGcLXGmNQQZgwgQ71GjVlsLjewaQ4aM8CRm188ZRim9UK01VnaKuKqv9oCsIdokMwVgvI GuqmnbgrFAT3HTWIeztLTrNo+FCyY6je2OnBRaLPRi67dEUnUJUOqJl/pZcQLzqDZbJKphkLHw3t NW52NUdyEaQWGlKIrs6bj+7mW3piYU9Asz3+AXtP7YxuqJ3E+WBiLh1aTK92QGGCSbjvrwP5JxKQ H5Wxn+76Tv0dcU4U8scOZARtJ7ql1QpSToQZwY068tyNi/nmfhP3Op9/b5YWuqTO3D2KbpeN5G5M z/gz1Ackqxqd3Q+fyh+u8HX4Mxs7n16yNplNn+VhJp01S+iVYx/QAnmjfACWzUxI+MDYJjAGWySe IkGynHnx9L6a4D/FfNc0Umnwj20jTVOb+zCYwBvgB2LqeAPNxryK9j4O4rc8gyfr2Wv8Lgmz5lRR GyZ7IpGcTbies6cMI9amOJVEoeh5CxnNDIoHMSXROMDQmulDNJr/QZk7NZQ/lF12VnC/1zq5SqIT iXBv7gUx9kamXpGTidp5EfkEm+g3Uhcu4Zc3HDpJmQ+3ggP1dYt60rO3TJrIwDITRYwimgKn0lIF 5zcbPEF/TXYSCkb0T/1xchtJMcKttKYOvvNS648gDfUERS6Q60mx6Wfl7Qrowikm11FsnJ73I6a/ +d5XiBeopthYclQmQ2aijph9WbQSgwSBbO1Hq1o5MijWGQb6LyNHq2fqYfAQ7WzTSYCiC2P3QGxz AyIDvHXMTTH4D+EAexS7fCAgXdVDmet/g1Zjo2Q85AwM+I/Nt7wPumP8sHiE2assf8fLCqGE3ynw HIzNJ7NbxwyBGPTVmxR9EBLf/SYcd3+h6sHhg7zEU4TfMWRZH0vxjT1CIFEOpJaHd8TImxrLF56M TSwsteLS4YPMTBK9lhPiSPpais4QNHrISLlv7ALyxDJO1gjhKDpzKIS9FMhipOOzZFJ2shHa/hoc QlDKosUGqf3+K5Gn6fi+LT1bjfbnbrADLvq9X4Gc58oI3Coq7x1K8xfMEy5AZwocrdBNSKJ+BYdZ 7OwBt9bdqOmsg87lqOYEdGQeVMYcvlIWVrNMoD460J/8RNh8zOnhn+Xtu5pSFdtQMTnsg9GrwvK2 oJFgP52TThfScGnziu6mN23Ca4Go+IAL4EZau5QkV+/4C2x9MqGtjO0o5yk8UNDwlmKclGUI/EW6 Heimr0LrqDmmltQHq0DdoV5hZei+YkIv9P2r7Nom49oCBvHne/R3zsi/dHtm9srRsP2iI7GQBeKH MkqI1OKzmPKfR1ugqLwJHiK8JD1z1nBJHRHDMyWFQzc12B+V2Bdt/qGL8bxfmDh3HVy4z0nOoYJa qdLZNm4WncNC1/rcodEGoFbOtuiGMyPkf9xpdWmLOHGqxqlxhWBNCS34pyzPUj37/YahzoQ+JYb4 9AXAwiVVfJdWLnvI8302zgYX4+eJ1bxgYAvBhVTRiYB5mN5NuyWoVih+v0va1DP46Bdnd4zdQ0LZ CfmIdIWnfqHAgn+k2lCaKLaxOnffLRyzjkJgOtqkiV4Tbq4pYZJOz9WBPUQG83D+ETGjCfC85Zgt iUZ7VGdG05wuvzY/JkHCIPPfCqCc1tV+cubvDiOgFXhajODYhHqqa7cumOyzHakCH5aQyogXn+G/ 5DgveZarkL+BUNPBh2XzjK2ubvf/c3J8v5UHr8VR9ZpJ9Bt9FqMrf+LHcxM94moGCe7E2r4nPzYT bAQcd8lQTKLTOGZB5NDcJdkZOHQ6+dYqcmzWe/HZcBRHTsFTXKOTjOsksfz+9WY0fkrh8pQXj2I+ K+qOabItLgVOpCgKIpyk7y+t4BvIC/ZOdo3DgMiWC02q998M/noqaLX6ZoK/iwrPis7G+aPYVcQK eJ9oGLp+9+uJP4pXFx0d0aSk+ba7QKVIJsXa3da4ITnVMBbn/7zCuflSUfVALICYV4BXzdlOZaph D39L6Rox0IcEkWOtkE60dyCDgtQDsXK0sprOU4AuzN7ohAjYnk7giXcJZZ4fFQfw+4KQ9pTck3lv RZZnPYbvsP33EpM3gOqL8XlhffZ5I4bZ/A1zNQ24Ca3bASRTng7PzBZFA0r0B10lHthy2S9ptCCG LGUnzSW9nPHLC+0M5ACVwwhw0G/TOErg5QhK17dU6cwVrF0OcIT8LU/99zvVlzZq/1nfEH+YPr1d +/Xkturd0pyVsZby9xXA/aqcEAj//ct0XN/ZLqCVLJpXLxoMOivshCP06e0QHv0iJde1nHcMINiM UmXwkXQA4VHLxfdEEm5DYqWeBrcBt+bu3e2nb+uA2tsk2e7fjTnd1+IEUkQVrwClhZt5bk+ImiDG cF07zsoGmyYSODkmWDItF2dDsYARdksnXzuJjdkKE+s3WDpJV6oJc8n2+GvnTrk4QNVPPp7LF4G0 2IQIxM++fQdt1S55qpqpEH+UMxI7ALblaXVCUSHCHMho0StuqL3Il9fwy7zPVKlMdKRlAZ+8Si9j gg8bl47oCplg3bsm1SAehRPhfFyc1cdkQNgqPsAaiD1cLlzeo1PQGoAaPOTgVIw81pmNtsX6o5Uz qC6JqjotPDgBc5+DtlCHPS0FV59PSdg+8Zw4iJtmkElEmGK10qqQG7JKphKoRI6c/nGHoCrDkdIA RW+lQUG1mbMpgiAJ3vkBZNDqNCCuUrLNhB4lKIBFXL4M/P1zc1pXxBBRo2xMndN6twzwud0wONGH mYkaNm0oxUFcH06/iHfuzVxkhSpfuqTZ600cq4M30VA0bZSrGXo3/Lcghs9G5qm+LuCZbl77Sijx KP9ZG70XaIpkJtThUa3Xhslv+c4LCKnjBToLVQRsuEqGvN7elV1gv9a83r1tu17OWNWbs1ivOWPg h2qC2PFsdA3bA5BMXUt+2ZpSJmHVYNehSP/RjG4BB+JCPLos5fSvgodgBRZzx7E/Pa9TV8mkVP5T g0IZWIu/K8h7nJqtWPoaIvrhtcPmTO54BvF2dVGpK9do1J7g+bmKBh40DbtP9LLRtFoLpy7DGjOr 6FYPy23fEWzGRm7yPwTFHAkgr/Fd1UJ48ik5AjuPatGoGfWu84otxoNYEAkCHl1/aAUVWWfM2pS7 g05GNXzTSPSZiJ80bbyfVV2TIT8udkBfEcG2l05wqAq7WwpFtpil3W5azwAm+SsaQiq2v11XGzgD OLoK3lCoeVs93xDekDk6sCXDMRdZoFUgZzUU5FDXjhT5gahf7ACJ0U8TcMLFSHg3uOhofr5ybCHN w8SB6+LWERCebmOvadfNPSjJIHrFaW33CcKdXVC6Vc1OQo8+nDgpXtHL+bmi1y8cgGA+gM7MqBxn EcCKqKGeB9EA2B9Tro/JAZ3VZNYUnPp56ezIKxUbADH5CULr9HrlxLQgGpdiRyxuxYcfFbjYLcJ5 hNS+91ez2GPetefZyVB9l0PcVZL8qM8Dp0LNrzksleWVuLa3CZqsRUSK8CaVtw5SqlLCHtwbsWXh zGyuwR+uAmXnoJSRVV5LidpC6QiYqx2b/SO6JkbgihsS3vzX/S+dNUphFngsQbqQOlZ6XwUEIXPL Lm+XZHSGKlnPN3hDCgwnwbrAHuY62ay+ZeHEh7KUSI6pAvm+knfyEf0xEQX/EW1HIiRdMJ3c3guH 4My060/eA5RVr6M7rcHkjJyNWK0xICShyfGJj63KhUbiFeMO9GmgvVe3E/TXKXFrbed+tVo38vEJ VMYb+eQuT6N/DdxrHFpHMe3/i964yYfgdM6dZO7eZ/7HNSIkQ+5lvyrpzUb3w2ctxPKxxGj/6jyn HzTTWAz0GEPqndgO7bgBAZ3oGmy1KZdPzF8AmJLcw7G+OvKxDSnmAZ+GQ8w22RXUZdlpGFPuvnlb 3nDFgGrb7qFR4hsNssST370+d5MroKcAysOkpIdTs5dXB+FV4tpLfdzTDG+kWA3paVERrKFFoAWE S/OxNx2xCNFQdVYRcCdDJdGF/EJapDE/iZfX/eqHNwD3mP+uNPH7WFcPnFcRgXTjiJiGQLN4zedl kGiHp/366bjIg9av8M6w+ps1Ldc+qNw0LMYKChvh+4c8KGRn7NhZcwVNxrxZtl3ctZAXtnIsRhhP PODNlt2OP0Xs/FYTkvJ1Eua7Rz2Aj+kKlGixD3GHzhlS7YE09xG4lhZOcn5IFA69V16xAth6I1fe dzJDeR3OiJkhZ6LC9WbFRhqvEinJ+BFAZ98//fZPepkdVglWrWrF+qUlAi5lSyAH08V0FOzXuEIf grUmT9lUmORzSBd75jsJv2KrTSvIhSl9FY8GIvqzWvdvMQ3gM1miqmGdYp5kb+X4K3sktxg/SmIL CVSaFQ56tct2W4QEGI9H0OCh8Aak79dBCbMeDScJCcpC7KmbTNuNy/WB4QZn9MTQMBVlyvrnOYC2 l4VUCPTZoa79PS/H64JsWzRq9Y5DYyFuiENL+IkdyhmkKB2rfilml9O1NEwGcfU1Ty+62UGmooVQ cLqokoRm4L48sCNkMLZSURH++SN1IkNqqSTXroGiR/X5okqO0Fl9FtRnVAhqrXGQ3Y1MXLAyxalY 4qrnDenQy6FxlPIsRnd+78Vh6R4wG7cLkeHP01meKuAvvAK6R9SSCXRr9utang5f2aedSsvI/rf5 lEunIyV3gG54dtguATA5Pr0FJVSq5ymRDWIlomovlYirJ4pJ+no5Od5YJu/q28cIqqhDVlphfIJx XMeZInTvZWvZdFoMawJEh2cFQc7Yvd87cFLUdo8NOUTrW7OA2ASGBkMajVlFbndz57ZYPhWLtg3Y iYINhTFF12INK5AxEpmtNeFaCSlIfH38npNr1FvXPfGxSgEldDvZjjevGUZAoMI8hDh6Hr9L05fE +j7vKFtHIPh67jp8Ti73Cza6AWBxgnaZ9h1NlckduXXSDVSL8A3+4aH/wtu1oOsi59YxThITH0FI RZ8RIzf7wUWT/Lvy5BWtJvopsgDCdBmrVS5552vOvlDvFsr2z74Pl8q4qaYtEBUmZinhGtzsHVa6 jTBhghMqZnVLGENak4WtsuhF1hMO178KmYHShPB+0vLvkbJgT11hdoNZa27/86EEYdiJv/GNyONq S+12rMU6onOl4A6KM8wkWtVPq6aSkyFCw5Y287Y83CBqPXs/iB6EI6cH6uSx6W+//sQBNhT68jOO v7waKHEG/3YcMkf4GMXu8T3ng4On3S/HF1BB3vOh0YQa/H2a8+0+RJzQhVbSEKWD62aAdO4f4Zdt haBHeghgyv/McfeIuwmDj+uiXWAEXxXDPrdsJ9ee9gx1ZtZvreHiKZUlG4NfLhKWmwNqI3CIHIDw dIhjRDJYXy3lcd1aJsx5LwMB54fv/Ot3Zn6uHDwiq5LvSJNWoh6h0YA9oqldeirfbDMRXuVCsmJT k3xInslHNoEwpVt4z9pvOJWK56cBHeKw3yuKgPsVC3m9+7gY+P5MNore/TiJUWbTVUiMUqv+HraP 8R6aqhKZyejNn5KpnV0DQUgbzhfnPg5AKZk+8D6wkeNOH0s5FsxBEL6DczGmBULOGQnpbg5tuvDp 2AeEEI1wh+5qwgCsSfyjRSNflGNh559mcVvutyh+T7bAnVsuLLU5JLUybVRvPUZX1j591ploar6q zZPZMBihBLXg7ZhgPEvTIxWfQQ5HrIKYBp97LARoj1mdw/clW1yL2EJdaX6dDfQT5WbSzTwf6AG0 DsoMLkDVhtIXllQYYrVqtfoUHoi0ERh4q1rAKG2DOdjSm1DgK8E62GWjdX0em02A7WJanYxUlV2A /UoCc+W2gh4jXxF92Msi6qcd4TRLP+EJn2gj3T+oFLUtItg4xt8hvIv4UDtVQ+c/SFAN5tXIKGYP pEGg6dZ6WzfalJvOJf1TTu50TVZuhg7gXhzvwu4g2vU/8PZg8RX/ZsnvVK/WBDMG3USGRLT1WYB6 qLHCmMB5V8BGMm+xKtO4Ab1q8U2tonjjqGHWlAtM3B7XXUHqa4DIeXG2ywDPxHq+1Op5Lt+ZibjL EQBnwiBZRh1GZ7BR7KmkJKehDnkyai4+gRT3vUiZs9NyGDIErQX8lhRHiWAloIWJtm0wXTce46Bd Ak8ckZiYc5LcK+7cyL1lDIKBTAwmk7ODILXmwFJsSdymZQzNjnOchfyFIOrYQSwE6R5fwHecJLeV 8jBr950vRF1Fv5q55OgpvWC2QSlNMf0lIMa6mBbvq4WHtPdkRH9nffQIRBF+Z2RTMB18++D9CbAM 8K3TCKyk72oZaGje45qW064Voafwl+Ep1uyZytsFLLId0dh9p7qKoCgNX/IHsHiTJjicWtLTWb4x VWH+dheaHyNlaSfKkkyC0IEnTEPIbmnFHbkcv0IFOBu7qhTmNm8OoheqRnPt27puzccuaZy+3ocQ H49pyU+cPqcwkkxci5+v3Pac+X6Tg4/s1p+poX5YPLVuGIJm4S1/2uHvWbV47y2/Vb8Ll3vuaGjo i6ydCDQwqXslYYjcV1KKu6l8QvnPq88o0iMf7uQ8rncLxMxeZ1AIQ4yyW50KpDcuSSv7EFNQOamu i2DcyzNr/LMhITRzfFqobXroHRAfSZSGSmtiMD93XG8nR/ac4DCM+5ABg3TMDrHrHn1/dwWwFKbp c7TF+yNakhXf917xzwhobjU5m6+oub/n0HHKHh9Hr/8x6KhAfqJp2jvMu2cfHNT6CGa9bEOjbkZF cQ+xLCjZl5VNAOVL4h39Akx62dt31RPfWBh78hKz6lbw9Ukk5Mk3W5/Z/3jxabv0oNF4X+v9UcVM w6YvWwGZ1Tkx/S6OgbgUvCJ6sJwGLQNvtRGqzFEelzNswSIN88/yGOM1SD4Nf7XA69+gEmt5izk7 85P3T65K1YS6uD2QRJRyOi7SwMFGxbMORnBQWpU+oEsuIpR8x4RFZ08FSfmrt+Xkik2vgYzztZG/ vbDas5JiGOqaucSvmlYwAY0GNcTzFxcK3FMYxkGMFkWvJCoQW15VtMIcqeytMjFJG4spKk9FyAKC wZc6V6w0N16T29FUXHgSWJDBeavJgmRt/PTHtnW+VlHU23wdjypsC/9durWuhooyb3eP9GjP0mRG dE7nRtHYmDZDwU67AUbs+m0E+CJ889GLGuULehMQercSyJKWFL7kA6FXLt3o+Ov5T77JdIwkajnr T6KFhSq2GmfZuoAuKNlMoWnI2fN6EJVhPL0xbzdHHEa3bwYBN6YmNYZrMgkRkzKaz71y3Vj5yp+e mBREN2olFJBCn8eXLCDJl9dAWTduPCJI0JCI+1COAIXQNDH1Ma/sLi4PBFwGOi5UR1tnwFDolyEH lUfaM7atiEgTW5sYszS3M7XY+2ZunixNczOAoECzTZYes77QhI16s4obtXfyDDVbf9Y= `protect end_protected
bsd-2-clause
181b9ebf3a280bda6dc02317e6806717
0.945462
1.847954
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/case/rule_019_test_input.fixed.vhd
1
596
architecture ARCH of ENTITY is begin PROC_1 : process (a, b, c) is begin case boolean_1 is when STATE_1 => a <= b; b <= c; c <= d; end case; end process PROC_1; PROC_2 : process (a, b, c) is begin case boolean_1 is when STATE_1=> a <= b; b <= c; c <= d; end CASE; end process PROC_2; PROC_2 : process (a, b, c) is begin -- Some Comment case boolean_1 is when STATE_1=> a <= b; b <= c; c <= d; end CASE; end process PROC_2; end architecture ARCH;
gpl-3.0
e86ffea51fb6f56230f07764ddfb4d77
0.474832
3.274725
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/generic/rule_014_test_input.fixed.vhd
1
330
entity FIFO is generic ( G_WIDTH : integer := 256; G_DEPTH : integer := 32 ); end entity FIFO; -- Violation below entity FIFO is generic(g_size : integer := 10; g_width : integer := 256; g_depth : integer := 32 ); port( i_port1 : in std_logic; i_port2 : in std_logic ); end entity FIFO;
gpl-3.0
4371e458585bcd589f0e167089fb1dcd
0.575758
3.173077
false
false
false
false
NicoLedwith/Dr.AluOpysel
RAT_MCU/VGA_Stuff/vga_clk_div.vhd
1
805
-- -- Declares a clock divider to synchronize the VGA scanlines -- -- Original author: unknown -- -- Peter Heatwole, Aaron Barton -- CPE233, Winter 2012, CalPoly -- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity vga_clk_div is port(clk : in std_logic; clkout : out std_logic); end vga_clk_div; architecture Behavioral of vga_clk_div is signal tmp_clkf : std_logic; begin my_div_fast: process (clk,tmp_clkf) variable div_cnt : integer := 0; begin if (rising_edge(clk)) then if (div_cnt = 0) then tmp_clkf <= not tmp_clkf; div_cnt := 0; else div_cnt := div_cnt + 1; end if; end if; clkout <= tmp_clkf; end process my_div_fast; end Behavioral;
mit
d770ff1fcc652970c812ba38373945a8
0.565217
3.396624
false
false
false
false
rjarzmik/mips_processor
MEM/Memory_access.vhd
1
15,056
------------------------------------------------------------------------------- -- Title : Memory access stage -- Project : ------------------------------------------------------------------------------- -- File : memory_access.vhd -- Author : Simon Desfarges -- Company : -- Created : 2016-11-24 -- Last update: 2017-01-04 -- Platform : -- Standard : VHDL'93/02 ------------------------------------------------------------------------------- -- Description: -- This is the memory access pipeline stage. -- Depending on operation to do (load/store), does the corresponding memory -- access (ie write or read the L1 cache). This module outputs the read memory -- data to store in the register. It outputs a 'stall' signal for earlier -- stages in case of memory latency > 1 clock cycle. -- -- Concerning Stores, the latency is minimum 2 cycles: 1 to set @, data, we and -- 1 to get the write acknoledgement. In my opinion, this part should be done -- in WB stage, because 'store' is a kind of 'commit'. This instruction is not -- really killable at this stage as a store is atomic and lasts more than 1 -- cycle. In a first approach, this stage should not need kill (may need it -- when exception occurs). -- Another optimization which can reduce the latency is to reverse the "WR ACK" -- logic: instead of ACK the write, use a 'MEM BUSY' signal. It jumps to '1' if -- the memory cache is busy (ie cache miss). If the cache is not busy, the -- write en transaction is registered (need to maintain the data to write for -- only one cycle). The 'need_stall' signal is set to 1 if we have a store -- instruction AND the cache is not busy. The miss is hidden by others -- instructions. ------------------------------------------------------------------------------- -- Copyright (c) 2016 ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2016-11-24 1.0 simon Created ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use work.cpu_defs.all; use work.instruction_defs.all; ------------------------------------------------------------------------------- entity Memory_access is generic ( ADDR_WIDTH : integer := 32; DATA_WIDTH : integer := 32 ); port ( clk : in std_logic; -- input clk rst : in std_logic; -- input async reset stall_req : in std_logic; kill_req : in std_logic; o_exception : out std_logic; -- An exception happened in the module. The -- only 'supported' exception is when a store -- is killed. i_reg1 : in register_port_type; -- Memory address to access i_reg2 : in register_port_type; -- not used, to be fwded i_mem_op : in memory_op_type; i_mem_data : in std_logic_vector(DATA_WIDTH - 1 downto 0); -- Data to -- store in memory -- Carry-over signals i_is_jump : in std_logic; -- not used, to be fwded i_jump_target : in std_logic_vector(ADDR_WIDTH - 1 downto 0); -- not used, to be fwded i_instr_tag : in instr_tag_t; o_reg1 : out register_port_type; o_reg2 : out register_port_type; o_jump_target : out std_logic_vector(ADDR_WIDTH - 1 downto 0); o_is_jump : out std_logic; o_m0_instr_tag : out instr_tag_t; o_m1_instr_tag : out instr_tag_t; o_m2_instr_tag : out instr_tag_t; -- Control hazard outputs. Needed to check RAW hazards o_stage1_reg1 : out register_port_type; o_stage1_reg2 : out register_port_type; o_stage2_reg1 : out register_port_type; o_stage2_reg2 : out register_port_type; -- Memory interface i_mem_rd_valid : in std_logic; i_mem_rd_data : in std_logic_vector(DATA_WIDTH - 1 downto 0); o_mem_wr_en : out std_logic; o_mem_word_width : out std_logic; -- Actual width of the word to be -- written. 1 -> 32 bits, 0 -> 8 bits (LSB) i_mem_wr_ack : in std_logic; o_mem_addr : out std_logic_vector(ADDR_WIDTH - 1 downto 0); o_mem_wr_data : out std_logic_vector(DATA_WIDTH - 1 downto 0); o_need_stall : out std_logic; -- debug signals i_dbg_mem_pc : in std_logic_vector(ADDR_WIDTH - 1 downto 0); o_dbg_mem0_pc : out std_logic_vector(ADDR_WIDTH - 1 downto 0); o_dbg_mem1_pc : out std_logic_vector(ADDR_WIDTH - 1 downto 0); o_dbg_mem2_pc : out std_logic_vector(ADDR_WIDTH - 1 downto 0) ); end entity Memory_access; architecture rtl of Memory_access is -- This first version has a minimal latency of 3 clk: 1 clk to put input @ to -- memory bus @, 1 clk to retrieve corresponding data from memory and a last -- one to register it on the output. The -- o_need_stall signal is not registered because we need to stall the earlier -- stages with no delay if memory access has a latency > 1 clk. signal r1_reg1 : register_port_type; signal r1_reg2 : register_port_type; signal r1_mem_op : memory_op_type; signal r1_is_jump : std_logic; signal r1_jump_target : std_logic_vector(ADDR_WIDTH - 1 downto 0); signal r1_instr_tag : instr_tag_t; signal r2_reg1 : register_port_type; signal r2_reg2 : register_port_type; signal r2_mem_op : memory_op_type; signal r2_is_jump : std_logic; signal r2_jump_target : std_logic_vector(ADDR_WIDTH - 1 downto 0); signal r2_instr_tag : instr_tag_t; signal r1_dbg_mem_pc : std_logic_vector(ADDR_WIDTH - 1 downto 0); signal r2_dbg_mem_pc : std_logic_vector(ADDR_WIDTH - 1 downto 0); -- Memory interface internal use. signal s_mem_wr_en : std_logic; signal s_mem_word_width : std_logic; signal s_mem_addr : std_logic_vector(ADDR_WIDTH - 1 downto 0); signal s_mem_wr_data : std_logic_vector(DATA_WIDTH - 1 downto 0); signal s_need_stall : std_logic; signal s_mem_op_load : std_logic; signal s_mem_op_store : std_logic; begin -- architecture rtl -- Output internal registers for the control hazard module. o_stage1_reg1 <= r1_reg1; o_stage1_reg2 <= r1_reg2; o_stage2_reg1 <= r2_reg1; o_stage2_reg2 <= r2_reg2; o_mem_wr_en <= s_mem_wr_en; o_mem_word_width <= s_mem_word_width; o_mem_addr <= s_mem_addr; o_mem_wr_data <= s_mem_wr_data; o_need_stall <= s_need_stall; -- stall if there is a read mem access taking longer than 1 clk or a wr -- access and data not ACKed. s_need_stall <= (not rst) and ( (s_mem_op_load and not i_mem_rd_valid) or (s_mem_op_store and not i_mem_wr_ack) ); with r1_mem_op select s_mem_op_load <= '0' when none | storew | store8, '1' when others; with r1_mem_op select s_mem_op_store <= '1' when storew | store8, '0' when others; -- purpose: because of memory latency we need to register inpout -- signal to easily retrieve them for output. -- type : sequential -- inputs : clk, rst -- outputs: internal_regs : process (clk, rst) is begin -- process internal regs if rst = '1' then -- asynchronous reset (active high) r1_mem_op <= none; r1_jump_target <= (others => '0'); r1_is_jump <= '0'; r1_reg1.we <= '0'; r1_reg1.idx <= 0; r1_reg1.data <= (others => '0'); r1_reg2.we <= '0'; r1_reg2.idx <= 0; r1_reg2.data <= (others => '0'); r1_instr_tag <= INSTR_TAG_NONE; r1_dbg_mem_pc <= (others => 'X'); r2_mem_op <= none; r2_jump_target <= (others => '0'); r2_is_jump <= '0'; r2_reg1.we <= '0'; r2_reg1.idx <= 0; r2_reg1.data <= (others => '0'); r2_reg2.we <= '0'; r2_reg2.idx <= 0; r2_reg2.data <= (others => '0'); r2_instr_tag <= INSTR_TAG_NONE; r2_dbg_mem_pc <= (others => 'X'); elsif rising_edge(clk) then -- rising clock edge if kill_req = '1' then r1_mem_op <= none; r1_jump_target <= (others => '0'); r1_is_jump <= '0'; r1_reg1.we <= '0'; r1_reg1.idx <= 0; r1_reg1.data <= (others => '0'); r1_reg2.we <= '0'; r1_reg2.idx <= 0; r1_reg2.data <= (others => '0'); r1_instr_tag <= INSTR_TAG_NONE; r1_dbg_mem_pc <= (others => 'X'); r2_mem_op <= none; r2_jump_target <= (others => '0'); r2_is_jump <= '0'; r2_reg1.we <= '0'; r2_reg1.idx <= 0; r2_reg1.data <= (others => '0'); r2_reg2.we <= '0'; r2_reg2.idx <= 0; r2_reg2.data <= (others => '0'); r2_instr_tag <= INSTR_TAG_NONE; r2_dbg_mem_pc <= (others => 'X'); elsif stall_req = '0' then if s_need_stall = '0' then r1_reg1.we <= i_reg1.we; r1_reg1.idx <= i_reg1.idx; r1_reg1.data <= i_reg1.data; r1_reg2.we <= i_reg2.we; r1_reg2.idx <= i_reg2.idx; r1_reg2.data <= i_reg2.data; r1_mem_op <= i_mem_op; r1_is_jump <= i_is_jump; r1_jump_target <= i_jump_target; r1_instr_tag <= i_instr_tag; r1_dbg_mem_pc <= i_dbg_mem_pc; r2_reg1.we <= r1_reg1.we; r2_reg1.idx <= r1_reg1.idx; r2_reg1.data <= r1_reg1.data; r2_reg2.we <= r1_reg2.we; r2_reg2.idx <= r1_reg2.idx; r2_reg2.data <= r1_reg2.data; r2_mem_op <= r1_mem_op; r2_is_jump <= r1_is_jump; r2_jump_target <= r1_jump_target; r2_instr_tag <= r1_instr_tag; r2_dbg_mem_pc <= r1_dbg_mem_pc; end if; end if; end if; end process internal_regs; -- purpose: According to memory_operation, do the right memory access -- type : sequential -- inputs : clk, rst -- outputs: process (clk, rst) is begin -- process if rst = '1' then -- asynchronous reset (active high) s_mem_wr_en <= '0'; s_mem_word_width <= '0'; s_mem_addr <= (others => '0'); s_mem_wr_data <= (others => '0'); elsif rising_edge(clk) then -- rising clock edge if kill_req = '1' then s_mem_wr_en <= '0'; elsif stall_req = '0' then if s_need_stall = '0' then case i_mem_op is when loadw => s_mem_addr <= i_reg1.data; s_mem_wr_en <= '0'; when load8 => s_mem_addr <= i_reg1.data; s_mem_wr_en <= '0'; when load8_signextend32 => s_mem_addr <= i_reg1.data; s_mem_wr_en <= '0'; when storew => s_mem_addr <= i_reg1.data; s_mem_wr_data <= i_mem_data; s_mem_wr_en <= '1'; s_mem_word_width <= '1'; when store8 => s_mem_addr <= i_reg1.data; s_mem_wr_data(DATA_WIDTH - 1 downto 8) <= (others => '0'); s_mem_wr_data(7 downto 0) <= i_mem_data(7 downto 0); s_mem_wr_en <= '1'; s_mem_word_width <= '0'; when others => s_mem_wr_en <= '0'; end case; end if; end if; end if; end process; -- purpose: Outputs Mem datas in registers. Masks/sign extend datas. -- type : sequential -- inputs : clk, rst -- outputs: process (clk, rst) is begin if rst = '1' then o_reg1.idx <= 0; o_reg1.we <= '0'; o_reg1.data <= (others => '0'); o_reg2.idx <= 0; o_reg2.we <= '0'; o_reg2.data <= (others => '0'); o_jump_target <= (others => '0'); o_is_jump <= '0'; o_exception <= '0'; elsif rising_edge(clk) then o_exception <= '0'; if kill_req = '1' then -- outputs a NOP o_reg1.we <= '0'; o_reg2.we <= '0'; o_is_jump <= '0'; if (r2_mem_op = store8 or r2_mem_op = storew) then -- For now a store cannot be killed. -- (because it is hard to roll-back the -- memory transaction). o_exception <= '1'; end if; elsif stall_req = '0' then o_reg1.idx <= r2_reg1.idx; if i_mem_rd_valid = '1' and (r2_mem_op = load8_signextend32 or r2_mem_op = load8 or r2_mem_op = loadw) then o_reg1.we <= '1'; else o_reg1.we <= r2_reg1.we; end if; if i_mem_rd_valid = '1' then case r2_mem_op is when loadw => o_reg1.data <= i_mem_rd_data; when load8 => o_reg1.data (DATA_WIDTH - 1 downto 8) <= (others => '0'); o_reg1.data (7 downto 0) <= i_mem_rd_data (7 downto 0); when load8_signextend32 => o_reg1.data (DATA_WIDTH - 1 downto 8) <= (others => i_mem_rd_data(7)); o_reg1.data (7 downto 0) <= i_mem_rd_data (7 downto 0); when others => o_reg1.data <= r2_reg1.data; end case; else o_reg1.data <= r2_reg1.data; end if; o_reg2 <= r2_reg2; o_jump_target <= r2_jump_target; o_is_jump <= r2_is_jump; end if; end if; end process; instr_tags : process(clk, rst, kill_req, stall_req, r1_instr_tag, r2_instr_tag) begin if rst = '1' then o_m0_instr_tag <= INSTR_TAG_NONE; o_m1_instr_tag <= INSTR_TAG_NONE; o_m2_instr_tag <= INSTR_TAG_NONE; elsif rising_edge(clk) then if kill_req = '1' then o_m0_instr_tag <= INSTR_TAG_NONE; o_m1_instr_tag <= INSTR_TAG_NONE; o_m2_instr_tag <= INSTR_TAG_NONE; elsif stall_req = '1' or s_need_stall = '1' then else o_m2_instr_tag <= r2_instr_tag; end if; end if; o_m0_instr_tag <= r1_instr_tag; o_m1_instr_tag <= r2_instr_tag; end process instr_tags; debug : process (clk, rst, r1_dbg_mem_pc, r2_dbg_mem_pc) is begin -- process debug if rst = '1' then -- asynchronous reset (active low) o_dbg_mem0_pc <= (others => 'X'); o_dbg_mem1_pc <= (others => 'X'); o_dbg_mem2_pc <= (others => 'X'); elsif rising_edge(clk) then -- rising clock edge if kill_req = '1' then o_dbg_mem0_pc <= (others => 'X'); o_dbg_mem1_pc <= (others => 'X'); o_dbg_mem2_pc <= (others => 'X'); elsif stall_req = '1' or s_need_stall = '1' then else o_dbg_mem2_pc <= r2_dbg_mem_pc; end if; end if; o_dbg_mem0_pc <= r1_dbg_mem_pc; o_dbg_mem1_pc <= r2_dbg_mem_pc; end process debug; end architecture rtl;
gpl-3.0
d91434d55666cb23b21713d3f84917eb
0.512553
3.146499
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/styles/jcl/c16/Board_cpu.fixed.vhd
1
4,459
-- -- This is the top level VHDL file. -- -- It iobufs for bidirational signals (towards an optional -- external fast SRAM. -- -- Pins fit the AVNET Virtex-E Evaluation board -- -- For other boards, change pin assignments in this file. -- library IEEE; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use work.cpu_pack.all; library UNISIM; use unisim.vcomponents.all; entity BOARD_CPU is port ( CLK40 : in std_logic; SWITCH : in std_logic_vector(9 downto 0); SER_IN : in std_logic; SER_OUT : out std_logic; TEMP_SPO : in std_logic; TEMP_SPI : out std_logic; CLK_OUT : out std_logic; LED : out std_logic_vector(7 downto 0); ENABLE_N : out std_logic; DEACTIVATE_N : out std_logic; TEMP_CE : out std_logic; TEMP_SCLK : out std_logic; SEG1 : out std_logic_vector(7 downto 0); SEG2 : out std_logic_vector(7 downto 0); XM_ADR : out std_logic_vector(14 downto 0); XM_CE_N : out std_logic; XM_OE_N : out std_logic; XM_WE_N : inout std_logic; XM_DIO : inout std_logic_vector(7 downto 0) ); end entity BOARD_CPU; architecture BEHAVIORAL of BOARD_CPU is component CPU is port ( CLK_I : in std_logic; SWITCH : in std_logic_vector(9 downto 0); SER_IN : in std_logic; SER_OUT : out std_logic; TEMP_SPO : in std_logic; TEMP_SPI : out std_logic; TEMP_CE : out std_logic; TEMP_SCLK : out std_logic; SEG1 : out std_logic_vector(7 downto 0); SEG2 : out std_logic_vector( 7 downto 0); LED : out std_logic_vector( 7 downto 0); XM_ADR : out std_logic_vector(15 downto 0); XM_RDAT : in std_logic_vector( 7 downto 0); XM_WDAT : out std_logic_vector( 7 downto 0); XM_WE : out std_logic; XM_CE : out std_logic ); end component; signal xm_wdat : std_logic_vector( 7 downto 0); signal xm_rdat : std_logic_vector( 7 downto 0); signal mem_t : std_logic; signal xm_we : std_logic; signal we_n : std_logic; signal del_we_n : std_logic; signal xm_ce : std_logic; signal lclk : std_logic; begin CP : CPU port map ( CLK_I => CLK40, SWITCH => SWITCH, SER_IN => SER_IN, SER_OUT => SER_OUT, TEMP_SPO => TEMP_SPO, TEMP_SPI => TEMP_SPI, XM_ADR(14 downto 0) => XM_ADR, XM_ADR(15) => open, XM_RDAT => xm_rdat, XM_WDAT => xm_wdat, XM_WE => xm_we, XM_CE => xm_ce, TEMP_CE => TEMP_CE, TEMP_SCLK => TEMP_SCLK, SEG1 => SEG1, SEG2 => SEG2, LED => LED ); ENABLE_N <= '0'; DEACTIVATE_N <= '1'; CLK_OUT <= lclk; mem_t <= del_we_n; -- active low we_n <= not xm_we; XM_OE_N <= xm_we; XM_CE_N <= not xm_ce; P147 : IOBUF port map ( I => xm_wdat(7), O => xm_rdat(7), T => mem_t, IO => XM_DIO(7) ); P144 : IOBUF port map ( I => xm_wdat(0), O => xm_rdat(0), T => mem_t, IO => XM_DIO(0) ); P142 : IOBUF port map ( I => xm_wdat(6), O => xm_rdat(6), T => mem_t, IO => XM_DIO(6) ); P141 : IOBUF port map ( I => xm_wdat(1), O => xm_rdat(1), T => mem_t, IO => XM_DIO(1) ); P140 : IOBUF port map ( I => xm_wdat(5), O => xm_rdat(5), T => mem_t, IO => XM_DIO(5) ); P139 : IOBUF port map ( I => xm_wdat(2), O => xm_rdat(2), T => mem_t, IO => XM_DIO(2) ); P133 : IOBUF port map ( I => xm_wdat(4), O => xm_rdat(4), T => mem_t, IO => XM_DIO(4) ); P131 : IOBUF port map ( I => xm_wdat(3), O => xm_rdat(3), T => mem_t, IO => XM_DIO(3) ); P63 : IOBUF port map ( I => we_n, O => del_we_n, T => '0', IO => XM_WE_N ); process (CLK40) is begin if (rising_edge(CLK40)) then lclk <= not lclk; end if; end process; end architecture BEHAVIORAL;
gpl-3.0
c2572194c23e3e51750cbbcd400bfbf9
0.469163
2.951026
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/generic/rule_010_test_input.fixed_move_left.vhd
1
441
entity FIFO is generic ( G_WIDTH : integer := 256; G_DEPTH : integer := 32) -- Comment ; port ( I_PORT1 : in std_logic; I_PORT2 : out std_logic ); end entity FIFO; -- Violation below entity FIFO is GENERIC(g_size : integer := 10; g_width : integer := 256; g_depth : integer := 32); -- Comment should stay PORT ( i_port1 : in std_logic := '0'; i_port2 : out std_logic :='1'); end entity FIFO;
gpl-3.0
519369657c7ac29f0734acf24a45490a
0.575964
3.15
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/vhdlFile/exit_statement/classification_test_input.vhd
1
384
architecture RTL of ENTITY_NAME is begin process begin EXIT_LABEL : exit loop_label when a(23 downto 5) = 20; exit loop_label when a(23 downto 5) = 20; EXIT_LABEL : exit when a(23 downto 5) = 20; exit when a(23 downto 5) = 20; EXIT_LABEL : exit loop_label; exit loop_label; exit; exit when a <= b; end process; end architecture RTL;
gpl-3.0
f1c838b771babf62f3ce579f6b1642ab
0.622396
3.368421
false
false
false
false
cwilkens/ecen4024-microphone-array
microphone-array/microphone-array.srcs/sources_1/ip/lp_FIR/xbip_utils_v3_0/hdl/xcc_utils_v3_0.vhd
3
7,255
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block GEmIRPTs+hNRwpxGfJrtN5gzhI2ZGLrHa3EGoQEq6XAlku2fFIiT5hrMrBwOQzoyYE+24/EH2q8a PrqfFoLkFQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block NY9bq2jCtkpPSY2GfVyxyzZ8UMjEArt+6K1zKTnl/nqvHivVyzZDaykypurGvfTk9EyadqcTsG+k GRbfSIqp3wcQZ3JPAYSzXTxpUm6bZ3P7ZbtBWQ0Ky5jW1fl46iRX85NcEyZcmQa92iuUghjUaUJJ bLpPPUeYvDgxwwld4aY= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block fJSFxMdJdNJy36f6jiTiTSyczbLCSbB2fHmy7K0bzbVN7NSAMP6yvJtp5xAPh8NpDvfGgsg9XGft phCXcQGh1JzteJfbEm9pzfN3lSIfCVzA5svg791y3toRE1mYjGPhDT/AuNJINwmCBjRldYOlLQzS 5ksPDGdVoDeRDb5Zbt3d+pBqPuMKy9wZNC5P1Zzv7VetU1RZG6aA69s9azA0o6nX5F/ksgkCBqRP PAQusRI1kPvvM3uxA5WB0n+ZBaNSPSuuRQTOwz4N+4JUxO4t5yLeCF7XirTCfQUvbOPIRUAbXFCN NsJ228G3dMYrBMLMO45jd+cyPiy3m+TUNrCT8A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block JJfyK5xWEeksViI4ZGnB/AFHEWbMoq592Ww7OMXpCu5/Dv0TI96dyLK9KvHVqEbeK29iPSYv3W/o zFQzHAO4F2sxyDQcld82eeF06QKWTNnyFavcEh7tegV44TsNU3n6TT0DGXuflvUy+fpIfD+fOcFH rFCBkGZz+33guenT9qU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Y8I58ZGqq00yXDv8tK06SGgCQkR1VnF2uoN9tOe36G/0houFVGagbYFpM/UqXT/x6GIu5E2uAggj Szr+7DzA/m0TBd3+40WtMn1h+PdVgL67Gd3Uzt++dlr6wGIvTjHpjlFRJ3u2x97qiWbv10lS+iAb vI5CVs2FKbq2sz0Y5XX5YGvLOPdYODUcstUpD2gv0ug3xcA1qCkpVLCWXDUvFffOtQULIMpm84lT yqjkx2WEhHV5RKDIxY01Dc5xULYPBHEgp47Yi5knFERcmZJB4LJqnnkVipPkvzXEqHvNkMXXQU1b tK4YqDcNi5NdpK41etrYZAmwt4r90hHhGLPZ5g== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 3632) `protect data_block YU1bo5950uxScxAuUObvNT8bPVFOGlAgh40aR8M3pgIPTLhV7Xlpno5y4oyo2LSiJQzfmQ94rGBt uo1uzWyz6s6C+z46L+9+xdshJHOx9QV98eWp0im7RzzLJQI2d8pFO2M/CnPdI5Vd6mUiqlIFbi9x fNUOR3fGzHel+pqvUfOvqEKgaHyPyhBxHwT2dBVq24i+pP1cWcIZTALetX1mBWmC7epDarn09iwx bf+iIJURdJ97QDWycNS5IdHqqYbfhbMf0rymWgSswCJBY6Ko4dc0gk1RMQuJKwT6m5Y+kfqZ9zQf 3GpuftMiTH+W6aa+4Nf+K1lGR0Y7/V9zs2LYDMSaiwPjONB3qnnxkpAP7Zn6FwVIs/ZEH4hI5hIu sNZhpg2PsZEfML3tn8wt9JZ0GzYC+xHQFbvgv4u+rFfAgk0usqkkr2kG1CFeXXcunWqW4ze+dauH qrlaq7laqTLqN61pZu6l/mMv1szt+hH9k/KXUQUviAb+D1kjBFiCJVhtu3IlgOQl2jUjEEQjERRv jH34wwJ3ScZcXLRd/gytSY6rRWAy7TiTxHSySzf2nBlGUal1KuTxKVj0UlkTLj51KMYTKSt5I5Gv /iJfOw5+eOp1cFUH8+vaCG2dgZ63KYShvjViWA7maGuBZij4fsUCkGBLEfBupUWtGI5PSj4kkr+O 1QR/lhUWFSEdLUMzRUI41/fl4MtjkH0JADgAiSKDsW6YscDaHcdUlSGo8QczDAm6UXKo/AK9/f8X 3EmXFAVwgRKhe9r+lwZp5vWHvq0EMP/vwf8mRM35OGwaLja1Q8MMmmXNQI47tPCjUbJgSIcCw+zC aDUK+Q8Mia2+tsAQT7fPQ6DYlpx8NGQVgAHnetvWfbuGCfjs3OWo1R2h0wE23E2fmfPSsC0fNOt1 j2PEqgkfZSgi4Jj6Rrj8gdLJrSP6q9fgOkZ4kzVpq9wtHvyjrp5oUMB1K7Xl84N/rMVQ7e1crxyR ZGUU3vEJVu2PzxVGszc8KD802a+QWJdEu2IQfuxcc+6tThUukzLhhCvaBIRAqBOUrIPNKGhzV63B y0m5jPXpcV1z4BU2KAlYN4DqpHe+7VQycteqb7l2Fe4ZRQiA8SvABXnFeOF0fqSgQh4wmH73dwss Cs+OBH0xq7kRJ+F9HlGDbsnl32SGtpq4iIlQcUAV4OEQoUO6qqYsq+w2hXC6+hN79WJWjZzPqBWx 85hWVEVBOs2poPmPA/FXXWx2n0sVlwgkUuxq7t5bxGB+puelumS38/GEMMklPuCN0M/aNp9wxCiH sFLjm1AAANiggdZ6KGMeGuQTuO03zs1iYKvehPNPpsnwryn2+91UyiTtc5W9bfm4Gk5BqDPQQoKD HFQuQBZUqrJs6+htZOD46njp8Qv1STWciv/T51DCvamEyIn5kkat9T+7BjUlClG+GyQunlkRo4c1 0lT2d70vhL3o9J9CPn1MPbgC+vOQLdMUOHV5ROpmnRyzDk8k+I+xL0A3j1KLN+5p7XpLnk4VenTA DjGXAM+mITOUg6vxQxtr8wVXg0ofIlVU08WyKCZIHRPQmRF7VEab/+2vOdh6bZ4isehpTdU8uPZs 4yXlTHSQdiCvT4sDrUkCv7T3WMfI06Oggo2sMyaV/zrLGpoNx5PZ4CU88fkrF3Jsq1hDUEbUhwP2 fmXRvsgCs7V1+xNoXwZBfLhyYSsk0QXMmXMoaqIFdIM6OshoaATuigkLmL3IgaY0HV9JS2yC98ZS IS7yho5P41rNjOrQKCDPYT9nwq1FaaAis/emj4YJjYph4yhx1yytz/n6sxHXH8DyBBI77iggY8K2 bs4MKm5D1pK0BB1eM0flLjmNBQXeda1YAdOA/GE3zmuRjBTdC6lIywq3o3da8jDwiQ03CBhwi3Bc qGOywBKL8Pq0ID88AMysrpjwu8UlooaOG+Eb7shCFZuUXiPwLhJAhxXE/CmMWDfX/u5LJ7MqdycP +OyHXJkuJtoSM6SQRLiNF3rwfG5ETR9zxKQdIW+p36TA4rlhG8x6Q2SO48iRmSwizfTcHq/2PD0E pIgMPY9MAz8TeweYxIgmRiFFlYrvsfMXhMPsOi5cs0tRhuWkgCLlKaTwjpCxM3NxgMvR2luHrDdn uHd+D8l03kfFMh8qtboLFqyer1Y2yJkKXAGnBhMIiJ6RLSABi50OXPxD10lMyYx7g9kP0Mc2OR/s mwxO+d8WtN+8Qw0ETmmb0fIor1nl6BMQPon7CYCgdacMnQFTMS/AtUA2/No2IKOYcuqpHIzS+ilf n+4UtEeUSWuWEJDBhGq8GlBd18upMYhCHBQPBjxL2HUp5nMuTuik01lHlv0OdL1WjoY+3OEK1Hzq X4jXg3JKoZcJGH1hcnzGvw+ky+06XideKbomFVYlgGyKTd+Shv+NRvnTPUsQ96wtc3oUI/t/jPkU s+Xm7YF7lQEq1d29VZvHzt56zaQILSTaffiZ/S5q8BQzo/zyrckPTFmMO9shgiOR52CLQgW+280B wj+sumY14dZatIVdMX5qkjFPRPO59P/yK7LRvXUJSeYWJ/OjQeGO+G7uvYstYwx76rxei+v/yNOY X40OR62nd9F30+8gROgQF+pAIK4ZOYidEBK4AVWc9qtr1C+Wn2XV7nCP03tURd2//VueeSTyeoz5 H/WZH1Likhuz30fivzlSSJ0HW58ocd2VNUNE8/hE6737FHec1kCQy61LAxYIHWtyQVM+7POktjhI B80aMORNMlpdemDqFnw1PSCplQoKDW13TSSfneodmdwvmlqIoJv105Q2LLnGv7zkj9dFsJ4kR9NV 8dfdRm04Ql4O06ruQa2uXYkgrIk4dg5VDf70664ea6o1ojaxsjBP0MnvgACswHm2BIfwEfUZZtc7 1ukjbKMnmOS++8cQThxBj2w3D0ggACGW8Yroo2Fn0X9pki761Hql01faK4yWw0m5isCxQPVIXhOW NgWgFnEXd9fILcqWbWH+pRQjIrRovc7/qa7FQKGo28s1FgKa8FvwbhzAoxjQAMEml9qMVDi/JrGi nnYalee+PAIQcxsTsxnHIR1T51byBjKdJkpvC/AqoCniAC2ErSeRwnQM2hcKVIAVfHkRpgO7DxxA YW40ThmQyZj4D0xoy6x7DZU6JEF6USlq4hGNtC5Hx4Rq9zXAsKujiSXktIfjRpojhROgMiF8JAX+ k+ufcId41T/VW/NxteN1R6KTpVQzv5whcTGtrXfAItMzG4+B08w3RcyJW/2shuodbyQF87WXloKd VxRAc/X3sbybeHkcZUb6ItkxsOacH/dPD4edSwQsPEYQ1uCX+XhH+SzbFmphaJaKpse6mAdcrzGo ay6GNDUXW9PukfJjlz6GgCrDpZ/zxopSkRrXr4+cucyHseC8Pe9Jfe1AxMBc8rlTv6CPV4AQwxUR Rsyzx+CozoApYv0xdIG/f9xVjB9NJlQyexmrcbgfIZJEM9a1F8bgKkV9J9uBTL6WQGHtHpQk5Uit bYjGqISMEnGL9kEUXAsO9MkQ0dllhpaJvjd6q5dF/pqC6qWXJrKkdz6tJLaLtn9TYWCS1uE1fGC1 /agbF6w26nBGojWniZ/LLB3WQ9D/0h7fIc3+m81N9hq0sfSoET0FsonFj/N1fJrIkmRSKdsyDDRP VLKyGzcgui9FIEaqZ0DasAO+UrdBPyhq0k/SQUAMGJecze3EAwBsC573UJr9wuELWwkZVo0fm7L2 S1WxOuMLcK3uJzRGnUlJm1TjKb6nkFNkOe4CAPWL9nsifrwbImF/FHzCNbeaRAKGPRnUagY5PGNx 84GTDMU254MPRBNK2b1t6VddE5bdNZS11Km+Ly7VrFAfkgVR9YHU/MWoiLgPKsGPTxw9+f+1gwC8 O6EN34w4nipYTTDSphGnjDLO2l54XiZ33s9L8f8rjQOKdpY3UBhxogzhngWp6Ic5EkwEV6Qw9TAN bob5qp/FzYenTZbWtjnUjsgVVNQmzOXVxU79KQ5vcmqjmVPsIqW6U5u7H+vOklCtpV6qCqD89gGZ m7FvwjfSAZtFAqFWRmyULdhTWilT8K6Ko/pIUVHLxYlphxJXd3gBdeeddK3j0/xSoy7BgDY/Y4T4 GtN0OYOd8Oqpb2kYv6C3OtHyQU0PAIQaGMjApVb8G+PmPDkSy5ESb/SlOytO+sw8DqvvdXnPAMGj FVmHL0kXeav5U+x5ghZYDeCXA2snc9Ugv0n5h3MXmSdTy/uK4NmlLpdQBne1UOHPGKOcxc4e01+P CbnuD9xmQIcwi1R7iCgTfwISLGABG+irjFBJLGSxJB0xD9cKoZEI/vxwb01z6ObIH9DF/q38Ae2O xsBn4YP0ltKqRlvfZGFP8J1Oy/xqzIBcRz4sUDVDiuYg9cTBthcO2gDAjZzlqmzZYalnkj8YIaF+ wOiACj6Rw3AeBKWAsAhCI1nLD2Di0TsbWUg4wRTrAMsD/IotWtSXh+WFVQNFI93laNWLm7aLHhog ilgpL/y/CGx4NRmuLCv8inQlEshJ1WfcuaCE5N9y4FucVhvZxuGO1jwe085U1Rtr62C7VqEQ49ZD cAscrV9s3rP/E7aIugrY0FolRk8tkh+lwteB+5lIVpvaAxvEKfJlKG7QE/ovM0qsPJSmGB2N8I/y lBRW1iG++7xmKIK0suDez0NQSnw/oqBoNmcgGbf5xd/qXh0EjR1JjWrbHL4c8LZoRfVBpIUYflUn liQ49XqavhaqVuaBwuf3zSeHBgoS8PltixIdYnOd8BpJp3Gy1wcChSKRxhkSbt2GqWB3MrTWpsP6 vYXOfP3qzhEmKgjfhCoTZuYSsh4EewbsghMhH+SipLuNsSilMT5qrtY= `protect end_protected
mit
b5df04fa47f3b0f3f5043f463429cbe1
0.911509
1.952368
false
false
false
false
wklimann/PCM3168
PCM3168/I2S_OUT.vhd
1
3,429
--------------------------------------------------------------------------------- -- Engineer: Klimann Wendelin -- -- Create Date: 08:36:20 11/Okt/2013 -- Design Name: i2s_out -- -- Description: -- -- This module provides a bridge between an I2S serial device (audio ADC, S/PDIF -- Decoded data) and a parallel device (microcontroller, IP block). -- -- It's coded as a generic VHDL entity, so developer can choose the proper signal -- width (8/16/24/32 bit) -- -- Input takes: -- -I2S Bit Clock -- -I2S LR Clock (Left/Right channel indication) -- -DATA_L / DATA_R parallel inputs -- -- Output provides: -- -I2S Data -- -DATA_RDY output ready signals. -- -- -- The data from the parallel inputs is shifted to the I2S data output -- -------------------------------------------------------------------------------- -- I2S Waveform summary -- -- BIT_CK __ __ __ __ __ __ __ __ __ -- | 1|__| 2|_| 3|__| 4|__| 5|__... ... |32|__| 1|__| 2|__| 3| ... -- -- LR_CK ... ... ___________________ -- ____________L_Channel_Data______________| R Channel Data ... -- -- DATA x< 00 ><D24><D22><D21><D20> ... ... < 00 ><D24><D23> ... -- -- -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity i2s_out is -- width: How many bits (from MSB) are gathered from the serial I2S input generic(width : integer := 24); port( -- I2S ports LR_CLK : in std_logic; --Left/Right indicator clock BIT_CLK : in std_logic; --Bit clock DOUT : out std_logic; --Data Output -- Control ports RESET : in std_logic; --Asynchronous Reset (Active Low) -- Parallel ports -- use (width-1 downto 0); for big endian fotmat -- or (0 to width-1) for little endian DATA_L : in std_logic_vector(0 to width-1); DATA_R : in std_logic_vector(0 to width-1); -- Output status ports DATA_RDY_L : out std_logic; --Falling edge means data is ready DATA_RDY_R : out std_logic --Falling edge means data is ready ); end i2s_out; architecture rtl of i2s_out is --signals signal counter : integer range 0 to width; signal s_current_lr : std_logic; begin -- serial to parallel interface i2s_out: process(RESET, BIT_CLK, LR_CLK, DATA_L, DATA_R) begin if(RESET = '0') then counter <= 0; s_current_lr <= '0'; DATA_RDY_L <= '0'; DATA_RDY_R <= '0'; DOUT <= '0'; elsif(BIT_CLK'event and BIT_CLK = '0') then if(s_current_lr = LR_CLK) then if(s_current_lr = '1') then DOUT <= DATA_R(counter); else DOUT <= DATA_L(counter); end if; if (counter < width-1) then counter <= counter + 1; else -- if there is a failure in the clk signals rate -> send 0 to DOUT DOUT <= '0'; end if; -- reset the DATA_RDY_x signals DATA_RDY_L <= '0'; DATA_RDY_R <= '0'; -- if there is a change in the LR_CLK signal enter the else branch else if(s_current_lr = '1') then DOUT <= DATA_R(counter); DATA_RDY_R <= '1'; else DOUT <= DATA_L(counter); DATA_RDY_L <= '1'; end if; counter <= 0; s_current_lr <= LR_CLK; end if; -- (s_current_lr = LR_CLK) end if; -- reset / rising_edge end process i2s_out; end rtl;
gpl-2.0
97852d7cf734c938d1171b2a3f6adea4
0.507145
3.163284
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_bram_ctrl_0_bram_0/daala_zynq_axi_bram_ctrl_0_bram_0/simulation/random.vhd
1
4,108
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v8_0 Core - Random Number Generator -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: random.vhd -- -- Description: -- Random Generator -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY RANDOM IS GENERIC ( WIDTH : INTEGER := 32; SEED : INTEGER :=2 ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; RANDOM_NUM : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0) --OUTPUT VECTOR ); END RANDOM; ARCHITECTURE BEHAVIORAL OF RANDOM IS BEGIN PROCESS(CLK) VARIABLE RAND_TEMP : STD_LOGIC_VECTOR(WIDTH-1 DOWNTO 0):=CONV_STD_LOGIC_VECTOR(SEED,WIDTH); VARIABLE TEMP : STD_LOGIC := '0'; BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST='1') THEN RAND_TEMP := CONV_STD_LOGIC_VECTOR(SEED,WIDTH); ELSE IF(EN = '1') THEN TEMP := RAND_TEMP(WIDTH-1) XOR RAND_TEMP(WIDTH-2); RAND_TEMP(WIDTH-1 DOWNTO 1) := RAND_TEMP(WIDTH-2 DOWNTO 0); RAND_TEMP(0) := TEMP; END IF; END IF; END IF; RANDOM_NUM <= RAND_TEMP; END PROCESS; END ARCHITECTURE;
bsd-2-clause
52a7c4baee733bdeebe7aa57bf26dff8
0.59445
4.711009
false
false
false
false
Yarr/Yarr-fw
rtl/spartan6/ddr3-core/ip_cores/ddr3_ctrl_spec_bank3_64b_32b/user_design/sim/wr_data_gen.vhd
20
18,946
--***************************************************************************** -- (c) Copyright 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: %version -- \ \ Application: MIG -- / / Filename: wr_data_gen.vhd -- /___/ /\ Date Last Modified: $Date: 2011/05/27 15:50:28 $ -- \ \ / \ Date Created: Jul 03 2009 -- \___\/\___\ -- -- Device: Spartan6 -- Design Name: DDR/DDR2/DDR3/LPDDR -- Purpose: -- Reference: -- Revision History: --***************************************************************************** library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; entity wr_data_gen is generic ( TCQ : TIME := 100 ps; FAMILY : string := "SPARTAN6"; -- "SPARTAN6", "VIRTEX6" MEM_BURST_LEN : integer := 8; MODE : string := "WR"; --"WR", "RD" ADDR_WIDTH : integer := 32; BL_WIDTH : integer := 6; DWIDTH : integer := 32; DATA_PATTERN : string := "DGEN_PRBS"; --"DGEN__HAMMER", "DGEN_WALING1","DGEN_WALING0","DGEN_ADDR","DGEN_NEIGHBOR","DGEN_PRBS","DGEN_ALL" NUM_DQ_PINS : integer := 8; SEL_VICTIM_LINE : integer := 3; -- VICTIM LINE is one of the DQ pins is selected to be different than hammer pattern COLUMN_WIDTH : integer := 10; EYE_TEST : string := "FALSE" ); port ( clk_i : in std_logic; -- rst_i : in std_logic_vector(4 downto 0); prbs_fseed_i : in std_logic_vector(31 downto 0); data_mode_i : in std_logic_vector(3 downto 0); -- "00" = bram; cmd_rdy_o : out std_logic; -- ready to receive command. It should assert when data_port is ready at the // beginning and will be deasserted once see the cmd_valid_i is asserted. -- And then it should reasserted when -- it is generating the last_word. cmd_valid_i : in std_logic; -- when both cmd_valid_i and cmd_rdy_o is high, the command is valid. cmd_validB_i : in std_logic; cmd_validC_i : in std_logic; last_word_o : out std_logic; -- input [5:0] port_data_counts_i,// connect to data port fifo counts -- m_addr_i : in std_logic_vector(ADDR_WIDTH - 1 downto 0); fixed_data_i : in std_logic_vector(DWIDTH - 1 downto 0); addr_i : in std_logic_vector(ADDR_WIDTH - 1 downto 0); -- generated address used to determine data pattern. bl_i : in std_logic_vector(BL_WIDTH - 1 downto 0); -- generated burst length for control the burst data data_rdy_i : in std_logic; -- connect from mcb_wr_full when used as wr_data_gen -- connect from mcb_rd_empty when used as rd_data_gen -- When both data_rdy and data_valid is asserted, the ouput data is valid. data_valid_o : out std_logic; -- connect to wr_en or rd_en and is asserted whenever the -- pattern is available. data_o : out std_logic_vector(DWIDTH - 1 downto 0); -- generated data pattern data_wr_end_o : out std_logic ); end entity wr_data_gen; architecture trans of wr_data_gen is COMPONENT sp6_data_gen IS GENERIC ( ADDR_WIDTH : INTEGER := 32; BL_WIDTH : INTEGER := 6; DWIDTH : INTEGER := 32; DATA_PATTERN : STRING := "DGEN_PRBS"; NUM_DQ_PINS : INTEGER := 8; COLUMN_WIDTH : INTEGER := 10 ); PORT ( clk_i : IN STD_LOGIC; rst_i : IN STD_LOGIC; prbs_fseed_i : IN STD_LOGIC_VECTOR(31 DOWNTO 0); data_mode_i : IN STD_LOGIC_VECTOR(3 DOWNTO 0); data_rdy_i : IN STD_LOGIC; cmd_startA : IN STD_LOGIC; cmd_startB : IN STD_LOGIC; cmd_startC : IN STD_LOGIC; cmd_startD : IN STD_LOGIC; cmd_startE : IN STD_LOGIC; fixed_data_i : IN std_logic_vector(DWIDTH - 1 downto 0); addr_i : IN STD_LOGIC_VECTOR(ADDR_WIDTH - 1 DOWNTO 0); user_burst_cnt : IN STD_LOGIC_VECTOR(BL_WIDTH DOWNTO 0); fifo_rdy_i : IN STD_LOGIC; data_o : OUT STD_LOGIC_VECTOR(DWIDTH - 1 DOWNTO 0) ); END COMPONENT; COMPONENT v6_data_gen IS GENERIC ( ADDR_WIDTH : INTEGER := 32; BL_WIDTH : INTEGER := 6; MEM_BURST_LEN : integer := 8; DWIDTH : INTEGER := 32; DATA_PATTERN : STRING := "DGEN_PRBS"; NUM_DQ_PINS : INTEGER := 8; SEL_VICTIM_LINE : INTEGER := 3; COLUMN_WIDTH : INTEGER := 10; EYE_TEST : STRING := "FALSE" ); PORT ( clk_i : IN STD_LOGIC; rst_i : IN STD_LOGIC; prbs_fseed_i : IN STD_LOGIC_VECTOR(31 DOWNTO 0); data_mode_i : IN STD_LOGIC_VECTOR(3 DOWNTO 0); data_rdy_i : IN STD_LOGIC; cmd_startA : IN STD_LOGIC; cmd_startB : IN STD_LOGIC; cmd_startC : IN STD_LOGIC; cmd_startD : IN STD_LOGIC; fixed_data_i : IN std_logic_vector(DWIDTH - 1 downto 0); cmd_startE : IN STD_LOGIC; m_addr_i : IN STD_LOGIC_VECTOR(ADDR_WIDTH - 1 DOWNTO 0); addr_i : IN STD_LOGIC_VECTOR(ADDR_WIDTH - 1 DOWNTO 0); user_burst_cnt : IN STD_LOGIC_VECTOR(BL_WIDTH DOWNTO 0); fifo_rdy_i : IN STD_LOGIC; data_o : OUT STD_LOGIC_VECTOR(NUM_DQ_PINS*4 - 1 DOWNTO 0) ); END COMPONENT; signal data : std_logic_vector(DWIDTH - 1 downto 0); signal cmd_rdy : std_logic; signal cmd_rdyB : std_logic; signal cmd_rdyC : std_logic; signal cmd_rdyD : std_logic; signal cmd_rdyE : std_logic; signal cmd_rdyF : std_logic; signal cmd_start : std_logic; signal cmd_startB : std_logic; signal cmd_startC : std_logic; signal cmd_startD : std_logic; signal cmd_startE : std_logic; signal cmd_startF : std_logic; signal burst_count_reached2 : std_logic; signal data_valid : std_logic; signal user_burst_cnt : std_logic_vector(6 downto 0); signal walk_cnt : std_logic_vector(2 downto 0); signal fifo_not_full : std_logic; signal i : integer; signal j : integer; signal w3data : std_logic_vector(31 downto 0); -- counter to count user burst length -- bl_i; signal u_bcount_2 : std_logic; signal last_word_t : std_logic; -- Declare intermediate signals for referenced outputs signal last_word_o_xhdl1 : std_logic; signal data_o_xhdl0 : std_logic_vector(DWIDTH - 1 downto 0); signal tpt_hdata_xhdl2 : std_logic_vector(NUM_DQ_PINS * 4 - 1 downto 0); begin -- Drive referenced outputs last_word_o <= last_word_o_xhdl1; data_o <= data_o_xhdl0; fifo_not_full <= data_rdy_i; process (clk_i) begin if (clk_i'event and clk_i = '1') then if (((user_burst_cnt = "0000010") or (((cmd_start = '1') and (bl_i = "000001")) and FAMILY = "VIRTEX6")) and (fifo_not_full = '1')) then data_wr_end_o <= '1'; else data_wr_end_o <= '0'; end if; end if; end process; process (clk_i) begin if (clk_i'event and clk_i = '1') then cmd_start <= cmd_validC_i and cmd_rdyC; cmd_startB <= cmd_valid_i and cmd_rdyB; cmd_startC <= cmd_validB_i and cmd_rdyC; cmd_startD <= cmd_validB_i and cmd_rdyD; cmd_startE <= cmd_validB_i and cmd_rdyE; cmd_startF <= cmd_validB_i and cmd_rdyF; end if; end process; process (clk_i) begin if (clk_i'event and clk_i = '1') then if ((rst_i(0)) = '1') then user_burst_cnt <= "0000000" ; elsif (cmd_start = '1') then if (FAMILY = "SPARTAN6") then if (bl_i = "000000") then user_burst_cnt <= "1000000" ; else user_burst_cnt <= ('0' & bl_i) ; end if; else user_burst_cnt <= ('0' & bl_i) ; end if; elsif (fifo_not_full = '1') then if (user_burst_cnt /= "0000000") then user_burst_cnt <= user_burst_cnt - "0000001" ; else user_burst_cnt <= "0000000" ; end if; end if; end if; end process; process (clk_i) begin if (clk_i'event and clk_i = '1') then if ((user_burst_cnt = "0000010" and fifo_not_full = '1') or (cmd_startC = '1' and bl_i = "000001")) then u_bcount_2 <= '1' ; elsif (last_word_o_xhdl1 = '1') then u_bcount_2 <= '0' ; end if; end if; end process; last_word_o_xhdl1 <= u_bcount_2 and fifo_not_full; -- cmd_rdy_o assert when the dat fifo is not full and deassert once cmd_valid_i -- is assert and reassert during the last data cmd_rdy_o <= cmd_rdy and fifo_not_full; process (clk_i) begin if (clk_i'event and clk_i = '1') then if ((rst_i(0)) = '1') then cmd_rdy <= '1' ; elsif (cmd_start = '1') then if (bl_i = "000001") then cmd_rdy <= '1' ; else cmd_rdy <= '0' ; end if; elsif (user_burst_cnt = "0000010" and fifo_not_full = '1') then cmd_rdy <= '1' ; end if; end if; end process; process (clk_i) begin if (clk_i'event and clk_i = '1') then if ((rst_i(0)) = '1') then cmd_rdyB <= '1' ; elsif (cmd_startB = '1') then if (bl_i = "000001") then cmd_rdyB <= '1' ; else cmd_rdyB <= '0' ; end if; elsif (user_burst_cnt = "0000010" and fifo_not_full = '1') then cmd_rdyB <= '1' ; end if; end if; end process; process (clk_i) begin if (clk_i'event and clk_i = '1') then if ((rst_i(0)) = '1') then cmd_rdyC <= '1' ; elsif (cmd_startC = '1') then if (bl_i = "000001") then cmd_rdyC <= '1' ; else cmd_rdyC <= '0' ; end if; elsif (user_burst_cnt = "0000010" and fifo_not_full = '1') then cmd_rdyC <= '1' ; end if; end if; end process; process (clk_i) begin if (clk_i'event and clk_i = '1') then if ((rst_i(0)) = '1') then cmd_rdyD <= '1' ; elsif (cmd_startD = '1') then if (bl_i = "000001") then cmd_rdyD <= '1' ; else cmd_rdyD <= '0' ; end if; elsif (user_burst_cnt = "0000010" and fifo_not_full = '1') then cmd_rdyD <= '1' ; end if; end if; end process; process (clk_i) begin if (clk_i'event and clk_i = '1') then if ((rst_i(0)) = '1') then cmd_rdyE <= '1' ; elsif (cmd_startE = '1') then if (bl_i = "000001") then cmd_rdyE <= '1' ; else cmd_rdyE <= '0' ; end if; elsif (user_burst_cnt = "0000010" and fifo_not_full = '1') then cmd_rdyE <= '1' ; end if; end if; end process; process (clk_i) begin if (clk_i'event and clk_i = '1') then if ((rst_i(0)) = '1') then cmd_rdyF <= '1' ; elsif (cmd_startF = '1') then if (bl_i = "000001") then cmd_rdyF <= '1' ; else cmd_rdyF <= '0' ; end if; elsif (user_burst_cnt = "0000010" and fifo_not_full = '1') then cmd_rdyF <= '1' ; end if; end if; end process; process (clk_i) begin if (clk_i'event and clk_i = '1') then if ((rst_i(1)) = '1') then data_valid <= '0' ; elsif (cmd_start = '1') then data_valid <= '1' ; elsif (fifo_not_full = '1' and user_burst_cnt <= "0000001") then data_valid <= '0' ; end if; end if; end process; data_valid_o <= data_valid and fifo_not_full; s6_wdgen : if (FAMILY = "SPARTAN6") generate sp6_data_gen_inst : sp6_data_gen generic map ( ADDR_WIDTH => 32, BL_WIDTH => BL_WIDTH, DWIDTH => DWIDTH, DATA_PATTERN => DATA_PATTERN, NUM_DQ_PINS => NUM_DQ_PINS, COLUMN_WIDTH => COLUMN_WIDTH ) port map ( clk_i => clk_i, rst_i => rst_i(1), data_rdy_i => data_rdy_i, prbs_fseed_i => prbs_fseed_i, data_mode_i => data_mode_i, cmd_startA => cmd_start, cmd_startB => cmd_startB, cmd_startC => cmd_startC, cmd_startD => cmd_startD, cmd_startE => cmd_startE, fixed_data_i => fixed_data_i, addr_i => addr_i, user_burst_cnt => user_burst_cnt, fifo_rdy_i => fifo_not_full, data_o => data_o_xhdl0 ); end generate; v6_wdgen : if (FAMILY = "VIRTEX6") generate v6_data_gen_inst : v6_data_gen generic map ( ADDR_WIDTH => 32, BL_WIDTH => BL_WIDTH, DWIDTH => DWIDTH, MEM_BURST_LEN => MEM_BURST_LEN, DATA_PATTERN => DATA_PATTERN, NUM_DQ_PINS => NUM_DQ_PINS, SEL_VICTIM_LINE => SEL_VICTIM_LINE, COLUMN_WIDTH => COLUMN_WIDTH, EYE_TEST => EYE_TEST ) port map ( clk_i => clk_i, rst_i => rst_i(1), data_rdy_i => data_rdy_i, prbs_fseed_i => prbs_fseed_i, data_mode_i => data_mode_i, cmd_starta => cmd_start, cmd_startb => cmd_startB, cmd_startc => cmd_startC, cmd_startd => cmd_startD, cmd_starte => cmd_startE, fixed_data_i => fixed_data_i, m_addr_i => addr_i, --m_addr_i, addr_i => addr_i, user_burst_cnt => user_burst_cnt, fifo_rdy_i => fifo_not_full, data_o => data_o_xhdl0 ); end generate; end architecture trans;
gpl-3.0
59570da02fde5592cce147b49078ed90
0.46205
3.930705
false
false
false
false
Jorge9314/ElectronicaDigital
Impresora2D/rs232_tb.vhd
1
4,331
LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY rs232_tb IS END rs232_tb; ARCHITECTURE behavior OF rs232_tb IS COMPONENT RS232 PORT( clk : IN std_logic; Entrada_8bits : IN std_logic_vector(7 downto 0); Activador_Envio_Mensaje : IN std_logic; Salida_1bit : OUT std_logic; Entrada_1bit : IN std_logic; Mensaje_8bits : OUT std_logic_vector(7 downto 0); Activador_Entrega_Mensaje : OUT std_logic ); END COMPONENT; --Inputs signal clk : std_logic := '0'; signal Entrada_8bits : std_logic_vector(7 downto 0) := (others => '0'); signal Activador_Envio_Mensaje : std_logic := '0'; signal Entrada_1bit : std_logic := '0'; --Outputs signal Salida_1bit : std_logic; signal Mensaje_8bits : std_logic_vector(7 downto 0); signal Activador_Entrega_Mensaje : std_logic; -- Clock period definitions constant clk_period : time := 20 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: RS232 PORT MAP ( clk => clk, Entrada_8bits => Entrada_8bits, Activador_Envio_Mensaje => Activador_Envio_Mensaje, Salida_1bit => Salida_1bit, Entrada_1bit => Entrada_1bit, Mensaje_8bits => Mensaje_8bits, Activador_Entrega_Mensaje => Activador_Entrega_Mensaje ); -- Clock process definitions clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; -- Stimulus process stim_proc: process begin ------------------------------------------------------------- -- Recibo un 11111111 con paridad 0 ------------------------------------------------------------- -- IDLE -- Recibo <= '1'; wait for 0.10416 ms; Recibo <= '1'; wait for 0.10416 ms; -- BIT DE INICIO -- Recibo <= '0'; wait for 0.10416 ms; -- 8 BITS DE INFORMACION -- Recibo <= '1'; wait for 0.10416 ms; Recibo <= '1'; wait for 0.10416 ms; Recibo <= '1'; wait for 0.10416 ms; Recibo <= '1'; wait for 0.10416 ms; Recibo <= '1'; wait for 0.10416 ms; Recibo <= '1'; wait for 0.10416 ms; Recibo <= '1'; wait for 0.10416 ms; Recibo <= '1'; wait for 0.10416 ms; -- BIT DE PARIDAD -- Recibo <= '0'; wait for 0.10416 ms; -- BIT DE PARADA -- Recibo <= '1'; wait for 0.10416 ms; Recibo <= '1'; wait for 0.10416 ms; ------------------------------------------------------------- -- Recibo un 01111110 con paridad 1 MALO!!!!!! ------------------------------------------------------------- -- IDLE -- Recibo <= '1'; wait for 0.10416 ms; Recibo <= '1'; wait for 0.10416 ms; -- BIT DE INICIO -- Recibo <= '0'; wait for 0.10416 ms; -- 8 BITS DE INFORMACION -- Recibo <= '0'; wait for 0.10416 ms; Recibo <= '1'; wait for 0.10416 ms; Recibo <= '1'; wait for 0.10416 ms; Recibo <= '1'; wait for 0.10416 ms; Recibo <= '1'; wait for 0.10416 ms; Recibo <= '1'; wait for 0.10416 ms; Recibo <= '1'; wait for 0.10416 ms; Recibo <= '0'; wait for 0.10416 ms; -- BIT DE PARIDAD -- Recibo <= '1'; wait for 0.10416 ms; -- BIT DE PARADA -- Recibo <= '1'; wait for 0.10416 ms; Recibo <= '1'; wait for 0.10416 ms; ------------------------------------------------------------- -- Recibo un 10110110 con paridad 1 ------------------------------------------------------------- -- IDLE -- Recibo <= '1'; wait for 0.10416 ms; Recibo <= '1'; wait for 0.10416 ms; -- BIT DE INICIO -- Recibo <= '0'; wait for 0.10416 ms; -- 8 BITS DE INFORMACION -- Recibo <= '1'; wait for 0.10416 ms; Recibo <= '0'; wait for 0.10416 ms; Recibo <= '1'; wait for 0.10416 ms; Recibo <= '1'; wait for 0.10416 ms; Recibo <= '0'; wait for 0.10416 ms; Recibo <= '1'; wait for 0.10416 ms; Recibo <= '1'; wait for 0.10416 ms; Recibo <= '0'; wait for 0.10416 ms; -- BIT DE PARIDAD -- Recibo <= '1'; wait for 0.10416 ms; -- BIT DE PARADA -- Recibo <= '1'; wait for 0.10416 ms; Recibo <= '1'; wait for 0.10416 ms; wait; end process; END;
gpl-3.0
910f3066055e8f7228d394b704b1baa6
0.49873
3.249062
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/styles/indent_only/graphicsaccelerator/Pointer.vhd
1
1,626
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.numeric_std.all; use IEEE.std_logic_unsigned.all; entity Pointer is Generic (initX : STD_LOGIC_VECTOR (9 downto 0); initY : STD_LOGIC_VECTOR (8 downto 0)); Port ( MoveUp : in STD_LOGIC; MoveDown : in STD_LOGIC; MoveLeft : in STD_LOGIC; MoveRight : in STD_LOGIC; Move : in STD_LOGIC; Clk : in STD_LOGIC; Here : out STD_LOGIC; X : out STD_LOGIC_VECTOR (9 downto 0); Y : out STD_LOGIC_VECTOR (8 downto 0); syncX : in STD_LOGIC_VECTOR (9 downto 0); syncY : in STD_LOGIC_VECTOR (8 downto 0)); end Pointer; architecture Behavioral of Pointer is signal rX : STD_LOGIC_VECTOR (9 downto 0) := initX; signal rY : STD_LOGIC_VECTOR (8 downto 0) := initY; begin Here <= '1' when syncX(9 downto 3)=rX(9 downto 3) and syncY(8 downto 3)=rY(8 downto 3) else '0'; X <= rX; Y <= rY; process (Clk) begin if (rising_edge(Clk)) then if (Move = '1') then if (MoveLeft = '1' and MoveRight = '0') then if not (rX = "0000000000") then rX <= rX - 1; end if; elsif (MoveLeft = '0' and MoveRight = '1') then if not (rX = "1001111111") then rX <= rX + 1; end if; end if; if (MoveUp = '1' and MoveDown = '0') then if not (rY = "000000000") then rY <= rY - 1; end if; elsif (MoveUp = '0' and MoveDown = '1') then if not (rY = "111011111") then rY <= rY + 1; end if; end if; end if; end if; end process; end Behavioral;
gpl-3.0
be6418322a73907db8b350ec8115616a
0.54797
3.169591
false
false
false
false
kjellhar/axi_mmc
sim/basic_cmd_test/basic_cmd_test.vhd
1
7,275
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 11/29/2014 09:07:43 PM -- Design Name: -- Module Name: basic_cmd_test - testbench -- Project Name: -- Target Devices: -- Tool Versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. --library UNISIM; --use UNISIM.VComponents.all; entity basic_cmd_test is -- Port ( ); end basic_cmd_test; architecture testbench of basic_cmd_test is constant clk100M_per : time := 10 ns; component mmc_core_top is Port ( clk : in std_logic; reset : in std_logic; irq : out std_logic; execute : in std_logic; busy : out std_logic; status_reg_o : out std_logic_vector (31 downto 0); config_reg_i : in std_logic_vector (31 downto 0); config_reg_o : out std_logic_vector (31 downto 0); config_reg_wr : in std_logic; operation_reg_i : in std_logic_vector (31 downto 0); operation_reg_o : out std_logic_vector (31 downto 0); operation_reg_wr : in std_logic; cmd_arg_reg_i : in std_logic_vector (31 downto 0); cmd_arg_reg_o : out std_logic_vector (31 downto 0); cmd_arg_reg_wr : in std_logic; respons_fifo_o : out std_logic_vector (31 downto 0); respons_fifo_pull : in std_logic; respons_fifo_empty : out std_logic; rdata_fifo_o : out std_logic_vector (31 downto 0 ); rdata_fifo_pull : in std_logic; rdata_fifo_empty : out std_logic; -- MCC signals mmc_clk_o : out std_logic; mmc_rst_o : out std_logic; mmc_cmd_i : in std_logic; mmc_cmd_o : out std_logic; mmc_dat_i : in std_logic_vector (7 downto 0); mmc_dat_o : out std_logic_vector (7 downto 0); -- Auxillary MMC signals mmc_cpresent_i : in std_logic; mmc_pwr_en_o : out std_logic; -- MMC pin control signals mmc_cmd_dir : out std_logic; mmc_dat_dir : out std_logic ); end component; signal test_en : std_logic := '1'; signal clk100M : std_logic := '0'; signal reset : std_logic := '1'; signal execute : std_logic := '0'; signal status_reg_o : std_logic_vector (31 downto 0); signal config_reg_o : std_logic_vector (31 downto 0); signal config_reg_i : std_logic_vector (31 downto 0) := (others => '0'); signal config_reg_wr : std_logic := '0'; signal operation_reg_o : std_logic_vector (31 downto 0); signal operation_reg_i : std_logic_vector (31 downto 0) := (others => '0'); signal operation_reg_wr : std_logic := '0'; signal cmd_arg_reg_o : std_logic_vector (31 downto 0); signal cmd_arg_reg_i : std_logic_vector (31 downto 0) := (others => '0'); signal cmd_arg_reg_wr : std_logic := '0'; signal mmc_clk_o : std_logic; signal mmc_cmd_o : std_logic; signal mmc_cmd_dir : std_logic; signal response : std_logic_vector (135 downto 0) := (others => '1'); begin -- DUT instantiation u_dut : mmc_core_top Port map ( clk => clk100M, reset => reset, --irq => , execute => execute, --busy => , status_reg_o => status_reg_o, config_reg_i => config_reg_i, config_reg_o => config_reg_o, config_reg_wr => config_reg_wr, operation_reg_i => operation_reg_i, operation_reg_o => operation_reg_o, operation_reg_wr => operation_reg_wr, cmd_arg_reg_i => cmd_arg_reg_i, cmd_arg_reg_o => cmd_arg_reg_o, cmd_arg_reg_wr => cmd_arg_reg_wr, --respons_fifo_o => , respons_fifo_pull => '0', --respons_fifo_empty => , --rdata_fifo_o => , rdata_fifo_pull => '0', --rdata_fifo_empty => , -- MCC signals mmc_clk_o => mmc_clk_o, --mmc_rst_o => , mmc_cmd_i => response(135), mmc_cmd_o => mmc_cmd_o, mmc_dat_i => "00000000", --mmc_dat_o => , -- Auxillary MMC signals mmc_cpresent_i => '1', --mmc_pwr_en_o => , -- MMC pin control signals mmc_cmd_dir => mmc_cmd_dir --mmc_dat_dir => ); -- Clock generator process begin if test_en='1' then clk100M <= '1', '0' after clk100M_per/2; wait for clk100M_per; else wait; end if; end process; -- Testbench stimuli process begin test_en <= '1'; reset <= '1'; wait for 100 ns; reset <= '0'; wait for 10*clk100M_per; wait until rising_edge(clk100M); config_reg_i <= X"02" & X"000201"; wait until rising_edge(clk100M); config_reg_wr <= '1'; wait until rising_edge(clk100M); config_reg_wr <= '0'; config_reg_i <= (others => '0'); operation_reg_i <= X"00" & '0' & "1010011" & "000" & "0000" & "011" & "010011"; wait until rising_edge(clk100M); operation_reg_wr <= '1'; wait until rising_edge(clk100M); operation_reg_wr <= '0'; operation_reg_i <= (others => '0'); cmd_arg_reg_i <= X"01234567"; wait until rising_edge(clk100M); cmd_arg_reg_wr <= '1'; wait until rising_edge(clk100M); cmd_arg_reg_wr <= '0'; cmd_arg_reg_i <= (others => '0'); wait for 10*clk100M_per; wait until rising_edge(clk100M); execute <= '1'; wait until rising_edge(clk100M); execute <= '0'; wait until falling_edge(mmc_cmd_o); for i in 0 to 47 loop wait until rising_edge(mmc_clk_o); end loop; wait until rising_edge(mmc_clk_o); wait until rising_edge(mmc_clk_o); wait until rising_edge(mmc_clk_o); response <= X"73C3C3C3C3C3C3C3C3C3C3C3C3C3C3C3C1"; for i in 0 to 135 loop wait until rising_edge(mmc_clk_o); response <= response (134 downto 0) & '1'; end loop; wait for 200 ns; test_en <= '0'; wait; end process; end testbench;
mit
b0508131f02d8fd1d00e0bd72334e223
0.486735
3.721228
false
true
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/if_statement/rule_025_test_input.vhd
1
566
architecture RTL of FIFO is begin process begin if a = '1' then b <= '0'; elsif c = '1' then b <= '1'; else if x = '1' then z <= '0'; elsif x = '0' then z <= '1'; else z <= 'Z'; end if; end if; -- Violations below IF a = '1' then b <= '0'; elsif c = '1' then b <= '1'; else IF x = '1' then z <= '0'; elsif x = '0' then z <= '1'; else z <= 'Z'; end if; end if; end process; end architecture RTL;
gpl-3.0
6f531c14af2d222f397ec506b02414ba
0.379859
3.19774
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_bram_ctrl_0_0/axi_bram_ctrl_v3_0/hdl/vhdl/axi_lite.vhd
1
95,492
------------------------------------------------------------------------------- -- axi_lite.vhd ------------------------------------------------------------------------------- -- -- -- (c) Copyright [2010 - 2011] Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ------------------------------------------------------------------------------- -- Filename: axi_lite.vhd -- -- Description: This file is the top level module for the AXI-Lite -- instantiation of the BRAM controller interface. -- -- Responsible for shared address pipelining between the -- write address (AW) and read address (AR) channels. -- Controls (seperately) the data flows for the write data -- (W), write response (B), and read data (R) channels. -- -- Creates a shared port to BRAM (for all read and write -- transactions) or dual BRAM port utilization based on a -- generic parameter setting. -- -- -- VHDL-Standard: VHDL'93 -- ------------------------------------------------------------------------------- -- Structure: -- axi_bram_ctrl.vhd (v1_03_a) -- | -- |-- full_axi.vhd -- | -- sng_port_arb.vhd -- | -- lite_ecc_reg.vhd -- | -- axi_lite_if.vhd -- | -- wr_chnl.vhd -- | -- wrap_brst.vhd -- | -- ua_narrow.vhd -- | -- checkbit_handler.vhd -- | -- xor18.vhd -- | -- parity.vhd -- | -- checkbit_handler_64.vhd -- | -- (same helper components as checkbit_handler) -- | -- parity.vhd -- | -- correct_one_bit.vhd -- | -- correct_one_bit_64.vhd -- | -- ecc_gen.vhd -- | -- | -- rd_chnl.vhd -- | -- wrap_brst.vhd -- | -- ua_narrow.vhd -- | -- checkbit_handler.vhd -- | -- xor18.vhd -- | -- parity.vhd -- | -- checkbit_handler_64.vhd -- | -- (same helper components as checkbit_handler) -- | -- parity.vhd -- | -- correct_one_bit.vhd -- | -- correct_one_bit_64.vhd -- | -- ecc_gen.vhd -- | -- |-- axi_lite.vhd -- | -- lite_ecc_reg.vhd -- | -- axi_lite_if.vhd -- | -- checkbit_handler.vhd -- | -- xor18.vhd -- | -- parity.vhd -- | -- correct_one_bit.vhd -- | -- ecc_gen.vhd -- -- -- ------------------------------------------------------------------------------- -- -- History: -- -- ^^^^^^ -- JLJ 2/1/2011 v1.03a -- ~~~~~~ -- Migrate to v1.03a. -- Plus minor code cleanup. -- ^^^^^^ -- JLJ 2/2/2011 v1.03a -- ~~~~~~ -- Remove library version # dependency. Replace with work library. -- ^^^^^^ -- JLJ 2/22/2011 v1.03a -- ~~~~~~ -- Update BRAM address mapping to lite_ecc_reg module. Corrected -- signal size for XST detected unused bits in vector. -- Plus minor code cleanup. -- -- Add top level parameter, C_ECC_TYPE for Hsiao ECC algorithm. -- ^^^^^^ -- JLJ 2/23/2011 v1.03a -- ~~~~~~ -- Add Hsiao ECC algorithm logic (similar to full_axi module HDL). -- ^^^^^^ -- JLJ 2/24/2011 v1.03a -- ~~~~~~ -- Move REG_RDATA register process out from C_ECC_TYPE generate block -- to C_ECC generate block. -- ^^^^^^ -- JLJ 3/22/2011 v1.03a -- ~~~~~~ -- Add LUT level with reset signal to combinatorial outputs, AWREADY -- and WREADY. This will ensure that the output remains LOW during reset, -- regardless of AWVALID or WVALID input signals. -- ^^^^^^ -- JLJ 3/28/2011 v1.03a -- ~~~~~~ -- Remove combinatorial output paths on AWREADY and WREADY. -- Combine AWREADY and WREADY registers. -- Remove combinatorial output path on ARREADY. Can pre-assert ARREADY -- (but only for non ECC configurations). -- Create 3-bit counter for BVALID response, seperate from AW/W channels. -- -- Delay assertion of WREADY in ECC configurations to minimize register -- resource utilization. -- No pre-assertion of ARREADY in ECC configurations (due to write latency -- with ECC enabled). -- -- ^^^^^^ -- JLJ 3/30/2011 v1.03a -- ~~~~~~ -- Update Sl_CE and Sl_UE flag assertions to a single clock cycle. -- Clean up comments. -- ^^^^^^ -- JLJ 4/19/2011 v1.03a -- ~~~~~~ -- Update BVALID assertion when ECC is enabled to match the implementation -- when C_ECC = 0. Optimize back to back write performance when C_ECC = 1. -- ^^^^^^ -- JLJ 4/22/2011 v1.03a -- ~~~~~~ -- Modify FaultInjectClr signal assertion. With BVALID counter, delay -- when fault inject register gets cleared. -- ^^^^^^ -- JLJ 4/22/2011 v1.03a -- ~~~~~~ -- Code clean up. -- ^^^^^^ -- JLJ 5/6/2011 v1.03a -- ~~~~~~ -- Remove usage of C_FAMILY. -- Hard code C_USE_LUT6 constant. -- ^^^^^^ -- JLJ 7/7/2011 v1.03a -- ~~~~~~ -- Fix DV regression failure with reset. -- Hold off BRAM enable output with active reset signal. -- ^^^^^^ -- -- ------------------------------------------------------------------------------- -- Library declarations library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library work; use work.lite_ecc_reg; use work.parity; use work.checkbit_handler; use work.correct_one_bit; use work.ecc_gen; use work.axi_bram_ctrl_funcs.all; ------------------------------------------------------------------------------ entity axi_lite is generic ( C_S_AXI_PROTOCOL : string := "AXI4LITE"; -- Set to AXI4LITE to optimize out burst transaction support C_S_AXI_ADDR_WIDTH : integer := 32; -- Width of AXI address bus (in bits) C_S_AXI_DATA_WIDTH : integer := 32; -- Width of AXI data bus (in bits) C_SINGLE_PORT_BRAM : integer := 1; -- Enable single port usage of BRAM -- C_FAMILY : string := "virtex6"; -- Specify the target architecture type -- AXI-Lite Register Parameters C_S_AXI_CTRL_ADDR_WIDTH : integer := 32; -- Width of AXI-Lite address bus (in bits) C_S_AXI_CTRL_DATA_WIDTH : integer := 32; -- Width of AXI-Lite data bus (in bits) -- ECC Parameters C_ECC : integer := 0; -- Enables or disables ECC functionality C_ECC_TYPE : integer := 0; -- v1.03a -- ECC algorithm format, 0 = Hamming code, 1 = Hsiao code C_ECC_WIDTH : integer := 8; -- Width of ECC data vector C_FAULT_INJECT : integer := 0; -- Enable fault injection registers C_ECC_ONOFF_RESET_VALUE : integer := 1; -- By default, ECC checking is on (can disable ECC @ reset by setting this to 0) -- Hard coded parameters at top level. -- Note: Kept in design for future enhancement. C_ENABLE_AXI_CTRL_REG_IF : integer := 0; -- By default the ECC AXI-Lite register interface is enabled C_CE_FAILING_REGISTERS : integer := 0; -- Enable CE (correctable error) failing registers C_UE_FAILING_REGISTERS : integer := 0; -- Enable UE (uncorrectable error) failing registers C_ECC_STATUS_REGISTERS : integer := 0; -- Enable ECC status registers C_ECC_ONOFF_REGISTER : integer := 0; -- Enable ECC on/off control register C_CE_COUNTER_WIDTH : integer := 0 -- Selects CE counter width/threshold to assert ECC_Interrupt ); port ( -- AXI Interface Signals -- AXI Clock and Reset S_AXI_ACLK : in std_logic; S_AXI_ARESETN : in std_logic; ECC_Interrupt : out std_logic := '0'; ECC_UE : out std_logic := '0'; -- *** AXI Write Address Channel Signals (AW) *** AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); AXI_AWVALID : in std_logic; AXI_AWREADY : out std_logic; -- Unused AW AXI-Lite Signals -- AXI_AWID : in std_logic_vector(C_AXI_ID_WIDTH-1 downto 0); -- AXI_AWLEN : in std_logic_vector(7 downto 0); -- AXI_AWSIZE : in std_logic_vector(2 downto 0); -- AXI_AWBURST : in std_logic_vector(1 downto 0); -- AXI_AWLOCK : in std_logic; -- Currently unused -- AXI_AWCACHE : in std_logic_vector(3 downto 0); -- Currently unused -- AXI_AWPROT : in std_logic_vector(2 downto 0); -- Currently unused -- *** AXI Write Data Channel Signals (W) *** AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); AXI_WSTRB : in std_logic_vector(C_S_AXI_DATA_WIDTH/8-1 downto 0); AXI_WVALID : in std_logic; AXI_WREADY : out std_logic; -- Unused W AXI-Lite Signals -- AXI_WLAST : in std_logic; -- *** AXI Write Data Response Channel Signals (B) *** AXI_BRESP : out std_logic_vector(1 downto 0); AXI_BVALID : out std_logic; AXI_BREADY : in std_logic; -- Unused B AXI-Lite Signals -- AXI_BID : out std_logic_vector(C_AXI_ID_WIDTH-1 downto 0); -- *** AXI Read Address Channel Signals (AR) *** AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); AXI_ARVALID : in std_logic; AXI_ARREADY : out std_logic; -- *** AXI Read Data Channel Signals (R) *** AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); AXI_RRESP : out std_logic_vector(1 downto 0); AXI_RLAST : out std_logic; AXI_RVALID : out std_logic; AXI_RREADY : in std_logic; -- *** AXI-Lite ECC Register Interface Signals *** -- AXI-Lite Clock and Reset -- Note: AXI-Lite Control IF and AXI IF share the same clock. -- S_AXI_CTRL_AClk : in std_logic; -- S_AXI_CTRL_AResetn : in std_logic; -- AXI-Lite Write Address Channel Signals (AW) AXI_CTRL_AWVALID : in std_logic; AXI_CTRL_AWREADY : out std_logic; AXI_CTRL_AWADDR : in std_logic_vector(C_S_AXI_CTRL_ADDR_WIDTH-1 downto 0); -- AXI-Lite Write Data Channel Signals (W) AXI_CTRL_WDATA : in std_logic_vector(C_S_AXI_CTRL_DATA_WIDTH-1 downto 0); AXI_CTRL_WVALID : in std_logic; AXI_CTRL_WREADY : out std_logic; -- AXI-Lite Write Data Response Channel Signals (B) AXI_CTRL_BRESP : out std_logic_vector(1 downto 0); AXI_CTRL_BVALID : out std_logic; AXI_CTRL_BREADY : in std_logic; -- AXI-Lite Read Address Channel Signals (AR) AXI_CTRL_ARADDR : in std_logic_vector(C_S_AXI_CTRL_ADDR_WIDTH-1 downto 0); AXI_CTRL_ARVALID : in std_logic; AXI_CTRL_ARREADY : out std_logic; -- AXI-Lite Read Data Channel Signals (R) AXI_CTRL_RDATA : out std_logic_vector(C_S_AXI_CTRL_DATA_WIDTH-1 downto 0); AXI_CTRL_RRESP : out std_logic_vector(1 downto 0); AXI_CTRL_RVALID : out std_logic; AXI_CTRL_RREADY : in std_logic; -- *** BRAM Port A Interface Signals *** -- Note: Clock handled at top level (axi_bram_ctrl module) BRAM_En_A : out std_logic; BRAM_WE_A : out std_logic_vector (C_S_AXI_DATA_WIDTH/8+(C_ECC_WIDTH+7)/8-1 downto 0); BRAM_Addr_A : out std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto 0); BRAM_WrData_A : out std_logic_vector (C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1 downto 0); -- @ port level = 8-bits wide ECC BRAM_RdData_A : in std_logic_vector (C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1 downto 0); -- @ port level = 8-bits wide ECC -- Note: Remove BRAM_RdData_A port (unused in dual port mode) -- Platgen will keep port open on BRAM block -- *** BRAM Port B Interface Signals *** -- Note: Clock handled at top level (axi_bram_ctrl module) BRAM_En_B : out std_logic; BRAM_WE_B : out std_logic_vector (C_S_AXI_DATA_WIDTH/8+(C_ECC_WIDTH+7)/8-1 downto 0); BRAM_Addr_B : out std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto 0); BRAM_WrData_B : out std_logic_vector (C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1 downto 0); -- @ port level = 8-bits wide ECC BRAM_RdData_B : in std_logic_vector (C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1 downto 0) -- @ port level = 8-bits wide ECC ); end entity axi_lite; ------------------------------------------------------------------------------- architecture implementation of axi_lite is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- All functions defined in axi_bram_ctrl_funcs package. ------------------------------------------------------------------------------- -- Constants ------------------------------------------------------------------------------- constant C_RESET_ACTIVE : std_logic := '0'; constant RESP_OKAY : std_logic_vector (1 downto 0) := "00"; -- Normal access OK response constant RESP_SLVERR : std_logic_vector (1 downto 0) := "10"; -- Slave error -- For future implementation. -- constant RESP_EXOKAY : std_logic_vector (1 downto 0) := "01"; -- Exclusive access OK response -- constant RESP_DECERR : std_logic_vector (1 downto 0) := "11"; -- Decode error -- Modify C_BRAM_ADDR_SIZE to be adjusted for BRAM data width -- When BRAM data width = 32 bits, BRAM_Addr (1:0) = "00" -- When BRAM data width = 64 bits, BRAM_Addr (2:0) = "000" -- When BRAM data width = 128 bits, BRAM_Addr (3:0) = "0000" -- When BRAM data width = 256 bits, BRAM_Addr (4:0) = "00000" constant C_BRAM_ADDR_ADJUST_FACTOR : integer := log2 (C_S_AXI_DATA_WIDTH/8); constant C_BRAM_ADDR_ADJUST : integer := C_S_AXI_ADDR_WIDTH - C_BRAM_ADDR_ADJUST_FACTOR; constant C_AXI_DATA_WIDTH_BYTES : integer := C_S_AXI_DATA_WIDTH/8; -- Internal data width based on C_S_AXI_DATA_WIDTH. constant C_INT_ECC_WIDTH : integer := Int_ECC_Size (C_S_AXI_DATA_WIDTH); -- constant C_USE_LUT6 : boolean := Family_To_LUT_Size (String_To_Family (C_FAMILY,false)) = 6; -- Remove usage of C_FAMILY. -- All architectures supporting AXI will support a LUT6. -- Hard code this internal constant used in ECC algorithm. -- constant C_USE_LUT6 : boolean := Family_To_LUT_Size (String_To_Family (C_FAMILY,false)) = 6; constant C_USE_LUT6 : boolean := TRUE; ------------------------------------------------------------------------------- -- Signals ------------------------------------------------------------------------------- signal axi_aresetn_d1 : std_logic := '0'; signal axi_aresetn_re : std_logic := '0'; ------------------------------------------------------------------------------- -- AXI Write & Read Address Channel Signals ------------------------------------------------------------------------------- -- State machine type declarations type LITE_SM_TYPE is ( IDLE, SNG_WR_DATA, RD_DATA, RMW_RD_DATA, RMW_MOD_DATA, RMW_WR_DATA ); signal lite_sm_cs, lite_sm_ns : LITE_SM_TYPE; signal axi_arready_cmb : std_logic := '0'; signal axi_arready_reg : std_logic := '0'; signal axi_arready_int : std_logic := '0'; ------------------------------------------------------------------------------- -- AXI Write Data Channel Signals ------------------------------------------------------------------------------- signal axi_wready_cmb : std_logic := '0'; signal axi_wready_int : std_logic := '0'; ------------------------------------------------------------------------------- -- AXI Write Response Channel Signals ------------------------------------------------------------------------------- signal axi_bresp_int : std_logic_vector (1 downto 0) := (others => '0'); signal axi_bvalid_int : std_logic := '0'; signal bvalid_cnt_inc : std_logic := '0'; signal bvalid_cnt_inc_d1 : std_logic := '0'; signal bvalid_cnt_dec : std_logic := '0'; signal bvalid_cnt : std_logic_vector (2 downto 0) := (others => '0'); ------------------------------------------------------------------------------- -- AXI Read Data Channel Signals ------------------------------------------------------------------------------- signal axi_rresp_int : std_logic_vector (1 downto 0) := (others => '0'); signal axi_rvalid_set : std_logic := '0'; signal axi_rvalid_set_r : std_logic := '0'; signal axi_rvalid_int : std_logic := '0'; signal axi_rlast_set : std_logic := '0'; signal axi_rlast_set_r : std_logic := '0'; signal axi_rlast_int : std_logic := '0'; signal axi_rdata_int : std_logic_vector (C_S_AXI_DATA_WIDTH-1 downto 0) := (others => '0'); signal axi_rdata_int_corr : std_logic_vector (C_S_AXI_DATA_WIDTH-1 downto 0) := (others => '0'); ------------------------------------------------------------------------------- -- Internal BRAM Signals ------------------------------------------------------------------------------- signal bram_we_a_int : std_logic_vector (C_S_AXI_DATA_WIDTH/8+(C_ECC_WIDTH+7)/8-1 downto 0) := (others => '0'); signal bram_en_a_cmb : std_logic := '0'; signal bram_en_b_cmb : std_logic := '0'; signal bram_en_a_int : std_logic := '0'; signal bram_en_b_int : std_logic := '0'; signal bram_addr_a_int : std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR) := (others => '0'); signal bram_addr_a_int_q : std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR) := (others => '0'); signal bram_addr_b_int : std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR) := (others => '0'); signal BRAM_Addr_A_i : std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto 0) := (others => '0'); signal BRAM_Addr_B_i : std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto 0) := (others => '0'); signal bram_wrdata_a_int : std_logic_vector (C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1 downto 0) := (others => '0'); -- Port level signal, 8-bits ECC ------------------------------------------------------------------------------- -- Internal ECC Signals ------------------------------------------------------------------------------- signal FaultInjectClr : std_logic := '0'; -- Clear for Fault Inject Registers signal CE_Failing_We : std_logic := '0'; -- WE for CE Failing Registers signal UE_Failing_We : std_logic := '0'; -- WE for CE Failing Registers signal CE_CounterReg_Inc : std_logic := '0'; -- Increment CE Counter Register signal Sl_CE : std_logic := '0'; -- Correctable Error Flag signal Sl_UE : std_logic := '0'; -- Uncorrectable Error Flag signal Sl_CE_i : std_logic := '0'; signal Sl_UE_i : std_logic := '0'; signal FaultInjectData : std_logic_vector (C_S_AXI_DATA_WIDTH-1 downto 0) := (others => '0'); signal FaultInjectECC : std_logic_vector (C_INT_ECC_WIDTH-1 downto 0) := (others => '0'); -- Specific to BRAM data width signal CorrectedRdData : std_logic_vector (0 to C_S_AXI_DATA_WIDTH-1) := (others => '0'); signal UnCorrectedRdData : std_logic_vector (0 to C_S_AXI_DATA_WIDTH-1) := (others => '0'); signal CE_Q : std_logic := '0'; signal UE_Q : std_logic := '0'; signal Enable_ECC : std_logic := '0'; signal RdModifyWr_Read : std_logic := '0'; -- Read cycle in read modify write sequence signal RdModifyWr_Check : std_logic := '0'; -- Read cycle in read modify write sequence signal RdModifyWr_Modify : std_logic := '0'; -- Modify cycle in read modify write sequence signal RdModifyWr_Write : std_logic := '0'; -- Write cycle in read modify write sequence signal WrData : std_logic_vector (C_S_AXI_DATA_WIDTH-1 downto 0) := (others => '0'); signal WrData_cmb : std_logic_vector (C_S_AXI_DATA_WIDTH-1 downto 0) := (others => '0'); signal Active_Wr : std_logic := '0'; signal BRAM_Addr_En : std_logic := '0'; signal Syndrome : std_logic_vector(0 to C_INT_ECC_WIDTH-1); -- Specific to BRAM data width signal Syndrome_4 : std_logic_vector (0 to 1) := (others => '0'); -- Specific to 32-bit ECC signal Syndrome_6 : std_logic_vector (0 to 5) := (others => '0'); -- Specific to 32-bit ECC signal syndrome_reg : std_logic_vector(0 to C_INT_ECC_WIDTH-1); -- Specific to BRAM data width signal syndrome_4_reg : std_logic_vector (0 to 1) := (others => '0'); -- Specific for 32-bit ECC signal syndrome_6_reg : std_logic_vector (0 to 5) := (others => '0'); -- Specific for 32-bit ECC signal syndrome_reg_i : std_logic_vector(0 to C_INT_ECC_WIDTH-1) := (others => '0'); -- Specific to BRAM data width ------------------------------------------------------------------------------- -- Architecture Body ------------------------------------------------------------------------------- begin --------------------------------------------------------------------------- -- *** AXI-Lite ECC Register Output Signals *** --------------------------------------------------------------------------- --------------------------------------------------------------------------- -- Generate: GEN_NO_REGS -- Purpose: Generate default values if ECC registers are disabled (or when -- ECC is disabled). -- Include both AXI-Lite default signal values & internal -- core signal values. --------------------------------------------------------------------------- -- For future implementation. -- GEN_NO_REGS: if (C_ECC = 1 and C_ENABLE_AXI_CTRL_REG_IF = 0) or (C_ECC = 0) generate GEN_NO_REGS: if (C_ECC = 0) generate begin AXI_CTRL_AWREADY <= '0'; AXI_CTRL_WREADY <= '0'; AXI_CTRL_BRESP <= (others => '0'); AXI_CTRL_BVALID <= '0'; AXI_CTRL_ARREADY <= '0'; AXI_CTRL_RDATA <= (others => '0'); AXI_CTRL_RRESP <= (others => '0'); AXI_CTRL_RVALID <= '0'; -- No fault injection FaultInjectData <= (others => '0'); FaultInjectECC <= (others => '0'); -- Interrupt only enabled when ECC status/interrupt registers enabled ECC_Interrupt <= '0'; ECC_UE <= '0'; BRAM_Addr_En <= '0'; ----------------------------------------------------------------------- -- Generate: GEN_DIS_ECC -- Purpose: Disable ECC in read path when ECC is disabled in core. ----------------------------------------------------------------------- GEN_DIS_ECC: if C_ECC = 0 generate Enable_ECC <= '0'; end generate GEN_DIS_ECC; -- For future implementation. -- -- ----------------------------------------------------------------------- -- -- Generate: GEN_EN_ECC -- -- Purpose: Enable ECC when C_ECC = 1 and no ECC registers are available. -- -- ECC on/off control register is not accessible (so ECC is always -- -- enabled in this configuraiton). -- ----------------------------------------------------------------------- -- GEN_EN_ECC: if (C_ECC = 1 and C_ENABLE_AXI_CTRL_REG_IF = 0) generate -- Enable_ECC <= '1'; -- ECC ON/OFF register can not be enabled (as no ECC -- -- ECC registers are available. Therefore, ECC -- -- is always enabled. -- end generate GEN_EN_ECC; end generate GEN_NO_REGS; --------------------------------------------------------------------------- -- Generate: GEN_REGS -- Purpose: Generate ECC register module when ECC is enabled and -- ECC registers are enabled. --------------------------------------------------------------------------- -- For future implementation. -- GEN_REGS: if (C_ECC = 1 and C_ENABLE_AXI_CTRL_REG_IF = 1) generate GEN_REGS: if (C_ECC = 1) generate begin --------------------------------------------------------------------------- -- Instance: I_LITE_ECC_REG -- Description: This module is for the AXI-Lite ECC registers. -- -- Responsible for all AXI-Lite communication to the -- ECC register bank. Provides user interface signals -- to rest of AXI BRAM controller IP core for ECC functionality -- and control. -- Manages AXI-Lite write address (AW) and read address (AR), -- write data (W), write response (B), and read data (R) channels. --------------------------------------------------------------------------- I_LITE_ECC_REG : entity work.lite_ecc_reg generic map ( C_S_AXI_PROTOCOL => C_S_AXI_PROTOCOL , C_S_AXI_DATA_WIDTH => C_S_AXI_DATA_WIDTH , C_S_AXI_ADDR_WIDTH => C_S_AXI_ADDR_WIDTH , C_SINGLE_PORT_BRAM => C_SINGLE_PORT_BRAM , C_S_AXI_CTRL_ADDR_WIDTH => C_S_AXI_CTRL_ADDR_WIDTH , C_S_AXI_CTRL_DATA_WIDTH => C_S_AXI_CTRL_DATA_WIDTH , C_ECC_WIDTH => C_INT_ECC_WIDTH , -- ECC width specific to data width C_FAULT_INJECT => C_FAULT_INJECT , C_CE_FAILING_REGISTERS => C_CE_FAILING_REGISTERS , C_UE_FAILING_REGISTERS => C_UE_FAILING_REGISTERS , C_ECC_STATUS_REGISTERS => C_ECC_STATUS_REGISTERS , C_ECC_ONOFF_REGISTER => C_ECC_ONOFF_REGISTER , C_ECC_ONOFF_RESET_VALUE => C_ECC_ONOFF_RESET_VALUE , C_CE_COUNTER_WIDTH => C_CE_COUNTER_WIDTH ) port map ( S_AXI_AClk => S_AXI_AClk , -- AXI clock S_AXI_AResetn => S_AXI_AResetn , -- Note: AXI-Lite Control IF and AXI IF share the same clock. -- S_AXI_CTRL_AClk => S_AXI_CTRL_AClk , -- AXI-Lite clock -- S_AXI_CTRL_AResetn => S_AXI_CTRL_AResetn , Interrupt => ECC_Interrupt , ECC_UE => ECC_UE , AXI_CTRL_AWVALID => AXI_CTRL_AWVALID , AXI_CTRL_AWREADY => AXI_CTRL_AWREADY , AXI_CTRL_AWADDR => AXI_CTRL_AWADDR , AXI_CTRL_WDATA => AXI_CTRL_WDATA , AXI_CTRL_WVALID => AXI_CTRL_WVALID , AXI_CTRL_WREADY => AXI_CTRL_WREADY , AXI_CTRL_BRESP => AXI_CTRL_BRESP , AXI_CTRL_BVALID => AXI_CTRL_BVALID , AXI_CTRL_BREADY => AXI_CTRL_BREADY , AXI_CTRL_ARADDR => AXI_CTRL_ARADDR , AXI_CTRL_ARVALID => AXI_CTRL_ARVALID , AXI_CTRL_ARREADY => AXI_CTRL_ARREADY , AXI_CTRL_RDATA => AXI_CTRL_RDATA , AXI_CTRL_RRESP => AXI_CTRL_RRESP , AXI_CTRL_RVALID => AXI_CTRL_RVALID , AXI_CTRL_RREADY => AXI_CTRL_RREADY , Enable_ECC => Enable_ECC , FaultInjectClr => FaultInjectClr , CE_Failing_We => CE_Failing_We , CE_CounterReg_Inc => CE_Failing_We , Sl_CE => Sl_CE , Sl_UE => Sl_UE , BRAM_Addr_A => BRAM_Addr_A_i (C_S_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR) , -- v1.03a BRAM_Addr_B => BRAM_Addr_B_i (C_S_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR) , -- v1.03a BRAM_Addr_En => BRAM_Addr_En , Active_Wr => Active_Wr , FaultInjectData => FaultInjectData , FaultInjectECC => FaultInjectECC ); FaultInjectClr <= '1' when (bvalid_cnt_inc_d1 = '1') else '0'; CE_Failing_We <= '1' when Enable_ECC = '1' and CE_Q = '1' else '0'; Active_Wr <= '1' when (RdModifyWr_Read = '1' or RdModifyWr_Check = '1' or RdModifyWr_Modify = '1' or RdModifyWr_Write = '1') else '0'; ----------------------------------------------------------------------- -- Add register delay on BVALID counter increment -- Used to clear fault inject register. REG_BVALID_CNT: process (S_AXI_AClk) begin if (S_AXI_AClk'event and S_AXI_AClk = '1') then if (S_AXI_AResetn = C_RESET_ACTIVE) then bvalid_cnt_inc_d1 <= '0'; else bvalid_cnt_inc_d1 <= bvalid_cnt_inc; end if; end if; end process REG_BVALID_CNT; ----------------------------------------------------------------------- end generate GEN_REGS; --------------------------------------------------------------------------- -- *** AXI Output Signals *** --------------------------------------------------------------------------- -- AXI Write Address Channel Output Signals -- AXI_AWREADY <= axi_awready_cmb; -- AXI_AWREADY <= '0' when (S_AXI_AResetn = '0') else axi_awready_cmb; -- v1.03a AXI_AWREADY <= axi_wready_int; -- v1.03a -- AXI Write Data Channel Output Signals -- AXI_WREADY <= axi_wready_cmb; -- AXI_WREADY <= '0' when (S_AXI_AResetn = '0') else axi_wready_cmb; -- v1.03a AXI_WREADY <= axi_wready_int; -- v1.03a -- AXI Write Response Channel Output Signals AXI_BRESP <= axi_bresp_int; AXI_BVALID <= axi_bvalid_int; -- AXI Read Address Channel Output Signals -- AXI_ARREADY <= axi_arready_cmb; -- v1.03a AXI_ARREADY <= axi_arready_int; -- v1.03a -- AXI Read Data Channel Output Signals -- AXI_RRESP <= axi_rresp_int; AXI_RRESP <= RESP_SLVERR when (C_ECC = 1 and Sl_UE_i = '1') else axi_rresp_int; -- AXI_RDATA <= axi_rdata_int; -- Move assignment of RDATA to generate statements based on C_ECC. AXI_RVALID <= axi_rvalid_int; AXI_RLAST <= axi_rlast_int; ---------------------------------------------------------------------------- -- Need to detect end of reset cycle to assert AWREADY on AXI bus REG_ARESETN: process (S_AXI_AClk) begin if (S_AXI_AClk'event and S_AXI_AClk = '1') then axi_aresetn_d1 <= S_AXI_AResetn; end if; end process REG_ARESETN; -- Create combinatorial RE detect of S_AXI_AResetn axi_aresetn_re <= '1' when (S_AXI_AResetn = '1' and axi_aresetn_d1 = '0') else '0'; ---------------------------------------------------------------------------- --------------------------------------------------------------------------- -- *** AXI Write Address Channel Interface *** --------------------------------------------------------------------------- -- Notes: -- No address pipelining for AXI-Lite. -- PDR feedback. -- Remove address register stage to BRAM. -- Rely on registers in AXI Interconnect. --------------------------------------------------------------------------- -- Generate: GEN_ADDR -- Purpose: Generate all valid bits in the address(es) to BRAM. -- If dual port, generate Port B address signal. --------------------------------------------------------------------------- GEN_ADDR: for i in C_S_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR generate begin --------------------------------------------------------------------------- -- Generate: GEN_ADDR_SNG_PORT -- Purpose: Generate BRAM address when a single port to BRAM. -- Mux read and write addresses from AXI AW and AR channels. --------------------------------------------------------------------------- GEN_ADDR_SNG_PORT: if (C_SINGLE_PORT_BRAM = 1) generate begin -- Read takes priority over AWADDR -- bram_addr_a_int (i) <= AXI_ARADDR (i) when (AXI_ARVALID = '1') else AXI_AWADDR (i); -- ISE should optimize away this mux when connected to the AXI Interconnect -- as the AXI Interconnect duplicates the write or read address on both channels. -- v1.03a -- ARVALID may get asserted while handling ECC read-modify-write. -- With the delay in assertion of AWREADY/WREADY, must add some logic to the -- control on this mux select. bram_addr_a_int (i) <= AXI_ARADDR (i) when ((AXI_ARVALID = '1' and (lite_sm_cs = IDLE or lite_sm_cs = SNG_WR_DATA)) or (lite_sm_cs = RD_DATA)) else AXI_AWADDR (i); end generate GEN_ADDR_SNG_PORT; --------------------------------------------------------------------------- -- Generate: GEN_ADDR_DUAL_PORT -- Purpose: Generate BRAM address when a single port to BRAM. -- Mux read and write addresses from AXI AW and AR channels. --------------------------------------------------------------------------- GEN_ADDR_DUAL_PORT: if (C_SINGLE_PORT_BRAM = 0) generate begin bram_addr_a_int (i) <= AXI_AWADDR (i); bram_addr_b_int (i) <= AXI_ARADDR (i); end generate GEN_ADDR_DUAL_PORT; end generate GEN_ADDR; --------------------------------------------------------------------------- -- *** AXI Read Address Channel Interface *** --------------------------------------------------------------------------- --------------------------------------------------------------------------- -- Generate: GEN_ARREADY -- Purpose: Only pre-assert ARREADY for non ECC designs. -- With ECC, a write requires a read-modify-write and -- will miss the address associated with the ARVALID -- (due to the # of clock cycles). --------------------------------------------------------------------------- GEN_ARREADY: if (C_ECC = 0) generate begin REG_ARREADY: process (S_AXI_AClk) begin if (S_AXI_AClk'event and S_AXI_AClk = '1') then -- ARREADY is asserted until we detect the ARVALID. -- Check for back-to-back ARREADY assertions (add axi_arready_int). if (S_AXI_AResetn = C_RESET_ACTIVE) or (AXI_ARVALID = '1' and axi_arready_int = '1') then axi_arready_int <= '0'; -- Then ARREADY is asserted again when the read operation completes. elsif (axi_aresetn_re = '1') or (axi_rlast_int = '1' and AXI_RREADY = '1') then axi_arready_int <= '1'; else axi_arready_int <= axi_arready_int; end if; end if; end process REG_ARREADY; end generate GEN_ARREADY; --------------------------------------------------------------------------- -- Generate: GEN_ARREADY_ECC -- Purpose: Generate ARREADY from SM logic. ARREADY is not pre-asserted -- as in the non ECC configuration. --------------------------------------------------------------------------- GEN_ARREADY_ECC: if (C_ECC = 1) generate begin axi_arready_int <= axi_arready_reg; end generate GEN_ARREADY_ECC; --------------------------------------------------------------------------- -- *** AXI Write Data Channel Interface *** --------------------------------------------------------------------------- -- No AXI_WLAST --------------------------------------------------------------------------- -- Generate: GEN_WRDATA -- Purpose: Generate BRAM port A write data. For AXI-Lite, pass -- through from AXI bus. If ECC is enabled, merge with fault -- inject vector. -- Write data bits are in lower order bit lanes. -- (31:0) or (63:0) --------------------------------------------------------------------------- GEN_WRDATA: for i in C_S_AXI_DATA_WIDTH-1 downto 0 generate begin --------------------------------------------------------------------------- -- Generate: GEN_NO_ECC -- Purpose: Generate output write data when ECC is disabled. -- Remove write data path register to BRAM --------------------------------------------------------------------------- GEN_NO_ECC : if C_ECC = 0 generate begin bram_wrdata_a_int (i) <= AXI_WDATA (i); end generate GEN_NO_ECC; --------------------------------------------------------------------------- -- Generate: GEN_W_ECC -- Purpose: Generate output write data when ECC is enable -- (use fault vector). -- (N:0) --------------------------------------------------------------------------- GEN_W_ECC : if C_ECC = 1 generate begin bram_wrdata_a_int (i) <= WrData (i) xor FaultInjectData (i); end generate GEN_W_ECC; end generate GEN_WRDATA; --------------------------------------------------------------------------- -- *** AXI Write Response Channel Interface *** --------------------------------------------------------------------------- -- No BID support (wrap around in Interconnect) -- In AXI-Lite, no WLAST assertion -- Drive constant value out on BRESP -- axi_bresp_int <= RESP_OKAY; axi_bresp_int <= RESP_SLVERR when (C_ECC = 1 and UE_Q = '1') else RESP_OKAY; --------------------------------------------------------------------------- -- Implement BVALID with counter regardless of IP configuration. -- -- BVALID counter to track the # of required BVALID/BREADY handshakes -- needed to occur on the AXI interface. Based on early and seperate -- AWVALID/AWREADY and WVALID/WREADY handshake exchanges. REG_BVALID_CNT: process (S_AXI_AClk) begin if (S_AXI_AClk'event and S_AXI_AClk = '1' ) then if (S_AXI_AResetn = C_RESET_ACTIVE) then bvalid_cnt <= (others => '0'); -- Ensure we only increment counter wyhen BREADY is not asserted elsif (bvalid_cnt_inc = '1') and (bvalid_cnt_dec = '0') then bvalid_cnt <= std_logic_vector (unsigned (bvalid_cnt (2 downto 0)) + 1); -- Ensure that we only decrement when SM is not incrementing elsif (bvalid_cnt_dec = '1') and (bvalid_cnt_inc = '0') then bvalid_cnt <= std_logic_vector (unsigned (bvalid_cnt (2 downto 0)) - 1); else bvalid_cnt <= bvalid_cnt; end if; end if; end process REG_BVALID_CNT; bvalid_cnt_dec <= '1' when (AXI_BREADY = '1' and axi_bvalid_int = '1' and bvalid_cnt /= "000") else '0'; -- Replace BVALID output register -- Assert BVALID as long as BVALID counter /= zero REG_BVALID: process (S_AXI_AClk) begin if (S_AXI_AClk'event and S_AXI_AClk = '1' ) then if (S_AXI_AResetn = C_RESET_ACTIVE) or (bvalid_cnt = "001" and bvalid_cnt_dec = '1') then axi_bvalid_int <= '0'; elsif (bvalid_cnt /= "000") then axi_bvalid_int <= '1'; else axi_bvalid_int <= '0'; end if; end if; end process REG_BVALID; --------------------------------------------------------------------------- -- *** AXI Read Data Channel Interface *** --------------------------------------------------------------------------- -- For reductions on AXI-Lite, drive constant value on RESP axi_rresp_int <= RESP_OKAY; --------------------------------------------------------------------------- -- Generate: GEN_R -- Purpose: Generate AXI R channel outputs when ECC is disabled. -- No register delay on AXI_RVALID and AXI_RLAST. --------------------------------------------------------------------------- GEN_R: if C_ECC = 0 generate begin --------------------------------------------------------------------------- -- AXI_RVALID Output Register -- -- Set AXI_RVALID when read data SM indicates. -- Clear when AXI_RLAST is asserted on AXI bus during handshaking sequence -- and recognized by AXI requesting master. --------------------------------------------------------------------------- REG_RVALID: process (S_AXI_AClk) begin if (S_AXI_AClk'event and S_AXI_AClk = '1' ) then if (S_AXI_AResetn = C_RESET_ACTIVE) or (axi_rlast_int = '1' and AXI_RREADY = '1') then -- Code coverage is hitting this condition and axi_rvalid_int is ALWAYS = '1' -- May be able to remove from this if clause (and simplify logic) axi_rvalid_int <= '0'; elsif (axi_rvalid_set = '1') then axi_rvalid_int <= '1'; else axi_rvalid_int <= axi_rvalid_int; end if; end if; end process REG_RVALID; --------------------------------------------------------------------------- -- AXI_RLAST Output Register -- -- Set AXI_RLAST when read data SM indicates. -- Clear when AXI_RLAST is asserted on AXI bus during handshaking sequence -- and recognized by AXI requesting master. --------------------------------------------------------------------------- REG_RLAST: process (S_AXI_AClk) begin if (S_AXI_AClk'event and S_AXI_AClk = '1' ) then if (S_AXI_AResetn = C_RESET_ACTIVE) or (axi_rlast_int = '1' and AXI_RREADY = '1') then -- Code coverage is hitting this condition and axi_rvalid_int is ALWAYS = '1' -- May be able to remove from this if clause (and simplify logic) axi_rlast_int <= '0'; elsif (axi_rlast_set = '1') then axi_rlast_int <= '1'; else axi_rlast_int <= axi_rlast_int; end if; end if; end process REG_RLAST; end generate GEN_R; --------------------------------------------------------------------------- -- Generate: GEN_R_ECC -- Purpose: Generate AXI R channel outputs when ECC is enabled. -- Must use registered delayed control signals for RLAST -- and RVALID to align with register inclusion for corrected -- read data in ECC logic. --------------------------------------------------------------------------- GEN_R_ECC: if C_ECC = 1 generate begin --------------------------------------------------------------------------- -- AXI_RVALID Output Register -- -- Set AXI_RVALID when read data SM indicates. -- Clear when AXI_RLAST is asserted on AXI bus during handshaking sequence -- and recognized by AXI requesting master. --------------------------------------------------------------------------- REG_RVALID: process (S_AXI_AClk) begin if (S_AXI_AClk'event and S_AXI_AClk = '1' ) then if (S_AXI_AResetn = C_RESET_ACTIVE) or (axi_rlast_int = '1' and AXI_RREADY = '1') then -- Code coverage is hitting this condition and axi_rvalid_int is ALWAYS = '1' -- May be able to remove from this if clause (and simplify logic) axi_rvalid_int <= '0'; elsif (axi_rvalid_set_r = '1') then axi_rvalid_int <= '1'; else axi_rvalid_int <= axi_rvalid_int; end if; end if; end process REG_RVALID; --------------------------------------------------------------------------- -- AXI_RLAST Output Register -- -- Set AXI_RLAST when read data SM indicates. -- Clear when AXI_RLAST is asserted on AXI bus during handshaking sequence -- and recognized by AXI requesting master. --------------------------------------------------------------------------- REG_RLAST: process (S_AXI_AClk) begin if (S_AXI_AClk'event and S_AXI_AClk = '1' ) then if (S_AXI_AResetn = C_RESET_ACTIVE) or (axi_rlast_int = '1' and AXI_RREADY = '1') then -- Code coverage is hitting this condition and axi_rvalid_int is ALWAYS = '1' -- May be able to remove from this if clause (and simplify logic) axi_rlast_int <= '0'; elsif (axi_rlast_set_r = '1') then axi_rlast_int <= '1'; else axi_rlast_int <= axi_rlast_int; end if; end if; end process REG_RLAST; end generate GEN_R_ECC; --------------------------------------------------------------------------- -- -- Generate AXI bus read data. No register. Pass through -- read data from BRAM. Determine source on single port -- vs. dual port configuration. -- --------------------------------------------------------------------------- ----------------------------------------------------------------------- -- Generate: RDATA_NO_ECC -- Purpose: Define port A/B from BRAM on AXI_RDATA when ECC disabled. ----------------------------------------------------------------------- RDATA_NO_ECC: if (C_ECC = 0) generate begin AXI_RDATA <= axi_rdata_int; ----------------------------------------------------------------------- -- Generate: GEN_RDATA_SNG_PORT -- Purpose: Source of read data: Port A in single port configuration. ----------------------------------------------------------------------- GEN_RDATA_SNG_PORT: if (C_SINGLE_PORT_BRAM = 1) generate begin axi_rdata_int (C_S_AXI_DATA_WIDTH-1 downto 0) <= BRAM_RdData_A(C_S_AXI_DATA_WIDTH-1 downto 0); end generate GEN_RDATA_SNG_PORT; ----------------------------------------------------------------------- -- Generate: GEN_RDATA_DUAL_PORT -- Purpose: Source of read data: Port B in dual port configuration. ----------------------------------------------------------------------- GEN_RDATA_DUAL_PORT: if (C_SINGLE_PORT_BRAM = 0) generate begin axi_rdata_int (C_S_AXI_DATA_WIDTH-1 downto 0) <= BRAM_RdData_B (C_S_AXI_DATA_WIDTH-1 downto 0); end generate GEN_RDATA_DUAL_PORT; end generate RDATA_NO_ECC; ----------------------------------------------------------------------- -- Generate: RDATA_W_ECC -- Purpose: Connect AXI_RDATA from ECC module when ECC enabled. ----------------------------------------------------------------------- RDATA_W_ECC: if (C_ECC = 1) generate subtype syndrome_bits is std_logic_vector (0 to 6); type correct_data_table_type is array (natural range 0 to 31) of syndrome_bits; constant correct_data_table : correct_data_table_type := ( 0 => "1100001", 1 => "1010001", 2 => "0110001", 3 => "1110001", 4 => "1001001", 5 => "0101001", 6 => "1101001", 7 => "0011001", 8 => "1011001", 9 => "0111001", 10 => "1111001", 11 => "1000101", 12 => "0100101", 13 => "1100101", 14 => "0010101", 15 => "1010101", 16 => "0110101", 17 => "1110101", 18 => "0001101", 19 => "1001101", 20 => "0101101", 21 => "1101101", 22 => "0011101", 23 => "1011101", 24 => "0111101", 25 => "1111101", 26 => "1000011", 27 => "0100011", 28 => "1100011", 29 => "0010011", 30 => "1010011", 31 => "0110011" ); begin -- Logic common to either type of ECC encoding/decoding -- Renove bit reversal on AXI_RDATA output. AXI_RDATA <= axi_rdata_int when (Enable_ECC = '0' or Sl_UE_i = '1') else axi_rdata_int_corr; CorrectedRdData (0 to C_S_AXI_DATA_WIDTH-1) <= axi_rdata_int_corr (C_S_AXI_DATA_WIDTH-1 downto 0); -- Remove GEN_RDATA that was doing bit reversal. -- Read back data is registered prior to any single bit error correction. REG_RDATA: process (S_AXI_AClk) begin if (S_AXI_AClk'event and S_AXI_AClk = '1' ) then if (S_AXI_AResetn = C_RESET_ACTIVE) then axi_rdata_int <= (others => '0'); else axi_rdata_int (C_S_AXI_DATA_WIDTH-1 downto 0) <= UnCorrectedRdData (0 to C_S_AXI_DATA_WIDTH-1); end if; end if; end process REG_RDATA; --------------------------------------------------------------------------- -- Generate: RDATA_W_HAMMING -- Purpose: Add generate statement for Hamming Code ECC algorithm -- specific logic. --------------------------------------------------------------------------- RDATA_W_HAMMING: if C_ECC_TYPE = 0 generate begin -- Move correct_one_bit logic to output side of AXI_RDATA output register. -- Improves timing by balancing logic on both sides of pipeline stage. -- Utilizing registers in AXI interconnect makes this feasible. --------------------------------------------------------------------------- -- Register ECC syndrome value to correct any single bit errors -- post-register on AXI read data. REG_SYNDROME: process (S_AXI_AClk) begin if (S_AXI_AClk'event and S_AXI_AClk = '1' ) then syndrome_reg <= Syndrome; syndrome_4_reg <= Syndrome_4; syndrome_6_reg <= Syndrome_6; end if; end process REG_SYNDROME; --------------------------------------------------------------------------- -- Do last XOR on select syndrome bits outside of checkbit_handler (to match rd_chnl -- w/ balanced pipeline stage) before correct_one_bit module. syndrome_reg_i (0 to 3) <= syndrome_reg (0 to 3); PARITY_CHK4: entity work.parity generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 2) port map ( InA => syndrome_4_reg (0 to 1), -- [in std_logic_vector(0 to C_SIZE - 1)] Res => syndrome_reg_i (4) ); -- [out std_logic] syndrome_reg_i (5) <= syndrome_reg (5); PARITY_CHK6: entity work.parity generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6) port map ( InA => syndrome_6_reg (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)] Res => syndrome_reg_i (6) ); -- [out std_logic] --------------------------------------------------------------------------- -- Generate: GEN_CORR_32 -- Purpose: Generate corrected read data based on syndrome value. -- All vectors oriented (0:N) --------------------------------------------------------------------------- GEN_CORR_32: for i in 0 to C_S_AXI_DATA_WIDTH-1 generate begin --------------------------------------------------------------------------- -- Instance: CORR_ONE_BIT_32 -- Description: Generate ECC bits for checking data read from BRAM. --------------------------------------------------------------------------- CORR_ONE_BIT_32: entity work.correct_one_bit generic map ( C_USE_LUT6 => C_USE_LUT6, Correct_Value => correct_data_table (i)) port map ( DIn => axi_rdata_int (i), Syndrome => syndrome_reg_i, DCorr => axi_rdata_int_corr (i)); end generate GEN_CORR_32; end generate RDATA_W_HAMMING; -- Hsiao ECC done in seperate generate statement (GEN_HSIAO_ECC) end generate RDATA_W_ECC; --------------------------------------------------------------------------- -- Main AXI-Lite State Machine -- -- Description: Central processing unit for AXI-Lite write and read address -- channel interface handling and handshaking. -- Handles all arbitration between write and read channels -- to utilize single port to BRAM -- -- Outputs: axi_wready_int Registered -- axi_arready_reg Registered (used in ECC configurations) -- bvalid_cnt_inc Combinatorial -- axi_rvalid_set Combinatorial -- axi_rlast_set Combinatorial -- bram_en_a_cmb Combinatorial -- bram_en_b_cmb Combinatorial -- bram_we_a_int Combinatorial -- -- -- LITE_SM_CMB_PROCESS: Combinational process to determine next state. -- LITE_SM_REG_PROCESS: Registered process of the state machine. -- --------------------------------------------------------------------------- LITE_SM_CMB_PROCESS: process ( AXI_AWVALID, AXI_WVALID, AXI_WSTRB, AXI_ARVALID, AXI_RREADY, bvalid_cnt, axi_rvalid_int, lite_sm_cs ) begin -- assign default values for state machine outputs lite_sm_ns <= lite_sm_cs; axi_wready_cmb <= '0'; axi_arready_cmb <= '0'; bvalid_cnt_inc <= '0'; axi_rvalid_set <= '0'; axi_rlast_set <= '0'; bram_en_a_cmb <= '0'; bram_en_b_cmb <= '0'; bram_we_a_int <= (others => '0'); case lite_sm_cs is ---------------------------- IDLE State --------------------------- when IDLE => -- AXI Interconnect will only issue AWVALID OR ARVALID -- at a time. In the case when the core is attached -- to another AXI master IP, arbitrate between read -- and write operation. Read operation will always win. if (AXI_ARVALID = '1') then lite_sm_ns <= RD_DATA; -- Initiate BRAM read transfer -- For single port BRAM, use Port A -- For dual port BRAM, use Port B if (C_SINGLE_PORT_BRAM = 1) then bram_en_a_cmb <= '1'; else bram_en_b_cmb <= '1'; end if; bram_we_a_int <= (others => '0'); -- RVALID to be asserted in next clock cycle -- Only 1 clock cycle latency on reading data from BRAM axi_rvalid_set <= '1'; -- Due to single data beat with AXI-Lite -- Assert RLAST on AXI axi_rlast_set <= '1'; -- Only in ECC configurations -- Must assert ARREADY here (no pre-assertion) if (C_ECC = 1) then axi_arready_cmb <= '1'; end if; -- Write operations are lower priority than reads -- when an AXI master asserted both operations simultaneously. elsif (AXI_AWVALID = '1') and (AXI_WVALID = '1') and (bvalid_cnt /= "111") then -- Initiate BRAM write transfer bram_en_a_cmb <= '1'; -- Always perform a read-modify-write sequence with ECC is enabled. if (C_ECC = 1) then lite_sm_ns <= RMW_RD_DATA; -- Disable Port A write enables bram_we_a_int <= (others => '0'); else -- Non ECC operation or an ECC full 32-bit word write -- Assert acknowledge of data & address on AXI. -- Wait to assert AWREADY and WREADY in ECC designs. axi_wready_cmb <= '1'; -- Increment counter to track # of required BVALID responses. bvalid_cnt_inc <= '1'; lite_sm_ns <= SNG_WR_DATA; bram_we_a_int <= AXI_WSTRB; end if; end if; ------------------------- SNG_WR_DATA State ------------------------- when SNG_WR_DATA => -- With early assertion of ARREADY, the SM -- must be able to accept a read address at any clock cycle. -- Check here for active ARVALID and directly handle read -- and do not proceed back to IDLE (no empty clock cycle in which -- read address may be missed). if (AXI_ARVALID = '1') and (C_ECC = 0) then lite_sm_ns <= RD_DATA; -- Initiate BRAM read transfer -- For single port BRAM, use Port A -- For dual port BRAM, use Port B if (C_SINGLE_PORT_BRAM = 1) then bram_en_a_cmb <= '1'; else bram_en_b_cmb <= '1'; end if; bram_we_a_int <= (others => '0'); -- RVALID to be asserted in next clock cycle -- Only 1 clock cycle latency on reading data from BRAM axi_rvalid_set <= '1'; -- Due to single data beat with AXI-Lite -- Assert RLAST on AXI axi_rlast_set <= '1'; -- Only in ECC configurations -- Must assert ARREADY here (no pre-assertion) -- Pre-assertion of ARREADY is only for non ECC configurations. if (C_ECC = 1) then axi_arready_cmb <= '1'; end if; else lite_sm_ns <= IDLE; end if; ---------------------------- RD_DATA State --------------------------- when RD_DATA => -- Data is presented to AXI bus -- Wait for acknowledgment to process any next transfers -- RVALID may not be asserted as we transition into this state. if (AXI_RREADY = '1') and (axi_rvalid_int = '1') then lite_sm_ns <= IDLE; end if; ------------------------- RMW_RD_DATA State ------------------------- when RMW_RD_DATA => lite_sm_ns <= RMW_MOD_DATA; ------------------------- RMW_MOD_DATA State ------------------------- when RMW_MOD_DATA => lite_sm_ns <= RMW_WR_DATA; -- Hold off on assertion of WREADY and AWREADY until -- here, so no pipeline registers necessary. -- Assert acknowledge of data & address on AXI axi_wready_cmb <= '1'; -- Increment counter to track # of required BVALID responses. -- Able to assert this signal early, then BVALID counter -- will get incremented in the next clock cycle when WREADY -- is asserted. bvalid_cnt_inc <= '1'; ------------------------- RMW_WR_DATA State ------------------------- when RMW_WR_DATA => -- Initiate BRAM write transfer bram_en_a_cmb <= '1'; -- Enable all WEs to BRAM bram_we_a_int <= (others => '1'); -- Complete write operation lite_sm_ns <= IDLE; --coverage off ------------------------------ Default ---------------------------- when others => lite_sm_ns <= IDLE; --coverage on end case; end process LITE_SM_CMB_PROCESS; --------------------------------------------------------------------------- LITE_SM_REG_PROCESS: process (S_AXI_AClk) begin if (S_AXI_AClk'event and S_AXI_AClk = '1' ) then if (S_AXI_AResetn = C_RESET_ACTIVE) then lite_sm_cs <= IDLE; axi_wready_int <= '0'; axi_arready_reg <= '0'; axi_rvalid_set_r <= '0'; axi_rlast_set_r <= '0'; else lite_sm_cs <= lite_sm_ns; axi_wready_int <= axi_wready_cmb; axi_arready_reg <= axi_arready_cmb; axi_rvalid_set_r <= axi_rvalid_set; axi_rlast_set_r <= axi_rlast_set; end if; end if; end process LITE_SM_REG_PROCESS; --------------------------------------------------------------------------- --------------------------------------------------------------------------- -- *** ECC Logic *** --------------------------------------------------------------------------- --------------------------------------------------------------------------- -- -- Generate: GEN_ECC -- Purpose: Generate BRAM ECC write data and check ECC on read operations. -- Create signals to update ECC registers (lite_ecc_reg module interface). -- --------------------------------------------------------------------------- GEN_ECC: if C_ECC = 1 generate constant null7 : std_logic_vector(0 to 6) := "0000000"; -- Specific to 32-bit data width (AXI-Lite) signal WrECC : std_logic_vector (C_INT_ECC_WIDTH-1 downto 0); -- Specific to BRAM data width signal WrECC_i : std_logic_vector (C_ECC_WIDTH-1 downto 0) := (others => '0'); signal wrdata_i : std_logic_vector (C_S_AXI_DATA_WIDTH-1 downto 0); signal AXI_WDATA_Q : std_logic_vector (C_S_AXI_DATA_WIDTH-1 downto 0); signal AXI_WSTRB_Q : std_logic_vector ((C_S_AXI_DATA_WIDTH/8 - 1) downto 0); signal bram_din_a_i : std_logic_vector (0 to C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1) := (others => '0'); -- Set for port data width signal bram_rddata_in : std_logic_vector (C_S_AXI_DATA_WIDTH+C_INT_ECC_WIDTH-1 downto 0) := (others => '0'); subtype syndrome_bits is std_logic_vector (0 to 6); type correct_data_table_type is array (natural range 0 to 31) of syndrome_bits; constant correct_data_table : correct_data_table_type := ( 0 => "1100001", 1 => "1010001", 2 => "0110001", 3 => "1110001", 4 => "1001001", 5 => "0101001", 6 => "1101001", 7 => "0011001", 8 => "1011001", 9 => "0111001", 10 => "1111001", 11 => "1000101", 12 => "0100101", 13 => "1100101", 14 => "0010101", 15 => "1010101", 16 => "0110101", 17 => "1110101", 18 => "0001101", 19 => "1001101", 20 => "0101101", 21 => "1101101", 22 => "0011101", 23 => "1011101", 24 => "0111101", 25 => "1111101", 26 => "1000011", 27 => "0100011", 28 => "1100011", 29 => "0010011", 30 => "1010011", 31 => "0110011" ); type bool_array is array (natural range 0 to 6) of boolean; constant inverted_bit : bool_array := (false,false,true,false,true,false,false); begin -- Read on Port A -- or any operation on Port B (it will be read only). BRAM_Addr_En <= '1' when (bram_en_a_int = '1' and bram_we_a_int = "00000") or (bram_en_b_int = '1') else '0'; -- BRAM_WE generated from SM -- Remember byte write enables one clock cycle to properly mux bytes to write, -- with read data in read/modify write operation -- Write in Read/Write always 1 cycle after Read REG_RMW_SIGS : process (S_AXI_AClk) is begin if (S_AXI_AClk'event and S_AXI_AClk = '1') then -- Add reset values if (S_AXI_AResetn = C_RESET_ACTIVE) then RdModifyWr_Check <= '0'; RdModifyWr_Modify <= '0'; RdModifyWr_Write <= '0'; else RdModifyWr_Check <= RdModifyWr_Read; RdModifyWr_Modify <= RdModifyWr_Check; RdModifyWr_Write <= RdModifyWr_Modify; end if; end if; end process REG_RMW_SIGS; -- v1.03a -- Delay assertion of WREADY to minimize registers in core. -- Use SM transition to RMW "read" to assert this signal. RdModifyWr_Read <= '1' when (lite_sm_ns = RMW_RD_DATA) else '0'; -- Remember write data one cycle to be available after read has been completed in a -- read/modify write operation STORE_WRITE_DBUS : process (S_AXI_AClk) is begin if (S_AXI_AClk'event and S_AXI_AClk = '1') then if (S_AXI_AResetn = C_RESET_ACTIVE) then AXI_WDATA_Q <= (others => '0'); AXI_WSTRB_Q <= (others => '0'); -- v1.03a -- With the delay assertion of WREADY, use WVALID -- to register in WDATA and WSTRB signals. elsif (AXI_WVALID = '1') then AXI_WDATA_Q <= AXI_WDATA; AXI_WSTRB_Q <= AXI_WSTRB; end if; end if; end process STORE_WRITE_DBUS; wrdata_i <= AXI_WDATA_Q when RdModifyWr_Modify = '1' else AXI_WDATA; -- v1.03a ------------------------------------------------------------------------ -- Generate: GEN_WRDATA_CMB -- Purpose: Replace manual signal assignment for WrData_cmb with -- generate funtion. -- -- Ensure correct byte swapping occurs with -- CorrectedRdData (0 to C_S_AXI_DATA_WIDTH-1) assignment -- to WrData_cmb (C_S_AXI_DATA_WIDTH-1 downto 0). -- -- AXI_WSTRB_Q (C_S_AXI_DATA_WIDTH_BYTES-1 downto 0) matches -- to WrData_cmb (C_S_AXI_DATA_WIDTH-1 downto 0). -- ------------------------------------------------------------------------ GEN_WRDATA_CMB: for i in C_AXI_DATA_WIDTH_BYTES-1 downto 0 generate begin WrData_cmb ( (((i+1)*8)-1) downto i*8 ) <= wrdata_i ((((i+1)*8)-1) downto i*8) when (RdModifyWr_Modify = '1' and AXI_WSTRB_Q(i) = '1') else CorrectedRdData ( (C_S_AXI_DATA_WIDTH - ((i+1)*8)) to (C_S_AXI_DATA_WIDTH - (i*8) - 1) ); end generate GEN_WRDATA_CMB; REG_WRDATA : process (S_AXI_AClk) is begin -- Remove reset value to minimize resources & improve timing if (S_AXI_AClk'event and S_AXI_AClk = '1') then WrData <= WrData_cmb; end if; end process REG_WRDATA; ------------------------------------------------------------------------ -- New assignment of ECC bits to BRAM write data outside generate -- blocks. Same signal assignment regardless of ECC type. bram_wrdata_a_int (C_S_AXI_DATA_WIDTH + C_ECC_WIDTH - 1) <= '0'; bram_wrdata_a_int ((C_S_AXI_DATA_WIDTH + C_INT_ECC_WIDTH - 1) downto C_S_AXI_DATA_WIDTH) <= WrECC xor FaultInjectECC; ------------------------------------------------------------------------ -- No need to use RdModifyWr_Write in the data path. -- v1.03a ------------------------------------------------------------------------ -- Generate: GEN_HAMMING_ECC -- Purpose: Determine type of ECC encoding. Hsiao or Hamming. -- Add parameter/generate level. ------------------------------------------------------------------------ GEN_HAMMING_ECC: if C_ECC_TYPE = 0 generate begin --------------------------------------------------------------------------- -- Instance: CHK_HANDLER_WR_32 -- Description: Generate ECC bits for writing into BRAM. -- WrData (N:0) --------------------------------------------------------------------------- CHK_HANDLER_WR_32: entity work.checkbit_handler generic map ( C_ENCODE => true, -- [boolean] C_USE_LUT6 => C_USE_LUT6) -- [boolean] port map ( DataIn => WrData, -- [in std_logic_vector(0 to 31)] CheckIn => null7, -- [in std_logic_vector(0 to 6)] CheckOut => WrECC, -- [out std_logic_vector(0 to 6)] Syndrome_4 => open, -- [out std_logic_vector(0 to 1)] Syndrome_6 => open, -- [out std_logic_vector(0 to 5)] Syndrome => open, -- [out std_logic_vector(0 to 6)] Enable_ECC => '1', -- [in std_logic] Syndrome_Chk => null7, -- [in std_logic_vector(0 to 6)] UE_Q => '0', -- [in std_logic] CE_Q => '0', -- [in std_logic] UE => open, -- [out std_logic] CE => open ); -- [out std_logic] --------------------------------------------------------------------------- -- Instance: CHK_HANDLER_RD_32 -- Description: Generate ECC bits for checking data read from BRAM. -- All vectors oriented (0:N) --------------------------------------------------------------------------- CHK_HANDLER_RD_32: entity work.checkbit_handler generic map ( C_ENCODE => false, -- [boolean] C_USE_LUT6 => C_USE_LUT6) -- [boolean] port map ( -- DataIn (8:39) -- CheckIn (1:7) -- Bit swapping done at port level on checkbit_handler (31:0) & (6:0) DataIn => bram_din_a_i (C_INT_ECC_WIDTH+1 to C_INT_ECC_WIDTH+C_S_AXI_DATA_WIDTH), -- [in std_logic_vector(8 to 39)] CheckIn => bram_din_a_i (1 to C_INT_ECC_WIDTH), -- [in std_logic_vector(1 to 7)] CheckOut => open, -- [out std_logic_vector(0 to 6)] Syndrome => Syndrome, -- [out std_logic_vector(0 to 6)] Syndrome_4 => Syndrome_4, -- [out std_logic_vector(0 to 1)] Syndrome_6 => Syndrome_6, -- [out std_logic_vector(0 to 5)] Syndrome_Chk => syndrome_reg_i, -- [in std_logic_vector(0 to 6)] Enable_ECC => Enable_ECC, -- [in std_logic] UE_Q => UE_Q, -- [in std_logic] CE_Q => CE_Q, -- [in std_logic] UE => Sl_UE_i, -- [out std_logic] CE => Sl_CE_i ); -- [out std_logic] -- GEN_CORR_32 generate & correct_one_bit instantiation moved to generate -- of AXI RDATA output register logic to use registered syndrome value. end generate GEN_HAMMING_ECC; -- v1.03a ------------------------------------------------------------------------ -- Generate: GEN_HSIAO_ECC -- Purpose: Determine type of ECC encoding. Hsiao or Hamming. -- Add parameter/generate level. -- Derived from MIG v3.7 Hsiao HDL. ------------------------------------------------------------------------ GEN_HSIAO_ECC: if C_ECC_TYPE = 1 generate constant CODE_WIDTH : integer := C_S_AXI_DATA_WIDTH + C_INT_ECC_WIDTH; constant ECC_WIDTH : integer := C_INT_ECC_WIDTH; type type_int0 is array (C_S_AXI_DATA_WIDTH - 1 downto 0) of std_logic_vector (ECC_WIDTH - 1 downto 0); signal syndrome_ns : std_logic_vector(ECC_WIDTH - 1 downto 0); signal syndrome_r : std_logic_vector(ECC_WIDTH - 1 downto 0); signal ecc_rddata_r : std_logic_vector(C_S_AXI_DATA_WIDTH - 1 downto 0); signal h_matrix : type_int0; signal h_rows : std_logic_vector (CODE_WIDTH * ECC_WIDTH - 1 downto 0); signal flip_bits : std_logic_vector(C_S_AXI_DATA_WIDTH - 1 downto 0); begin ---------------------- Hsiao ECC Write Logic ---------------------- -- Instantiate ecc_gen module, generated from MIG ECC_GEN_HSIAO: entity work.ecc_gen generic map ( code_width => CODE_WIDTH, ecc_width => ECC_WIDTH, data_width => C_S_AXI_DATA_WIDTH ) port map ( -- Output h_rows => h_rows (CODE_WIDTH * ECC_WIDTH - 1 downto 0) ); -- Merge muxed rd/write data to gen HSIAO_ECC: process (h_rows, WrData) constant DQ_WIDTH : integer := CODE_WIDTH; variable ecc_wrdata_tmp : std_logic_vector(DQ_WIDTH-1 downto C_S_AXI_DATA_WIDTH); begin -- Loop to generate all ECC bits for k in 0 to ECC_WIDTH - 1 loop ecc_wrdata_tmp (CODE_WIDTH - k - 1) := REDUCTION_XOR ( (WrData (C_S_AXI_DATA_WIDTH - 1 downto 0) and h_rows (k * CODE_WIDTH + C_S_AXI_DATA_WIDTH - 1 downto k * CODE_WIDTH))); end loop; WrECC (C_INT_ECC_WIDTH-1 downto 0) <= ecc_wrdata_tmp (DQ_WIDTH-1 downto C_S_AXI_DATA_WIDTH); end process HSIAO_ECC; ---------------------- Hsiao ECC Read Logic ----------------------- GEN_RD_ECC: for m in 0 to ECC_WIDTH - 1 generate begin syndrome_ns (m) <= REDUCTION_XOR ( bram_rddata_in (CODE_WIDTH-1 downto 0) and h_rows ((m*CODE_WIDTH)+CODE_WIDTH-1 downto (m*CODE_WIDTH))); end generate GEN_RD_ECC; -- Insert register stage for syndrome REG_SYNDROME: process (S_AXI_AClk) begin if (S_AXI_AClk'event and S_AXI_AClk = '1' ) then syndrome_r <= syndrome_ns; -- Replicate BRAM read back data register for Hamming ECC ecc_rddata_r <= bram_rddata_in (C_S_AXI_DATA_WIDTH-1 downto 0); end if; end process REG_SYNDROME; -- Reconstruct H-matrix H_COL: for n in 0 to C_S_AXI_DATA_WIDTH - 1 generate begin H_BIT: for p in 0 to ECC_WIDTH - 1 generate begin h_matrix (n)(p) <= h_rows (p * CODE_WIDTH + n); end generate H_BIT; end generate H_COL; GEN_FLIP_BIT: for r in 0 to C_S_AXI_DATA_WIDTH - 1 generate begin flip_bits (r) <= BOOLEAN_TO_STD_LOGIC (h_matrix (r) = syndrome_r); end generate GEN_FLIP_BIT; axi_rdata_int_corr (C_S_AXI_DATA_WIDTH-1 downto 0) <= ecc_rddata_r (C_S_AXI_DATA_WIDTH-1 downto 0) xor flip_bits (C_S_AXI_DATA_WIDTH-1 downto 0); Sl_CE_i <= not (REDUCTION_NOR (syndrome_r (ECC_WIDTH-1 downto 0))) and (REDUCTION_XOR (syndrome_r (ECC_WIDTH-1 downto 0))); Sl_UE_i <= not (REDUCTION_NOR (syndrome_r (ECC_WIDTH-1 downto 0))) and not (REDUCTION_XOR (syndrome_r (ECC_WIDTH-1 downto 0))); end generate GEN_HSIAO_ECC; -- Capture correctable/uncorrectable error from BRAM read. -- Either during RMW of write operation or during BRAM read. CORR_REG: process(S_AXI_AClk) is begin if (S_AXI_AClk'event and S_AXI_AClk = '1') then if RdModifyWr_Modify = '1' or ((Enable_ECC = '1') and (axi_rvalid_int = '1' and AXI_RREADY = '1')) then -- Capture error signals CE_Q <= Sl_CE_i; UE_Q <= Sl_UE_i; else CE_Q <= '0'; UE_Q <= '0'; end if; end if; end process CORR_REG; -- Register CE and UE flags to register block. Sl_CE <= CE_Q; Sl_UE <= UE_Q; --------------------------------------------------------------------------- -- Generate: GEN_DIN_A -- Purpose: Generate BRAM read data vector assignment to always be from Port A -- in a single port BRAM configuration. -- Map BRAM_RdData_A (N:0) to bram_din_a_i (0:N) -- Including read back ECC bits. --------------------------------------------------------------------------- GEN_DIN_A: if C_SINGLE_PORT_BRAM = 1 generate begin --------------------------------------------------------------------------- -- Generate: GEN_DIN_A_HAMMING -- Purpose: Standard input for Hamming ECC code generation. -- MSB '0' is removed in port mapping to checkbit_handler module. --------------------------------------------------------------------------- GEN_DIN_A_HAMMING: if C_ECC_TYPE = 1 generate begin bram_din_a_i (0 to C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1) <= BRAM_RdData_A (C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1 downto 0); end generate GEN_DIN_A_HAMMING; --------------------------------------------------------------------------- -- Generate: GEN_DIN_A_HSIAO -- Purpose: For Hsiao ECC implementation configurations. -- Remove MSB '0' on 32-bit implementation with fixed -- '0' in (8-bit wide) ECC data bits (only need 7-bits in h-matrix). --------------------------------------------------------------------------- GEN_DIN_A_HSIAO: if C_ECC_TYPE = 1 generate begin bram_rddata_in <= BRAM_RdData_A (C_S_AXI_DATA_WIDTH+C_INT_ECC_WIDTH-1 downto 0); end generate GEN_DIN_A_HSIAO; end generate GEN_DIN_A; --------------------------------------------------------------------------- -- Generate: GEN_DIN_B -- Purpose: Generate BRAM read data vector assignment in a dual port -- configuration to be either from Port B, or from Port A in a -- read-modify-write sequence. -- Map BRAM_RdData_A/B (N:0) to bram_din_a_i (0:N) -- Including read back ECC bits. --------------------------------------------------------------------------- GEN_DIN_B: if C_SINGLE_PORT_BRAM = 0 generate begin --------------------------------------------------------------------------- -- Generate: GEN_DIN_B_HAMMING -- Purpose: Standard input for Hamming ECC code generation. -- MSB '0' is removed in port mapping to checkbit_handler module. --------------------------------------------------------------------------- GEN_DIN_B_HAMMING: if C_ECC_TYPE = 1 generate begin bram_din_a_i (0 to C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1) <= BRAM_RdData_A (C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1 downto 0) when (RdModifyWr_Check = '1') else BRAM_RdData_B (C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1 downto 0); end generate GEN_DIN_B_HAMMING; --------------------------------------------------------------------------- -- Generate: GEN_DIN_B_HSIAO -- Purpose: For Hsiao ECC implementation configurations. -- Remove MSB '0' on 32-bit implementation with fixed -- '0' in (8-bit wide) ECC data bits (only need 7-bits in h-matrix). --------------------------------------------------------------------------- GEN_DIN_B_HSIAO: if C_ECC_TYPE = 1 generate begin bram_rddata_in <= BRAM_RdData_A (C_S_AXI_DATA_WIDTH+C_INT_ECC_WIDTH-1 downto 0) when (RdModifyWr_Check = '1') else BRAM_RdData_B (C_S_AXI_DATA_WIDTH+C_INT_ECC_WIDTH-1 downto 0); end generate GEN_DIN_B_HSIAO; end generate GEN_DIN_B; -- Map data vector from BRAM to use in correct_one_bit module with -- register syndrome (post AXI RDATA register). UnCorrectedRdData (0 to C_S_AXI_DATA_WIDTH-1) <= bram_din_a_i (C_ECC_WIDTH to C_ECC_WIDTH+C_S_AXI_DATA_WIDTH-1); end generate GEN_ECC; --------------------------------------------------------------------------- --------------------------------------------------------------------------- -- *** BRAM Interface Signals *** --------------------------------------------------------------------------- -- With AXI-LITE no narrow operations are allowed. -- AXI_WSTRB is ignored and all byte lanes are written. bram_en_a_int <= bram_en_a_cmb; -- BRAM_En_A <= bram_en_a_int; -- DV regression failure with reset -- 7/7/11 BRAM_En_A <= '0' when (S_AXI_AResetn = C_RESET_ACTIVE) else bram_en_a_int; ----------------------------------------------------------------------- -- Generate: GEN_BRAM_EN_DUAL_PORT -- Purpose: Only generate Port B BRAM enable signal when -- configured for dual port BRAM. ----------------------------------------------------------------------- GEN_BRAM_EN_DUAL_PORT: if (C_SINGLE_PORT_BRAM = 0) generate begin bram_en_b_int <= bram_en_b_cmb; BRAM_En_B <= bram_en_b_int; end generate GEN_BRAM_EN_DUAL_PORT; ----------------------------------------------------------------------- -- Generate: GEN_BRAM_EN_SNG_PORT -- Purpose: Drive default for unused BRAM Port B in single -- port BRAM configuration. ----------------------------------------------------------------------- GEN_BRAM_EN_SNG_PORT: if (C_SINGLE_PORT_BRAM = 1) generate begin BRAM_En_B <= '0'; end generate GEN_BRAM_EN_SNG_PORT; --------------------------------------------------------------------------- -- Generate: GEN_BRAM_WE -- Purpose: BRAM WE generate process -- One WE per 8-bits of BRAM data. --------------------------------------------------------------------------- GEN_BRAM_WE: for i in (C_S_AXI_DATA_WIDTH+C_ECC_WIDTH)/8-1 downto 0 generate begin BRAM_WE_A (i) <= bram_we_a_int (i); end generate GEN_BRAM_WE; --------------------------------------------------------------------------- BRAM_Addr_A <= BRAM_Addr_A_i; BRAM_Addr_B <= BRAM_Addr_B_i; --------------------------------------------------------------------------- -- Generate: GEN_L_BRAM_ADDR -- Purpose: Generate zeros on lower order address bits adjustable -- based on BRAM data width. --------------------------------------------------------------------------- GEN_L_BRAM_ADDR: for i in C_BRAM_ADDR_ADJUST_FACTOR-1 downto 0 generate begin BRAM_Addr_A_i (i) <= '0'; BRAM_Addr_B_i (i) <= '0'; end generate GEN_L_BRAM_ADDR; --------------------------------------------------------------------------- -- Generate: GEN_BRAM_ADDR -- Purpose: Assign BRAM address output from address counter. --------------------------------------------------------------------------- GEN_U_BRAM_ADDR: for i in C_S_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR generate begin BRAM_Addr_A_i (i) <= bram_addr_a_int (i); ----------------------------------------------------------------------- -- Generate: GEN_BRAM_ADDR_DUAL_PORT -- Purpose: Only generate Port B BRAM address when -- configured for dual port BRAM. ----------------------------------------------------------------------- GEN_BRAM_ADDR_DUAL_PORT: if (C_SINGLE_PORT_BRAM = 0) generate begin BRAM_Addr_B_i (i) <= bram_addr_b_int (i); end generate GEN_BRAM_ADDR_DUAL_PORT; ----------------------------------------------------------------------- -- Generate: GEN_BRAM_ADDR_SNG_PORT -- Purpose: Drive default for unused BRAM Port B in single -- port BRAM configuration. ----------------------------------------------------------------------- GEN_BRAM_ADDR_SNG_PORT: if (C_SINGLE_PORT_BRAM = 1) generate begin BRAM_Addr_B_i (i) <= '0'; end generate GEN_BRAM_ADDR_SNG_PORT; end generate GEN_U_BRAM_ADDR; --------------------------------------------------------------------------- -- Generate: GEN_BRAM_WRDATA -- Purpose: Generate BRAM Write Data for Port A. --------------------------------------------------------------------------- -- When C_ECC = 0, C_ECC_WIDTH = 0 (at top level HDL) GEN_BRAM_WRDATA: for i in (C_S_AXI_DATA_WIDTH + C_ECC_WIDTH - 1) downto 0 generate begin BRAM_WrData_A (i) <= bram_wrdata_a_int (i); end generate GEN_BRAM_WRDATA; BRAM_WrData_B <= (others => '0'); BRAM_WE_B <= (others => '0'); --------------------------------------------------------------------------- end architecture implementation;
bsd-2-clause
8ae83ca9c3668f6378a12934381e03b8
0.427366
4.633959
false
false
false
false
Yarr/Yarr-fw
rtl/spartan6/gn4124-core/l2p_arbiter.vhd
2
7,870
------------------------------------------------------------------------------- -- -- -- CERN BE-CO-HT GN4124 core for PCIe FMC carrier -- -- http://www.ohwr.org/projects/gn4124-core -- ------------------------------------------------------------------------------- -- -- unit name: GN4124 core arbiter (arbiter.vhd) -- -- authors: Simon Deprez ([email protected]) -- Matthieu Cattin ([email protected]) -- -- date: 12-08-2010 -- -- version: 0.1 -- -- description: Arbitrates PCIe accesses between Wishbone master, -- L2P DMA master and P2L DMA master -- -- dependencies: -- -------------------------------------------------------------------------------- -- GNU LESSER GENERAL PUBLIC LICENSE -------------------------------------------------------------------------------- -- This source file is free software; you can redistribute it and/or modify it -- under the terms of the GNU Lesser General Public License as published by the -- Free Software Foundation; either version 2.1 of the License, or (at your -- option) any later version. This source is distributed in the hope that it -- will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty -- of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. -- See the GNU Lesser General Public License for more details. You should have -- received a copy of the GNU Lesser General Public License along with this -- source; if not, download it from http://www.gnu.org/licenses/lgpl-2.1.html ------------------------------------------------------------------------------- -- last changes: 23-09-2010 (mcattin) Add FF on data path and -- change valid request logic -- 26.02.2014 (theim) Changed priority order (swapped LDM <-> PDM) ------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; use work.gn4124_core_pkg.all; use work.common_pkg.all; entity l2p_arbiter is port ( --------------------------------------------------------- -- GN4124 core clock and reset clk_i : in std_logic; rst_n_i : in std_logic; --------------------------------------------------------- -- From Wishbone master (wbm) to arbiter (arb) wbm_arb_valid_i : in std_logic; wbm_arb_dframe_i : in std_logic; wbm_arb_data_i : in std_logic_vector(31 downto 0); wbm_arb_req_i : in std_logic; arb_wbm_gnt_o : out std_logic; --------------------------------------------------------- -- From P2L DMA master (pdm) to arbiter (arb) pdm_arb_valid_i : in std_logic; pdm_arb_dframe_i : in std_logic; pdm_arb_data_i : in std_logic_vector(31 downto 0); pdm_arb_req_i : in std_logic; arb_pdm_gnt_o : out std_logic; --------------------------------------------------------- -- From L2P DMA master (ldm) to arbiter (arb) ldm_arb_valid_i : in std_logic; ldm_arb_dframe_i : in std_logic; ldm_arb_data_i : in std_logic_vector(31 downto 0); ldm_arb_req_i : in std_logic; arb_ldm_gnt_o : out std_logic; --------------------------------------------------------- -- From arbiter (arb) to serializer (ser) arb_ser_valid_o : out std_logic; arb_ser_dframe_o : out std_logic; arb_ser_data_o : out std_logic_vector(31 downto 0) ); end l2p_arbiter; architecture rtl of l2p_arbiter is ------------------------------------------------------------------------------ -- Signals declaration ------------------------------------------------------------------------------ signal wbm_arb_req_valid : std_logic; signal pdm_arb_req_valid : std_logic; signal ldm_arb_req_valid : std_logic; signal arb_wbm_gnt : std_logic; signal arb_pdm_gnt : std_logic; signal arb_ldm_gnt : std_logic; signal eop : std_logic; -- End of packet signal arb_ser_valid_t : std_logic; signal arb_ser_dframe_t : std_logic; signal arb_ser_data_t : std_logic_vector(31 downto 0); begin -- A request is valid only if the access not already granted to another source wbm_arb_req_valid <= wbm_arb_req_i and (not(arb_pdm_gnt) and not(arb_ldm_gnt)); pdm_arb_req_valid <= pdm_arb_req_i and (not(arb_wbm_gnt) and not(arb_ldm_gnt)); ldm_arb_req_valid <= ldm_arb_req_i and (not(arb_wbm_gnt) and not(arb_pdm_gnt)); -- Detect end of packet to delimit the arbitration phase -- eop <= ((arb_wbm_gnt and not(wbm_arb_dframe_i) and wbm_arb_valid_i) or -- (arb_pdm_gnt and not(pdm_arb_dframe_i) and pdm_arb_valid_i) or -- (arb_ldm_gnt and not(ldm_arb_dframe_i) and ldm_arb_valid_i)); process (clk_i, rst_n_i) begin if (rst_n_i = c_RST_ACTIVE) then eop <= '0'; elsif rising_edge(clk_i) then if ((arb_wbm_gnt = '1' and wbm_arb_dframe_i = '0' and wbm_arb_valid_i = '1') or (arb_pdm_gnt = '1' and pdm_arb_dframe_i = '0' and pdm_arb_valid_i = '1') or (arb_ldm_gnt = '1' and ldm_arb_dframe_i = '0' and ldm_arb_valid_i = '1')) then eop <= '1'; else eop <= '0'; end if; end if; end process; ----------------------------------------------------------------------------- -- Arbitration is started when a valid request is present and ends when the -- EOP condition is detected -- -- Strict priority arbitration scheme -- Highest : WBM request -- : LDM request -- Lowest : PDM request ----------------------------------------------------------------------------- process (clk_i, rst_n_i) begin if(rst_n_i = c_RST_ACTIVE) then arb_wbm_gnt <= '0'; arb_pdm_gnt <= '0'; arb_ldm_gnt <= '0'; elsif rising_edge(clk_i) then --if (arb_req_valid = '1') then if (eop = '1') then arb_wbm_gnt <= '0'; arb_pdm_gnt <= '0'; arb_ldm_gnt <= '0'; elsif (wbm_arb_req_valid = '1') then arb_wbm_gnt <= '1'; arb_pdm_gnt <= '0'; arb_ldm_gnt <= '0'; elsif (ldm_arb_req_valid = '1') then arb_wbm_gnt <= '0'; arb_pdm_gnt <= '0'; arb_ldm_gnt <= '1'; elsif (pdm_arb_req_valid = '1') then arb_wbm_gnt <= '0'; arb_pdm_gnt <= '1'; arb_ldm_gnt <= '0'; end if; end if; end process; process (clk_i, rst_n_i) begin if rst_n_i = '0' then arb_ser_valid_t <= '0'; arb_ser_dframe_t <= '0'; arb_ser_data_t <= (others => '0'); elsif rising_edge(clk_i) then if arb_wbm_gnt = '1' then arb_ser_valid_t <= wbm_arb_valid_i; arb_ser_dframe_t <= wbm_arb_dframe_i; arb_ser_data_t <= wbm_arb_data_i; elsif arb_pdm_gnt = '1' then arb_ser_valid_t <= pdm_arb_valid_i; arb_ser_dframe_t <= pdm_arb_dframe_i; arb_ser_data_t <= pdm_arb_data_i; elsif arb_ldm_gnt = '1' then arb_ser_valid_t <= ldm_arb_valid_i; arb_ser_dframe_t <= ldm_arb_dframe_i; arb_ser_data_t <= ldm_arb_data_i; else arb_ser_valid_t <= '0'; arb_ser_dframe_t <= '0'; arb_ser_data_t <= (others => '0'); end if; end if; end process; process (clk_i, rst_n_i) begin if rst_n_i = '0' then arb_ser_valid_o <= '0'; arb_ser_dframe_o <= '0'; arb_ser_data_o <= (others => '0'); elsif rising_edge(clk_i) then arb_ser_valid_o <= arb_ser_valid_t; arb_ser_dframe_o <= arb_ser_dframe_t; arb_ser_data_o <= arb_ser_data_t; end if; end process; arb_wbm_gnt_o <= arb_wbm_gnt; arb_pdm_gnt_o <= arb_pdm_gnt; arb_ldm_gnt_o <= arb_ldm_gnt; end rtl;
gpl-3.0
a59c48ff08aea825a594795b10fda6ac
0.491105
3.479222
false
false
false
false
siavooshpayandehazad/TTU_CPU_Project
pico_CPU/package.vhd
1
4,350
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; package pico_cpu is constant CPU_Bitwidth : integer := 32; constant CPU_Instwidth : integer := 6 + CPU_Bitwidth; constant InstMem_depth : integer := 1024; constant DataMem_depth : integer := 1024; constant clock_period : time := 1 ns; component ALU is generic (BitWidth: integer); port ( A: in std_logic_vector (BitWidth-1 downto 0); B: in std_logic_vector (BitWidth-1 downto 0); Command: in std_logic_vector (3 downto 0); Cflag_in: in std_logic; Cflag_out: out std_logic; Result: out std_logic_vector (BitWidth-1 downto 0) ); end component; component RegisterFile is generic (BitWidth: integer); port ( clk : in std_logic; rst: in std_logic; Data_in_mem: in std_logic_vector (BitWidth-1 downto 0); Data_in_CU: in std_logic_vector (BitWidth-1 downto 0); Data_in_ACC: in std_logic_vector (BitWidth-1 downto 0); Data_in_sel: in std_logic_vector (1 downto 0); Register_in_sel: in std_logic_vector (7 downto 0); Register_out_sel: in std_logic_vector (2 downto 0); Data_out: out std_logic_vector (BitWidth-1 downto 0) ); end component; component PicoCPU is port( rst: in std_logic; clk: in std_logic; FlagOut: out std_logic_vector ( 3 downto 0); output: out std_logic_vector ( CPU_Bitwidth-1 downto 0) ); end component; component ControlUnit is generic (BitWidth: integer; InstructionWidth:integer); port( rst: in std_logic; clk: in std_logic; Instr_In: in std_logic_vector (InstructionWidth-1 downto 0); Instr_Add: out std_logic_vector (BitWidth-1 downto 0); MemRdAddress: out std_logic_vector (BitWidth-1 downto 0); MemWrtAddress: out std_logic_vector (BitWidth-1 downto 0); Mem_RW: out std_logic; DPU_Flags: in std_logic_vector (3 downto 0); DataToDPU: out std_logic_vector (BitWidth-1 downto 0); CommandToDPU: out std_logic_vector (10 downto 0); Reg_in_sel: out std_logic_vector (7 downto 0); Reg_out_sel: out std_logic_vector (2 downto 0); DataFromDPU: in std_logic_vector (BitWidth-1 downto 0) ); end component; ---------------------------------------- component InstMem is generic (BitWidth : integer; InstructionWidth:integer); port ( address : in std_logic_vector(BitWidth-1 downto 0); data : out std_logic_vector(InstructionWidth-1 downto 0) ); end component; ---------------------------------------- component DPU is generic (BitWidth: integer); port ( Data_in_mem: in std_logic_vector (BitWidth-1 downto 0); Data_in: in std_logic_vector (BitWidth-1 downto 0); clk: in std_logic; Command: in std_logic_vector (10 downto 0); Reg_in_sel: in std_logic_vector (7 downto 0); Reg_out_sel: in std_logic_vector (2 downto 0); rst: in std_logic; DPU_Flags: out std_logic_vector (3 downto 0); Result: out std_logic_vector (BitWidth-1 downto 0) ); end component; ---------------------------------------- component Mem is generic (BitWidth: integer); port ( RdAddress: in std_logic_vector (BitWidth-1 downto 0); Data_in: in std_logic_vector (BitWidth-1 downto 0); WrtAddress: in std_logic_vector (BitWidth-1 downto 0); clk: in std_logic; RW: in std_logic; rst: in std_logic; Data_Out: out std_logic_vector (BitWidth-1 downto 0) ); end component; component Adder_Sub is generic (BitWidth: integer); port ( A: in std_logic_vector (BitWidth-1 downto 0); B: in std_logic_vector (BitWidth-1 downto 0); Add_Sub: in std_logic; result: out std_logic_vector (BitWidth-1 downto 0); Cout: out std_logic ); end component; component FullAdderSub is Port ( C_in : in STD_LOGIC; A : in STD_LOGIC; B : in STD_LOGIC; Add_Sub: in STD_LOGIC; C_out : out STD_LOGIC; Sum : out STD_LOGIC); end component; end; --package body
gpl-2.0
f0ad5066ca104ff5f6caee4e82a98490
0.581839
3.740327
false
false
false
false
cwilkens/ecen4024-microphone-array
microphone-array/microphone-array.srcs/sources_1/ip/cascaded_integrator_comb/cic_compiler_v4_0/hdl/emb_calc.vhd
1
83,900
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block QYvpHWyW7kvuM2o94RSD7enqbNjFSNVx1eFUOGmoTCgYzjFOC+Y3tp4pNCvJ9LtZYHCSnjNJkKhs MA+ilaCFvQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block WyYHkVVElVYL0l7aip5HTeKh1eV/pWXksk+/qW2XbDhFVOnvdgcGoRAskQ6iE4rqsZH2q6c1kSw9 D0uw7NtMEShxLgRt/WCK1/N2Q6PU7+FuVZJBEsBBLPPGu2KLrX1hi9JR/Up9cBy1BHHe6B4yLJkY iinM0L9ch538hsIbHmw= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block iDLDgpnc5LgmobpF+VkGRB7lqeWjc5Ist/v9sjE4tmOJ6Ul3CzP6ONbwxPRcKzCrYLHqOfO9TNmq Yf1JDcBl0GInMpkSukRMZ0h0FzLOYKMlKWeWfevooEQTo341QIkYIWV6hJ9hMY4kGo+TGPTCCIV2 aU8TCrKqP28aOiYMXrWFDy9sbIgMunb1SZGNlG6bhJJ3EQf6tNc3dl90n7tAC0hj94cFDO7/oNyG vo7ObyLfwUwXJcd98Y9EdCSgQxeQ5aqXi9x8Bgta2ksvR8VVqtFaqhfchjyeJljvgIdIEecQPRcP PQSMG3/VsFjI0dWdu6y3jsAtdPYT7S+BNitIIw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 2OCsYTwuu2+JYrjOID9lIUOXaBBorYcdsN4bgxWpcVHk9VTgs/yiujFiI3O3TuTR80GAES9xEUrz +4J8pZbnxzY7k3hJIeytoOm0IrKqwgsXHjNHZ7rScl5BEYiV2xSi/gsVBThnykxUMfRpkTr8utJz f+lo/nrOcVViGXqHrhs= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Tb2eB4k5v+kC5LdponBUze15sG5kHpIGNJTRZPsCtKybcQDgn1lZYryeruejY9Qu6ggNB06wj/dx HTsP5r8o43MpdWvMWTXYD7bTdozgiOnIbGGjL5A/++xs7NooVLdM5Ig+WtLsUPidFKNN1PJC8063 VoE5HG85ZiTVPSjhtQsORma7Gdm8Zdh8E043xbws1tytVJg5JlUG7eC91nVXzvNAyf59p7yrRrOf hTuYb13KURfogZzYlrliFawQ+TIiseojQ2B/0htHfkjbJCj5KZdds94cMvQ7O4ZvFyw1xeMF6xuK wAajU4wbVs7XwrkP3/5wiyXuoo2mkevlm8aMaw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 60368) `protect data_block AvqiY6hJOkib28E3eH7EHzjJ4ZX59YCLkvS2DkX8HhfnFvbDobfSY1tjsBBzFLtbW24adQhQclGs kmXVYwYQpVONvcPxKP1iUKJZaFgKLDpT1WkASInJsUM107TVuTbpgfwN/6yg+9tMm8N+ZbiOEh70 RBUFg1YG4c6Oxbt8Qcup6QasA49vbvDZDbSCzJHKNJu4EgukWV11VFI41ojsMCz5V+36HcUU1xRw Utus8Elw0O5ZrCbqksoBpdP56/BNhqUzWazrqq4HEzsz1qoy41/5gFiwcdJvAk6hJkiVaXe/9afd i0n4r8eoBemY1rzi25gganvN8KHmYoaDebCbE6mYyJWkYaa+foHCv49iqWZVRfLKhugSquFZ5saI gM8F86GJnIn7iSVIYiiXWOyyAkyt4kDocyxt3uQtoDht0LXpIVgkbndMHLAWfo+T4JsH+5y+2+of J0AIyUdHIfp+iIbvQVB4YCBd0Uf04kTf9xwjHDeWXiWNVrbdzxd2ajjcwFI+oDuvPxeIO8k1WAS4 2VcqAUanYoGvmvgAvzBcZIlGDcIQb6CBJ4EBo09Etc5D8D0hJGMzG+dfqJ1oMoDk1GWx1Es1skkS R33VK4SNZsG/2AytuGGwoAF4tfaUOOo1ZQBaVLczPkstImUVkQ84OjP3+wnBQ71Kl7MfFt8CGN4p pKu1s2tLfVr75m9lZpM/d10lE/Bx1rt1CGo5WApqAoBZ2gYEc5fU5Wein9Bth7JCGAnASLMCJDzn sI00HDFLszasgsakWobOsvjREMEMkXyej/iU2Gmkt3SWqxeir5UPJbjHCXp1tCBOk8qlf70K8D3j JKuEZLqOlOTFuHGI2mUsjcS8hmhS9jcVYAevm2Os56yoZtu9PRwHzGUs8KYMu4YiEeBpTp7Yy3Wb YzXTUuRhBAC7AMDlfTeGvkbhksQfMF95H8xzD+9QHx88rwiV8RiY78AfoKdv/gVKlZVGAb3wl+bz /zReUR5z+Fj039Up+v026bnxhWthBQTyePENlABDF4Sqmjh5uhlUzuO6WvUXpZEerupmrL5FbK/J LqlvASnpvFO4Ila6JXnqfevyhAWof/mSWRvRhW00MlRa9hTaPtYy0P9ciVPVCX5kFfOEcNKQl/pa vqagd3XLBC7Iw1uFmT+TXuPwE1koDmnGDvXMCeBrzWKmw0k/6VHFJCuZkY5E+VAOg3cp1I7MSzfq mnMdyK0vMF9e//n9Whu9Adak9EX/0kfm3szqvq0WDRsL8ixTj5WUHtXauG1rZU7ax6F4LJPm2VDD Y/Y+5hE7nl+fLmErYtsYeBT7mjE5xaUYNFInQlNDdvWbIBCeruWKjkJI73JEOjEMCwkE9SrZYovO cUVAN+34Hv5KkiX7zjyG3YuGV3Rc63lZ6KSG65AYYyualu+DOe04JTFMJg0tVDvEp6n1q0rFzkI2 B1Ja0xiM+j97RX7GQTCdYprcbeJ9a/SoC3JJXAzCj3dhG8uPgW+hHjMYlW1W+B+lhlaHlYDgyoYi CK1dlx2HRlq2t4/2kZErxs9jtfmcmO4eK4zwOPkS8Ai5kuamHllE8F8TxVNnC+xWgWJysio34gXB IBDUV1xgxNXiDUmJKye7d9ILPjMnx014JWMb+z3SVIxlIMT//AskYcD1DZJbU5rP987XDv7Y52k0 B2ieTQ37qcPfNiQ8cGxhb2VTNhjj12GyIfj0mvLXUgRkbp4aTGprdKwBvKLUYZKUeevalYewSXsp TwlKWP9Gqn47M8BPN7sPZyliFOwIMsogPbdFcTx0TcVeNEweDIOor6q3P0fADOJMaC2Eu+koLe3x yyafq1zwwJGfnUIDynHTNZzjgQmEKFyOGmEoidAernP4u1UxYYfwmdzd4nyJVmP6q79w8MIBjGLw T6IogQvcGNTZ3Pp1bgxT3um5bFDoIzbB2uKvLYlxZ3dmGM2d5IauUHYllYmeumZul/ekQcD8muDB AGduq6WcJTcgojf6yNsuPYi38L+lyVxNjjYrXtgm/UyWYDSPTeWwYXElCX2Hk/gmeC6ion29hjUf YmPAaoUqL7En4eH+/og+t4+vFQbI4cH3JBdiPKJrCY0Mkg9MaWB42lX9rjgDiKhTMGWkjuTRWp5u m4q5yJNIqCEuidzTw+CJN6fKAVxmuekuWNogqL+BVr014YI3ZwINYVWNaIb8CGfAf5Tu8zLBZI+l 589862Io7DwoYwkiKh+0+VMhLaPVUjKxulsCyLzLirGKJiL+9usxQgQcpii9y3ho2auDM8Y8gyqn GOg7g9SjkctmvEveAHAv3I/8sPv96hN9uZyZbiGqFpXNTa9WDGdgBCCZhO4b2DtWZ52m/Ulgs31l iOFq9R6ObX3OjRDGpJoMPFfAv9jzQCW/+ostVlnTED9H/i0vJRLPt9BbK1DtspkIykxb5vwta8rc 59Uaw4VsvjCb+WscLz/iYvSa10bewNVlG4O44sQAaMsz2v+hmwBbz8b/rNLG9sIqgZsAya143tVV v2bYEk0/RJPc+QPQaKdDsgYhHw7jfouXudf3mXGAJUn1hWm+tnUnEl19x8ru6TX4QGiXGOAGqJJv Nzwe4YTTZoptv21BMzR1lxmTZoffkGOT28XFGY0NS5tbu4t//Gb5ZwN6nLbDmY/GT06kpiSG8KgJ rRyrZG78R1kUKfxbpATDNRe8ITQN5MPtdZ4OyZtmGlL5NIMSOBs+O9l/kdttbPq7pnm6o+CC4nkR t7JHe6qtyd+JaNW0V0sFyb74/9WyXcrvviNBGYyK0embZVC/vKSlkgD8RMRhxAhI3O6zW+CRp02I TUvP/TYFlEGJENiZTF92nZyLZyUeMPywYTQYex6/qShxAAC2u6hkj4/wJjanu6jJZTwEjZ1Qsknm 6VZF7PMHtDM6SurDXDV3MutMzdtASdRfdfuMmnxZVfMTDaTksFvNnG3JYX1nrdPj7yl0O23ffLGH 5Fw5AWzpSNoJ73tKEbPc65n8UcyN8nM9QM6Ks+ozjXzh3Z2NR2Rkpjiskz5FE+NKQ8Rw45zNT+di iP3OLkiiQeni2IDRjXx2NFOU41wAt6ODvoEusM1d3P84CLJ9fgoz6cdhYQ/MWNaQs7xK59WH7pJB 1/4Q1NhBOA3yv/9eqrD4CTsZ8aZrhsvePK2OgnrR+EUXFvdf/gbS2DvdNLEuPiutGpERqAHc4gve B7ZsBdPgENiQ6b0WIVys4eUr4rnOvdMy3nz70dfYtPsfNabQKXkD/Ny6rT/lVzDrhpJCjRazbeba olMN3tumFCiDjUfYXCV1P+8v1anpayzp+EExlwp2Ko7OM7PSCJiFuAZ55asKbZu/yhklJ/30GWWR 05SIBr+XnRJn1xL1XO9wNuija4TA6hMp3tm8+wdolp7iUL2gkxidHCXO3t0IMIuFyXHZainofRG+ LzWsmJWjvLqu0u1GLsCeFSjvh+OY+EYCktjEbBD0/TT7qKY77cWqMoAnS7iXoIUxNG2sU7nu5OoH Tm9nAfXsIkJ0GaWEQyDrnva+IRg/aa0J0MdCuoMjC37bQPQ0U2eiY8zirMNUm407ICFCs3vPDtEs ZhG292r3mBIQHJpeHDgkY43yrABgDXFNsRfH8Pbel3t2E7Pn8I1IvXjYquKf3KDhUghC9h2lPUC+ HUKDZP1LKOvcVE3UgHiG/+DUvikt2Hokk/cVPcwkCMgda8hktCO4s1dySX07kH3rxOUmaqEXRDD0 SrqH6+sDy6enkKailJqceETpeACEdf/0gMij9iChB8+hppughJXzvARhQkKlRMc/K2nIoCHpPQk9 RitMRThpcXl7KZ4E/G0MULKvwktFY9BZDLzfEIGIonfQCrvF2POO8M44w6slHYkpNw4oU5BmN45D ZyJoPhhIywpKCBvEsmN/7Jyab2t4mSmuUlBL9GbuStOoJk5/cQ2smHB0SLoA/UbbEo2PfUMrwDDr 8GXC+Xsf7LKQFkmPATmJR4RWsfD4ZeLv5T332VrZD23wLQrSdNIoOcO4uGP6tNSnwBt116gebjR5 uFU+7h868FNiydDSyKAFhuVjJ8jYn4HcQmvXRkBCoY33BHT5AsbLYGbQLJWEnMw/OQmt8v3uZXKU W3cWrFDDhO59eT8bsV1llKR2SDXSpqsF9J6ET/qChLcusxVzBxloUXFym9q19/dar0sRBu/82QuO wUU/CGg5OG2cwRbdbEYwEzfZyEWyPHqU5zYfz9Hwd2vRQjfbttAb4rfmzgQPdz5/VFY69hkvQUzI ZQp26yhC2SVzraktMj2TuqfoNOV/+YI4fNZ9MUJOBhyEc2d3lWjqJ1Ki6XnpxU9b+Mr2dlgIUaVd tsSdEPmBhFU+1IOqwfE4GvlKlpyX0cVoPDQx0asUQUxywa2ovZnNi0Wq02KGLBzfQAlfKgXBOn34 poJGc1gN4cFxdfCCGMh0FXVydYCLbZM7KOGnWYZEBBmz48nUTYLdbdwGSAHVAKUTArS/gl7KiOY7 Crvs0WL297z09JpsitKakKNrywLHOxZ8XwrI7iEUSZrU338s4nh3ox44RbCBbl+2MIZLjTjTXjU6 /ePVLr2Kl+iUb+VOp04RM59EwDWgEiYM2PjFpBNYmBbGH7wxDfcktgpq939ssklElJIlqAht+QAU D2/+PmCpTv8eamYFfFeQEn0asEk7hYhL6xmpPxCN5Oh29PlMJxleVUQAKmJDTG1vd3hFi+gyXHcq TKyba4P2RP6Lax5abAjniaSzvrLYgUYwqeS6eB/JHxZLrJv4X3zObzJhVPlJKQRWzJCXMm1Aemlh NRvaC103l8twJZIopoGNxrxZtf+j6xXLyw8/bEWVS7PA7QTpLgdxbJRYYp0kgtxQNPHmBv8pKKuG aPIckyL4hG3MZQ57yZb//QrC2VJ50DBZM2fqYmwItxvvBZ6upUtuoXU9ZUX3Hod1qEnTbqaoTFrb VoEvXvUq5Z4UYq01fDTscnszREATAXgkOtUfNiKilmiZvZmMXndJVrTbnHdi4TGvRzfYhdI2WzR/ IV234SM06w9yMjAJQRusWFjygEAywkU7yIyuIOOjVtDk8QiPpqitwS3vJGxAsrxBi9NkwJz+79oB b1pMc+DNZJvAmfykOFA9b29zXl2oeshNPuu4Qs09TXXuVzD0AKpkbE2wqhpB4+E+GQNdb9MICxGo qqmqSUpTQKCDFlk9d0uHY7XMASBG3bsIw8XdgrnBQ809ru1D5q3Qxczt9rMgx+HOTcIp6xewC/C/ +aqDfrEfBW9wRrkTod+JEj2E5eWEusDEBsGrFoPKMlgp3Tts9jBtuOK0ye8+d3xEHsqBfwPveWhP FrBWsOYd6942u8tByaiMSSmJQ3K4VCrkj1QrMFTwU6gA6JetJ29FHjZkMPdOoeU9JfsOhpyU4V+C 1L5tHxxLcdsFUpqiIfUN87aTzE1ehs6gSpMA0WJuBHlT9+X0b+soUtoXBeyw3S/aaZ/5mraslykc uBM08Z0xHFG+eJip2AlnShFHcHtVwGcbRIEEs83MqBwvBz9dUpSK9GKdsCatgicaPfXWax9/hTks S96lBq1ZqhwEVJ6FitKUYYY+w7tOIqQX/san832XwziwJUTsoPm/f/ROqSKMOnFoN3OsB/iynuAx 32yc7TyUYtcqF8mhXaFu+Syy7JpWP/3IW+t8C1mjct9vXx1OAmZcQUEkflBSU1vnRrNZFP+4X4Pg MGS6oaNkHKMuupZH65J3xsnZ9gaPtSSr0/tu/BuKcIFzPRLcnMmApPUk7jT9sG7sdcqIeVSdijas BTrSEcGfGDsPG7/0c8n7FwVL5cBz6hsj/GUuDPNvjHV7uVlixCS46ururQfdH1CQ9DedDRCGyqY1 bCf2yViEiaXEH/NxtkEWhRfrEzNyLc4nEuLGDD6EohZh4uCxaySPCp70JvlIwCIDSyoDGjCPyFf6 +APDmoAiEK46/9Yv+F1GLlMfOpfrZc3hFYW2ocdUebQr9utbnXfSrmtRwaCHSiowP3wqcdjKNUBH poKrmCRnQgUd4l6/Yi0NolpAiRWgO6wrYWhI+yFXRf4pOqeXkooN0qICZ6mG0/cK7jFNcRaV9yQu 8W7FsPPdwG3z5Pi71LVpyuCbMYXK4qmXeZhGWzmxjDrBOLSGi4cxI7AzBZPZJrvLqCwYDPPd6g7q MRHd6q/4X3w14f/ARbvaLBExJPr5P89njjNW6k0oXzjdfYphLDfTSOZFkC9IaAKe4Imoc+3Q7cRJ boSQnyZYR9xRBjyH5NCc7as+6utu5NkgB4ACXg62DagMvA/ozMIMzUoAvQQNn71MUeFu7/1siDnx qLKstD25yMSlSc7T7yQzzvQ9YMgTPhtQwN2tpYQJio/a7pTXLHdxedNY57QgW2dHtyhR1sU0xBGK OQkeBZrKIB6Wj6ewlTmEaBrGedUlK5O+R3B9xum64NZgMjfYbo4SkQtc5QdRL9wVQ7CneKArCTnZ YwAYt064kU8O79XYpcYiBzCJ4VUJ+ZRDXgcNLRZ/XbyhEe9bMEV3ghED1CN+nKTbQAEqlSgzgHcT H1fnUSDkxx4APOci5lIFx7clwLYgUyihXXTlDK+iC1bczrc1Nkt6HArBxFTcMrgtL1PVm1BYTXfI 6xuLFOSsY/CKKONwRs4sihB9pLuJB7Pfn+UqM9APKoWtBpQzj5mpcbrqPJqyAsWSrcTST63c23fF 60KdUkF2pjSzb0JG9H+EkeUCX7M6h9NgyK8hroYfzoIgf/q5i7C6fK74Som7jS8n+pPKyiE9cq2P KlxfhtZzitbnXUPLeQWlReDU2y/tA8wxOYHwGVXgYiFGiAhXVUqRMuOsb83c8ckmvm5LyyK/YwrS zX4HqTjNmxvm0AsHlGRTLlrELlNnxKNiBX8wtPIKOutwBBIuYBf3/ZTsaVgesnSTIUyyDj1v3Mrz vLd23Sf76yoqdvg2RI7VOSWWuJoQh0QehiILA5Ddmevr1/KMQx8bU2Da42ve3AZHntidDB0KsV8a pPxeepTF7JPZAunrRIeg3p7y7e6A/0oCXI7XH2VaLFV0coeJDzPlUUw+kk7RUi0K0bQYHyGS5+Vt c3gXCgZshXzS6frhqiBVFATEqVDR6cTKVXTCT0CngUHfbzM19ujjmOZkgRW9iRmgJ0sRp4NXMGXU uWlF88e4tbLx/YxnrYtlGa9HAsLWT4N0na87ODGV2X0Xd9uWHIWYNyLFynAP8myWyzVHL7bSTm+9 1AZDsauN3jLpUlB3dKcx0YNHMNCIy8Ctrhcwl9+VmRNYJSHFDq2Hg/HLaqGUOt+yMGNzlIuidpS5 XzyUDFj1ms44k2clSOOzGOwxvoTAyfoJ7D+hzfqD2rWTBC9VHEDwg/BlUQNz5qqgF++5/VkgnOtL +WKlzEdLuJjVrFcEt291tBOAWfwIh1zz5AxozN6zDxdNU/EPMs93y3i1hhnMqt6amNWdTTaAGQKE 2LJA8MN+LCLO5slglB88lhljTAhdJ6eHa21ghkyV1qo0o6Vq4PyrIYy6GAFKc9XPw3YM4b8Hvvpc 8hOXAhsY6Mz0xNiNtfCoOKRiQTdGDP5FdC9SGWP1Nd6uT9LgOZrCoFZumZWXkn/Fd5JjWAd/OGeQ TX9eIHwqW+mHdvUAB8a1XFRKOERN+ELFrLolSWgcBFujCXGTQB4GmvztErtzM4/yfyDWLx4HTJxz 9Qvn+DF6Z0mTQ1R8/pJ/xanpe71ixR/xc3raaDO97bLNBlnZ8yEQvGho9GjQsvOcUrVlbEO7Dd3u Ssw/Vq0cmpfzDqTwEMTKbQb+kw4Tcyj7X7NqFbOlab9sJO62+ptKHlaDmDZDgN6JLLofFlpyjrWX N/7E4s+5hw1qH8QzMlKYJAMZPSiH40vL1O0bVUBbKA1QL0ydbhU97D//B1iLlIZ02RG5bitrU98o 2qsQe/UvBppRQbFDVZBQGd4MoGe8Cv8UCsYeUZPTsrdAiG759A1RvlRjpyg2yFof2ShLO+npJIyN 2uvSdVYwTfbX33IQYKfl5uLgAALDRPWnr0SARATPt35gw6unBfCOfIsa6H4TU5bAy1D16wrQpAq5 ezMWBkJFkfcrPsXvRAd73N8KSpfpH5ClX7jxR9M+q+7xBGuniK8yjkjeyiSGf8F8Hupbn1x2s/Zc Dk6bdmCIOdqi1IyF+rnh3Dc8J5uYeCi9MQc9KmCFX6vMVH6wSRRUQ7nMjBs21nHrbViSjdeBN8/y qGXHUA36WSLJJwN9EoeTwKEV8d/mmVOr+V62NtwHLO0SzNAi80mQA6qwl/SF11RlmUQM/Lb1tF2F 5L1MykcqrR4YEFwkfgB1i3KJMU9z7AZE3tulhZwA+9X5Ad+mr5J7DuAjQAiKLL9OB/JiSGrxtdoE 7hz+tPETT7d3XWNynh/fSWK/NVtqsnDJ2SGZRNt8+LeKlIdWYsfckyGJQtAYAhamtTe8wnANtsbj AQqPyriHBggZSawAxI3XZKjIM+k+1eCLnvJSUYva8NwsFTaBBMh7AZeqzJy79kor7juoLvwuHFPp Trri9EvA+E2ArboxTi2hAx0hWzI2lXxrwldqXWVNMbD0Q/D79OLD+QlEUK+kSLZ27D1AVxr/510l iJfiJa/pkRVLkJnntlv78lOnq7abBBBdORybW+IMUvk6NTBBIzXL0fZsJmDt+mHh2bEf97Xg20IB Kt3eMkNn+L3nDmexWVx+cWmyvGFZIpveEMXZw9sXU/bXquEMvlc34HwZ9lVTJdoxITrOgI3qd3S+ wo/d+8NElAFS1xh6/5FzgHFc48Nzbdfp4ZYh8yTrU8FaIz7VMjtEkvYkVQ0encBxYNcmVt0Vw8g2 0TIfJbUJxY1IMfJ6DTtqGpVQ2P0qocE2iAfST+EXqlXqcsNDLmYiboyyU22/8HsMZOySlLivDLZH AwZVEwPdWlB9TSupMokCxbgeRRCHS3i5+rO58WZozNTZPegh/4SJd4yGAeVzb+8tqNovk9n+oq+3 Y9IfYCr8sb6/4TFjtG1GoQ1HCvHQ8xn0LuNYVqNSdkEzx1QIP6F5NwHKr/AuSdHXO8exaDxb1GuQ LKRPuTs0zPi+Qv1dAmDU/I0WimM98Io+CY3xSzE5Y7Zex7YlalUea6LFJ7hajRavkyQqsYLo94no 367W9j8FMiNGrD+xHbC5QxpdGEL8khzPnVsFf4Zeae/hjl+dlLsX+bjmmsLQWCpM0nI6C2GwlQDv ddgxT7itj754vp1jk+M/VJUSeVcQpqo9N1DxIaGJeDeLTnLYftgtLIaJGqB8yDMHkOVW9heE8b3r PbXU1Tv6gTbVx3WXoz2uWbx7j+Z/HxN109W9iMaQaxwM1or3ZJLPpu8N8ID5HAZv8xFXSelMYvZB +19nCRRwjyUxLdLo2ieiPAqHVyHCoAxbnZXXjlpRtKqi9Be66yQAp81gQiHilYq8dATBFsHJ0rYv robmLr3b4BbXh2uR+JLkSlU7roXiEkinBveSGkAMn5pEV7nTqeSxWzuYgZjmeV6bwMib+LAokHAq SUNYRTdYjvWdw33L9cdK3FS1Ol9I7DSYHcRryIx3Uc+b609thr1TMc23kv+z/DwLakHxTc59ekfE gad0R4SixI1sd2muLA3MiVrVrvrTILNlZ8DpO45+0AKjkRg2L2YHKbnBZCmDibvTmV9+8Ke6M4b6 BimGdJhXVGrei6tct3rfFm8qhJ/nneC6jDEulaWRWAEtshRz0cTghddIMF92Q1aARf2WPx3vgG7T Y+koVMlgzLAGwjD/iUG0RTKGXGiXsCmSX86jU2McWCfWFCfOaYj9QW7FRw5FZnkmvXKvuNGqJxfS ZWaEHngOPDzGmeSP9xuHIZH3Vf4QsQLRwYHxpgEYrT9URHm62wwh2ya/VtoKMBT688x9TrQcP3VG iw6bN4KM/+RLu5oOBRRoY5XGQz6H7y+StyJ+Gy5PxYly+WeuWxCJyRhVa1ApMbPqC1Jlx1Xvg46l 0g9x4vBQkKVyaraEXZ3J7wsj5DOBYpg5u6ODCSzHDKw+5OFFAZUNmQtTEVnl2PQT+Km/jPepNq/P BKoRBK+PxW20ERGajvHvN482jUssXUXYmhEY2WxM5licvYZLacGxltaNsUx5Ue30K0LUrAEkHpH7 TI0+nOA2TOQvRM3XktBgbs79BQd96+rLerlZzkdDEVTu4s1NT462nv7AaznoIkFMWQcPP0oQrsn4 Wr+4oGVHN3jrhx2CQcAi6WHkqPZ15HfHUGTww297guOPK4MFfTWE17b0uH2yDlZigplEKlA7k1go 9yccagJjbiXXkujBnKxChEW8zX4+xa6Bhqsrr6Rd42zp+eeYnyrh55ASVjCyHfXnjlyLFtLIOCPg ninKwsYezQjzsoS/VspsWpTP6CU24NRN1uaXA6TvKdqaYj4ZOsgrO+EMCwBsdl3+p96el9SPtvF9 Co8vW2CeG0moOH6Dt2Jgzwzo5TIf93sujNOKnIOtJo2SUTDPtcQAkIidN6EpFyJCCEnecQiN/izR PP24uiI5pmtvi4ZoA5uQ8A4bmFr4g7i8EmybFoRtRoJjT+4eu25AZsdjv18sIjh214a8feLU0g11 RTgMWf40kBW6EZ+XPIP9qymH8simRKevH0rCk91NeXoocTk3eJ3K4UY60SpuykQgzWmCzq17lNlT hep0ACeDYzQ9iwtNW/ZiHypmEJLKCSaUmOUtfm0ijrFtmrzG/cUeGqNiGtoSGOLUmrgO34y3havQ w4iV6vxm2Aw0XjF8CvNoSesb2SiGXirZOGF+7VGOfLlZhSJSzOA98L5bSwjq0HNSZbcaml957bPv +0dCQKYXaESQcspEStK9+QbGrsBubJBmOcb8Ywk6sO5rnc4vFbrmW4e3WiuBqtwV592EJHZ8PsgP oJRAZxmYJId0nNNk95ZjIQFcKQoR2VOFNyZMh4Qlw7qNmRPuQ1+iLeJ1VK+ahqGlrs5ePASLyE8Z stDds5tl2nx2nOjhWxSl4mk4R1IeKRApN0lY4+nd+1uow/dGSwNfvWZEhx9+yf1K1i95zHmxk6vg 3y8Eo87jzIuT6NPbtr8jlNOpi4bu9Ao4SR6Tf9AcDqp0ppXlNMa/cYKPr8BbV4pe2whQywznw9ZU fk+yQyehsFJah1l5vq1IDApgdUXtyqm2OKK4dnoC+yTfmTIcDaF/Pge0xGLthufz2o0am7zbgN8E 9GT4T8ysmLpCGUPdop2Cd7+MeYoCGJPkVnT6pmWqyWlmpnY1ORknkL78HUFmlFuIt/pt7DBcOWet +N36D/LoUEi/Im1HYP3WdgxL/1cUpkQbAg76WDgDxydmHWdyQ0azsho4RcExfWpeY1RZnL6fI4AK iavHNdviVNiKABvZV63lDrEd0qDCjT09m596KN3lA7dz6gEWdnba0fBOSItjoSn6cmJ/wim8bQcY vOulce9ZU6muoT5YB2RkhKIuLiO49CnJta64v4SjdIov4GtRKyQROEfqUSv6jVU4P7jnJxN9dn0r gjX87VsJ6KzfPEWZHcJaYqUqtW7+dZ/Sp0NdzoXdnzewma1Rc46TW/LP1tM/fzBkc2KPDby8GpV4 AfibT57wOOW0+ucAspoukuLkKxl4QTnIYNOzr223jPyKr0x5GGVa5YkgL/MV68a/d9bFHhnuED1V T7YfR9ijcHxUiUgCpuroJjrn5Poqszj/NQgyFb1/yUJPbv6pmxgx9XHSLP6FvxfP1JS1NmCjl9N6 lnntqTgM8t6UMGX5CyQgPo2q1ibwQd3u1jpEUUnzTZAZehroVax0PLaBNeS1ktYsjJRIjPOUFhiW ZKaKHolohIyziqIz4Rxzfh1oOTXF9CgTobA/EBUIYe5DWxD7QarYezpGjQogb4RfSA2Z0eQBP/L5 nq34bw2iFKsGdNtFdaT1m30BRcJ7NX2D5W5faeBOcMlTi9TXrWcYF9/IlXFOjOamiJVq9qmqjack fxcCBjSOJW379BihJT1fLx3TQRXP6iIzkFFcuWkPW+tFP9+Rnt7/BFKflpMBUfNPQunYDyPF7811 B55k1Y9A3ZcrUtLopk+BRrxQjbxwWfh01zMCs4TjUq1yR5m6lvdISd9ETlqv6irgul1SFOV11/IX utMwT4HTLv/RvLMCZFEp4gzxLBKR82PbP636Q4lqWfZ+59rcCP0Mt8uDENokcMI52h/E3Y8fnZNX WERtuU0HKgr4v8o5u7IUP1Sjuh24eYpKPHp6FxVTir+b+jS9x8sFDQBsHjVm4iSVB8bMq9e+hOy9 U3sZiKQ3K1fU4qhsXpj+N8xW7iSSF7olr5Sxybi1gIQBonOVMRzpXBehmL+Ol1rZZ200MRl3Ixf9 7lgwkdj0R301tysLlXL6fxw+yZyxSg+b3HEQMsCOIV5B2S6/oz124Gx5IKZCI3pmOoREdPUaoUvl aXvSJnxRWJtpZYSuu9WaxB4oLj6yUaPlioXtsEc1fkJuAWYm/NiP8/kQZ83XZZwu13N6oVVwt526 FZciYER9GPSvn9M8M3b7iavlTJ48kkpIlCkwkMC5nUV+Q9iyGeVjBX+ojsUuznNhod5Uw5tmfbMs ZuvKfNGXhCcRpNesO2tnICVS3p6m3csoEnOpanyhiBHjl2vE1tJ2nUTkA5uWirLN3UEop9KxD8s7 ncoMvXnEY9dGqAbVLZyKhpjKoy41cmAUqaAbzUt+RMit8D7CgKjxhBIzRqxTB+T8tY6MKpmbGbru I12ltv29mh/RQc0XCu6rSNfFny4waO2s5aaO6JiV2tVcL9uayGHvMr6p51/njltF/j6q2vlUu4tm pe86uLcN+slAyTYedu1/PwKuKbg6n+9j7a6ncenQJnR3Sd275FSZGUpvvYFiQvFCJ+h4SoJ7mm8+ 7X/HwsU7j7MXlpMLQl0AaAhK65R+iIlz/hgT127LvUeUERQtplQIMKfCSW7/gZcKRS7YpGJApesb p9Cn1NK2R1j4Lfl0WUWvd3Y5RLsgAq7IYQcfUEIDpMJzAEh5H6wA4TKjKc+jUwH83a5KXaUAZwK5 t2G3O06I9Nkg/9qAnsyIQKyQ7jtDoHynkR5Hu7bPrbFhC6kTswN3UCcghzscmjnNvjtjxcHzm5Om /uXsxqw4RS6pQDRH1mMg6pDZPoUhWWhaKoMCEREMmpFu7USGgH+7MAJ4m/QJdxGZ/kBHJGEuMeBY VvNOhZ0rYBaO5sWN0+eN51XoI96OAFhpaEtGl+lT9+lM4vnJjb3Ydhlnq2nml43KA687dvQ5ZiJ/ uXaR5ymvEpv9/gDwRHg1Hp5gd6en8/J3KM6gfaZDvp3GChILZpo+x4AwcOF+PKpcj1zy9/7u7HMR WRz+XkVU8qICK3vL8EeXwygaLNsKA+IWqexYNptZm3iJcEz3A3qFqMt0ShOV+AuRkt8C54/kB1sW 7G/VPOQLq5mv8CNob2ExhzRSQIzsPOWZMboeE47rj7poiTR+gDOdo3+1SdgngSsQsU8UeJyxywE7 sQXj47K7M07mAJYl0s7frU52zz2XJVoPELL4JCcPPQturc8m8JKzxT5AFIVeLRGqPImRT6epiyic RJZakmXTQqwkfze7cw6YjZWFNE6oH6cAlEsn1aNWvThI77ynuFllJUd/cgL9ZsJ8k6FuctnXkbi5 wI3/g6aZ64duFEsEEHQ9uzxRttrLQEBTb2Ibaja0ICVDZ7AarR6x3fJGM26CQcdRe6MNC4zYNTVG KRFJ3S1l9lSEYM7WP7aXKjQUFaytCDDlobNHvG0MoiSXklQjpBB34PxMTA4Fuh36R8VpF74DnsEv haeT9MkfrDR5f0bO/1LMz5VyCJ5CYbWEhc/QZu7Dg2j/JVcATUHcin/gHTUNUbTLMGxAh30v5LCk CIHf0Yh7a1ktOaN1TXA9GVUubcwLXaQ/9XebvkK/PkY88/21WexDjhC1Ask+2yD3UIEFaa7t+6qU 8/hBIXLlvCWttbIZgufuWbWvN4gMNtvhxjaTv3fVU6iZKeUnEZHSS7/08DuqvVGA7SpxwjQRWmoR FZmzYODmjSaf4eZ106Moss9Vg0URen7ODIeXb1xtCghX59oaGuGjXD5IJdzcnv1wpxg9BXQNjXeF xze3uzeJS8aiExxfgdl8jVca3TMJOF2o/8vfayq3SNrq/yESW1XjNa90iJqHS2/+GXki/CD9SdpA 1BBHjju79HuTu9Ta9CyzCTKMZjHGXd1xvYD5O61j87LirnwdxKFYp7fM5+Bbq5zUw5L1QKXTYLGO kOY4TZF8h/XCzC2UFcFPn2Mz7Cd5kqSeelkEti2sX5myjgFAUiv6+FicKmd+OToTTsmSBcTP3uzJ nez66nAtw7RMuRzKhyNHQn4/xKoNGdM8fo3OMLCdUy6LHHDU4vJowHogwYthQMFaGtYOY54lQF+g IDRqYDzctPGEeXPN5M37ATiqO60sxGDHl/nSQVVdO/cBNGBCWrRtgcrZopUY0WaTOED/+Ja5PDoW qirU3mvFG9baZ4RYI7am8UvYmT1SZLnfwbbtE2pojWKLygYUKsb4vxRCPzlBWN22yKDQLMY1C91E Lk0yvaakKvHN6ULV+X+zviQfOaBvFODA0AA/oNC6r5K2V3/1zM5sVY9QgToa9RYiUnn21NmzsUGk /HxNBcaNh48f/a8aNA7m5tmTL+nAzOecPuMhEOWvRjWyTyQVnTTLvDBuheEkXewakC/AtxPj48ke TQIQKQ52MRu2Iv/NpRwwbS4zzi0zzN8EyhhmYGENsq1ZHnyswN7wrl+W+sVc+u7BtjhWDb2boJS7 P4lAqtwa/dHoNJsHiZJIgEF0MxMwB/y/kjooab5IKm3+tCVP7dgUf6SKN38Ioeq5RN4kC5Md9fHU ec/phUl+CJiFU3v7rRoR8vXwbitws0tUSHFWGvoVdTUwpFBAmWR8+ICJ2m5svsxufPBXP11vqTEu ESNV7zn2sVYCeTvLG9vpmwvUYOeZSwMQ+U7ML1Iaj0FL0+VJMDq2Wi1Jjw+c5wztX1hyCDbTgHEU OjDxmusFcSR5H9HpVsR0abXzLFaajm54MB3prmnOCFmCfZb3QZgiu5w5a7hZ/Qp9S3XM4vx/wddu LsFW3fPFay09LEa8Kgc3YjURGzv2X8hO3wrU5fjKbWy9r4xsW5Aw4FixHKqPFH2J4lO1pBma/290 MYKnrb0r1sh+UVmioJMMN3uuJWmDC0Ve0XGhh7P9Gl1VSm9lphiF9glpfGkHgB2vp6dpwg40BNtt jSNRQI3qrefoFm0Qk0AVmO25Q/rMq2fGGeu4J8VcqgMYa0aAc3ge5rdKKNt3WYsqGUq0EEfleNql eIXDuvO03poPigacAODu1Yp8AZZOM1Fs9lurRhf72Cg9xtpH3QmWDOWmp4JMoxo3nnSoIk4ec/+E SnC4jEkMQ2nKCzFerlB3VGmHO5CRoa2OhuNPyVsaADEhTvm+h0yu6td8Aho5QLHdOgBdzV3u1ymb FW3SVy0uQPQ7zgeuLvXE63GNKVf+8zNdl9cimxtvEp2Eqm9CwmrZpBWMloiPdRDB/i/SSExRUR+x aBuqN/h2zhESO1uC7CKZbEFCdaAQ9gWQCCq6CwwhlvwZedJ3dF5FZt2/MMp5rv2UvMM3e/J2+x/q vWuMCmQuS56G09W5W5npKMXEykg3W++FpMSlivlRTVBrCc9QnOfWQhrDF9pKOTlmQwpNWkzV2EY3 C3CdZl6Q8jiVAF8I7YpBMwbmKiPOTpNHzP9vF2jDhDpSU/Xy/SQDIYav0Smf6+P6+WeScda9mPW4 GFmgDusBXBg+KUjUJSbTs5Z5WQfw0ooVThIpWOB4kkOy45MLQEswaJzdRr2lL3qrqxmfgSl87QXH vaSwYLWrzpjZbiPMZKMO6QgqTeo0keqDJ2y89EiEzmQSX4IW89+lseYa8PAb92R3bYSGSHSTGbQX XB9f91XgELbDgI8BJB3srdJVSclLQ+E+gr0IFtEJk4NgMzaqtMIp6Ti2ld1jm9/NrlYE7rsR/Tnm Y9g950+qb/yZkfrCOed+B5DIee5ZiKRUccdnaqVvjNhz8cJNGu/vFapL7KwU/SvsZxKJi1cMawlw OBEruRuXmRCUEGxruAMVfOmMZX6pY5tfHeO6rZLpBYdfgErFu8fd9GxY9TNAi96xwq9Uo7RTGHo3 XqAN5BWlDG9qhRWdcRvohGmncrSr/9eAPhR4F7gTBnWA78e69jQfbmd/WIPqoPamdPk/ouvP64G7 UQYwqJOiH00AvJUSWWC1C9+lVE6pgkwHqKt9KnwFsA2f0rS1+S9XE/W53VUrXayG1QBq1lofu85f JAIbFyzHSi7hOcLEKwkmBst1k1eVGSB+zcsdKtlTdMjimMB0P9KZEOGLPAMtl5ulZtRzCTrhkh73 9YRbRPCQm7i3f6IKhoHQRmH+9sYgCNQOlQP0uHwkMsOI5sDLK3gaYo6vzeC28Ro6ZuD6tZeehszh hcRpRETimlR2aDqdxoR4G6CsVU1xW92NGxXUrCdjeGpNG8fcT4K3R0D8n6jzBL+QidLkzPHGMeVX M/ltbLc0R41hmPwjujAvehCyqL9ejJ6jzx3vRMVn3b35qiU7Y++KrRS2P60pk9Dgj/kC/ekY2o1Q FQC+/SR7Mrps0/fFIhZ3Eie1rwk1t4OjHA7vYW1sbX+Olr1lbGioKKzcLz49O/QDK56KXOoRnFrX gtWJ6FhpcgLgUWrwY1sWaJ6XYD4DqntVV5W8xxiIHG19tFvvZ2Fh5fNnfCBTAKejIvpuZVtWZozd qB1Hvos08u+R30KG3KVvwcZa5Q2ey6teChmJiGVOm08zW8ajuL9EE3MfwZH8Y1GLo57QfblVCMe1 CCiZruwuaRMAZr8NC5s2lG5AVsIIUGugj5cbHQ9pEPKM9qA9G/YQDMvfDsWfQeqXLdIIe6yrQWtG 0P1nhJ3MF0GbnT6GkT38uZVQeSH6X9OakI5sGZlpeq1bPfaMp9rYMw8uRha1VJHj+97oBWf/GCZZ koFo0YHMBFG456sAc65HK0OkZPF7KSiyKsv54rxlSsxv5StOigelKwSqbkFcZqzDao91HatimRBt zARrtnO3xwgG8JRB8WUE7T9ehDdfJWanR9IFU5V4+y+lbH+OPQqFRp6gnnvUztNvBDLksBk3CFQw zhmTq7/IBGPWG1IyBniMBIjjBSTZHUQ6HS+wZhUeZLbtAuyU5SsvFA8JRFS564dO0NAnGjyTdchJ 5ufdOsuxrs/xk58PS2VgVZY+SXIMAs2wZBMZ0EdMLRZXmXoqucMnalknSrY/qot40AMWa4gNf6EE k/hP8cxv7LsyPJmFdy7iC6mDgBmXipmV/X6laS0HNNTiVbU29y+VQVTwRJokUjCCM1/aBzyFCDtu Me5qA/67FkdevVkBm8rLU1+HMTVeF72jJNsr1KCfqXFBiySFFonGddUj+S75+zTUzc8NqwHDP0MC csRhb5mAG65C+wS8uw+1Iif/gwvwCgrqEJ5WwGnYWw7BGqO3ki4pbKxE0DbMpcw5DujhMuvfffvy +SrChJP12SDFpJMNNX8GJOlAWihasrKWMoenNqM/lRCyiiL+YGsdBe5x2RPuhQbBrJ9Y0k2gUEGd FIH6p+dnFR9Yzeo1w29/UdID+NVmN/tNb+ZZ1wcQGVmuJAJ7JoUG1tjzMOqsAp+/ecNqyuGpri7y u7Z7hTSznFgV2C7ZXOX6g+3kmNpztpBcjqMHOA/UkaSK5Uql45zBe6xTlO7mz8rHphmQUHF6w+fK rC83kdUSR0lx54gJzqH6H4ke10uA9IphjLPaxyGZ32s+4p0uIb6FVOQBdbQfKXnUjRZ6k5sxHJ/l 9SGKWDyJXwaUG4fkzEiECu14mO/58xa3g22ZalPwEoqXPqDAhOyk9kxouJeCvTAZAKUHmrI9hPZU eEeXIdXCC4fhs1emIp1Njyaen1Xo7Gmx6Gf1CjvZ8PaM4Uvv6ebkejKH4JgSbZl2HCmrUTDJhiuw sYYrX/c3UIDhVeMNnoYx+4KUavkAVim578l8LeTKEftsqsBJViIhowZy/PHiT85lTVQ+hAYvyIRE wxtEwAHziKStTlpH0N3Xv5lwvg/U9ZlqT/U4JbEL7zlpnDIjSoloRBSk4SbnCkLnX4cfmmWN+/6d y/ovZ+UL2VJwdrd2RBXBJsML87wymunT1iJtC9iFJrY0CijWGjLjIWR2TA96I3TJa7nu2IP9+dFQ zvH6ShjdLk1UBS1UzvpFRe8QvJh6s6nMrLFnPFUH0cct0021kuegZd1oGTYsWi4OTNDwsq2KBu7B UkmmcJD+jafI7DtpxTnTifjoE/2er6+dyafar8B17tcZB+QRL+0WMxoRz23xrTHzBjjq0OP+Ku2R N+Ongudmk5tdp8+DsDzEjHrQ4Zgq7Yv2mIrcosdYx+0nU7JzGl03BPc7XkojJ/cIHDXux0FeN5Vs Y6vg+noOTxpvnnaW4BjcNzhCvT0GQo69ibjHRc1qyC3FCwr8GZwL8/8Hj6I+rnC7l6DzOVhmnU+n QqKauHiSMKP73F0ghLvWp+6GWxe5kYVSjS7hDytDANq3Ja3ZFyy2HBXNpTWGABQWpZW2DgSmpYRE vKm9UKeK/grLHIirshrXPdCxfYZcOhiBVJICoSHWO73h3LJekVZX9wF8XjjQDUs7DPbM8bZZXt6+ J3TeVJgdVwbQdPHQXM21KAJRvsgx8IpMPQsMRKcCEk3EHi2fwH15oVVaaHI5X/vUABR9bA2Gu43Z C/ruRRPzL8ROEiWaMcbev7YoFBxT2Zvl+ItznxLhTIQetnLGZPnXQ24J/2Mzkdnm/VEWPVyFfnWF RscUTM8gFM1RgVzkFWUpyHJ7XK2IBcUMk9S8BIGEBkKC2+IJaFdME+e4XMI7tZ9QOmUl3JrzBpay KVh9v5PI+QjNU31y0EGPvf6jRfAPS8QgtIsU0AvzXpVpUz9ZwL+xwIE6Py0Qd3KSvS3jF/yC/Emc vNBRcE6tWMguzGG6fp2/z1Lf5HjzekHelQp8qV7l411f3JoAYPhCnGbAla1EEumBPzvMNmT/albg iLGxb8EinIaDYb7R1337N89EBzBNzIrY9Q1GxrIM8YP/Ifuovk38Mvq4HxI8tz64Qvu7TWBkXmSu ItIjR5HSLvAfIOmjbqICfBhC9mnA3xkQgc/4Qa8gDtWhrYi+F6Pae/SA2/OULnJs+t+HQKQv63I8 enZxGzGNTp1KTbaHkOQiCz0g+2wBViZieqbcgFF1tAeRUhVfQjBiGAaNlmOa+8131vDkASTRYdUU xYbi5MkjPNZgYxY5yT3TOMlt7XjS9IzFpseu3AfXaqNXaXatAhlzxCs1Bh/yPuwnG3nwE0dvGNS6 jzFS65mFRpCpI/AFfTm6DkIsPg3zKy+no6LNtaqjF8Foy4mtCv0oG0QBfuWyWp3FOYZEPGwKc5EH qIhBhaPpvjeeIf1w+Rw0rOYf1wFtBs8mHVuPG35uFjVAUAuogSl5n45YMs7sNlTBgEt6mgJGx5Pb 4wDgQS/79fbDPHwlnJNjNMsGX/gVWANTBokKgZAdphSvSfRjKVVrN1EwUB0rlUTC3lCenddVv3N6 vnG5bBB2eLdAWZacMBObaLee4Rj1l/q09dBnmF5r2jp4X7NMTA4YIqIqtJ4JKXcFd9QB+xyghDy2 nJ0s2sPyJcf1qOs36FtMjBOd2jfwNbvaRzNBlyOZUxzN3yp0ScOpsbzoa68yrfV4fONLDiChk51Z MwaHHBAi7unGXcYeBzKKsw+kpyBDooBlPYwBA2TCXlf0W9Ch1xH9yfaq+KPTCWPxBQ0lYkfrrAd+ bdu7EaiR31wC2cx7ZAZCaPWV3wpHSjwhyNh1aJFiuWmuOR9nkWcRlJpGTCTIQ2JM9nOhOQC/hGAY Gh/3ZWhch9rsEHtH62LeYz9BZML1V7vIsS3DnCnmSYKRRehr2KzyR2vA4s1YJZc/l8It1O9E+VTW fiXCjEDkn7f/ciFTNdy1BQrvU3JGMl5E21p3KgqBPH2wphaMYLlHLm7pEK/Yx2Rko8LCgKJIzTIg GfrigdBLiFChZ7qdSCxGBBAdPB1H/vAmPZLKDnFoM3xiAGbrnpVq3/exZYNKzOA00E9Dupn2Run5 gT7nZePEStOfex6DfRJs3WKzpbbW093s14ZWwzWDfzPUKTrA78G0WZv/jlCGts/6MhKThMcDsB4Q GeilbGspc5yuf9w7Gd2kEGplnlKkbNJqoYJ/qSWOV97Eqn+kYAy33n91TegKzXcmVwPf0EX/EXBw 3lXlJhFNbHsmBNYUjvGp87TiV+xZCfcVHXIxcLOlmH2+uUt3/rpOx4hzo/zhMYgSau/YeOpKrTvF JdUDD0g54EAwpXc7dEweA4v35xIpQcx7kIupJ3DTr+lR2P2MEdVKgFoqNgRAeN0wVcW6tNQt/7M0 C5E1ZnKdrXuByDy/9/VCsFx+8aiPlAmHpCfOwTawfgM8NE6hdDyLEk96E4WmXfLY28Z0EK1oaDl/ vs70gzzPCsrY44dtxf7VyrMxozqNh/YT2rTJxC2k4082YosNdSbP4L5aXKoz1kccm6evuJEPabx3 vVjtgPN4IlacPrdnRKBoH82Y5hW9sHrPxx2eQhUL/fDxGbiegmqGmr/fjzqw5Erl/T6rYt1KdxBH rt7d1m2ZDZgvV3CLurcVgfOgP0XbSm6ZsXQ+lJ26HKPah+iRVtsGmlwXpIk3DnCPoSFej/RObkrx sGKZwigTm3Rhvi6M038yykRQLNv0DVhBDJi2XLCYzZqn9Qa2Z6HATGX4LUCNZ7jcRc2Hyd7I7TiS mOgmtvqBp8kFAWvVYbRyAbnBoIDJddkCI3iLDQewkibzwXaA58P21bnmxfJYkXQeK2/cLPFHJK1e vWHKiVsDolAB6r1BW5l6rH/yZeRwL6QXOtp3YUeaF7V2BS1Hc/KPb3nIuPZ+ZPfjvIZlabt+7UJt +1y8kU6IasuiPe357gfdMktury+ZSJl5znAqgztItbaMWEnJhUqTja1JnwfYpqpQcT7SywqH3pyY sNMferiKPrjkxAnOztdas9S0YC5s4iukfnL75FPYHJX6ewhroqVDX+r3hDfEuWYMvsBdfr/Ldca5 REPDItBrMQu6JaYLvH2OVYoE77KpXJfsiUGXN62vYI3EDCmEfReAFhWCH4ckU/OQGkFC2er9DXKP hEbBeuaYG7SHijKoMAWL/eiP3aQNHSZBMvkfpEVKcKr2yx9R0JdekRHFy1s/QSLjZq2mG6iuqLF8 x9rpLJ+9gJHRuasSXjYZz7VDSkj+NQhsyIERPxEFiDrpPDitmoyqJJ63Ha0n260eiuo/xY3fUZpY hvFIAwuqt/8JoUz5dNBsEAA3wq2zgYj3xtamBRKaUoQuPhNtnuOnsZSOktIe6nyv2N7FkRbYwdiS EtVMiD+Nkbj/HaMdJRJa4o8k3ajRZAXgwjNyygmA8eOi0XB0bVLy/2d5SVrcnD9bp0ANzCS7JEq6 TNeth90zZgj3AFZPCCv50NpTZ7YqsxYGmUAkLOGXUq2EMHci/txgqw3NfKN6l9odVAP16sKf9AVw L1UzrMHenMxmfd2JBQEMGMzTMFManoJdTXR/qLX30iHFKpHxSFH5y0jGaZ5IiD+Tb5r6cj/cz9wk ij2HcaYy6LSLhYXHz22832T8XOe0ROChwn1El/avfTboj72+NYeH3Man40TKbamupE3AoSFgzNrt NW0AgXoPRBByKjx5VkBL9EiPYVkJFZXbbeJSsOacwE5XFJsFa3TUtN9Mb/8ThoL+7Lo0g4/0qSPR U0p0Ggl5btKExuv53GLb+dtBjVGTrUsDeqJ+1ZsF4D/uYP96khqIgy2daRpoObbCG2AMxgb51Tkn UFMyyQhXjco+NvJBXyB283+QPF0O9oeOGPFzMBsN9zMFzpg+emh8ary3gi0wGcw4XvQ6oRql6Au0 F11Q4irwEs/dIC9Bfe2iUWtLUwMBH4UoTENrzO0CwHVUBbflY9NqQ2zqJlnLY2kDBnvHG00QQC2p NtnEg9onxM96VZRapZENZlRPzGi/2ixKPhfT2h2hDLRCNlhwr3rMODxB+RpaD+FXHKxX9VcJf4Mm tlF8HQwUuQFbu7D8UpM/TmYpV3jRNRipgt6US4b4iBgUbm4u7n6/yfWLIz2rQ5Ja8QOfU/6Ns58j yFBj2JDQEsDfvM7DKP1J264DAvKqYblp148in+YhWmEFbyp1dkvLvb5raYCjzTX/cQNuAL4aEGVJ ge7SHZUrtTH51oGBQMmU4fbFGtIg1a4zZ+2B5sA5cMNPKg+d1ZB52HYlsbvYenZTJ/fgQUPl2S4j /qSDU/LOCx+gSs950e2egc6Q6EWVY4eKPGY5t71tTWaav5Lqx8EHE2YzaVlRC8KNDx8vd/hMGstr byHYIMo3OLtPKyF0vJNQvk8wH/nBBCxx9Ukhq2iH7iym57sOjo+tCa2hZWa4PhZz3y41Oi4rBB3W L9SI7d37XCi7BJzLS7TPLSJ0jmEXHkWQA8yY3pT3hZWXj1XLin+0cJwV8aqdt1zTEmbIFZSQafk+ rNU+e7QmhX71JLHIGciSJNasQaRuUA7JF8FyKu5ZPoA/L5KqZMu7LHD0saPSuiWTQ32uT+T3Z+35 4Fc763wr+Iuyz75FQ6NxiSTDtzqkXZYJQflV8qqY6m9QCzXm8y1DOS8lT0g3GdEmaoguBJaj7a4d FnJQttsnE//EZs1QVzZua38x3bu77XkFXp9tc+ofo2V0XerMUXOgXDH4USB20xDusOoPj3Timqi3 wX5yJm16Pqh7T1WcxxPYeLKRxvE4T1l/83TougE947FGPNNkUjP951ZVPR4C21mms7kSBmwe9bSu 5aAN9ToRQ2M6uAnUfNXpIJLbxkiMsKHH5WVk+99DM3PmslF9N/VWRBqNWnkTXrQP4kKiltTjBPRd gLrAj1LiQuFVSEzsXmzslubXWdv0SJaxtLjEa2CMH+c6NkGZrv9/Lvs3NiF7q02LnTbKU4q8WRGh A8T8It8IClOuKM/YBLJ3756LxN4wD7zGgpXXaHY8FDYQAuTQ1RGDGp5XQ/OmGB06cR4Ex6lqnvMZ a3JANNTDjIVZ+Eol6lHG87eepexiiCu6Eh61D2kNNRYfn+1LBYfjO6PVhDhfVjgSQ7+gVaGctnQy ZTGG6wr67bE4jizJqHVU1UWoTOrwS46t0K7yV42acWcnoGNf6PPkafypPAK295t2lu+q28J6uT14 FBUTPvr6kHh+1sYxWzPmowpWlkOBQm3+hVa7+xYbpyBynfrNvbXZhkA6kzYgod+I5meCmqjxPWZI c1NvNBAt2BU39mU/bhII2V7FMn1Jh2qOatTT0QRb1JLPC1qX37WFhZMLKoGGpD04AZoBDVgn539g xyUS8OvxkD0CIIAtPZLUZtJn6zceoSXh7SGulYLFX+tccCYHRF7WB0DKrEbe3YgTBkT15ndtVtQY 0QPkBzRnqSqJuyHuscae84lZOJ92Z23EVCKE8JMTKBMPCYRdx9zzpi1cWc+T1o0Ud3cV9Nuevp95 QkYxz946qGoPRaGTGWlc8qRJKPyy3BDsMvewkAxbIRje5yMGto0XDQW7qkU2fQ0ncHpFjick8Q26 abOt7iJZH+EcIM4EkpYAw/DDVqwnrZzhowNlQJAGsvf1w6fGpe0dxbNqJGAK9Q2cCxl/ZPw+UFLg KkaH83iC/I0xZR0aoG70FT8Hntp+AZ/m6kPQ6SSgP8I5HJU5WCRCayJrlgFXsI8nRZMpEN8nyOgr TAvTZzQlVin/+lr3XIR/dCBhXrcS3eOzgcVxlc9my/zo+RSz7aZ4aI8P7ieegmR/rREnIpg77H+X RjNVhkvEHTERdHHdaFBSrXXzEfWU+kP/Gq0d9tGCO95QjUMP90y6qFdrADXl6DzVqZ5DNs9WbRdn DikMkjuu6WPP34tKz69+qLrpU7GAVHcaZ+UuziZOtIMxA5d6zMaOUGbVZcngu/lyvBr4tJPhoHk3 H7WtllizQxx7pXNzrB6KsdT2CbKBqyCoVg2N+uW4lk8ikgFkBeZbuS9ce0Tu2FaPmgRXCmD0D5m5 TBpOwbek0JjjY+AI2WmyNlH9D7a7GY80r3tHLPwGQE+P26tEO8gZA5f0O29r6YtPGjyjbFQ2cDAe ha1c0KkddEOVgnG7hwv5PXOjhdpv4PZTRfw/RTTO+ly5NkZ7fXRcxtQ3zI4kPR2OKKI6JM0VB3Ii bJ4ClcBqcMGtXcd+sGebnTaglrWFbXnp/dFGQiXXfDBGsisSJuHP3PFdvPqcINGLRej/VzIAJvWz GzYrA6+UNnr8XUzwqx8w4PGfOwLRO4nX0QzSTO6m5zKlxj9ATFGP0wW3zm89DAy8Bi4+BHNqxAU2 h6uzDNVfLIoqQJHZ/guXSL+lYfLlIfHuordyX/VR5lzDy5WnLB+Rj+nfCerhDQtQq+JlunpXB88b /3QqipL/o4ONNVgBbY1BQySvdEoCyuiGdYS3mAXjRnQtNQikdVTaB3xN+EvVWphiOSvafbA/ZGkR yqnsqxTi8BtuNTfBmGU21jaRSihLWoRqTTFO+pNfMvMWkinrQeORwyf/OE61UTLp+0mk0rRnbwew bIzBAf2nmy/cSHzGLiwmfXldpgzym0XfVrqb+f8kDzBAU1wAjqzkUZCHxN6sYl0RR9SEe8yS75v1 CZZPF8jDTS4F2+BcBjRpiPvjvr41BwLx/7OwvXkPvbTEF4sY4wqbhgw7iBUJ2ezJaMCFVEuLWu8T N1YO1BJufkoVAMMeQO9WK3lIWsizVxsxMfRoSHhOCJxFt/AIH452jFX2PFXm/OfqIyJY1pS2sXxG aWT4UMB+NbqTVvXMx2JhKrrrLc1V2pc/UuINO7piTkB1Dxt0l3jKQrxP1xb5I+k6hqsDwcbziNhW 4lrvhW89/8NNPOfqGKgypVACRogyCSWfulrQKGSvnmdnUCF+1uW/qehX8ljKrj7QiFQvQGymwZjJ fL9T2l1CxR7XxcdDYToLeCQy6Ije3enAWMSWCV4FcMCvmPOqc2FYUSbthdOgr6lPuxD7f9tou/Ev 0dBHRwyNjAq41E5SOlqRJalT9er7GWCvNnCmEMSC4jjOQDeGoAfCoLuh3AZZy7Kdo+pKn0hBCfuG MI+8ja0wsspGybMjFNPFung839J5sl8mtb+0NRR/N8UyA8zubGRr5ZMERfFn1uTk6AZOr/e1u4uK pQmrrG23p8abo279zkrc2OQbJDqaYpyliwK9ZO7O/LEoY4jBLuuaPehHdd48FW+ytiSNDA3ZkmjU BN34gU0oqmXI3gRaMaFdqDIaE4ANgMbV1yEiL4mqxuYVvMNXSDY/mjVo23U+eyGtOhEYMkWg6/hb dWHMu7NnmOmpHZNgIeZa9NbhZJ55L994XgrtTPZrHFfIopBWDdEBMi7Ey6ZJFq/dSvfS8eH1Nc5W uK48J5+K9ZgB9jH2WHuJCozZfdSg4Oy7zzaKUh4/kk2UZeVM+ftRLII1ZURo9y3/hhxfDMhbMQRN zTtzN7SKSC4WB1zMgcH6ptr5jjjPVwlYgwvLnz1QnVgXRMOomCoGtnTAYAv+HJ914Y4absFBks5r AKS06FESDba52z1P6WocQMU5Fr4OK+ge/OtvWO+3IkptKpEDee7glWX8S5b8T0XkxBfmCZdpOwMC lEvpzTao02brBT5hg750ehX8bD2d0w0Aml5Njh71V4Qynj0EcT/UZB5e6958frspxvhdKqiFaJB1 bbVtmw7JgGkn/1SwQW1llZzTLdfsEmRlAqKafRachfL4nueMCZMjJSnhgzbpcFXKg2hYiGEGL59a y+7v7PFXOTgP7NUv+3siowee9YalFdYTBi0KHlHLQjutG2hBNPuiq2qDBHw3m7gXxW1masvsZuEs llS5YKLurMfv43s+g5xDYhGXPsAmxaeYuCvV2wniEa2jIfwdf03hHunS+hlmpm90hQkrx+rRF2sK LAWoYBJc3+Lnv5lB7C50iO5MQnC2Udm3B1Vw2UdNWDSmVbCu0gsZ3mU3u2+oFPOLNxC9PjfUn783 Q8M/u5JBUPBuS3PmEIBaQHnb9Hp3Cy9D90fDWHgg8aTaLuLRp/dY1zqcm5a+rfdpmpUqLizyuGpC fLQy3rGTMD5h6J2pERoOaNgUXkz2zyOBNXkJLFUu1Y+SD3POr1cQmUjm+o4ls7TUDzT/eiJgWy5z LNHzWNzFb1zkPiWW4qhlfl1CIx5kpFpFN8geFXQPtaRdHtSbPTGUzyJd8q7xIUULAH3802ePMgo4 zM9VtZm+BomUrpWXZL8H+NA0TOzDedhyY57wozAglUWTXgo09urBeI/N4ejyxmu1yO/eljlUlNGj D7Ih7HKaFaF5M9enL4RNwSsGsoeca6/oca332vob50wljyxYwj8cnfqzGhaA6BsFnMsJMTUx/nM3 fKlIQFAwezRtWrSzAfxbYwqXdZG/H1we4jnsv9WIpY9X14l0KYOpTSmR3GSDl2WQKMeUiD5QVLnw 3inYmObBEK2e8pVuG+wFgV9ttdDylTS2WNUpT2TVIvu/8HdVfIVjuNPnC8A2XldyzqYoJiV0H9fy sK7028eGjPxzyfdi6RHDTTy0jg21/FPowtlkvIYj51mpAevpRmjFw/x0h6Yldm2DvxsILvd4CYR7 UCnQdGfTnJ3lTQLHS/sefsYqV1FpL3gnUFxLv9NdDAScS1q/zediyIh235zDcPMzQtIkFPhJyd4+ Q7GNq6ah/jdcVpuWoX8nT/f4yimGIabzqnp0viro6YX7Mmnf6ANTPLqHIbYNk3td/KgRSNwORlo6 dIRXwH6Oa9XH3HgCXMu0leT+C5vjiwE45iI2x1zFEyqIV/KTY8qbjwyMNiau+mZ/Fd7+cps+Z0pi LWdVWmobtzHgp4ljynY4iB6yjdNv01cpQGZ49XS/JGoxciA4e9y3Qk+0h0H955JP5VTzXl7SO77+ FAL7JnatQtkraZEe7Okfmg9wQHBnyJf35rpbXhu4u9uKKTRhbdHG/Cs/dW+/bG1DrlwNbBJfBG/l C5KwUBkO66i2KyfOwTqYWrQLyIF5weC9MhgdYIIPj3RrQfNF+uxgQYtruqvQnU48iAMGMFR611kg kZXwMmj4oWzcObvVWgaB2PzbRus/P0yFJOlY7vcPB2LAlrnVR+PNq/tLOJHeSb3JofJRdHdcHwaQ l4fLD6dp+YpJHU5L3ttZNMQ7nFWc6O0kg3iqHsUOyNSHbgE4jgN/AAaw30o6FE3dqxLkWBIdEtDj mU9EddrOCYOJwexCxbgi3YhD3TMMdf6J4QfHalZ9u4sSpGPZuFG/amcYRMWEOFoglLOG3H6irXqz rLnnCwHk0hWR2uHAwbbyLwCrAQalkinynKScyb6h8qhdrPdYC1KgnBU5GK6OobJfYdlPQjW9dm2b UbtHFiJsFPFhQRSd28Cxk2vkuBCrQ/I3N4DBrseyNL4hwojmBrbHV0NtPf0jYp9i87P+fv02Q68q jZjNSAuA6E/MwwogDIE4gxgfKzFMHwru/0J7vVmYcWwlyDMnhkM3ElmUtaG7pK5YwEYFchpjS8V8 PTvTmRJuSQbLoH0KJVgTK6an9jcxp1cic4UIaQiJlhORpbZFfM8fXvDIvYWLUbX2gS4payiZUOIw ZdiBh/qTwyZC1aP2HCyRxwBjIas1ntr1HePp0zVmxTKkAFgtsmovlyueCX0CWkLulFE9/4COQZR4 QixOK2f1oaaMECvu+hbay9srl0GByq76GwZZqU58BLmFyH29fSDtyHTx008ruh6x5tAiJZcvnVJW ZNy/IWr1B6+iqDxPcgUhsECvVrInRsC1Imy3Nj/dEXCh5hT+qyhaw6cz+QFONqAhORUVBrF5OmrF bUV1Vto9Dauy4xxtoNx1zsReOxSofHJSt3KmUZ/hUw8iWMNw+yEnb4vKimb7rqO7Kz1JFzT9KbTp zkHYYuQchkfii3D88uKdnNOWx0/w7ochPXNoAa2ik/Lh79gctafao23BV6pKY+7pG9g3fJPw45H+ /j/SWhzWfrAOlKW5UzuwblOQXYAkC33T+tZaU7FWI1MlGlbSld2xt5phUF6fJB4SSqsoVy/VLkKL niaXIaip/SFO88HeT5W+ArfI8IVSAimfzleBCrkyFOYu2B5v501OOU1NtM4/DsUNbdqJQV9rA+WE x2G1fTxolfl9dU9LnriSmDd8fORQJF9RDmH8S94PPjQiYYAXLuV+Ia43GHXBdqp6ESrxhx6ePWBt tKpQKpbGHSSV3djM/rDfSs/icNyIjVlUyqNtXeObotaBh0U+dMAoOfXB/Ufo0kHScvfuGT3UXMk4 Lh+9jkJkSXpgOK4CK32r7ZBK4FX1DHNPWdX//dS9LIkFMEPA0RLyloTqqW9cBoTcsfk9xJya6N85 DwhmI3E63itTQ/mYAtweSPXvWghzY3qO1m6++lqCjNXf21AuFTL1EX/NUQsoCm0/23zUy86CPzih EA5pjzCvYk4+ZXc3Tt9rZyUpueEGT+lXJqs6CWnzMOWZ5mftdGye0rp3tPYUkPceS2ho/GPfTCVt f8F94Y+NfFDIHYUk5gR3l9Ykfyv3aRhICz3K1XFfEb5OFmvvj+SpjG01Sq7qVd8cB0r0hz5fyAF4 2TXAZELeOlcrv+lOmKuc+zwr1YYnviG6jYEha5j79BrYC4CGyGl2O6pI/gXJBgXqnLuBevy1FVzr oyRwL5C8LlggNSsNM9q7DBprGi5XK7rFoQpkYoXmLKQGFkEVjzuLKzK1OpwBuL/6oZIhxMh5ewZu Q+mvUFw1GJAzJUAFdcMeymDWV0Y9UKYSodRtJy+iNkdQrPcB5uvwAMAuGho0XM9NWITcr7Yx+byh UAGLQ04UzqXaXXtZZ5xrAHmf/+sTeJMYfV/7nwjzky59N9HUUcuRwcyZI4ZQUeUj0STKPBbjopys I+xZH5OH6q0ZbUC3pPaLEC2fenMn8xIS+FfoSg8eAXxFiL4hLdXO2i5g4vZ0o80zDtOIpDl/IF1X oIfMf6K17/0IvlFIDiapg0ky+pa1xKPah/ufMTncyNYllqpPdrkDjtLQj8kf8lCoya1PGUBAeex6 8lQN9TesWAwfJYVkP64hAC5pMP54BfDn6SAXh/ySdQW22JepuC2//WbPDST39cRfWwewVfnkuzXg UYTLGDJqSnuGKqkTxQokGIwxljLsrKYbVRqHGpDmEEUYMp5MD8L6cM2LpLv9scv1trnX9Cav9dS7 LI68fuk9E4m3LBVdVJ4w+eKQfkzxl+SP5PyFAMAafCfJRAuMDRTvWWlWViFhhBbSvpHc7+nvQ9Ep tMv1eU65BQIpBRvP4yVSdHvgClAls6JnbBUymgawFAoVFUepTX6YWcR7s22ULQBUbdNDnGxoopVV P/NaU3bfEdEx4/CrTbOcUOCnzYVeVmoiMani/j0V7X1u6tFfxUhHssSNup3vakCtbmc8hJKUply6 fJQVfAGdABgPiO7i+X5YBuFmgPDebdOu406aGkZ7F3i8rZ1oHwHDGj96Vt1FkNPn5pW4vz1/ZjBz YA2NauUyVi7n7fyUWcwXB0ccnUZ9DsnHjBLEovCClS/jkohxCQCplwhwRCA2R8cqIJqNago6hxK3 V5sm/cWGsvWWyjyH0OcOMxF9HeyCZc7IGGw2E9f9QsRVGJInyPeP2TstoSbulZw23KOwN8WndsHJ hbGOzphtrQAe4Lf4y7WCQXH8s0QpbkIBk+EuuMSqc/c5Cq+Ch08H9L8exepzL/2rOwp0RzvN5tcC anei17J8KSWRL/l0Y5deo6RAk/F4Y4LM1MZL4FxHqUR3pM4eSPf0RowV7fDb/6MdQf9Epy6JKsz6 kfLHFVPqdffj7vVhKwxmoUeFWgbttVG5/7yLOt4uppojC81c1LuAoEjwrzysdu/Whc6jVKhg9oi0 yGNqoNh1A3GSStaXsXOrgqIa8WyCEJIeJS9Ktxv4oEZ2zvQZOdS+m/F+TqZI6eSVepllLWF6pIh7 g9YYykE27MVKT+BUOdBPr96NDcciXCgJYpxcll6XoZBQm3c5s8UryurNIfBc2wKZj/4vqAMMz7WG m5uvbFbdkfrwDO9xWE5gU9NRyL9JnFft4bcWVriBDm42t8mfevTp2BSo/JwQp8B5NLtdh/w9m9Yl SQmUXDVi7EdcFpwOGjEQakV6hsUFmAgGelp1uKEqhQLLf6QKpBZobwe1NB5atSIFXmqSF1obLtzo X5xNvKqQ49fbNWwHol/wCmWLxlLp7WJiExTkZeRUOdOr81PrmNiA+w8mTAXA6F/mnEh1imLz6Dku CsX94XYwnBZPVz+e8+Ui6fYA6fbmps/t7NPdbTKTDSbGnrJ2LNkQQRi9wpQIA8tT5HaDIXbLy7E4 n2FqRtZHwOauPVsm+EuKwjD7zTTFfBxBWfVYBiAS84uBL2qAzM/G8MI9oRkTnX2tQsRC4DCZL2+1 dvqkjVzff7b538gIyRwUdMbaB+C5W50NfNJ0ZAqhiJfCnaIE+sxHCOtHDDhl61s71M68SBtQQ3Cy erOJ73Lw+TEMM2ZLPeE3t834MRAH2P4y0lVo18nPKxPhM0WClvP96XMkoEdmfnzlwquAApYjxxuA D5wXoMctlw9r0ziKrWOUlKSQOsCvEj6z09nifPaSq+zbjlozmLXu+qfxbFoiHXm4W+53ZziQcyvi qXz5DqY5rIXT2XbjPDP80xxZ9OnGSnn8sKtC8VyFTAGh7S4mKJose41triD8qSqO+MJ/pzKTaYUQ iD/59+KXUV7z3kAtC3rpAoTpkzbJqPGMjsMVP8T0T/pm+pr9fkWipaksUBLK75TDiDQFHlU5pwav 6B8sVDEVwBbPst69mOMr51gYG1mtZSA/bIncT1f2j/SPRp7+qYgs2yblhuV7+8Td8SNoZmP6Cv8m yhLluZvWbRHHTasppSp3OwXIhU43K8rsyeEUqL/0+o0EcM18ExW+5PukJ3s7X8oBWQsXqYjzuUjZ 1pyR4z0ad7ZElXtnBtkIaLjjp8HNa53//o2TLjlHKNhNz9VN5KkYVI5+5bvUO8oM8Eg0OUo1HO/H eJSlWiH+Pfch7g5XUhQvrzbBRQ7cNnKX49fgFeQ711yCwwj4OPUm+2HX0laassQkNQLNraDcVnLt oDFr01bJDUyAlYg+WtSDCcsklvGhhNyBIw6qyNwd/K8WjsjaRz4EVOdZ+cNpAaaBRCNPeuHS3QUS jI3YcxtYb2q7Kii6fVCYYCjFM3Byq+lwlQ88vVG0sziSjbROluoOPSM1fIIEUNhOwCknRB20doHj /iSJkagp95XsOW57o/fG66wQUiqTi5jhPMAXRwiniUlXnPmBfPbjxg2iivi47fRgiCzsgWiDXY0a KIFIAOPvydQQra1ovZ65vLDmEY2fmgripUcp1qQz034FZ72sWXdh4xn2s00d5AqyTWKa+xRgq6M5 u+UTJyfuWXYq5pfWVC5tXOAFiaMKb0vPBC/OO97E6ld7AeRpQ81t8yXjnPdin1vqwsIgBvhRM/KG jlaTB12mPlqjkL17LaVZLXLEVwlkl9IvyXGkgpft8rRjeqdVra3XbaOSqO0MJlI2dGPVLJpJYPx6 rua/FgHy3YUc4/0am09J21k0/E38g5UDDOyXBaLumHdRv+P7T3u0KRu4scvlo2oGtXtZS1OBGt2n sZDCrACHluEwYNtbSmpuXG+f7pUwQCkqVBgm0fGWEBu1K1+hBxYmuRMIuyaM6MiJEtaFqLqE+yit 9qGMkEiTmYS0LAqkGX/cu2m2Cb+XswEmE36DyQFCWgxympylHK3vEeI6h+E5+exes1uN56FZ/MIl PlEpYjhdb4Z03SEqRxOVEVqf0gcCe+hKtMpTgoiBdMjVLwA3NRw1KwCUtjbFM33Xi7jJ3QkNXfSL VX+vQIPYVHHSY3GieTOPwm17fz5OcCodvnfivooHc7HebHrD04gcpjthzZCPhiwLcN54Qy95qX9B ljaLfTrcfeNGeUTDIp8XIjkbCQnZcnBvOI/qYo+iFAP2+/cRUftCB3LA6A+JWVXu5yWHruO2zu2g CqzSFxr2NElsDTdMoh+IuBs1EFsQF28Rn0knwSVB53kMl6FHvjI4c1nBVYWET42mjEslMaas6vJN wOITxK6fHTNnrnVmhzBTE580ePGKK4Pu8AFJsUrzeVUaOYQz1fd+5/9GJrBibh2mpe+a+4IYfpUN aO3orWsn6ByYPhlzzV82QB6wGJrSTEURRaH54WzLsp06Bcmhdh9Wu2to/th2JH+FO4oBxkemc1km iuFUVB6DtJq9n2fhQ5ahxuPPbGfikWApkh2mqxThRxMd/EtKAs0FsqjnV+AWDZ5T+wo92grbyBAZ MU3oJk8hTEQnWRzeRp1W9W2m7mol90vk1Is7bSfxvROQd2wavqPQLM07/tLSODRJ3A/a6wmqImd7 D8psGJ+i5wrr5zPnVqzoLpqbkkLV0qNFTFpXb4Kbjhk+XqsqkKD2jqrsV+seyZXtL08WIQOAhJzN e5IGIpXK7F61AVtmtcG+1jFoKrUpbVlvpnJ7UQK+abhlY8xU25lQQEELHPS1fHUF/VvfO5J/nXko w2+1/fNmQyjxuLhB/GjjEsNbZ8Aa+eRHQX3i8EH3X4VaIrpLWdlo6ywBa4uV3ylOU+ExLVCOdlhG UMv7gjQpw3ZL923oHQ+Cow2TKYGocUBWTw/TaCaaEDqdV195vSI0HPrKg0OtKGQlPnGjksx3j7Oj +mEc3WSvlbIzSNNxZjPr5ji4ymaYkngxhzz6THvf82nR57hSe1Bg6PMRh8N+4B1M6Z73JcEcrHWN GtCVSBfzEKtaW6yYJ4bR9IYapWpOj5TGXd+CnDtK/Hn+6HbohNsMybhNgqAmhErO/uYWmVVZM+FA KF6godaNbNFd9YiAQzaZ7NlOroOVoL2LPhPlmRQk/m4W5FlxnvnJD3U4QP6k/C7YMHjPa6LkVNJT BMKxdNgd2ug3Rg/0ZzGzz6atJuN0Q0gzocNlaT1rvQqAy/PK51+ru29e7yOPL8emIZd/xxJzVmiE j3sLfcxLFffu2DTyWSxTlVZRg1EFiBtXE3AV/GXeStDWyyne3fTl1wdeSonMJcXYetXLNolxzW6l SrexpoLdc22OQeVvIUZooO1d4zuaHFKDyOci9kJcdK2MkFUb91WC+UuBkCzcnei+24TieSfPIk/s riw+iIsPrf6bBJMoUlAiQGRu+M0LP+89a9VH7LfRnxsea9ZHjnaH7WIYS4LAKhRA7Due2QjOvnQ3 tbv+OoufllHEc+oT2/RjBq3yyDocnUz4HGDKDGBPXoVXujHZisq4baHCF8tcEz4bXtzxGvFsh10c lx3te/lcqcu2S8ixsPtMd/mnnKpe1GdcvQzyB30yeNRMxBqR6ONiwdmpi6FQOwEtogcx1P0eOLZB 7h5n9Pf3YEBKA53Pv+KAc8Fjp+ouzLAT7yvhRztaL3p4mfFjPHe4vqQ0Zez6nutOUwIJd+2yGEYd 3X+l/+DU0OFPX1PdVOFkpaPsvmGU/puf6vyUJGE74yYU1sQ5ud4d/j7wSZ3XJj6KesRuGdctYqA2 Lmrm9H0qBusXW/b9xjltOkQGhnk6zfPGsF+t4zKL1WM7QGjgBG9kz9GTOSPtwEc6gL7a97+fJkjs 4I/CushazKxWhjBADBdg9sDWHHTCm/I0NqBsHMS2+ESgZ9eYDDF4Yl4PmTWrgVBcztzuSQbBndGS sLRuh8vRB3/HF8MqaqM6sBhRF/okToAm7ty/89GA0XQFBVUpi5BND9/8IMOWFBDyAM8R6+vwFdlf rnEDkYy40wdMvUGDxQaM50wzX8HlxsA8I0DEoG7jGgrHUv4B88x4rjLNcp8RG1SVxfuZox/Rtqxv 2LT7kNBAs1djMGiiT5S0uU06IiPL2zWwg3xrtpOUqU8cSeHLNpzlEzXc42hJWLaR2QZV1SfVGUV1 ksIH3Y37ADZMmut7tJkl/Ytgbbb4wWQ0Iv2AUMq2qCkQqgRkdPUhnSWKmSR+iNv+Y/Lasi4JaDH1 aDJm1uIBD5FgXaD4/AM5S0tstBaQuw2zW7XydpETy8/HqoEWr0lKHX04WQWld3vbzc5ffjZ4d17k TjYscQoY5hb0kvKyaN1DRlRmLQ0TGOqqj1kgIqwcJpymrXP9FeXrF62jR5+WvSfNYdOT8oQmbX9y fWFuEwnenE/XByCScam0vi/+onDJ3C2riMI9rP7H58qgSo5qmsa+HD+VikwNbKsKLWbFyKNhx/lr DM83YV2wfvjJDWk7oLRIqS0dXC12oQKhy8XK59Em0Lc+r168eIuAhmAQIiP1d1pZcH/sBhCvht0F 3wgqDDXP+cyQrHOuR3bhLaV5CiEvnuJ85bWZvdbDL7iZ5u2/O2va4dGxWpLpE1qV7eDKxUS5QYf2 LK9xoaYT+fvgL2gbZFpFhW1GSPZVIXNANdpo6+lXIF2Ww+yqahSyPG6vnn6KkIpdGVElhQOYCwzB yzcRk8qkaLhCt4j10rEkTW1VHZDD+En6AA1pTQhARpGsVqnDtsyNGKxttznAGVHN9PYjBTBQ+ssu +harP60QY5HkFIkxzhV/BP/q3QkZoZ33icKhlEJE/wjrVvPz6RSbB1TB5CwFVEm76JCxvqY6YlY1 vSqVokZ4EGYipiMsWPiTOrVSmiydDBrABGkVvykyXwU7WY9vbeCXiM+9XRY4S1fvCiyi1z6ow79G WOyPiF78sj18cRFBRIpnjKvKuJPsjBQNSh92AL0mMHeuQfpMBcUlFlOsWFoY8hoxrgP/mJk8QKAh SaWvuzAQz7XiACntSdpqyWtdMrBRDyo25bkGTXT8MHUwbNItfyVv+I72955FTX48u0R5Mw17ZpvU dTmRhIrgagePX+YVJLOr+603KIrhjrDe6/A7fV+gV+QVMYVTGLPkK01EsD+0mgzORCb83uX80spS r77TvWlNVQOBenDz+KUm5yFkTEIMWNqYMmyPxwSlk22sM+lQZZUTwdoSGfjDDcwkzlq2lVXfWjOm ErjC6SePRapdIXQzY/Y2Qir6HGsjtNMqvC8QHDwWwEEwoWo2tCdq4JnMxChAHX6l4/vjE4y7IIC2 NktvB5f00tCFSAF+h8/1LgqGIdT2Kyzv05bwTslYfd7BVGaMR/vhKnhgI4pWVkREd6iBc1cnDDtE bfA8Wb0b7AVaMfFs1bRIFzs4ToPopnR0PQkWbPcwgVJTtENAA9xNgmV5LOX4jwp02JKT9PaJgDwE 8EI6po/CH5DYcVZ28+mWJrnj/8nO8h24mPwS0WfJB4FiiOQXw2OLBVxv0Zr3rZuFiYRa1h3pvYzZ RGsdPJEVx4fqulC5jGsOXrJM+Linync9se+0oItsFzaobptPN0AXIK3In2ofIxz/pCUmRTlYBJzl hBNArUu5XYrA6uasPKumLrvOBcgpl7wmyZBFvySLff7s0zK3AV1/zQPfflwvfwV+bK/E7mmNYaGM rKKhr1Y/b0C9wJnQHjohHW4t6UzduURKjX2Rr2HW4EIPT4AJf4+FZnnDtcNJy2iN4+3RZ2+CdLlD f4D+IdcgxqspLWCaO6/LwCMWIUYugtOhYpjrZY0fN1JujP6ckrWaOS0yB/FXtFK4qo//bc0mQiPl mcCH7qz6nP2sYUaNshnFIqIQdp4CBqE1mnsQTSVdZ2C5WtPNIBvkcxIenHttnRJ4EDFDMMtiiQWY jezaVraWXNYuUcoI+Et729cmCsSql951R6ONYo5cjIcp5n9T8K2utOx+K42jvT14VLOqEFdinjuz 4r/xHo7wWwWBcR0sMLQYiliSP74Ie6RMI0kByzmZAVKyIWSkhlN6G36cPquoD8jIRJlWYcwaCZ3s 8QBn2ME8/7F53qHhUJXGHXsTzNCYz6PI186q7TWaz0Fembs9FVgnQJ62fT5/jWWNG8YhbSzuf82W uA7mr8lX1VMD4rdjhHac06zjHDGkk1BjBDp5SrIPv4bODgeN4Mh2jjtjJc//LX0fmibAn1Kh031V zKYiWMSj/hsPryZSXMf4tFniBfkmOL7pOa4e2YkgTNhfWcFh3AqOYUiO5UctfYr+kHmwiPn/gZBb ASpI9cbHI5nCf97ks5T3Uz8mtNwF2T6PeNuAXJ9/tZ1zR07mJweCKLb1kzgo8LwKxbsE89azqxP4 IzsSlhBVtd1qbr4n2wrFg647jw4NOz3sJrhBlvi5yFiDE5jaB6vdK97BbxLFnBj7zduz4PJoSIqj EfciekAIDHdTqPJM2Umn1ZEihhEQHC3WikXqnpKhkEr/cuHF6NmhE1MOlP8uw+jdojJA2nyuAp9F HTSgy2uI59zcDJu1CDjeLJslCz0zCi0UNkw1HWR0e9M01CXHN0+RVSgz9bmss3EcDLXuawpOge8q 0APj+BBxScpc8YdoPPmdLYqdLbmTxXdMwH5hihJrAZkOC2nLWxh2/0xK+r57+IxhbckQFkydmT1f R6a7f40Nazuf4xPm+nlba/NrR61IK/x7Fhl+wQENV/SpdsDnMMUyocpnXgajb9/uaeW8KxrOaUuP 8nbDW7BHzXks7q2cYJXdTckPlGE5XKSAJPPNTLMHVPzdZZYF0G8511H+iipAGRtCGQPcf453pxQP ANEPdhNZ2rSpZNyhuzR41SpbqAhoVOFQmf1tjQ3o9saUGhL1l0Ol5EIvvbp/Mh9FOBBNah9qUn6A m3T7hs7DwuK2Ov8X8ku70H3NqqejoRI6Aj4y21FyEKo30tNclM50nTVCAnV20lW3VrV9UC7WonqN CCKbM5pW2/7VbfuXBqiToCWzbWEJURVMPSSjI2yox8TildG3PXGW3xNuM1D7vOuHMBJRDxZQYcvC lbnADp8wXHKd/0tFtQ5s6Qeu+KcmIfh38tp4PHsiV9uDgXoNQ66/wM2SZAikcWgsL0vJMquQc/I6 QzOLBOD1hGgF5AuLrgCnf7/fs+o01Qq9sfSXTD2UDe1UsTYWDLFoZUHiawuZ6D+UBLtYYQtAbrk1 huYyIBFpTJeKYEzVQIAicIQX/tJhK5nS/q32r+kCQseSy4//rfCksW6IJf0u80CQMl3P7vDQLzRU oFwnLGwDooRS6r3pVoIaYOJeGGH0U7+33mCm9wZK82MBUmt+bbQoK18JHn+XDtJDi1kzRylViSFH nozkoknBwGG7pexuCf3fUlXuH+wQhuQy0+xI7gfL7xDyVGCLHu49bc+IvxmP/UecBb9YeqJvd5Fz QCTbgz/xSInMcWuuldNEgeZ81fw+bWf2rNs7k2zGUfNex3HAhz2oPgEQhlDAfxCMBjOruOgQ4nQ+ T236Y1nWl/D1uz8tE8f5qlgyrTztziaR3cPJxdKvJ0UxtXzljzBXgPgyGbnCWhjLpaCJjimb17H9 XfujxDP9dx2//vco8hGNgq+5Ldm0OiCHMlDqIz3MTX5AKFmJXzSEG/u7P7p/YHZu1CPdHGjyWNPT 5ycqcMHXvwJ83OZxyY7J8MZW5LV8kzpMp5mnCXfTDby+iAsFEi5nIhuBbyfkUJmwj6w2hfxG6ygt +Y2oiK8lOfSzQOhRLC1mCGJpRMB001xUUJzbl7ZSYKE8aZr4aWqJQha35Jt8V77fyXH9a/kPVUXb Zk0H44PN+lfvPcM+jVZY9Zcg2Ws9nounVPLx6iRw7do2YFnZvK3luKejtly4AR/MGOfeF6cgnRit zgtGzVEqK1Mb7sOhJ4MBW1nxjS99M644jhO2FH+lY+ll9HNMqaJFwLWaZLn569Jkmw3FxzIoOW9W zerfcGNV5c23MObeEEE28iXnlNFo8M4GdxOdUsoxbxIxDPrA+lbeXWG2+YxFbzP114XsO7qlDaY8 lvdIS0a8pu34AEKHYtCq1HdRPKhQFKJ1MSn1l8L9kHCqXyJI9V6RkmeDZIM9sglwWMA7KcnQcIPa AtfV9Cdin5dnM4sa4ZubM5LQTussK86C8RFbu0MNfM5Rv3swuV5oNu0lXibwTOd806MzlezuOEBN 3RJ5wO86Y7dC0WtEaZzdVw4sUIL8NTWV1egDwFuFUHMuNIklrSls8MofqCszr4KtHgpcN1uuAn1C 3fxTvRKYrUR1dnmPLjAjvlK/izXAoGqDbCMGRSg88CigmW0SMJMbdEw1NR4qO+iOpuo7mlzm9DmM Jw/Y5cTTSbMJ2tgVYCBBTteXKkvtvSaEcUpKM+gE5kQu+3bRpPDY8QQ2wigQ/E2ATN0bFPYkgGRx qnFudpPCaKGdLJGynbFtzQvsGT379hL0FzoQ3KNS1SRwpE/rtnV4VlWySAGwdPhXNuDcqMC4EbQp y8KPC7hPbq+Jj62hYVmYG/oGUIxW7tf8oX3FxUfyqwJxc8YsCZfeV2wd4WsdQX26UF9Wdp33UBGw yOGBaiAm+Rl8byWjPUNhf85jiquZ0b3e5xB127qA8uo5KI3Wasl/h0Kb5TggvsJKnYhT9lzHwkMz xZ2JFOQj1/l0dPj1swxo+wKefZfFcId+EiN2dOAPTmqFc4ci8SGVQcuP4D1nOeMBJekE0BC3R4Rl 5ykS5L1mPaojfzVLFh99xqHW5H87Nt3sKrushlBQl8roc8r1E0qa2JzOgueZj/DJO4uIuMSUwBfO XuxihcmML5ZpjuWH9jVWnCzLhXnCym6upTOJZBsrK1+czFo02j1KsPAPVkvie0iz2pyHIJgUL3Xc ZmWOZrX0Pu1cWOSuJCB+XKlKhUWIdNQd0yIsRdNf6K0lCAwaMhPELDq3QmqUSdmZ9wcPq9d1HH23 y1yY93faUgfPWtF2eQ+OWUktmFuK7KG5ztgHBGttVF9lXkM5JCyfx+VljE70mVXd9CRJ2MccWBPy ojH9a/RodUBus3pjtyJg5HvRTbpqFC75WGtZAAYYHG5Qp6yIroFpDZMADBc6iM2UAZJAxHewxzEl JSCNYdkNXLb+ZXkuCXwYT4lABjypmK7ewtzJENHXBjNtS9radV3C3vQ6dXZ7oINyLK8F6/2CjSmA vM5bAymmwYQZlFwztCzvdlQ5/Lvv4bx4ddNItIsNn20A3FdCGsu+AIbmqVvZ6iMy9EoyZ7IAqmlb ywMyqoS2iwUs/g/72hCEqpZtIP4pXjIdErrREDwP6gD6V1HU3gh/lTyUPEqcYy03erW5ImnOhrzw Nj0GrSl/jQexMAHDwJODCTL6Q0V94XBb6p70oFsOE0ikUYeRElzKxJjQdS7sqskQXVganK0gj1CE yJSdBhTYqcq+bb/Ajgk87EmdwFXY4W/2I4CZPU+JYnEeiaEjT2sNd+QF7B3hyC1h1Ay3xgKh09nY Nqbm8t7pbMxQNRWRT12xeTMwVQeaSUUbsoxKqMWm9IlP3gx3sht4EqJgTq4G9WkA1qLWBPj3Uo8P 5lgJZOyy8yi9pDTZHKnsARYcqGc3vq4I1XieF8pTVDHXf35ULQmdQNwbMSKrWxB3ErZTwhuVTthx qCHj0pLIgIRyfnvGfxmUVxrSoaGt8nYaOo7HhB6h1JQqFuSUiTEWy5ywYhlbfiw4NNMu353svL8D 2CAA0Don/9RK9U3AmX9odO2AzCxjzmjX/6PPn0RXnJLu/Qxd+Epx3mNnUmvT9udjLbUyz0vJUaBU daxc3JPM1GHJJA0KF0dd9ZuF6Py26dQpp/2RPRSLVK7PNyBk7lJMqgHcHQqSYnBM2i/E5ViiM0nj LDh2IWBOWnIHugs7+3zKCBAMnmS4wlMTtF9SAK9lWelRlEgeco7IlD/yYcc5sdm1iW9YWW7/BarX jLJLRNHeVPQB10A3EzEGPUjdlm0FG+jPFJBIHa9oiLyVNkFURp29m9+G26yyKrLUOaFagPS1/OQR aS+GZJEsiWbCj8ijg6x2V3g1tNiqT5P84dJUORTasnFIW130b8JH3gYyTtmAjECVLpNDBJmfcfic /6pzx7FMGIQLJqrJfD0JES8EXzB7wrmwut2P+JJfLyrA8HnBSw6wqlsODkNIQTRic8MjCVc5Jsmu IOQeOfPEQKFZzMHQxjZFUw78PkEWPn/fXJaBypFeBP/97oU8LndjUfZLJQjXYHjfZ6g4SNEaryG4 j+JG8/vBeoBrmFhfI7cfJOCZa6kqR9zqWwIFXx7W5pgE0cXZ+MYxRd7ajcFKVZBcJS7aj0Chr/JS WqDxE07BoeWBlqUpcIyqkynDUocqdn7Xq5ABu6PHY0o4zfb6e4CSWhmKFbkxWfCz/7RvK8kb7zdT d/MKuj0QpOXbiAFU4zpM+omajnwrrPnY00hk0tr9uqzYtyNHnm4zFdLXbm1Xe0kaX1JslLB7224W m7ak2n4YH4h/EHvUjldfGGRDlc9fyzn55R5OtEAsQ+xDe4i3SmeQJYi2/x5fWXcB1Wrs/3cJMkcS 0FCUr8gm9wCQzBAFNoK6Y3nt6DUngSi/zefSIVhZdjOkgNI92ZN/YyvQreVXkKOFCHVQD6NZ96yb bTxQyI5oO71v+M+nJptGytZgGRgeIzlINW/SBtXj0YCiH75cV/OurVCsQtPZvPF430gI6xz3CYLQ wnve0+GRLFiAwMwHaUTZV8V5Tw8BzxWA6k5GpZV2OS6UVCIShk4C++u2XzdosO8LxgglVGm1xxjf cLbSfrjw5iol6l75ms1PmBXuq2sgxCRlcoC/XsQenZ5LAoOTsHy1LFTdVMxcBYJjacD3VYFvXt4E ww67koAt3zmHqbJQuQWvKaEE8n7ePRuMYiqUYc/R1FS3P8SzjXnkHJ1GjTCmNkHLpZHxfBK6eABP E99MykN8pHix5O+kYSllItdvwL/0od38NCZ1e/Q0VKAaoKVsMua/bkrLeWQWyUiRr+HvWYYWEpan QaSEYPQdyN3uNu4OpvUow4lJDM1jxeuPzC70HGxuoJEtiAAqWozObOqifES1A907voRGkKNRsmyO viwDEzrX70QtklJ0/8A8Ga40QxShMNPBHG+TJmEY7OwYuJUecD6hOuo7WqshELvU3ASuodz5tprj 6AxrtJsnsD73VrmhZmIOSmCu7iWkuHTU8oPdm95vhEevlBmjS1a/zo6xcTHxOdP/hCbWsKfNcHu+ 5COwrQ3YRH+WdMw+SfHy076m76zJ4fGFtjgcpYforW7L9b40AHKKWJxSm9tUVWWbmbuSpSiSE1Dz io8zpFlYjGRJ9RShZgi6FyxwEefkMr4s6qz1Okyr2u21hJmn+khkBHsCIjgPw3Sag23bsEZLjc0h Ih61PTtuCmKPM7/xZEjrS046V7/ocBQPZTNCIK0sWKcLXIXlp0tiill+6T4mAYJrj5AT7lMA09qJ sktyXahn1k6b7iN1JPc63jg3RKkh8sFatWS+oscYh6MpIkjOuXSPB3+Ix9mjTNuh4wi8WRU/55rg x8PmTuNmrtxv7O82Gz71Bpuw8/xVU4j66CMF3F5a/XpEpRH9Piad4Eg9JWf23sDLt/XY6O3g78pm 6syzPdEJc0qDqePU/KYCvzZqxMRE4Ha7L9OK6jnaaPuAnSm9bIGRtdyq3WL44iLqRq7oDOFMlD5P o51MN2M559QPWYkXkmgt1MuDoc8nlfDUS+ijcqG3NvJ4VI75QB6lLGFhsoKqH5Aky+9g2I3+H73P /tidLfuQ3ZafE/pOmp8rnm1SFpS3nltVCEAlotSUKkv8/LNFUjakBESAXiWV55J3cewntoEHaJNK ud3yh4tLc3adDTqhlTl3id+W6W31hF2hZNd0ExHVDkg0Bxnz/2PE+6r0VhIKluWuFhdr4xsmJ6bI p2xInsBIYaA+k1MHzeQqSZB1/6s2CFRWuS6rVjIIQU6D10LX3tElJ81DnLFhMvtfcMR44CNHfqfG sQcnqRhdZkurtZ25yle2bcxn/jCw/YXI+0XgJDF6bMbLRzcmkjimY0ilUfbAXGwXw5fPy6JfY32t EZx120DNyASofDKGp3ZFLDpORbNhIIElf6lBZhg/useIUboFFX4RyjFPpeNB73AIxQ6EZXNPdz+v Tza8+LJ/YLE+Kg9mKrxNKqazz3uUfV0NHcWwnquma/eqIoGgkUSDL5X1yrs8W/qonq/2t0lTmCYX d9yWk6p0554nqKRs5KQhgsvUWNy67YfSBkMu/5o3R/8BpQnrJgev/OBobn2feoi8sxwpnLdEn1k1 LjMaWxeiVFWLiiFAbkxsv50b5PoA0fLK22t2c6zeb6YN/rVZaOWwX9xltq5zCBF6Ha3v+zlihJ5e wGt9ruVN9FjHLiuQayYJgzQBt5QgKz20fTrErBjBOzrOBpq+mwr5aPpIhro7dyuo63zQiKLCGeKC TPlndoWBlnAr+aEMw2uwMVlg/kS98q2oWB5a1sbh5y993q2QtuVNGGbTkiFMwtdrutUjc5v1/Mft uPgAzJKRNn4BOcEGLVJiA+4q9rcR2tiPHRnIbMdVLfKa5stXRPoXJDI8Bs3flF9RZ7NtU6yx2ZHq jMIRzWamDi3JQhCY/cGSx4JEgQ8mfq8mjycogH7X2tUGwP36x4oyuo60bsbr76PQ9Sf2SWfl8loT 056uWn6PJhz07Ri/jUo5Tm7mDDbV16qCbqGGiSyvQqvvukFUATpiWpdW7RYpOLVdP6ZutjDMtJ2z 0dQiLMWfKD/2RzuRC/fmn/3F0VDBsSvBUizAtfiQgBM1s6hJ6YOX2/0ge05/4IfO/Vlq1ENI63cc M0cLckV/S2edrU17Pq7PbItblRGin6fs+cK9wbHm7LnrlkFxJMD5EPwjiVl0B1s4Ar7UDCETPHOg 0wldxUd/dulPcc0rN9iE4ZqF6aUad5q47nU8SRaXmOxbMaS9CVd5vFDW5e9qC/tfB0Lgb3YCOvy9 MY+Sc5OMMk6rnerhxphI+O7oOzfBwVIIjp2iDLnW7hGcSF/ZzQG88jvOWSCVJPKZyjeY59T39OlL /PBKG+eeaC0NXCylathudJD/5c+N2kZw8ZkBjSRKxKotsNLZacLgWRSXgXlQ2JkoWF1HE7r5YWf1 F5H5ZGfRjxdVOWTP/XyoTjlaX/wTevftZ5Tjg5sa6n817FC2oKxdwfMOCDdFQBGUbHD6k9nNfMAi WolZ0psuHnFky9nJJw9evbrDibOf/Si7Sf5JAYARItRuLUaTyx2BT5/EMt7rVg0HqHQBmx/nrNMF MQoM9RlU76WAOO8usZZcqT/MO0k+WjFDVj5tNUKO9ObRZYWuXjD+6xAyOtB+1qXrg8NeTNwqiLk5 fq+Pt9UpGSLON281wwN2kAR0skd4PK1sA1MgYdUZiQ4OQDJ5DVhCFssrIXypQgrloV2/kCHSl2D0 pUV4B8fzmStnHEmo8hL3IJVsohutnr7CQZK8arJfq4thLokuampUYcOJG63wFsV/ysxko+KJyRGS pqj6d5hbDoGKZ+rvs5OP4ON7qQc5AubsFnPvkhW6kGHcZ++nTFXyGt2AmjGWB2wxdwZ+1Ar87/tu QJKX+0FxGSirDyUHPMmtr2EDJTQ+OkSgttHM7bApfX3LQYJFY89t/tmkXAAv0j6gvH+yvik5eQov LMBp1mIGaaugH0oCYKDZcvulePtWbLGNf5fLOO/Lswk59CegS/XrYkQ/mKVySm14kNlJkASEy42/ DWapYH9XqPoLQcMDXcugIYSa0gs4kpGvzQYgaAUD3X90miZB12A/N3QcIgpEdF2MwrqgdOwbKrQ7 NfAOcgKZ3MOBpRaqCn+PQ+d28/yGC7j0fBU3jUTtsbSLefRMBPjAtGnsdUYCTOh5+6IhC2Qqgw1W t7lNdNgmbF4LuCYv3HJrWAtZC8IxPGHjVXgDXBZVT9J4bWpC/OmoNH7eT7k5I5cVizcUHtBsUCvr ob/pAx+v06mGNm0euFLZcbFDEYMbxJTWIJukjAPCD/c8s18VabPL8nVw8Q/8gOTkSbcHA+SQu2m+ Auj2fkldCjvWTCjIqjao0kpdvC6SEHlyteuIMe9farILa1pIJhYiXZ7KJgEgwB0Cm5Ae7jh69lWi HiGFurjvNSH7yrP4WXO8becmcmpPGuR2sRdqzjTR76dKO33jkl3FysRJQ2XOapqKFJeLTVUFoC8b VbSbT590Mp+RGiJK9d+Zdd0/ykUAlaIODgjHUuHHcpytp9zWwgVeBojtBwqPr7se9eqlL7/n5wbU 9tlSGEKDPOwtqJBeE4dUzvR0ZLB2q6oJqz1zxsF7smrGqK7K5WUFi508yxc9hehBD+nYkVlr57hi k+s52Qz+yulU3MkrZvM/3Fjnttd30DF8y9wuo8WoLlFYbsAt4tPwIZiP3/EHE3/1hcPT0zoQrPBg AeRBgftW4+ssSO3XhWE3vtqGjEiox4ftlacX5+5ND5zh4TiqVIg31VR42Q9z+Id7NHm1fu81xKck miV4qHm+KF6ssg4R09hFX1nfW/BtlZ/yxcx15D4EAr6rG1SS7dr2vXjCoFaC7w4yQtIOyShsPX9W ORZ9CLOfB7tV/b95CrZvnbuIALMft+Tg1BieGX+6cEi5+CVFii8X/YrfKoZiQO3NIoOhozJu4HG6 0dB4Kdoy6jkn9pc8/RoWIhVjP0pw7OlCxdXG8gyIjtEHhSv3WhACR2sxsOmrSnzp/JKoZrfTLwkE nSmq+KN+KI2FymbfBs3sIZGOoTq0RUxhYIwoW1Dartdw4Zfn8p59ct/vIk3eXaGfHQjMzOcq7MgF VAPWvUCkwCjPFreGdls1E8mFXSoUXfqZ2cPz18d0sT5/dhBdQU5A37F+lK+cI+42FRgsy4cw+AMS mXhUiV/X8+KdhZcP9zeAWpcyPDUFeF/wLBJLYKi3lax4dcFDSWr1j7xhkA3VpfdMOFzU0mAuyjy4 BhKLO8jYuFCGcEV/ZB2yMnHYIeEznjMITq3vOzpXRMPEdY9KvGETVnua+5qY4VnwbX6OQSTdFqwu /UFh1OxYgmgpQTp7GXNoEhyP8ZZZNX10m01rLnQEjDtlPkPM3kJUduJvrL0rPMhRKTu+PSjAbDJc /aldEC6sDftFivG6XAlj/cqSCfq60W9VKhBnG1NjmcQB2txFyFmGnSLJAWJNJ8JaXyV/LZ20Onzx eT8mevzDHsjDEAXYDDcMN4BHvVwCLCQZyr79FwcFHRsf1QT5IVEghCet3+VufVYn6yTgFXOpTdNc OIe627tyik9j8OZKZ/5OH8i/d92v90r1gFfF8PEG8DQIWeG3TGYexj7umUPRbnIH+hdhHZzxz6GC 1xNYicghtecI0e6Kd8nKPePwXE9/ov1d4/sL35VVIX9Nyb6Bo+DYEKlap8ZrdL2SdlZjb+ywzkKO WX36W+5q4EBu3mJqE4k0vjvV7PjTHHfeQc0scDnrtlZqb0tZXd0bZiWrE6AdVXX8UlPtcGdv77eO 4yeF5c+5fytMVjUtXj0pEUBSGooIzYf5HOKIUoZuzULOh/+VqpikS+r2zm5cfrPnnpOXpchGWl7s rvzE4pyz2RHoRz923sF5cQtLm0ymq7lEP3e96QR1xgOTuNL984RTSRkgfkwmNojP9HgsIWiEj05b 4mSYTkjfoQbAX6Wxna4TVeTit2cmupysqXT4apbAju/I4ger+fWl329PH5QLihppeYw7nPFNMQ0m PtQA2ORmk+43nmBsyGEaoiFOyRcgVH0RxNcffWYIIU+PMaz4QqHS6CmK4obyF8/Z1JBBk2IOrDNb 3l7PU7YVUXzuSP3RdAbNwT2CrjqMQETJ/QOV1yGdl8ECBi+GEDKDZbr68fI3VnhGqR6BhfpZlDXh rw9YoYPwczxH+/Ln7Yl9yBTP+jz21o6CEjvMqpVzN5Us8ZEpdStDq+NWiY4jHfVTEDyBYSm2++Q0 km6tZHQLY9PWpqqNT7N4OVRT/dSke1qHxkR2fDBkqc5OsP6OTtftN5hYvqCE80grB07gIPLlDqxS Is5xj4HmV51mpC2Jh+VjlH9Bq0WXz/W4o5T3xn3oOiYtwA6cRK3NcZPCsMC/buHmoN04ZqW+D23I MgB3J13QW0SbQ6W/fpoFnWRAlC81noEq+zEZulprrMnv7g1EEB3SsdVayuPCB0fOoIBWLtGC+Zvj 1u1jIFgmMu6AV5jXjDGK4P/RY38uzkt4tgnVmWRNU2VzRiqvksMNFM5UFFYCID0P+11GdJtrF/FM I/Mlv/pLDeVhP/2W4BIUKoNg2aZYuX6hb0V4ezArDVP9jviNe2/5+yO7J56NPbYEGGyikeuK1vQD mdEqkWzEjGj6yO/pLRBfSFYUPwNGGy1V0w3A8VF8D0cdL0FGORT+fjqTfIT5H2KiDSHOmtsICd/T H64gWhLHoCXnpwC5Ew3W8YHbCkTtDpnjPT7UR5rdVH/HNFnZ8rVOySsYDgN7hjFlxJP0m+bAe1et NcgfROZJk16kKNIDcU3PAo/1OQyDjSfscUQQSS4N7voh3H274MoOl6MpI+i0ubDB2Bxn8x3iL5Oi bZcdy2YmdTj16DleICaSF4l+e4vZY+wzvaV/kEtETGrrQKDr4B+18Xs3IdNCyc9bKPO6ckQ3NgbD rZoL/EA/YSQI+OdKDXmAvDFbURz/T2LrCjK6185JTAu+i0XKpFEV8YunFpATi95lriw4BIXlGEzQ 9nfUaC52FCj2I830PcA+7heRs/OdDl/AMd4RFrMBl6y0jaCApD7FygJN7YnsuwrvBQzomewNi31Q 2NQAxQvNxAjJ5y+U1VRi+S6gD4P9vBc9zbP2UPh+GvcFWlvrp7EMu+6aR/CEqLK8fcSqCeZcGgYo q6iJFUETQ2jPVs3x8ojX1IoMZoIhchlGbihRM+L49lnP0h0utXFe+yZBaGucHamUmRtTYHQND/EL keu8/95gk49OZV2hxFs371dS+BC7jLtmnsX+KHSBtcnGubux0nyKcvtPZ4BpbX1uJ9odEidne/2p 6ltvdVmx6b1MB05pOFKpO5GBCUbJ0aYvQlkyQTD7IvXOIitwGGdh9JxYiDw38MZ7OLiDoCGRrmoh wNL4apQNwlg48FXvwKZFQZvN8TO3760ysQ3Wl1yaB+HibchTUwQc/Wu1DS5BWgb8uxsCRaFGAFKv 7WKt88Nslxpmy7I3SdBHI0SRUnoaU5j2P8gMq5sgIhZBufwcR14szosdhl25z1PeOmWiCSCtezpn bKZD4cQn03WqHvmHz7jS/OqW6VkyR2TKWHPwnRFnLp0BWq0+Y/hvJ9TQVbeMIJctNUw++ViF44C2 NFBQCcEfaiyckMYyapCI/YfhFMS6l21lvszmzK/9p36jsstPVE4hn3G9dkurs7IOQkNmnQc2sp1A +bCS21mcBcYE6rcL1P0LkK1cjx6A7r5cRwlXNJay+ZfUGjyL0hm81aqu9rXT4aUfev+Jq6S8AUAQ O2pCb0oRuu3MEdnZEAX1BUXGuq5Futdop7/wg3wGhREt5zUtg7QVCXzcDQ5iei2RgOq2zw2bmbIW kQdY9LAKYHRwWg7WgZW4qBLmH9zkTbmKZ2rzyLMY3JnxKYi+z0FuYyXLxfmQjNb/K0eSnENnsULz iZenor3VJy6bRRNPgMiKMR68x7z927rk81IckT7mL6PDaVGzqIOHb5ArL0OmsIlogxoLCV7liFrg hJukuoksQR4ZdJTAFvIi38EqRN1rBUMMgV88HUINnDvneOtxjsi2x2HEpHoJ8Rlll5W6JcNPBUOZ LvEwaOYQkocWzYYjSdgn9hWLhSnskdkQMHZK1sDbgF8xxeTiU4jWzT/q6Ibw1U+GZdFWc1svz2MV CVhrMiJQBaog3z8ffInLvNNuzcOWZH6LoH0tVk45712LJaPXc+0u+BKEJ6gHICfsX9/6Xgt+1E+x doDhaknIeAMpzys+Gs4ZCa3t5KfXeAOtrK6zUI5Z31moVqAGoZKrEQgRJ+/opSVYhqVadOTVLo8C kkRFdAaJBm1Uh5M727DXlq/Nkr9YZyhxczFRO+VD+X7ua9Mdy2UPY90laU9wWCJ+1qmn1oADpxzD c59EUM6Fc6GeSU4qJ7J+iNOkYdtQAypN1r2C2Za74Tgf6bP0mzeh3VzRT0Y2rKrxEgDvwzjHfgPe GO2f4g7Vq/fa9TiJ0p1pfY8uA9W3zIlPPeCamQ+HHzH15keS4pt/0ceupCbU7L0NDySdbCBgJzzf 6o4/EFzESAEFK5uocFoy5o5xBExy025EGPDeRqA9e6DMhiYT/EyG2hbbxNjhy6OXdPLyyf+G4Tzu jN/HRw+urEDEWNAqBdMHe4BjMzkeEI52Vn0/f0m4WAt34h0sfpYb1m8OVNs9URuMvkyR2ieEM2DI 7Mwq1Ph17h690rupPtLTJ+oh9laj5cwEduNNr52im7bRizCivAwSvSn/JXZoGGsWq0bCdXwipLTl Yn0sHjMdfPtda5057IwHKat3M8R2xfFg5QgZhTvdUUG8b7vPVRmJHM+R2W3DiLuJ8cM5N1OGqLtE s2oja6yhAR8WQKGU/WwzbP4SmJAIWxLRW13VXeW9DH7iUBSblIPZUa9wM6U+5uVK9TYvSIFHDLX+ CuJNGmymrbIXY5wnOMXGNxxPjYHM3jfDuGi4CrjDi4mbls3bjn5d83+/mbuMAQWK+EjRBH+Vq7N0 8yBF2yr5lKFdvr9f1Zy8rp+RYRedehp6ru5tZnSbsa7oadC51PLNJ9k1B0HLergbLGPcvjq0mHXe vz2nKiRukMn09AS5+bSROMdzm0lU1AYbdoiynsUY0RLrTremCOX9WYbk8ZZox6obJbGb+IDfz28Z BP2Ncn1D6s3lu92Wx+j0AVat8eE/mUaC07G4C7vOhsQNfAXK6vhmbhTjgfIg6kNUvU0bQWSev5YH vUMX93O3eZXNzEtcgMv+z0lmBBFwEaXKm9FoZH47yr9bmb2FY6ht+5xGnIegPufV4FawQlktzjsF P17/exI4n3zBkObpoSnAshc+5wVV0vgorQsgMebO6CNpNa7+lYsBrhzHXJ02CkL+ww3YAszWMnS3 WhoKbxaC5y+T6iVd4N2j2ttG3TEYzaac2iuHTZnd2qdLM42LhL1aiAuDvhZ2fo/OsG90c+isFswR diGZii7JJq+eZc/MAWHIss4zzdAR4K3M2LOOWaG8ss2/JCsBO/BzHuM5EJP3xS0mN0NjU1jjLEXw e4a/VZzGR9SBxIvPf20fojRfg6EDvyIcNRPjrzBpPQc5gfJf8v8VElqYXLYiLS6+2wfvauUhFJY7 AoGh4PCw1Z4rTlLsm4qprhCWstEYyJ85ufxmrak+GYGN1YU+6hupqRCQeUAZNrX/D8zeP/duyaMY K/t++6yFpZS+ejtpx/h+5ul0SGN7zVCBFdkWxGJNojMHKaPAmH3kPbPAezLhqk6xYyW5goA7BeSd Jdr8A4a49NnSE2Lkw8lRs+djDaDUO2zdKJksyFaCghVhATyCeCJsAN+yLItxDtXfGaCAOl7x0zqy aNAzCLh3eg47F5HjYNPLbWtl87pkbH4KJnN2r9bxEN6dlArdAdSc1R9aW37oghgzT9OAP9QWeLDP e+IahOFzfzcXnaOa5nI+Luot28VqyC8jakQIo6WW3r650x5+vxc/Osx2zpVAVID/CpR8K1n+g3tV VcXtIbhwaaM2S9FSkZvBlRGaN+CtANBsmV11/UDysUCwslT0JXq5hMkWEXd65B6Se1/H8v3Jk7RE Q568Y5oD/9fZO4XHUOD7Gp0F0ah4O7pua5Mp7loU33o+qEBpoYfv84gLViKqOdPsikmgce586SUV ab+pBlaPd1hapTM3UcMrqzw81R31Q+lGotJtYaEBkh0R1LDxg6kj37HjLbjiQE+IffBZp+q6xoiR dswPNFqyKuDTZHpIIa1QgiSWA4pyce7/E2xS9ISCoo4mcwoLkW1/x5hvTbU54smhZ9jBdP4+naT4 3ZPCtIPM282SDsZKNp/rTY5ckGQ7o3gKmNSOrujYRNiqwqFHfT4hKFUiUKyK+SYBzjuDug3MlxiH HVVwapnOzgFKrIJur47fphCjTvfii1eKES9P+IxXPtWoAOKFkkH3huk97zMmsmP83U1S39NWa3bK EVHd8/I2wxkLp/Gr9lrxcqu7zywl+wrhEIG8wbJb9WVR/eGhdeyNsis+jP9pk4G5bUPlr8q/6eC1 SuV5zKFRyg53QH2Pt9/rI7ac64uRfo+UKyMhSwX9Gb+t2T9KvGD13wmfC7+PKN9pcIx5lTmRv9fd rYDD6QRIut7rrzfNYzxTje+V13y3HEhlN9yev2RzLKpTSh7OzoygDpHb4WnKA41J+NHFRVsJG47P 2YLGGGQG7IGI1hUh50dS+iOPo+vlr0OIx3+iu7cpy327C09DaGT4dr6wvg5TMCjKNgpWIS140fTM ZAYjLMVY2urotZ0JJW3eToZWTKkWeMyxO/dyHYQK03O++gIBgsZKy3gxVk3JYGwZbwGnt/W/MnNF JQUd4Lde0FUB1VAYltVrVH5wwIl9X5mY87edBzJYBpkIDF76CJSx8j++Ka6+8SAn4zuCb/KDSWvh ZLPvV7ZJ+mJStdRAv61qz+tfbWEQ8k53rcAO63jPJhSiVhV04sM6Nwn8Oo4S60QxCIU6U5MVdqym dwdjMy5rs0zPiO8L2cvkgctr3dNJbOhu9hZuYnn4z8nzoM33YzPw7TgyjnH4HOQRZ2C7xnBth3Wm 7WpjvUaycuCekEoBZJVXQQrQYffnPV8cYTMZ2d3pYID6KZPmI7zBfZMbEeHQP9+b/ZooILBBuiix MH1Rcd5TxYLeB8+5YNWGAVG2soB3QrVYHXsp5GNuuW3EeLmf35tdG7FXiYdp8ieXorFocRKF84IV T8Ad9gEBXXaoB0GF6gwSHAxX7UXmg1zVY/6J9oTDxR+FIlyYNlAquRE5AKBRFp7dV0uPtbkqjYqk nwdb3IF1/LycuT2o3B600zJKtZY3c06E8gIX61Rl1T32wqxGRg0ykOisnDAfrjsEksfPmLgj6/HL lvVOgqUSxlXJUQSqXAzLK6WbXrZqzKqsw7yiwXwZsk5uOZiz0ybsfPdWHEr76jGbI/R7hKZRhSqz UDRDvJnLHSOUv4I5LubixtcCAE/+jSObqP1P/jTokuOZxzX1rM4x4R7MvFPurk7y76qBXutwPkOb Yi2mG+2cDOyKjMiVMCd4TDeuwXcK9ijm+/VSCsUKSJKZ0sJStvjKV02xACtHenZOBcnOXBzuGFb+ 5FOacTYBzGCtzpSFsCWvJuH+FaTIZVS7F4+8QHzZS20U0rTXt2PZ3xUMZ/Dgo/Notz96QWS51L5i qXaLVWB+QwRZXQMdeVTzlsHmNfubBJvJ+i5CV7AakMo7+JcYeFBQxFBJL7mnOF0j+SdZE4b7YhHP cSpSJk7GW8N9x7D96eKWpEGqxn0XZNxe+6l4kHp6xYeYB8kPXf+1sesRPBs5M+WZ6aUrlDQEmrnG cIjDGO8cF9WwFSBuhNgXa9uEpV9OLsAZ/ySbK3enA69m9n2V2qV72ZT0wQsdW/ZM9KOPVYxdqp5C mlxaTDSNISagk+ga4KFONcjz3xrAtSU+eFMPI2XOOm2OB6iy6eU51/8hOUjTyA0NmgwMsQRNd2hr SvRL7ywMc2Ug05Q4VUgA7lwMzfkffE9Rx/JXnmCCdtzU1FmGSqIdRGvc5lcWXHBuR7aqz5FQFD3B GWwpPP8Aew3DauGz2IRakTQ/zuhjPAnDRqqUxzFTic2nJpvtobiPkkX37+UkcKuZPsgR0M9TtKUE wgP6Qzy/2T0rMovR2dCxwhJDzhm2pCUvXiGsHRQ/NAoNWJqRjm2KkiYauwXBCVdM5Ksm5f/leFTX 5FfddUf8MT8HgYWIEWVPNXnP4Hpl5xdroeQh/K17jl7sPrjrZipOoJxBRHkhTDC/ekStUcsUkdHW gXpHm94CSgZsDwHUB+kX9vK8mYd1o0bUJcqOAg0JkLnl8q3ffqBewkgXNGrVNygSZMBoWurIFUqQ VXm/tXRUL3OborrNu2UheRiuhOKIR/cGZlrJ375HHQld4bmYvpoQS+rbStxWMRmbq8Q8V9pIvV46 wLvIGuWTsml34JOvI+TEF2k+9u3+lHkFVnSu7roc0/JFVlTqPFqITM0v8ih7ENx/9jQSp6w/h8fB MNymFfWN3z7O5FU7KALVBU2XXTtHNlGuKtswYl+VmdiGLG+ufsLj5FxX2JDGzEloHSkjRQ449LKk YZw3v9dOx0Iz3rYZqr5nw7zVc7sdELcJ0MhCE8W9G2beiBr2LCTPH2JBTc/UYMfFQ1Ulpu1+L2fF L9UAuGF8f65C4g5KZPyf9wt9l0N4f0hCxQnX1J4FUtBSWBevrtIHtHToHMal4l6AyFLq+udGJakI MHh8jvfWZm2FwIqz/nOpG6rT7oP3+Vq3ziEgYA1gMxJdp+FLynZ5yfuis2nuenx81w7fSRYDom1m ocRgT4Omi1QF0L5+nsVnJuCcfHEglRJ1hFqCjEt4e0BBoGRHeUphoVRqziuXvGUX9XFeSHsFQ2up 0i9MX3S1LnKXls9IC1g5fJ5x0bgTxLjyBDGu13InNZ169tCUSaIubnl1iJjiIrQZTWU55SWFl5NF hUq8TQpbt9BCPlQ2AopZeTEsHmmO9ZBTKUz3/laa9aeMmgjtZ8O+dW8ZiKMhcyzdvGBHC82hasMm dYn63kVRO9pAjrW+Dj787U3k9bXsh+1gMdlmF+ebw/zofnUcQP92e0DSqkmj14HokcsCRcBdrcDH fGyoAQlq4Yb7RN/iOekRiC6lXvqhuuxiX2Vhggl7JkE/riVwZtm0czDLfi2AUX5czwV8xmub2rcD cfBKRyjPv6caUMqKvbmUcRxiwB+maCpwXV2a8Y5crNVFQ/DHai39Uk5yac/AC5nXLkwjIbYA27mm Mm8Tg5bxapKmO1ZRHHaXIy1Q70pmsO+8GaRXLXwYRBkz7/5Da9l6Hyz7NaTyE8FS8KzcbqyoHLMQ UdkmODQdLcgNZ8xCkNq/A292IZ4cXLbsaVouy4q3jONF00w3yPwtwM50E6tB5IwfUIfewpoJ3mBb XsCTT5hIomYh6VekjHmTtXjHRQGPOp/CVh4GTrcG2/ywxKqImn/UeSqxTY7VjGNWerN4GdUbOz2t TAo59uIUt+Jh6x4tdtQneXNHHJJ4FDYolbwuOPVkOx4Mxnpii3YY8tKMJE7er1ExAGSe8Kt08VKQ v3ig+sFaLjlYa5KCrTjfHda4XFQLq2l3l1+IbMqS+diZpoYpaX23t6afUZ8fTAHad7/FY0LYY0Ni IHXzTyGR6w+5TMCdhUouj0GQXRvd5N3CzdfmnHi0jTa98buDciZOI/w/pYxYqwGH3wedKKzgEAbo wETWffrV0hjIsFzcwPWAo1kppxPBEQ/X7fqe7u9C8hG54dPF6G8RPow062naeb9tMyWEjRcXAYqN Wh0V9y+1ob4/ye0rsdYRoSkfh/fs5+UKthu7iWW8NIyqqQyejEfPXXZ8JsjesEvra9wDCLeBEm3d kQw+RaexnNPFnZnQ9BPDoUKN5UwEJpQi0zTuFp+3opWINrSkjTsaLQufBf0yrSt5tTfddS7Bx5VI m7GBOEH1nWvZvsiqRa6R+WPHG/2KGLcstS3gEbs3uqy/gnzcGtMUT1kW+KMUWxJ0phhy7PwVdneA zXQIVhEv47ket2JPyJhOI/RD6YS8VEHs91xgCEUrvwK0COwuyXiY05lgJi8KoDgI4MN0dzUABYO8 OqW/rBx368/wyYcN8bzmUIxIWFWcXwqkdpCwMXkKGjoT5f2E5LzdKNAga8IjC5wsP8y7+0VFvVyB ZE7jzRkDb15Ufp/XcXIAh4YbLK0xlBg9fvRS9JmHnaIYBIKaj875TEdO+5atEZUTpJ9+WG6Wl6jA lc0axXSNxFkye1o4EqJwVuqBI4pc1yoxfR8d2Rf7B7KvzzWQg/zCyd45SGPd3u3OW8w+cPOCuMUc qQ218rn59lEkKEILPHkPssgDUOkniFJxBTsA9dyvF0qENIOZo+eLwK9E8w2thiydaWMqK1Dlpr9x i6Qw/HqYHqEk1hZmiBwG5qcwpWYntvKOlkCqgoWgTib3anyzYDt81X3+zH5RpqleTxZ5FFdd0fqJ J+ykqle2z5B9DcR7C5tNemhqoQ4uZ1inevcHgJWPD5ZUxxEtQd4tZPYtPdvrEzXnurUlKSzcpS16 Wj2fnxyQArcrlu4wuVVfyx95SGGHZPhG94a1pHP7UxN/pB8p2VfRB4qxcvkwcrjmpXp/oouqD3nf LZhv2EFb3DqzBrn65dF8SyXsMGMXgCByHDvB0VWcWkKO2I4rfu+RkX+eBeztJN043N/c9LqCAdpi peNDkD45ws+cxOn6b4EBJxx9S8iVw0L6Zdr5cmrBBt/9K3apx2pQOHq3yHMRab+RmwqevVIYGrJR kFBnpDj0HcHm6sQ6Fir0PMceVAnVY+Vz8hVQPTUoFxwdY8H3tmGih/G0KZlaky9KZI5zyDj5xawG /W/NHEMkizW3VMawr9dFttPqOjlTU20MYrIyoIsa//7St6Q6R5BiwSaS9QKVX6zoJdgmh3TlXwzK yCIgoN8MPVdXUfzPuPXsBfpK8/LS7e0f+ymcxl1fKegfJrP+Zpox001DZ/ywwRce4wIKEKCBTAye 2t1aYEblFE6TU8nC8r8U5xovHG6v2gJBwDq1dQZo/oV+tfM2Cr7r46Kqwk+IHnhGPs/EdZssxDlX 0eBrOBP29G7vIBYZARXaSmexMXJNY0yCHTiswWYGozJ8UOO0YrDkHZkHHBd+HwzxJxJk47OED+Ju xdBIpApvPFsilxTi1TV7JLYnqOO+cDYobPVw6vTUus+UmtgCFJKEFe0XQt7JefEKQ1tKmNTF5kah p6SQwYp1mnR8nSvTbO+j4JlSsn6DD1S9g4g92Ry+8SYz5vpFtgwFVtuUy7nlxIHrf9QLjNETXE6l AVzlBJ2YV+aUG4S0rcJQllbNKUs5rhgqACITcjofqBDD+/6ChYAwiIS1SWQoEscWAEIlxC4kTVSI vvKn3CUmyqPOWygQ3zUEPAkYz2mLwsbhmgx3JNoltrFcNCadfYov44Ct0u1nEb2or3+dgo7KZ4O9 MN/QfNpiAfhzRbHWfQ/DEcUpzzB5Ih44MB7CJKfmLcRKFGtyskquJJ9IPdSc+TuHUpySWsDGqFIn X75Mtb8HMKt0PubdZX3j9TTI+xtEqNBZxnyLYjg2+N2oVcYBBBnhG6oCZSoO2ggHG7aCXHRQqZVn KFt2z86c5h7MaRsR3yT97ZhiSvt88vyWyZuB+VMhy69lpcLvN/q8prRqTOc0pd7MBockM/jCfwQf jJG0Z3E+4hxCv3JdE1U6jCln/XmP5DGY8AOhzikeJHgeWzXIcYBC+5w9eCY9ssUBl8aPxsla7XJA vHxtAe+SnxAawHSkcIeVk+QYdA3vyZkf9oR1otcvy/noxR10n+DIpZ7WutIbb1v0sUfIfKdzhqrK TZ1YqodBP+tIVTIV2X6OgIBWHdHXoJMZXzjWyxuhZaznNEdEkKnyUTdaiB1D+6MWBiakd2wb6xfS IO0SlkZQSyw4/jO1oOgcWL1qt/vkw+mVuqKDX4A6XWIMFQoN2p72Qg+ZnnQBclKMJKLe2VGPmRaX +gd0aS2/jp24y9nqX7+HD6CXF4NOdB3dZSum/jUGZGv1oYSnPHOYH6VaI8GPftQoUdQ0/flm8C5E zm7mWoPbHXBBCZcHID3JRnS8XX4SBKtgbQ8K+hCrkQ4FXH1cMkpg0CroIHXlA8T0sR1UaurJ6ihO doul1diNmIWRih1Da8zFOHoXq+2Ol27GDghNYzDfIJWxwZNOZWG28/MQ004ynPHIjx9Masx08Qf1 BM778Wdd8JjtLGLLNsJwkpkfr7hs5npY3lInL+Yn/rx5VXAYDG6q9wqIMZlPfhb0OpijAfqUwI90 3lLVkDbDgJf9sTRHz/rVw63y/aK00wbG7QiJTI9WOj6aQwtily6+bi18o+rT68rU8qGpEzDViJXY Y+rD2ZWGhbv9TJ3i10PDU0jP905zIigub3Md/Q/vYrsHuHKkKfoFtQLIBqdYmZ4fHI41f32Bp2eP FtrL6dTfZ9Lb+u87yCDMi+RjQkj1aKLpgjyN8+QzLwgUA8cdrR0fPHw9O225hvQYmNawSRPtwVRM Cjnpfj5lZb9IJfhiZ9byWnBFCrJtONOcS/Z9for0Wc2+tt063qaHVO9ekfMVTNf3sLLv6TUnh5VA 0RK4kiTQzi3AbCrqh6lYOYOQL3MlYyfPcgc2JoqwAZO+GCz+cakopsWYAJyKRmunxTnrxMXT0jDI bTtud6mEyCZLbZmqC27QLtq9yt7U4lRBt/7jRKBEjKanWkgUL0ww6REXhiBdPvHrsVjUVvE4o1mJ WcdfkUU1h3JBl+miCsnpL6TGZWT08fQQoxO2gSz8EtS+uWBPFueHVTdlSWrt9yBoAAfGrQNe6jLR CW7mIVvGWXAr/AiYTFTdIco1EPifGGWHb3jFdFUbH8q+uGeK9VAuP62qvu4TsdYFGAAIZ8ja8hZ0 SI6dI3sbBGrwIO+/9a3dgbxCvEEaFfxAsdCQK5NoTVfJvJhaPEWTrHqKqJ7KbsXOCC7ZYMbdjhiv 3IP8S8XeY2gqGTz5LMoQ2QmIG6NxN1VwZj/D27OFDhxUFXB34a/yJosp7fOhp4P9Y9zbBhlAQHiK 3flBY9ipb9nibA+ktpuVAmPUy7QcmKyLwS0B3R7tql25TiDeL0ANO+9bhEWdraHGhqC4rLKR5Jbv G6heak1TUmWbvCLlfrN0Wld+TQfmirngknyn86WvKkHM9AITifsIOH08O1GKhVYSGW7Ql3n0M0lo Ua7ZbRoITRqWOK9JtR+t8UWB/GSQA6tkNaACqMAweriB+SwrDHa+wMq0j7STxzcwC2dX8GKRWxJj ItU8IfFqY/6gkWGbAqZvMAQCrfldRHyXaw6eKBPfNwmX11l0D8DlvOpVLnssGDXRknH4zwRZ9TYm LtgVWVB1+iYZ3NOzY5s8WhKxqbwU99ORdGUMmV5Iyd7fxrTadOcBGEuB9DDmKn2N2BvqCdf5Cx2Z 0B4Qt3gJXbRaNmhcYZSem0ZQW54BzK/Y6wnHGnUsruhJxUl9srvqYUNY+dEMaklWJ2f/GEPtsP0f y0PVFFayCuO3daA4/Cof+r87Tp8MVMasPJrg/zPaUOK/RYE8K87axKEQnxBcvNH4pWuPAMwDoLYy pGajH+o4CWqwtu1HoQxET9HstzKd6UiplXVsisYqHNU16ED+qrbLmPT7Irz16/tE/gzAvgocdyAq V+XG8ZbszXOtyzD3m6iAsyjiFHstDN+eUwtbZZU13jXFXEVB+eb/QbjvoaAIXUqpFQH2LWQokkwH dNH6/xxiHD1CXRiVGxbFTyOZg1EbxfVJmj57uTUylnrNzx1cchNRku/gbCdhcmThH1ZU01rNPiiN GuwOl/UxKCOzqFj7g/kDFin/GoH3dKH+KpvYZt0yx4qTsRYBMM09Xq9xclu7cB/hbzPIwbiebOcY nvmgL+exNc1R2TjgzGhHFQdTAKZ95F/N3n+yFKcEfSLHT6EFqKboNwep0DMjCeMl+5ib52zzDpOh lFCcWFFs08VW+rOWx3THdcEIOzz26QFlseKTZlfCOF/x1Wugs1esFD07INXuqOpr7gC3H4tMPZJ9 7JKMeLscNxzsGO32NM2uhtJVg74sfcOCC7n+tJvSZy1sS1V0NrbkBSikRPLwjGhfxVaGXoMzkFJb ZpvcO7L4jddjWT6zw1ljSfYZQY2qynL7SkGQNy2VZYbRH11se7J1MAmb8LJLmBBnQx3MdXJf5LEB km7lEFwDzOhU1Gb1iDwUQ2r96rKkzIlLBVdmR8GMTh84Tqbwb0jNcGY8CmbmePk3eef92GI/It8D FJ9Rn75GaWL3ZIwwopOFR9DMYoZYIXkIpg5DXAw/sSkkLAeqXEhv9UwwW/ByEm05kfPNtVIJx26q METWVNHE4qFhJJ9R4TQ88DgSkdYFhb5SXCuL5l6mfhZUFrwKHRN7NDBYN9chjU1Qg7iNsfU3lznQ rSVI90jCYhZXaa+Qdjlz+s4RtVsXIeS2gN+07m8riNqdkJ5AL0FNpvyH+2NGcKFfo/m4OFtXsjct /XQFPOO1fNve4eiS6t4bCPHrAZ4wAgAxtlXMiP5yAVM8D0uKRJHbV91LB6NuQXPvfWSJLDGANTzH +WljQT1PRgqij12F+xNpQaHZr5hdvhuDqLip9N7IJ6bCem5Dbynp2yLk1n5SKTdA8eM18p8tR+EE tuA/N5Hi1FN3QvdovOyv0SVrl9my1yeRzOkAEX4eXxPcoz+fLM34Cqo+YNwqe3ik3OtS8SP5tug3 4BM2bm43wZ01NxHj4MofQYwhBPG5pwKFI2cUR99zK0LwCeD1agboj52e3mgKzzzgFriVl7GG8wwx 2Gp967WCZ//khpqwVqjy6VjAEPtkwJDiOnZDRZamaYw2Ekab/Hz14grWhqEH2zNW4IEyAYLEHjhl 1IEsarJx+o9OhsALUJ2TdW2STzMrGZ8AAhuR62PnD0xhQagX62vfQPYoqSSmx0zVaB8dsEusU0Po J2FG/Lu76BCPYzsYkcfH1whZRdC94MjOjpLMLw5tbtXIH8aNE2ukJ0wAooOq0Uth+b/zbdEItXtY uSK/W9EUSt1n8AUxOwrpDMo9CLrA2WQ/vV7h476UD+2f/TulHozfN1cyb5tz+Yfyl2NlTZ2+Biju otBp5zVtLMQgTF9Wv+49c86r9uddPNLTxLu8uODJM+SZN/EYcOcKqu/VQFc30P7yppbHyM8UArQW I55CbB8cVPtwSl0c3LMnXoWNNXxtYs4pwDB6O+lb2yXvTo57ZArf4LcN9zSWLjExtzmIQOL6L+Ri Mh3FjR5JQuwcvaHupWboY+ARo96fk8DV91rH+3tFr3JL2US847/owHXHVir9KrcDxzSzpxwc89tg R57W+ahVuHwUY64OboJAupxTiTzmlGqwE5DCo0MG2Re9Yb6PI9uF/Q/M1puVbft4WkNq9gPodx2Z mAu1yznkvYZcuHm7Dx/n9Bw4Hs+irxkTib/KfYur3Zdg7RF5OkIQd905YyAebbhHeZuktE04UqXu ekw4xmrACQLK7Z7JpC4AH+1sMapxOWCz9Md1L6YJzU6JUCnFeJio2OPZpWYGMqS28rVgKhivRCDT /AFJN78DJ04pHStFuk7POQ/IcwBdKMm9pS4BBd9J3BxOjJMPGTJjtRSDvkphls8Mu7PZYrOxUSjF sFzCYEH/acaDdoFgTUkhxVpt/sO8C5L1Smkrtpb9oIbv1CO8y9pnj7valZd4O4pjrttqO5wB93r+ rJlt2dU9Uc9gniODGqO0F3vT0CO+QtG15TQg4wgiollTNtO+CduWnOgR1hY/CG7fIpPAUcbV0Ml0 +Ke/td8uZJsaZ9UOkwElQNSBjW8KyCXJPWlWeQMoxOPaHHnGyca1zkXY+2OFwm49xrd+YNXndGt2 QwjWgMWKMybikAam/H2cLZUHfER1eZnDFqrRt+CV02AUgexG1ffMd3EakUTP6PqbxThYzvrbLaKg FkCs/NIBla6oXw0gvJjWNpWWbUxvn9bvMlnueNqcpB+w8CfexAjLdzxf1sHhaGRb/3mPXGN+AWsd LOcpxMJeUycIOKdLVNZKnIa6qzNm+VS8fSPkctYn6rgtuNYNt9rPjdLCHsVEifDZrq04WGsXkwXr 6fJ3tpnrQBgwL7nDgJqMXl9zTaiJ9jrok/kIIQrTIa1paFGMP9NtUiD7gmbcClmFNsmLzJTZSuSc 62ax8bwR8Bw+Oun6XDHgRBFWMDX2eGbPsXNSnkbGEl3eNTMF75oOKHe+x34E4i0CcHJ7eEo9U6ef SCMHEwMhyxdl1b5Qlfc1s6KHxunRMtFqeaN2V4hCOMuOpPYAxINOS0fqRPr3xGCAZpAVsZUYl72R E0LgAucFmgIPIWswBjhUPdB/+mZi2iy7MNs9uC4916qOdXVwC2p2gD5NwyKmaD//6GCml+ku12pc Mk9aHe3CReuXCEbME9n6ynQc4vm9d5SKIMwz+iB+D3lKlrNeuSJNzr/V5RQyF4Ee9zRv+WtAq0PE cEDsVKjSpWDUoZ8Pwh9AoA8Jz0hGkIpCwvrF6e8NiFnZo9c8P8AJwFoSUlYV4al/N7/w2EuATcef ZOB2szxd4UogmeRJ75qpFcGGb38n98HwbVbvdfbBnLEUZ9HgGfnjZhY9WFUzezPK//RI61biE9bZ 5DKnG9nV+fWoZAQB6MbRz99LbH/kvAsR26Pp0VYLjLmGpNh1E9B7FUtDrzw0D7q0K2mqwoVRuk37 NKjIvNUhqcarWK8OJOVrNPAvoqletMmoaSXI8BEXbs4VFksxRoyAoypKo+/QCEP4T7+mx+rlYRd9 StNyaBtl9awx7qd/TjYXlE58R9Ix93UN1EBOklEA1C3qK1rzZqr56ApUV3/dEBEKTEorvsNxomfv O8Kn6h1Py1LCcZ/mNn4mTqxcs0azQIbDBGp6N3/AEhUd+IQBgRAguZn3ZfF4nh2ZkBCVdoLMsd/5 Lns4YNI+U6TSCl03bQp3PgRq/kbo20g3M5nEYLSt51MYKNZ9WP8UEFHfoqigtCTimsDT0HVmPgrW 9zY5ZzKSepFHO+qa7sPYDSI0q947TryKJMmwJIk2qFswj45Qgm5rcyQyNsgBUcB1UN39FWXiD+hM BjfaTjnlCdUs+N1S6yC9QrtEQRaKVDpHLa/d60HgZUZ7zsniSJamh+Mf/MO3ZAjIG9A1QchuJPQ1 W4H3cH6l0qWM+fveg4MgAc1lsBYbcbDNMwwYiiFxdks6BsozcLnz5Dg0wwcaeboLJUvx1eczTHfF /zVPqTDoZrIaPWKpxTdajAqd9j0l6wFWZFJibmG1nkITNksdfQ31jNGGWxYtVTACorq+rqQX7cfQ AQUsmc0MNU/ivxBQqxS+ycomCuOJ89w+CYY+2ehk5ucBKkAoKGxTVzZADFqKFMDCqged79dUTixj 2OGiqzRgSkLA2sdDd5Mjv2xvkyOeKz2UWcnl1M9ESHssXU09KJO9r8R8LmtKn2FukB2hjPsyQWAu ufvNCwsTJ5wuZxTePAy3zZjiN9khSMaflXDaBUdqhUbnxMAQkxMREqozPkNUmB5EaBhSAveE7Wcs bpveWjfHR+dzHyQqda5GOz0FWax741ZbotRluU1XO1tEiZA2REvITCVDFtXIYLPDyGD2gsiGyH6l aBagOjQY4dT37CbVEcWLAa4E72uDha8VLk60vRZ2A/QXYnzdXPncDQ9sqRzdDALBsulorE4iViOP 1TgtD7qNl1/3kJS1VRHJLCQhwSSH1+wSdEf6Vcg7o7lIyFiyHWpVJkFv+TGbMB662MkxvMOrwWDJ Znc13t0GVR81q61q2+9rt6ujOG0hmo8lUUIzcy2RlZ45fYxJPc78EZRqiMukthmebZ5d7lFb3mwT e/8j+M/4rzeRQwCNqZzOglBCCtNSvUxs5yvPKConxU85g0E+5WL8/uhK3k+fgci07EYmmTOnvEJ6 bZ7k1bQmHOZeKXW4DooHkDAoYjCRvLN8HonL2Kv6qwABSPkeDSKWAjRgXmXB0pecO+faSnq17keO boQLvw3rB3QslMwcSae8vx7PoO04TWY90aiGzBxglttCxqGEl7E5YrR5axt7nhvthdBDopy2Mmwr Mq6h9WiMh8r4j/R5l/gJhoPeJ+F/jsZSdve7ta096pUYynPmg0FvdB25z2wgJKncp19GtspCZOFZ 7MtF0AoIiOH3bs2ddfhl1EAh1OaSWoxMAJMiXgeghOSIBHEfn5AjE9uOx/LyQ4uYJXnipDLAPpir KG52VaxGp+mN0UOXEvLRr4XG60Asj5+P4s1WP5Kr5VNN+v+2Ai5akHwz9iAk6jKeyRDEuVZRLiu8 Qvz8wP5z4hBhnobwveaG+EODFLdIJtm2c1hxPNzLBH40wAPMXaVbOXddp2WKs1SYD0t8Jwfcnmw/ DsOreBIngpXxMERu9ZTOn7+yWwFnFRHHi68I6/jNfV5E65m6WMlX95KjIIJRZGAw1c7WVYaiLr+X PdH8SKQDeEhPtV+Y7aSsyF+B0Hv813J5CD8wH9UawtnlmdfdgYt08EPZ5jDovSdbzx6N+lEDBP2D AyNlnEcWfK/b3vL/uTPvvKXcbMF7QUgQvmapwb4KQP5xD1U6VRvEa79MxaZ+GIOx+Q5m012z+yvG 6UDnqJ/Pe6iLqq/WyQPuU4wsrgY8vpUdY4U0/utNySRkMJr9iSoru9KzktL18NDTg2iQ8vN3V1bN kERTat5HxjzWuMc9y2oX7fN3h7LoxidMFd1Q5vJrXEHbUJZ0GdSOzMb6nzHlQtZhmjXPkb/4Rb5g RB1Wd7rDiSd0pXMol4OeC1QK9/lydw9f8dR/OQ8T+JWphHtCJr5Yh3OHgeuD35c0e4J1LVDgHx+Q 7CK4awr7toQzOd0O0qVOXhwe0UMuDTerXd6THwzhNQR3lJHLnGtMH5Z5bHJqmn93I27daX9aXmRL LEWPHmifEcHLZAV+xAp17eorVfFyd/aMgaPATaMGdePkO3HfEAvT9cnppEZehQAtzCpV2my1TrTX 92AZEhH6pDCaPY+/7zs+4SRBcN9g8WZzk2WIJIBSeQlh15n0TA2YsyIEPegdxF+cHl9n33WFIqtF 2KDqcmXiXjoBy+QmyUHpz+RMX9GEIhmDZORjqT71O6nSoYhplLTGXTdJA4wGpJLqu7wR+b71LyVT h9Qq+E2GYSbSbGdzo81c1xS/wIEbFzT6x945L+xn/abVYHZsl5xneVT0fnoCJT3UDhcltbYAvGrb /Dj4PL2X7V/8CMFScNrH383A00LJKNwi8wygXw8R7mX5WUwEgsgD3lhb2HxHaJQayMcuOne4DTkS FMMPhy4cB7TZHZVmAe0Tj3l5FVj37JGp0utkChbbcLTqYvS+swYMyxP0ytoSVqDnL85JZnwipVxb czr1ncGa0bj4iYl9s73Dkru7X6Ey2cbbM/fZdpVTsFm7ZoiKdsPVZyfN8ACp/XhwmPvfsX+IWjW3 +Cal6HiYdzdWJLfaGCNkrIp7+3P1G0/dJjEoPvUUZ1iV18HVLiUYEIxfgm7YLdahnIOqmINWQTRi 0OgYu46YLtgqkMpwXj00eoBNSJhu7d2jHpfxKABjVmF5fA2UwvqOcR7MCvRSP4l9yqhBQ4Gfi/h8 Fmi7iscOm2i8cBB9V//2xTVdKyvvPkhpi6hnRCYqneNl+EkUCUv+L/L7/pP6PbN29q8F0DYa657P dS1bTy0FSKY8OoJY/jyi7h2C/t3TJUzxNQX/1U88ammmqnZzevHGEBtAlJShh9D4wuqemRrex2+n dJhTJ8WJMXM8Bq/M05NnKymHnVtGU/7XP3Ch+N6LcJpk6S2IAAIJkRLjvVz1+p5GZDZHm9iOpl23 akTpnXbYOHvsh9XOFzvmc0JxjdnbDDMR7ZOJcbSNR5vldsOShITFgsFK1Qbkkmn8GiWPJHvGVi+t D+xtcDHc0LbuQTKkajf5fPh/I8lBPcSVvW5XJKZqBLidLYn3kP7QTCE8QtNnwxKozExfRYY3gnpR ywNybUki1bUBe5sJXEbr4VjbhckUOE76U87Uq7ZEthtluYjVm+e3KVL83mlIyxdc3Ceh5PcR1lec Vz26S2p8IXLeOUufSTrgTSAfutz1PIvDdpZZJKG6dzCmZqWZS53zilJxr6AssjXv6ufSbqs6yLJv YBZgVcuzN2n0Na51s/xj4a6wQW0Vb75VfjiyVoL4N0CnmtZ+L+3/tjF9fXW4imln/6ootoj7o2nh B7TS+HYlLgJVOLsa3z83lbyCKM2zFEKp2ctX1YfsLSJlRGoSHMjCDAW3H0Rlrp462SZp3YHkEFOu /ZtulILYZxfZCek9SGHfHBflBtY+QAs3TOz7VKFjh9YxQV2JB8v+Y84jd0fd6rnASgM9wxiymFU8 QS6M3VNPSDMuiCJ5JVpRxCcUivX+HjUJjRUZ18HuQGmWrm0KVte6amqAJeZ9iXg/4NEkOkesVGIk H5MAobVmUzNzA4SNsx3XC4QBz9wXqILhbDIwsYfNyTK2yAW/0fOVJnPBqvoT6tHEQ/n8l3d6brbu bkyOt+1BLR3tMmVa+Ag+GV2joASl9xTS+OlCoLfwDYqzLYr0IUAat58UgC+Gu0DwQrDO57qLQR4R Y6l3D0uwu1wUN2F7g7HZWI1YZWuC8gSVab2vMYQV5BUPHGn9Mtf0nzhNBZihpVmLQ6In8VDMRsxN /hrXqaT+dw9tIdz/zpzZ3kooGlS2cEwLeSuG1Y/snyMNw7vO26K7DrGX7n4EjgcxbzTY9I6Zo7K/ A2ou1hBMcX7eExFJBlji+qY7T/yQrSxRJEJ03ZGrm+nFrRtdiQa8sROlAhn7yqKgB7eVb1EaZcLI lDHbXCF/OGTWPWfY1dSUpBa2j4iyZfDj+K3S44QYN2N2zRr1hG2BFMZfHuJRTIqBRlynU0SDxebs F3c/1hbVYXsMFQpSWtiLri1Mvv7SInXmDz/KfZa4Le8nW8Vyr+G1ZpH6f8o9qQ6QyWEMKpMaLcSv xQLU5UQzIj/hKurDjW2KAwXdCVN5iAcsS2mu6MSqzgFjOB9/0M8ma4u78z5/HN72DS47QVgcEug6 +gxfZjTpatyhw0Vr2/Ctjv5nY48oNWi0hp6Bd9DarVGdIFmC0qk8Kr/tsiUWj5HgL2eiG7RDj6MF VtsDpb+MZZPwhX5jgYM27B/9DERxCR/4SharY/k2KzpxDqHaZmRvbdxvHSJarbrKGdGPcJ0ARRXZ 1q7tcvmQ7ToQS7X0iv5NtYBNhZtPNUNYUzkDmHpGkIz+VfIq/AjchyIA+XP01reLSrOXRZkAp7cw yj0h42BYG1VKUWSuk/5rCUqvofm0hejaiNwgAI5/aZi1842FZion0h5woWqTAYkB3DFKo1Kcesrm YMuTqPicrSB+I6m3CvDkEA6EgAXf2FXZEAoG16/KOkB03HQ9ejUAD3sv5c1URKmkN64uX7UkFvte EJ+G1/g/5iRIn1Ag5eyuS3AB3IrmtIVMlVvZmE3heyBCbQmT4xG7ALq4WmTxZraE+XRMRRPEhddn dl97oqfcR3pCiOMKROIxmGH+4sFg5O9/XOjT0vXPqe3tTB3wfH35cCFIVOSamFrGDcMo76lQ5dro gbtYKWIsIq0FdEYDd7oHDUEDvK/t9mBNHC/wAc3K1WetPiNISpwn45tsOshLDKvEAVnyXvjbWZAI XIZxfmdDGHOalhg2DdRt5X4Ba4fV/fC3ZFSVjbls2p/YhTUlsvys+HbOLyL1inuFY1VO3ML2VzCZ PfewAvisvyzuuxyfwnCCJ27qsSU8+UUq4SVJz3vXaobada2jOuXeiGur2rLOHsSiCP+n6im+BwzQ rkL2pXATKfSC9kCPqBpIk80s0khO+q1riMrhKSdZpTZl5kVGgazoWO4NjYqRXtfgCt5D2xHCbu2c 1e31i9gMeZk4pNQbgxnUWTpNHZ0CTPhjQk/8EkP8TYwOWPXDipYzq2d8qrIUI+RqRiy8hccWb77w /JVxyID04I+qzpCbdZM/AFyD5qw+Ge6bvodzhozxWEjBX9gWqNqCXcXYQ9bcAY7xHTFyunCpeXi/ onNyh1L+voz5SXhjVg6m8t+64KHagpc6XbJxc8cOfC+NtW1JEugp2RSZjx2Xquef8noBDMCMxs21 9D9Sklffvly9uAlw/KL0SMFK7HABv+hmv0XuZdpR/SPGpk46XajqVnIWdOFQmemEoU4My61hjN6a BSNKS/hTLqd9BYm3FbOE+ZS9Skma/Azd1VOL7Yql8eYGMnR0swfk7fCJ86R7mJdFW0QObto56XHs O8h+KHst6WbPwh0UOuf35CCrkdfZIxMX8EqquksmDwN1ni5V/v7MgBSvaZ6HlHxzJWConjEKufmi gbKm/I4gF8j1HHtkK12Uw4pcBXmMu550sQ3VUJPX35a74BubdMPq86CNHGKrUuYd2RW5yI6KLo4p BmoM2nTD5f3tNlKxq6hYGnQTE5Q8OrDAwXgpDBLKnpQA+4+4YioFTrh/m+8MyVjaeP2EoXvu+tFy 6wQZiKvqdLUEzRy+VKEtEQyDYBmM9auUMqDn4YavRrCLc9PtHGLcg1ELbEUEYfMgYeC8PEEQDTGz /ZatF9cmNKvfLB0H1xC612LgHpQ+G1nksFPAmTr96OdLSMmIUdMYVyNK/C2qlCaxvRBYvd48cmsn mzOy/aI09n5MMa/mQrBBRW/pqVlxmni58LilXzvMH2t/dJJOBIlC8ppXopgc7Kt574EVHDsaicn0 5oKKI8mfX904qm7Kfk2fzipe5sfV3pxMnZmdoAA9LdAUYOVbR+ck49W0CRF5OR61B2E3aS0slZDy TVuvxE3B1Doz00iT0Z8YdzT0oRAqpBK6U8vv9f8f9CqD4lKvCqaWZjpNxqfr39EQ7E8GlqD9UEYf SHUHY6Et8iouEug6mKHUiCoHFpREXTBxlcVnwmx1N3SoWJiXb1iY4DJMz39QXWy++CKci3VkssAr 8JT2GhhHMNNRIRSv+h4sRxcHLHVzhvyg1VTrJIYXTZCB2s3vbMuQXq9FTpQUaGHZt00nxzV3qE3V zECBBPIyzUXt/V2yqsWOYtttbh8h0+gTKLIIIH64Zd7NXJ/jWpr4GGhxLduQjYWB6IGTfQHVbdEZ Rw/kVY6SmNuttNa/u0zARUS9cuXW/iBe/Xsfs1QGW0w+9Uey82k8LqTCuTOsjMcSG1cfv9BuMkAv JONYhByJZefD+VcniAFIJhqcaDLsLl529Qbnleepe/BKAmqREOYPqGVw5Q8s19S84SayC8t38J0C AUKvlBd3mi+b0/w40LYWZM3eTtu777KRKdpgOfz7WSTGvhF9g72zOKVgjnP1eH21c3XW6H0yN/On VuaoV8wNOgnKK/0Hb7qaiT2TVwwIXEju/GZOGUE0NtrWIcje10Y1qFwFDzDzjZCF2Jy0w24081D6 Eegxt1XMfA9+QjwxkDPWdKBNDyR4yqpVUNiyc8pQW6ahW7CBHnyxP4Q6vTe6D7/uwodMEJzqqqjS nfBBJ/4124OeLQLbAYXjSuzkd9umDOCeZThzKWHmUoklMuwIqDKvM09RcquOu2Gpsh+WuJraGcJw T5KEQD4r/ahlL/XCw3tuOpuX4yH2PKlbZwsLJRzyQulHA1ZywZU/kdWXvLXFOZPAPPKcFraDbQCb bYwHTrTUs/mql65gze7H0AeWnmVEvxOABIgQ7j1LOBqMNUj/S/vMbnVFZ5AGiho32x860cZ83zTD Z5ETBUeF7AJVHckKJ1aBiglguMZKZuuWjmAaXd9rofqVINv8QfnoerakcOHEJwWzKFA0j1iFh15z yJSzMTJDu1aQ/21zAZ1aoRFJDpYJed7oBLWYIejb4qxL3G4dNj5uBhskVjGqwLxczSdMNiuzAb1w xjrqvEBL2hjdB2gaEkSkPKbFiVjhmQZbI4tV4emEfsck8sKDnpRRGrsTUyc6IsUzQobKhp8QSDO5 TundzPqkLJZ3+PZhT/khKxOVQ3t0C2L+6p8dQN9Wlw8XSGTi6uIiO4e2mBnMHNtpX9DQRVb601rx gqHFcTj4t+rOFKLoPffn2wHguEnRQQ5A3BVVGGpnW6GiuYYiSisURpLYFLTPt2ABU71VjV5oEwtt bue6U1dgVLGyjxOqjZHnzfy9HlAWfWkXq+n/AKh5aX3gdXlo6IIIXtAJ4hEaAp7BY3epd/JlPepN TmlrCd1DthhMrLXZiUDoGw0q15Tvh9hX5BJFbNQ2JRWZvFmGcaYmXE/sp0MumD02N8xZE82cthWL lkMAPf5tBOOOeKwaU9h8fbzh5zkGun+hE8AcLOwkfeco2Eya+rhv5OxkwXTEsis6m1pL/Wu9b3re Z4i3RXEwABB+ytvpz1Xdpf68DQ8FKFM81Ka4V2qyWxLwBg9X/32hlI3aQ+doRSgATQGnpxD2nzhW NP307veLvElPGXqMrDcRWhDLuZ/z1M9zJSLH5ZpJllG+QZbqYWHbN4Izpi7MjvoZDGlHwWrT/q4y Hsfyvt8+ZV75qTmAQfO7iiblJQb8E+0Y+kA1QPqOh1zOENq8PzkBT69LmnknidvehhdKJxRNPtMv ZAY0DYJanNG+mXvzz+UQXU4FvbYXufLuc9vY3+FhZmcGSiDAbKAt4rypTalbk7ZXa3rztPcBlzKY uPylnvgB535WbihFONKJbK7fQnTYtXKzp1wqZkrVP0ry6wtBqlijcHaXHifOebUzF0oAAknXiIQ+ HmaK6esORN5VZBhDuSL2q7cEop3vaXSUoa6GdeYBOSim+HxxtiOKas3zUdKfkCOAreXihy9UXyeV zCXf3yVI+/F1DhEnHg/GcLx/NGK0jp8ey0kYHZHUhkMHLZb93+pQyijEqgQLDHrCLF+eMJpuBIkR dOYN4J7v83u++CKKV2M+0zd3+R/HSmrrYxlQg/Taaj4Mkd7xkESqnzDxNlRccEnbUYEKhKiclgKW Nm2QkNgj91yyynFr8MmzJU0LXnFI+NYorF+QyyqhAyVFgr68Csp1M+inp/QxvLj5ZiMn/PQA+A54 jEBXFMKyFcugJzsLVbmyWOljoHZbh+uSrP2F2iqcPNnF3j1ugpR6FU+2MM0MuVsAfRa84HXdhfn9 4jJ6frp0gKwB5TZOhFnu9Jfbthj/dt7uPGNrSdUS78dOQ6jq+p2g7GYvZUz1ZZFee5JJ4X9gA7I2 FWyWPzE7sYPQiCuX1VHgRmgzCy1cVx8z+rHjHHAiu+HysnkoBcm2gjZlFC2qVK45tyFOv2p3eah4 zbmIYYDcRTEl4i+JG7Z/0nUa8KONXIdauZnoOgkT0SNH1gwzLFEJDpoLTlrRLQO5N5TQGb6sfMO+ XyhioVZHZqjtNk4qrUCKLZGFYlBTt2C1pYJdeVcAgyLKT0BThfaoCvvGwqOLyHZAtI+fBUafh+Mr iYH3mUCPGQN1fcfazBbW4JQttUOAYfihJNNLhth0iTJjEYjGjg4hOQ9BVquZygmF0JeOZaVeyahW Lupu/lf0/7l7zdoCScm8aQ2AdGl4pkToMZfQRJpLczLWsy0JYRzZnv/qErBmgeX6eE9XIkUJ1NtW JtsCAOQbO/dZR2QVbVK30rP+Cn1QZ1M5SOipshBlCV6p3UoKF/nD5dqjba4BuueF9H3/Om04qoX+ 5JDpmCNv/e50p5eT9PDc0Ix/p7Vcsu9j5FHybBzfYKsSTJUiTlc2L6c87IlA9OuQNdlKP7/uW2S/ HSitvCw8J+wESDC24P/U8TloMPxS+Gj01NLyaZFijmgwpAa5diJ6xubtssqpis9A+lGuugxOxnJL 5Tj/jJdb2czJeCovRGMTTX+qKuNdOnD9bkAoqGsgUZe4Jo5IWb9TW1f5sWNU+X987IZNnyOUUbO4 JJOKI2iRDnPDx9lpfOAuzqR5MhHT5lxFy8OWzOvtGpl7/C27CVNVNOqWdfg/Dv2LliJd8nUhJq3X ugrNHqwkQ/5fQxHeYdV9lMoipAYlaTgMKjnKfcXFEMLH9e+sXS7tgdNydZX3vsNr5Trv6asiIDvq LWL/DVSdNxH0A0e9SJeUIh2S1DgUVXVUc93PDpTNN1HkTaLWCDHeOb4X7/KtyUoutJRnBWew6aJk EvRNYD0+ardVqTnbRmtkymXb/Op+sW475xWu8suOOFKWr8BVNX4WPTSeKDEZ3qcGBNg+GZkLPBO/ O3c9CduhrQIdhCX3BF3//CVjBlvSs485kFbirNVHXfW5YbmT9WXF/6L9i8mLg2Heuj/E3payQsmJ cN8zg71b4Zh7ejPS53gXHOvr+Sep7GAGJozeNF0jZLsdztGAkcdsmRgo83zMeje74WhgoqpzHyeF nXfDF9eJtva2BjCITjHbB0FHLpsNEO3az6rB3nXJZg0z/BWJIX3RhcUOigVVxA7wDRRlLx6pp0sc daT2K265iCNAnnYM0rDn6rDu8Hrl3XWS3s9QL5AfZo+KenwFVdFlK5JIYnTMT+92zrYYDv6AMqsX XM6JYXES8dgWPCX1sizNMTxhyopslID8JQw1tX2hQqr1ahgKiO2/PJS+vbEXwboBKaM56Hf6Wz3q pkyTloS6/+S8wdtYkMSu3Ka5q6UqMgMzaD1I/EGQpC/E1CwJNFG8T9wbHhb/B2B7jECxui6p1HQf lLztY+ofq5Lb4wkZp+B+HDYWhgV9uwbdhMElM9OobABXvMRFY/83Be0NjmlxrhMvN09W86UF0iwP SSFVOCTQJjB9z2V2y5hpwdi0wFBS93sCDy9++9BWNJyjw8uQHOz35jZftdzSgqGVfyntOYDyRz/L igdazTqGd+W9n/hjm2Pb8wQsF+oLEtZkZSF8f5EbBnr/fUaUeXZ2liHZMLysn1p+VeMwZSEeNx5T 1JJE9vuvocCjFYOi9KXijZva3KE3EE2dp/TWDGjUkWhwQ28Dg7EUG3UGA2QL8U8tsJlEaItRUQs/ R+aWudAcnZojGGOGQaAz1BgKga5eeTwE6TtFMzt2+OplujwtwR1HyyRbAQqw+oIVJC2Vf2q3yRqN tuVc4p2Wa5LfDSFUPW77qptezNvRxxYx5STsO9e/yAoxMXczEovf59PJK/NcYVzp9qnNH706h/3m rXiJQdaZiI2f5+YT4eTuP5StcvNQaBEVUHEwNqh5I2x2XzaIY9V8Fq8Vah3J788emp7IWmlNyVsE O70NYxwGZ+TCl4O6P2H2PvV1d0EDBgu+Nc/6suYyRFOfhqlzAKpao00hdAl3z6XqQKFQWFIYrXcH XfyyRIBbo08Sq0mDOa02DJcMBq/snvmnStn/F2067iOte2NNJV71VeJzNEfHapTZ5jS7ecfolnws 33+9pBWFaSle3wWaUV4aXyaeG1A8K7Gla1KW+/Rq9B2T0aZ8BW5uS8FLr5zcSmElJ25r6b5OJSqk z5JeSEJihaW43L276XyqHBnfPHoiy+4B8ehhus6t9LT2l+tglOxLaC6fh6KArpTMzhDnFFK2s/5J hYw9mSCCfi1ANrFtj/mC6V1J0IWSGNZTOP41g24aLu8ibn8ZS/XrwvK0rEv7plZcg1TFj//Dx1Ad og1ANIuOe31cUmB+nzXsWUyRMW+roIUyPqAc6N6oB1rM3ij6wEO+QXeyZrvF9mNCgDjTdJUMDCZH 71dgyi2+N2kKKNWFGfQEXosasyYmiiPmTOIjDIrePNcVlK4Jxk5klkqzwqdmTuYBLWooBotfPbfj BE1DRUxJ9QyHDMIAFF6tR0iA690dYKmu4n8PaAIS/UNZRrCim8kkmiTiolztYvOQspen2QF06wyp NnTGjs8fFJH4TFC+AhIzF4x9i7cDaqOI3cmRalLLUE9x9owtstm2LqjuB9XQRaIxSwz3/fI3kJsg qalJ2f37T5+7cGmxnt3hv4i4g2DkLY6AtL5PCWrbHoFbkHh3xDoH2aU6YdL0o1TF+9U6qY9GlHY6 sC+JQPVy4UjEKs9qxiMWsTHgXG9PZX8WSfHQKPut+p4mSl2clmQQI0jL4wGaKQ1nKFTECOWgFhsf ZWw51ZZou2cTzqhSfE9jym28Fhf0gCJ58CR1of9P49IDrGBnZ7MSgSQu0WAatAO+t/tXELdwn7Fe 6YYZT9M6NRS+gwc0sZkl3awahZTZBr9XZGlSBsTnBYysuH6Km/cWkfdLrSvxwvDTfT8uKfUkS5Va BiTYgiK+ILvbgCIK7FLbthXEhWPbk3O/MARZEbnk2+yhFwN8UksReinA0/c8KZHOhvn5hKuWcAhz qfSQiyxVKljlEYP8z6gk3ygoy+FjCIyYki/iaZIhOvvF3ds1qK6/PCWiw5C3JWSQFaI3quzJucTz 4NqxLQucNE/b7rdt2mDA2cH+X3M5LHjOzkAyPZ/j2rSIuQMR8S+OSDq9l9LPJjmvxIP6QdDKAHnB bf3Q7/ZgC5qOZAZHEhMHr8TeaLYyfdTsQcxacssGNrQ17u/H6DZB6J10h3FD3Xoq9HKFFnFzZJf2 EtZ4M8qxQXyQlE/v/KfV3ZgxhfNh6cXiv3LdV+HFUIUBmz+tKK5ShVKMIzQfmvnIKSQmnrT2uMQQ e4FPdHLLYT3fcIXE43t6P4HdKXNmw4WTh9U/Svjd/44r9Aoh5Jsd8JJ79d622WwmK+57DNge8h3l ub3FuU3PexD2XpSAJBCZ9/QErVwQUNfrdDkrqaxf/hMOjMr9DC8HOqByItc67WSyryd631d7DHcI xI+ZHRtUfhE+fsgmOIjqtnYzjAcLYClQq0JApVmnUKpOEY8nTDmO4lGLU2fc0wlRIWU5Wiqf53Oo 5LAfa5t9gGGeFQw9gH1gW+v32SnOEV5orxGKAAd7BU5rPFQ+9/5jASRmZdGYs1InsfSUvQ2AG7PL iB4cg04q1kir+UpRmTJlJs/VHMxQZNJHbzQDxGWaRdNq5Q6JffOEl4X7M4J17Wi+tZ5siV8cbjK/ TruvrrUDjTzzagP/z4JLMu+9Z7ybQvCZ2KapDJkBbWs43jKl2fMPrJpqMgyJT2o7Aqyr29scbBPQ /+ahtXZ+G+lvThUcFvwMVlK8MgbBmoHpieb3gLsfVU2NtmW9NARGQntLdIEB2uU4QlDpDgxzQrh7 0c0ts0m8WMA3MjLdPGltRcu/VnU1JdcvGFSwC0ZdTHmgdu4lU1iyGD2gVLQgaiJIY7w16NgSy71i x2MAW8Z5nGbWSlUDKKKSuyFM3XbfVuNwN9UneudVMzxfvgnPe/w9DIhOQAEt+CMlnoddBFMGaqx2 iL0RvQA/G+VenvEXsbOkKyoGmiBRgTzLZrDQydMorz1R/j8/BtVjXQ5Pfw5hUfjNfPY7e011wKTw SGNj6kEKIi0qyfvmex/vBzxQUW2i4K/UoVhRqVx36U1Jl8rEbizCyvcY7Pz4peduq5n/hNxSFhK3 x3K/NB+ND0K6+wTvRRnmIHxtST6K8BX+9TimNK0bd43JzeiDlbZWeivXA1QVT+dvmBvIVoxTUlMM o11daeVEzeTzHorpBZiAsjJtYv1KexgHsC91so1MfMACPMz9lFaigadE9epiq5jHXQ91IKjkgkQv g3ZkSDuCvpOMYDXvjIjpl7f/mcZ0mwTApPkZfVt+TzNfbOHuRuqZ+gnYYsmboArlZXmRtuoEhGzv CSJjsuWVDWpt3MuA5K7IwPYa2dSjuXdK2PTYQdMMwgZhHz2HlNURGFXALWs/DnchDvAaKV1BJchF /4ilLnnWwW4LhO0PnQaGfnJoSjpWYa+F3xCw0M9MCHyTQA/XZvjIYdzV9NUPA8uPLhaDQUuVZ9VR qYYZvHjvjKtcAqprWp0sYXyypPt9VQRV46UatDbRIed7zS3UrnRmfbZrCwI2Dhqgti/X8nGjxm1Y gg9AzVZ8/DJBysP7FsZLlwn62HYv9RBbKrawpNFVrJCCrHBHS6MXJhzVJPLNIQRsom24HBhg3Ib0 eRITWrtj9OrAeItMsB6xkGPKZkaX1ZUKdOs/BaKsh5YunyWyRlhtbeBOnI1sYEzTiUeabm8QKfMZ cLB91vN2E8JKhXjh1AM5DlKKt+m8IyhbBQFeOr6KI2PbiYi4Y4PKOPUamLxGOCmEnKObrISDXygS 2SMm/ve2Cd7EDKq0bn3kUPt9kh/eq/HuLGr4uUVYMSQU+tgSsoOGZh3hj2TzquoyYny8lKJQs3IH 8DIfjx/JeH4d7Ux9V/u3L+H9WVNPh1Sxzi+XuPPQvgWtOdz9qEUO5dbEuf1fzqNoB5AHVwRt3uuC SwE1+C7dnLUvBDSgTyvcKOxREWDzb/teziZ4Voxrm4QQp02/JDfgO5ogWVPMfNcj3bSTK7qZNkMR uGmox2SuRee59psG/iW1O6dladS6NYP+PKexGLnn9tDrcjNfadyRqa2NFZrpSRdS/2U9TNn0J0C+ UlHGnAfhBGZGtqD5l0DyTQqzSaG01fZoL9k4l5GwkC/SdZgG/sPiBjG0ZtCly8uVXZAHZf8vCqZu c4qsbuSfK09R9Usv/o+Me3/0fg4SGDJHmgwd1c56dfl/X5bdtpy0ekdhwSd4wILJPeTYr4ZNTKj2 MRCAFMHJijyG+fdNUGNYCuJt//BHAUr/Vpry20TdIUJ/+b92Ub4QECNCQik4go24qie9H3y3WoYv p9iz84PpRhduXCNB7CiVFr13XnGe0nJftpxyWGyilzwj74VHxtc6H+oJIdncSdrYTYiDTmMJbp9n nZp14vKxgs56jzuilY80eN3k+p/wlSVrM89LuC1LVo+pvgAzrTDrypr8aXvRwzPqH5M4vTr9+nFc ac0hq/pVu8kJ5hBntTkX+t/cVtJ86xkpW96kr2rg0hX5PMwAiWEg2YfyipjnxBGDm86bkGZNp9H1 J90DUKnqaEdT91tcTpQQlFWSHwyrvyzDIrLsmZzB9UALASJ8UfnDOQk+VP4AGY0VmrU47vzFCIPy 1hCd245ZxqxLUzN69db9YVAqus6I4GT0jqO+OyD0Bx/Q1mTO59H4ibKcIQa1146EBSKWHG2TY5Z8 zS4J1FRmlfHVBupFQPQG4xPoHPzB+NctTKOURCA8W/S1OAV4CW2FH/0ST6YFOaj1vvQ7ovOTrfKa nYFbYa66XPlThK3DbS1pbbbStOzH1nWgpR+NFqsGQSxuACnRKJ4x6868Cp5zVQmK36T/G/ADoyuP eFC/39+E8PtFTCM8fn/baYqfjERxeglwKxtvBozDknhRX8/LiDaN1EvEmCZUbMnWOA0hvyePEqTY mtTch8vZtXkyM5err4c8At/iO09iKIwnjIS80w+dJMgYM+FuJ9+28Y6Zc0YzHoN5IlC34mVZWFAI 4jW0BCHqHJpE33UfErOdVsPvOOlybth4BRggtuuA31055Xq4o+hk5S7jQa1fCmdh86vG26c1y5J8 G15TSyMMtdlbeTDi04VEqIQH3rf23v5p5VGWDHyyMci0AX0vqtAOiNWOPxT9KmcGSrOVvUOeRakG rKOg2K8rEQR2wAVjs9eifUtGRnmZxjQg9KJkXfM5kJ4X9GvthemZnzC6QqbBMeRG7stJ6B84x1y2 AHtBPUCs8/5uw6x3HbhhzC5mGggfR1yEaH029XK9rh0mgpkT0SgVMW11DeGOTfKLseRRSiivhHIB 6QFuWD4vsGLZfc7CAgQ8GGkzHA8l+9C5++jV6m70lED+ux4KD9yjimhYkYrGQBzdJ2L8hGqX9ml2 47gLD3Vcu59RkTIGzfrF1hnLvGTASElNO8sV8M79TGTQVXIxzZPRVWsu3Ogx72F0V8btykHuaK7Q FkKI0/g8K7HhnCVYzz/p1RRlviW5IcynDuLxsj0orJfdp2eapRKhI3+wuBd5m8zms81smZYPA8hc f0gMAYJTFKBRhliv41wXkjToR/RQnX6o5ohjZjVAvdmRE6CE3j+JKT9HyNXYVJ7YDcX2ZyY09q5O 1d/fi86qC8YFUReZ7Zc6gzlzDD3Z6fzbrlsRyWDsGwvFEGLBTTKHCnmb2MydQe0ikxpmBOBVW50U ov8W8iDp2/DkvzmUtBcAcyBthuZIo9BvV2U1iTNEPxnvImCbf9wYFFUefrmB0LTnMSIx+V32PWNE gC8s+2csB3n+k64PDCMEEW7JSFstcLliL+IWoMqR0UkGbuLNNdYiay7tgGpStKoUSu2vt/ZcXK+S 6dzdGpFo7JDmn8OI0fZYjvsQ11heJH5DbDUYfuVFm7z9utNMhAzBPixsTQG3hPLX4LAUzcut100r JrnHRYx9o7SwINgvr2LiNxuJ+tSku2Tb0tujOlh9EaCcjoLtTTevwZCBssy7FUhmZlA8dTWUirW/ pGeZclVF4lShPxsyjWvpViBW2EBiGbEN4xAmB/A8JjZ4P+HMAqNq87q5hfVDy87yg9BLeB5qe+vt ldoEX3oPv6If7O0fEQauv5FObfRhEjyLJ/XF4jeRbjgpVp2glxQR9NP+0XiLLYnqvHPYL3lm7Vxn elREBCZTtQk6FpNqmnRyk+FKlhaqZR1YitZfpvaWV/rN18RuVR4s+qHo6P37rUspKC76jg3yU6T9 HMugDOPb7E/421+kiW7D6TMWevIHb9OAB15088OD3AqX9TUrRiri5qwzUcX/tIofuQ02rdkcWxNU dtx2mbt9bryvQF/rzAkhxaoqhXe8y36GI9LgwuTxBkvtDnqrp7+PhkL65nnMkZZNJlJjRbsdfxPB ewgYqtictJg9oODMHjLYyrPZAHANrJZsDXQltXFZfx6oWZgSlZcHhlnJtFKUF7YeYnoBrF00Zrdp mfaS4rHB+N3rxmkRTkrZNrQHp3Hdb8sm31IkURJIv+T3at2y+PmRY7MjAp4OaqPCs7LDSZy4NB// 1gTiNO0XNu0CkMrolRvrf73/mTjzU0axmE/+NdsMGeNqGF5j3n2vTfKeZ2uE1szZeinN5Imar3vQ zvU0vLN1ADlLVL4X0NGz/SLfcc5930PaViK6b5jg08NowoZ6ND5I7Rm4K/tqsAAtj9/YfnnMCKji FYpJc7M9G2nEyFQI0Qfj2BiPwBn/5FJUVQNTzSkLOhDdksq8NB6kncpr1Wv4VX+iz6zqchozivEV F3YcGmAzuzYzubMunF0Cdx7muvP7u55wePZeXXy2yby3bc0jZsMnztsUdyAJsRNOk8/wYb5y/xUl 6OPCzDpSie0bAfBVP29PYNET4vZ0cuxCYDOM9/gsvLivLc1rJv/g9hT+ms0oSJr9EY8eOtaLeVK/ shYa/icGfw0H53d7XTVATpq5+g6Ytka8tnbHVmaBRP5uENXIPeqeLHT41nEnAh7nx0MkTh7b/GPB 9YR8pgxzdW8DyIrRGjiHZiNi691w9wz03aMFXhG86c9cikNl3RobuFFMhhp0Oc4xgKJvynLzHNvS rSLfVRdWfZL3BWD89xL+y8ieSXCFWT31e3JLjW4jSNS05rDD4Zeg6YS3O+qGjYcipuf6xXbBKLS4 aVB2O0p5kanewaVIZDof5a/Y9kB1x6VIjkUPcJw6nrxYZba4RdAonAWsIcBcGbwPDyK1yaaWc4gx 0nOe6NEEBJrfeWpdXDGWlWSnPRqA/JZHv+m+DU2GTsmSMvncwJ0UhuivEFMzYrCTrpqSDS+/3rf8 jWJL9LTO/JB7RS65dezCexBx75Q93NgrAQbpg19wOvz+aIO8v+dikAoagX/47l3Rv5MWl3IcJ03W OaWdfbv7dLxRPNwxvpeHbRpz6obi7FF7lkxuZVG1nYJclFKE4vsyLdem+T6V6b3C/jWoo08oIsYl Y0hCvhZsiWK9Wt9Yq02KoUKgziCM5DVImmLRKBvgl34JcYB05H1GbnqjkjHwTGxXnPG54oPYf0VX kjrKwR91q2NQeJ4lexNMZ6Yv/M7E0OI4WZwtZG9h2wxQTEcFLyUuLJwVak3FUWbGImZxB+24t1Qi KThzhpuYRsayYQ4lS+hPr3vrtiz1hGrRAXDGBMih6aA3trjlIZSlUO58qhxX3F0zPfI8ZoJPTzrh m5uOrvnO3NCbYbKz0dJForgLVwLRGFPi9PjICr7wJqcQoBCoV2ar50kwIlaOhRmwXJ1Reqni63aM pp18xD22x2f1nyvN8MS2xVfkfbMkGtNZr8I6Pp5O8zukNuAwj7VAE6zNIRDGmRMkBUdJgPI24u0y 7+fqXLcG3F3LsUj8I1xth1LpEIyThiiOynqI/1WPgctKTJAdoZsRQSgLR8CwRve2yHCdaSKaD8h0 I/wotbWrM7BAAfsptnlBMKiUbsY5R8glnWVYNTYji4hmSCnJGa1SiGTlzHLpGah6wRoKttfIYvXU e4xO/IOKr2TakI5crRgWUd74rmWOK9gl/rdQOpyACcPcr/WHd+jHa+xt/DM+VbW9z+i1aJwyL6Uj +FZ1JcGA2I1vYECvpPwPaChFuINN6/7vTdCCwuMVR7lPHEQqKDwOZwaIQDBSJZYOH2+2jgKfRB3F W6h6KWolKsvQhIkKXwdgJOfNkNo2QCHMUi+n96gJjGuGaY6IM+EQqq8QdmQLWuqx11f84pGzJhHB LoC4N33FNcOE4sQ4cPeiXt+8OhWqCJZv2Uza1CgKpjnFgfAMFhGoSqcEosFJR0/edYPxAZqOTlRw TWBRGFCpK5QDznAZJ940TkAWTjn8qudWJWldCS/9/hQgxVLY7xaBEiQ8LhoVPhGg5QNbH0k+n3Nf YfwzxN8JwmzWzQkhdHRDNOtIxf6piVq2hKCnkHfFmgav+OfKJfs7R4G8jamjZgEaScHuB/WKV9Pi di8iW3sBgYwlVwtPbiYf7UZ1+d2/8pua4umM0virHD2WPWl6gzn+EtTQSW3yXwnBnBGoU2WfdVat NDjgBqLdoHK6rQ5iuoflw5vh4CcwCBrVfQGfg3KMQ11+eCpXOgTuvArshURw5x+BkOqQ+PIJXwPQ 1/ebcWG1QcfLOcfXnPkSDWeH8GPto2hTX2jkdTE3GSWo/EMyErFdjKSsru1iLu7K10K3RVO7cqT7 FaJVORtr79p+t054Z6gO7+ni6RQNLvPaLi+HvoBaVLNsoZtS4hkZYPQsBT9cyp/bPjS6M0BhzOOF vsbU9QpUB/XlQOGBLUBF5hWR52+xB4LOjOGI4bspa1zXEG9Hs249poP27J3yV4xXO+iZB0jaFThH Y2LZEVjbW8zNYFE+e7qPIsC+xbbRJ81damJzd2D/uUTDmyZNEe+NhLspNMy4XDSuEKETyDgrypxR kAwBwpECd1DmGDTUzRfzovqd5WQW7p9tjJQcYBfhVPN3cN7a8Jve+6VDSFbVN+lphYQBZTyf1qRK 5aSFHWW2Vc76d3GlW3wFPtWhdPRs2sNYkWKQCMu5c/sN23Wm/Jgla0etgRbTT0DT5PBYTw0Nvmk0 Lc24E9MCGjATozdtj3/o43SziOhU37vzTsAHqQgrZrZCqTj90HzUNnvGec506S4JHlqyxxw5wuEu 9oWawHjcsmakbF4kbEHBzWbNPSZqlAUQKZfkCisR9qw05Woy17J8rHEqfaXdN+SXjoBCH+FrJFhz uWLU1NVSFt7LCNZ3Bzer3m7pz3IjJpE0H2diFxSuSDRLORGBN3TZSCFhcnDhzpZcs4udjLxXDl49 Anx/BLTWahHvyz9uyuUQGB3h9YXow/W9Hx08FbBcqgjWXPOUbp3+4AzFEKZlUdXiDsTxCCJKy4UY qa/Mb4PdD4LaT2k8Df+LMH13T5bNZQm3/fa9+GT6MT31KuH0Kjnj4noxJQWf2JG6d7v743Nz9ekx 5cKzyNNEPnMDozA0D4gwRkVP57FEM8YZ3IMSt4l+F8/vlJmpbdWGugEq5ZiQTZMBdxKh4RhVL/7f SAOCwFWt40veIX2b2YDmve4Zvs7reyotJUOCUSFpV1UY3TMX8AqoyM4NLO24i/npjrBiVJg6Huab n2pXsn1Jmyw194yAqXsFYvqzpnuFN0xJlOSBzNeYosLHfMycXN6GhTAFZk7JC5T7ZpPomWuM6SWW vwh/27HCW+O1/A/207bLXpiIKaihBy/84oZuJkQAgcoWpVfuWDepCZeh0G/gnpsnQB3ghh4FDvMS MLAA03GgDH8aW0PASJMFXB2cxihA7bMIJDtflfXVhh+lRZXIMN/O9R3z7pdUMTeU3DUyXOHuDovI E40n5Z+ozwG1s6JP0q2lWoPRZxFqq+UwxS7jdAJh7dJAdrSLko8AdcGnVMbriD7PDCAdS3LkhnRu MkdTDpeAIN982wEeCtJbbjiYpCJxeCyIYQhCx/5fPFddVtD4azv2qVbL7YX/OEGCaeNOrfD4PGoO 2zSi+Vwjo+qX5YBaAnlvPYDTC4D/YpSOSwgQmc3NOB6afzzxE5zNWa0PWcD0cQLz11k4krJs9wiH UcJDxYGmoi240Cw069YPvpND45N15c+Dge4MEePNRYsJo33PiOK6IZiT8QS9YOhfWSJicJYwraHB kVyEMzXcXCRCVRxx6c1YYslEQ0YC4kpiZw3xGUu9BWic7VF5JHgdlpXkv2oSfWnnhGwxtBb2sowe yigbJvyLlEr5SNpURU1ZbjC4CW6+782MTrRsmaZFEtJnvKuUo2btwmChLt9Lz6XmnEzulvTsZDO/ 3V+ymlwVujvUqjp3TwrgrGnAqSreprZTZkw/qId4vd2o4XeSkwmUyfz152/vafgsSVhUNgLPSbHu U9lKg+KY97aOQ959Z2v+p2/z89z5ifbVIH+U901E74/3yrUj9l3du/xmy4hRnLDhc0gasct7MmCy D4rQomP7w9RvlaK08lFs7j8TBVnWG4dRtjR87HMwgEnkzn2Wd/wrFBjlFuFwvn3uflhxEYlnkW5q PEc3ZqTcqAWT8mjEK2Q8R6dqL6qQIQ8cANeNGi3PT7ydbOK0I5MU7D57Vj1S/i/fKgGy2tnnbxRK 1/g4CrFMkMVJm+B+kN+f4TtQDOjO2rGSCurK/WWdG39R6NZAobNvW1tw/6HIFPDSJaMLsd5HL3Zw aZ3WPi2T4LV49PjI0RqhVlOC4IzClSLZjeWqwnLX3ktd5/3z4PyGjKCydaAEE90DxEh7NgfT2ueL DoprGKc067l8mzldjL8O8afVCEkMrFHcu8EJbNDVUByRUwMSPXhgA2VzsRz34kQYLa61OiDe4wdA Wt/4f7Elhl4/ucA44uSNpabP7AXZNQcrT1z3m4l+LMxueuHASEQrdfJNKGsc1R5xTcXVlRWA/inG cfsw1Ys= `protect end_protected
mit
7cea79677722ec4e62eda24f4e2cfff6
0.951228
1.813897
false
false
false
false
cwilkens/ecen4024-microphone-array
microphone-array/microphone-array.srcs/sources_1/ip/lp_FIR/fir_compiler_v7_1/hdl/addsub_mult_accum.vhd
2
17,197
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block dCnpE4VAfermjtCEmB1thQ439Wx2etlWkyW0JzwVVo2wlmW6w5Md62Yv96mVkEj2kSXsZF72YIOU ev44tBw09w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block g/qPXDhatDHRLI8gJ5dY1rw7ZDwVMr/IKuVehO3t55sPYjX1SfWKAwnMU+gZmO9yIcODo0rPNud2 wSphsr1127G3BCCP6F9bbCIhWD5bQG/CNJHif9sDVLuD3x2edLDQjG3uABOvhK6ePBJPpGtoItJb J+MeH7GRJ2v4kDDleRs= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block F9CQfAhL/KCFdkC0oa9Z8OmPDndpS0RbX3Nfg17qcohpHqKdgAHIbw8h0bfJD6oqPf4fuArlA0/0 O5mJGpBTkug1ts6mbS+Op0kjDTARnmJvnS1kz20MKOXYXjlC4tZW2wimVrqeByXzoHJQnKaw3c2W qZrdsY7TUljpUByrm2kXXxv9x/OJEi1DP8ez94tY8f0YSGGz7OwB14T+o02a0Xt5j2UQhs03OAKP 2+/kmVPpT9FeB9F25pIPE8FbJeGhsfX/cW+XS667jXv/1RC467onrGlpj7fWngTptt0ZMf29O9uE l4u2j99+VFzpc3V3N7Y5E582FeHkpUTwneeydg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dAXxP0DixORoFcrAySiFLPjfRSA1FlYdf+3KBKooBXiWP4lWD8j4kT5JL+LycS9VIhF4Ecg0DHzJ +FdrESAf6HcfKRFWxp5Mp6yjAM9qjrVmehr53GTILBpTh5baWPxjHCXjvA+XQU4pG2mkane5V76J Jlgegv/iQmQ1IBwGYF4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block AIanbU85nzbxyfKU3BtVXQ8n1erAXqX+LOT6mkvnD2Yz8J16MOqxt1olHMb9NnVP88DW25tAplYr wZF9i3Nsyi4fHv2UDbHvBlY+///AmezHZ8NnqYuH8dGgJ1Djrsvrfx4+6Adx7QpyTXd8i6wj0+7e ir0IcPENJbW1YxhDGY0XqwG0sb/wJa4ix7xEYU4+JwJQZR33/YXjE3BEJ8rX6zaOugCjN16Y23j5 GjN4/Fy+kT3FjDMimmzPok6pgXmy5adFE/yprUCk3SH1Cz7I9R8uYeUalnGaOo9dZoyJdyGEABzQ 0hX+yLQhVrrOHZos3DlrFgvzKdynfLBPf0eo7g== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10992) `protect data_block I99SOiIQnFejgHH6VInftAkGfL7xdMRVgAK5US1Ew5dv1BGbbTom11ES60TzkmPxBUVCM0xFDIby hHb09WHfPeJVroE6dTkA5pdnpEpAh7XMFWtVCPEbL8E/Cgdg70MVBJ23pSyWPiZjsSGnGqyEtQwq 90Y4x7s/YERzgdJ82zRF1x9EIgxq9hv9Dp4oLRKJaFUngwD/X0WsTSyFqx70gHS0MrRa+Oc3bi49 cqJswRdnKBrtUWIGGgxt78Sbe+wsqh80xZ3T4Nglxm9ZO0e+nIoNxiZcGVPOiAA6HJ7xeQIoagQQ tTc9ejzStK9vNmh7BIrwOsSLTZKBIpsaI+dTpzxuT0sYIPLBBynHsTUSo6aGMrSb7FHAcKacAwX4 bSWVsCuIlctsa4pXtC7/+nzGmXGYXSYAabwb2vlEN25DpVjYy2FfVlZ2keOR25+V+mEsKp8NQRGa WflrzP7UBV7DJwvcPCyUDHtzfj1cRrONtJzGLVT4oqOmMp+mtK/Rc/T4HiOoXrq/FnCtvXe3JNFX +nP7BD6VRfHTv0h3ON0Ts2k4cs8uA0bo3fpAkEn/2Y9o6NONihTuZhNPI2WHMel7Pb2fHYUyxi60 9QIytK0kprzQViw/VrQw7/11Yj9HZZskLj6/QajpxPL6Af1JxGj4n6JpfItN10p40tcNWyBq4o5C efKYvMrwgPZ0HSSzkvliQInJnQfasT2YLpTxfmsnwrmOKraEIQQ0C1BmraWAMc013F1x/tyflEuc mAuZ7VVsQ2zzd18l0ZdEOAc9l16ZRsj/EApzcnbpkDkRvBzeujmc4sqRQdeFYzjTp13d+Aw2HIqj e+aaaGIeME3hL+Zs85Ot8DQWfAqKrVSOv2Qk2Cui1uvRhUHNyAeBO/Q4MhRydSJlyRKbEWc8wwF1 iFqNgR9sEVbIHoWhfMwx2sjroCamXV7P5uoYomJ5RlMxFGxhTiA6/UcL86l5IfMU73xbgJUUXasZ KfPlrVwqT+iHxiqcQOB/MEK4JVKB4Rww/rEee/3QcQiDyIgtjVXXksBxBmgW/Tr/cDOO2HBKl/Ex c/i9DUQEVMurCa8YubMMnC1st7kw+9jINBv4huRYilbuGpXBXg1KBr6SP3kb2KRIyxKZpN135omq OUrjKCkhcMuVlgkoy6XBOmkpbssRfhZyUXVe8s7gkCC02228GvgEtDVIYddRc4MelUGMlc6R23XJ oG3t5CUBl48nMFJKnRsEYIi8qTS2yzed9D4OeW/oO3/JN/w3DzHEPzoFkr8Bg0qCZrHRzNMMrBd6 BiIJsL2Bnz4lViyLpMagiqyyIIUFYj2u9aDnlXMPs6DC1zNndwG9q30N50kK5YzMqQQ4hUxfMxCz UWLiezDH4H3x4gntbjmp14uwsrn+FR+VQYxjSjbQoBtA8aivLK3iWm2HV9CDqNUwgMwCwc3Ir51C i3wXEBG1uJ7r6NOGa4iQ8umwH0bZB8ijIVumjSNyM+e+6+mYDQT4e0POyh+Wa6xa+oWzXTKbV6K9 0qCfI6rpBprVqb86a7bJTCAxpJbUGZHiPbH40QpTFMSz7yOYtAd1Gpm1GuOdql/E5tRUIu+GEQnA W/JhP8//vB8daVemsRvIcAu1NptOnMakF20RF9KdHK3AXSk6Nq07d7K0755XiT13Hew98uuZkZk9 S1TC6hqYtxcwE+BA44UQMDLeul5CCHCVYyab3dV0rnplg5kpbyMG24CfuvM1dRhgYFqBFM9qeUzF LD/IWgk/I+LMxY19RktB5FNVEmoush2zi6xMN8jDY720DMB98Rvpvjr4eWqdq0lzUEm9f/got5Op cNSzdOaG3I5IkmPRWjRmd3Fdse2XXrX/SCVagBlHtCB4o6FHu+ICmAR0H9Tj/RcTLMBxT1rluigS sjwx5vYaor32/qJPW78jy8M1Pti9NFxptGY8c14gaVx0EmbRsKJsRkVen8v3WNN1QmqylrpxYzx+ eYpAiweq+QHm1Tv3CKo5IXX0iSz3v35fdLViL7/Z/pfwDYvPICLyUBvKMMXdHRm9sMfQfh3rCgJN Qa2+M5SdGwcTgshIT4JDeSduGik+QAr4Xvw7nMuS6Xxg513+gLqdEBSDlC5t4v2vNHOefov2aQyU kksamAKNddWxhhTWNZeDA0NpucngrojHPK5fSsUZfIBdSVuJc3JqZJVuPGrHAqG2LPFgYU6oi1ik egDznXEc6LTJJL5VvK2rQ+cb1zwRIKK+Bs1Hc0q7/TddTCp5MKpbhWzpvQFecPvs3uQz0KUa24u7 BrQaBsjPSCgW+xKdF/r8j7S7y0eTEDmF3htLaRtxciBSr95opPkurjoalx9L9JdQ1RB78vEtPnIj yv4y1rXJvVFcgF8jjbVdyB4688saDgPLYth/LgKzAQ64MUn3U1oCJtr/Kp/pHOr1PB2NNsCZUFlf kRO2RGLym/+zQ0cdWCb1Iqq93FMltd9DbeVEnZlJ3XNFFZx3iaw36zxfuoGrxZxFO24OFWg3Psjs hQJRbcCXpDlLZTZSwavMZjz0181laSK9iDkgrDukI4cmoPnKA4izJGyzuA7LI/xgyUmnyfXlLVcO Qt/LpTSFjsf1ENAMv/riP67beNzFul0HyypR0+iAUqfCeMBzdEiEpNUv1kULNLe5yXdx6mLQ7ehr Ruu5HFXCF8N8AQZbttZlGM1bEO9f03zrkyOKZKEMIJPsUkEiYnAy77SmVwetl1soc2AXuhz+octg aiOfC7L5MhJuJU4n+d+lS5u4e3190kZ7+bVtD1RBtee7iSldnfs5Ur+vlaby42lwtfLcfQM/u64N thKMxnhltuSSrs+5ZGQwvspjKecmxwgo/Y7Hr5KZZWHPXs2hGTTma8nXhKnE7MAhRu0xD5IaTy+z 3mwyuaJcsSIUFEykKPnYIQLvf5C7evNe62G8x8ylcthInirUStVGC04lO1d8eSq2tleIw+L5Q67m ooFe30I8ulp1QDcwPjNPNwVjFJv+QzmKVM5FgHcAe8cn89Smxi2V9DdYyf6wATJ7skLaf1Ff905W x4d1qVoO9N2RtlNUABHbrjZqgTf3JbWEexmCY/NRCXrGwKQtvJT6ueNiUOxythBvUMAwr9bhCzjH VtF44LfVVXsaxGE3tnwzrUcmPQqlxCbnl95dSn5JNb5Sdu87TdPdmNTE9iY4MnoaBrOl6PSWhsMI fK/x5chnz5t3uJwR7ut+qQ/6jljO1EBzBBfeb9wsrAfKhQOK3PnqOd4BnBVbeKBBM39uzeG7elxu U5CcpXQDMILZroKZHPkU5CAiy5WtHmoGBYLYJcHkt4ogNaoFVrkxcB0/sJLpp64S0ZBgNxyKfseX IGYzyds2MeXkIML0LhlgMoz0BsWYgjX5qOV2R8F6YiLYb2RwsWImELxL/9W5I+9/fRXvh/SWNT+i d0kDEJNNi5YNieRs0i7LRB1WbM/voMfrCYyHMBiJoZrpqDQROy1FEjpMKPzSFAcV5tHLJkPCx4/s YlLD70yfUr0Qg7C1zsEIfrHogQOgNdiNjoxQBt839cOIohsgmBerBXktZZak+TBWfieF1+jqqGYW zHcqn8XfZ5HLTJM8R10uU/pUUFG38r8YPxbOvTv519X6VTe0T/p5+kN5JeNZ8ZmeEG7h6eqfQ5HM gyyrU/FW5/aPNozAl9sAN72Sa/cbob3HPRD0/qtq1twqztOPi2qVHanTP2eAifOd8jGqZl4rQQrI w5Q0tYhYJBaEPhZBsrKH/4byAXra9HBJqOnsI282/u4Dh8B3DaFgV8cgQuceqA2/zSc0q39qb/uQ X9yWZw6HjP1cDuLkfeiEJ/5h+DaHz1oV7eh8FuBwLQIBgA95pPIoE+HEaHPDqOqgGlVotzBjyXgD BU2XprdMZag5mFYBdHKnIo4G5gZKy/AHOcF8EiCGRQEtebr3oA1o++VNI8gBZhP10hZyqDPKp6l3 +KUJ86l0Oubd/id5hnI34aBeMLa9j9RCjJ0llodxiiG6SoEQvQ5PeTg4H/5CpZEiOSCl/m9Jyrrz f7qe9xI8morrv06CKhWihEwgmxWrMj41qJVH3rOPfWo6u2CVYl8oUv9v3Jy1X3G24o6dBor9YXfb ORjBOnhb6VrAvAkEfs2P7wluy2qaPIDvKAE4i1klTAGHe60NDKyc24vZyYLmqjX/cNswONpcxdtk QevqP1QU7Tti1UgqlfcfsF7vePxG1anhEiWgUj09bIBQeEqMUuAPNtH+bmVQO7T+sBZIDYaK6+Ar 9XjBfvvU7rXQ97jNRvF1k6LuOY/4eaLThocqKq+sRa3b45VnXbJSF5z/NFy0vuEKBf1MJIaVmNVc voyzk6rTlR046ey820M9NJ+NYbEiWU6YY/+th2qMw2fftDqhRXbmi9WcBusd04yW6Xw0Iv8+ADGJ YPxnQau50R/C4UacuDQ3Ye/vKEuv/piCct3iDdEVcCIxtA/XryhStSOj17dYiLAU+tXh2ezItC9r aqn1TpOPTCp0CnKCx9V/rI69yghl3SE5UL7aIw9IuKvU1cosgwD1CLvumpBX/IQgmqN/2HKycCvJ BR68CKU/eTDPeUoDpz+2TezCeZlYb1JkkoZKdVNW6KqTBVxJnvDM6q50+TgcqnYZpjnkCY6Moq24 AZt5rfKJfGoKBkmv6i02XWPgi2XeAzCG2zr3T6rVBQYnLe08JuVURhfXSULxfXj7siKDu7gAh5Uh alaqPEvhtRm7jrmjhvjmRkKNGJxJR+aLGChy23YHhaE4Ywfq76x1/0QyJh2V6LbZjUr5GsY+5hZd 8u/qyEgKhgUJb56JU1zvturM8OUWFD3yHLZFavMBo7EYXwRZTSzbmADU+/QWikMY0zffiMviSF5H czdpgVLyAstt3EDBAjoFGCjnE+f8KUczYY/swVvlQrIr4L7/AzGlAPet7IlDbeXCVx65V12dmzuU ZEfqxPjNbwzyF38NybECucXMeqf7FDncHE1v6Fa+mJzonDLCysojov3XVeWtZ/OnA6MIGzXgIKnY bdeWQcsZkte/BLKBQjAnHy3iMk8xxCGdbVPwVEGrxe2EleVs8uQMqn4cTh97iLbU1r4pDvPlocuN qfwMTMtKGoNJ8zs05EiRgTpgUn+gWmvSxg/BX+JzMXFd/dKAt66nm9ybAQyv1a1JJqjOC17chffh XtMO02hxyFdF1D7aqEsIaOEeJ+rSw3mQ9DBglGMANqlyDUAWGqoZ2lu+j89FFiJIn75MUkCuhmE/ LGnnEwfsJMm+2vSuwY4SrWmLMvHh37NRHegcDEd8mZzUdvm5oMmVrn4TBVZn1drr2GSERDkDpG5f iYfFG6nbupbpYdendUk2OP/SZHgmAz0k5L32I7RMkEUY6mXTJEDJqVKlgNmLtG0V1M4rtcSfLslB 9lHJekDFwQXXMeQKfg8lbiBCzTLT21lAYav3A3KIGgyugFKm6PRpc6VKCJ+v6ZamdR2GFEdZnevq zbJsHhPMM9o3b5NMgeh23P7b1RnN8q3cDl8WXihoYJNTsN7dRDqFrhdK6EM+jtkfefgUWwBvVCE0 QiMPUrM7wp0Sl830wWP3cJcGFLKk8UXsOs980xYQz9vmmACUiFDdRvL+pjWPYXRKnEOHmhvoTun+ UW8rMipyL5wnPZWcuD3InzFrg0Mu3rpV0GYuBd/KMiodA9JvVHyNKc9fhG4ZWkH+Q0GVHaPHweeY x1nHZcJlEHYxvf37FqONUyy18+mOOis5REYoH/s0ihAHdM9z1OES1s6xtpdv/9A4KqEGo4a++sPK 8v7ByIA6fP2cNoavRaxwjbPD5fvrV/chu7QxaJf4LxV2dIcBPwUAwobwHMEMWO2jkfhT2Q8f6DC6 lBYUB4N5DsLyOy0kqwG24p9n16xfb9dCeCdMSr9vhdP25amQWOVDHo1t5l0sUrkl7gRqxf9iRWEb tLSvIjB1H4SjQJEAtrNJDPo/9W9OeIfinEiuIw6jcKouSycuo85P2O8LY0xgHo/1OWBY0/PeWhEt 2CZv4f7kwF2bwbv8fEox2cFT3xXdS1h7xkp+RPOPEPMAiAWa09VrZK4AkZYC4M/ct2JJXHCR39pY i4+EXhBCRbgantHYPFITrVwt9LluCKr8tna68H7NWTB732dkG45h+qrydttUhkqMElam8SIjP7fo KI/2414UGiHUXWkUEJcI3HA/GXl0WEeNJ/LPqTOBOpCTuxjomJ/G1Hvl5Uw5x8NgHEm3ueP5ZNT7 fppmbXLKlkmgE11xoRVHXfrAxv1olH6sOjv3vNWmH6jOOZo3PTrBEX2dxn6VHTLAomhRLVwGr3Dq y9rA24PxVyP7R1BWTq9K3FaeG/QNfztd7NibgWIRPIKoitIQpMg8GP9wxY8Eoa2L2vLLumvXrOdb DBxKb2MTK/GEQv7YMUNWv2eErbGBGf+NcdutaVuG5j+Io5pgbVc3nxT1tOcLQv7veuRLFCxSdFhb Biz2LOBwoVUAs4XfVKCawwljLfb2UGBBAh7MyC5sQTfbQCruQNUcxp7SZnCMY9V0Yhyc56P6FiDO JcX7tMBCDVGTOuYsjJHmRpbXzGe4Jc723xpE9pkh6eUkq3mnA2QFGEDveOnrZxQutIhzRmESbZKR cNSvqp4gLvTeIijleBKV1hwbZa8xEnA7B7SnO0gOAp1axuJACup99rqLFJdXY7b+OlrrLoe2XVZy ap9O4gbwBYz5GOafjWCZh8YXWjC7M14ZYvbYifBQ4aBiVN+wueohj9vVfXnM/yD0RH0eOHoRcMWO g1PB2QnUpK0Ui5UyF2OhxNbnUxU/zaJvXJVM2Xkt58djDrAWdM5ZcfqkY3cjq96roMoNJNDzLlna ohe/FolPl3eguqH53RaLvnuSHQWaJ70sAkTfUiBZAkXUyHJaSBB+qF/aDULlb6ibImr2c2z3eqeo Brg16xvpxKl0UR3DOq+QfW7HiwrXW8MAl+9sI8DYsTt0FKeDIpa7gr96J1RgpbVmMGLO6yhAlsNs 2CGl24mJvrOdUnR5Y2WRUne8wbkIuvfLp0N08KLZnM+chDLJ6pc1Ds4otMCzDMPpVwaApojm4NAa XCHjbaPgAyPzGB63eS/1/JF8WffCY36YuWRgC6/3JqFAhLyN4hVjlsMOHGOwhF19B+zl4PAeHEFD x+TAKxSU8i5c9Fbd5fZLbBAQ/HRefmaYCGx8ylNT8F+HfV4iQGD8ZvmSq8/zdyDMce2vta+6T1yO i0MfYKcrhEFVcGJQshQqllTgI4Fk9bzfigA3gYFrLUj0iy+vCoU272Xh5DZNzjO/xdrEUVJZshBQ A+oMubCUss3ssLuYNSFWnKH279w8zrOYAJQkqaL71/QOORzBgAMUBvOC2Q6WQJxr25OzDuT5vEiH +GAyslTaANFHt0ltw4DDmeVh9fCw08Roxby+Yf0GK/GJIvOA0S4YY/jUEPnwPU0W16NylNVr+Ps9 0a7d7QaqcnY1IPIs/nN/R1xak8I6ta316u8XhMBZmuhcsjSmGL9XqHcePG+QG85k3E14fulqXFu7 HkQ8bsKuoUV9lXQAF8BlXi9ZPiABXl9OlME21Jhbxcys9Y9GENWh2TMt7WEI+uTUCFPJ+0b+y1x/ CxC7k6Y5f/XR/d2Ap3jqOBjQ+BiQju+HW4ah+28RH2pJlCwn9nDbdxFqJVaNVPSyiihuW6PeIVgK lDIy0gejwvi3RI5AM+AR013dqy9nDzF+SlNfnVPWpJZesfhGHT/eY3nYxRMV87s5QMXkO9fy+XQV MKq0IU5ScNyHYYBQjtESUWhdsbmzzoLID6M5+scjAWB6UtQjl+AnUvGaydOkXlTQxLw8yd0NihA6 t6rGJQpin0G702Ukv9UUvb7yahnO7tpQkp93hrHqJ3iS5JqP+KA1SGApFnKC+gr17BtmAx1uqI0a M4sgXSSl4gBnk8est1H3StC4JdOt6X0jIzwagEAO1TmemsyZylHo1+Aa8EvZs9UQqcbRXGDgAvmP STQgeipImnFh1Y4GzHeUzUuK27Du7CXjD2i11QdnOcX43BZWEFmZbQKSVPD3JFgbjLhrHARiMT7l cRz+JUSUMtiEopWBKudMpJ96VXdUQqrG98fvZTh7UC7XGvqg9SJ0Cgmbo+UzkgFHUgECOBVTtIFf gn5D5+3lo6qE44F4a3buQDEVYTPOuutO4nA03h8nFnV6jVXyRaU/o+6fnE9kwDjX5XKBnsuJ9HFK LUY8X7yRh3xDlqSbb0oNCeP+P+4Yici6iQ3vvjJw6KgQUBupBxfL8lHQ3IpHCrS0ftSk7S+zIb6p BVH3Br9bMernhy4mLPUhwyFyejMKhJ2EyMjkKZteKAH7YhVi2EKoWWrPJOM5oO0mvrB5CFlvr9Gb lNGyok+Ma8KMhATR6p0UG7TBv1m8WWe/uc0N/G0rZd5EMMI65CK1O8GNFimu3TecztYFTtjciQHz 8PUdMmHjHSzdKkvqqTW/rPIBuaAMsfMF97MQ1ly4tx1G5lFA5TtdEW4b0401NiJxSNh49Eay2CnK /CMlOoTgh4PYQb+ucsrxooghrUo/JfDaG3yKvMQfKqqooQqzKxuauUdTmrnMH0/uuQzrASGTeR// OMSh5Ytp6XOB788VIGKecE2JZOJmw9TIFwF/gqugDEECKaPrRtheU04aYkVXz0CxoRVCLSY623/n XTrGLK8I1uRar480FiBFkeu3uKLPNfJB/xonODdddQdU/pi/yp3xKd5WlEwTMzt9R7hZZt5/oVa4 xX3OPToBNI2psNJskLAv8Bb+kOzr81JmbGe2cSWMy82hQ3+4MQNd5RQWDF8lRdM8th9dAi4cwu+/ sWNz0giECk6bM2JtOmU3zNWCKtVFOYn+B3LOVMP0jJNLpb6ir28HbPs8V0/Mx66SLrokriWWBoEk oVft6JHBQ586K4fbnItrACogWJSx8ZkfCAH7lJG72rvetq3lDgHmjSyMM9xkBS2iv4x6AAEaF7ZU rRskEwMKM30ggylc+Nh6FfLIdIZejqXYTPmm4SsBhDRBm2dSHXHsl8KTJhxm70IfDDSoYPQMG1s+ NOwPrjtEjREMWlYIjrHsFugJYyp8JECrxlBfhnho4HeBdRA2DXr3YKziGILRLbpbfcQHCDLAa5mb XHXffGiroQ/OYxFmcjrfZNW+p4zcEpV3syXmNLs7znoah5DW0GtH95q8bAtijGGVOMYnh+vQcBJT TmtRSyYlLo0Bi/hTedVeXSXuZVFYlCX+s/hN2Y9nixs5EDwqVrsAm3J4YjSiMTADNJAdwKXhBYIt 7XnPfF0NIPD3NgFJGGPOgQESR3RxDgZxfVonCevlpntgMlVZ1X9KxI2NooJdOb3kmoQkT3bP8282 dBryqO3CKtExgYqWmL0O/0tuw/OniQtkQFIJliAGoUGveEJ2z2yLr/FaQ5ALGq7cdS2bKMPn/KDW x53IaW/9zD7QqtQvK9HsK9/sac5R06CPWqgCHzLCdGUpPoqzmgm9GpssmGUqsE+7JIaGDLrh2O+X EEwn6O7UZDnhCaCzV/blEJDtf2pdUXIhAeVZNBKGI5hfU5/OM/YykGQirJ2ORSGXaME3z8Is/D5B opBJuKwiibloss8u9dAEAMLkz2QLEgEbDnnyB7Mybn542yTvWMkZS49g0PMJ2AEMOahbFM1YUSeV LOWDuRuTLV+hbDFJO1YUf9E2sLgk8mU8rwLABLgDz+AMgbs/gKchu1iJAqm4XTezo2A2rDy7O5/n 7xYw2xDM7NntwX5eIigl1QFKiOlSACj3aflCTaFyIkVKUPFCTMFY9fGObIAK4pF+SSqWwcWzb7ZF YSBieGbADxZzZhihtnt1S6u8mjr6Qc6KxEl5QQ5vzrTCEH/vihL+2f5uxMkKIgMomS+gtjR/pSwZ 1KCBbizVWNFvZI+93URhF6qvqZBSPsRaQw+KvcaqSDZSXoGUFayPQ+vAQAnr1tO75broAwkWgdea NnyKzJ3dpQkPZJbnbEn3MnvZjAgpbafx9MEIRXbQ7S2jve3C4jOi4azdwQAj4L1nV9wfWtdaqbzy U+cjY7sIQYytJRgHzTzl6nNu8hoeTUEQTm4fGVRw/GDebsCg+ipLDEVtZwJjVDKS13tzX4nTK2pw Ijp5O/XpH0JRAaEteGWAP8WdYTtE69UgLTCClSQa/JU/3NCOW9yQ0EZAJdEw+Ac6jyNIxHntVDrW 9mbTXtfvEANfAXEH6uhxHXere0wdGDYB8xCxgc6qAHdh50J7R4HMxTkhZ04u2DGn65lxCemlSvA+ tgb2lovMgV+alXG5k4UZUEIZioKx4kKYTf1JYxeV69MDWZXTnh+lK8l9UuJqwOyuuOzZfzY79L6k +kDw9U4ElzkHOpynEFoC/p3x059HUN34dD69owOrqQW14l3wxgdEEWGi+yo+MFEpkvXM0Yb20/Iu KYSlwsbB8uD+KSokAf+Zdx4xCP06GkLc2xMsC06jt1tQiyqhpDIZIudP1hRVmgV0hrze5EPQD4To j7RI0qWi3RZHwiz2BRT6SI/5YH1QeQXc9TcWskkirG1HnmdRgD0UXbFJ0J0eXoyuqKqD7WuGfZNU K5k6UxAtro1gwLfGA6P/qY4sxhJ9oW23IFHr+06cJWKi7euP3oZ8Mi5fDM9ja2rIuL0HXaLQT97z zXUEk4AjcV39DMqE4olAVEsft6K021oXOlQ3UwFLbW0w/kI9U3R02e+54mfTa2EqpilDI3AcpEPi vxzmc7llk2vyUEhlBFY2JfSicE5E55oMobumglP1OXY8BYTtplYyEsyZ1YUIaBv8jMe0vPGXLYcZ vraL/dONMRzZT5cDgduleTBdGd+xJZ9N4JiSOcwX1vbD5K2f027USmwui6IhAzqB6EfUhpGgpLoG ae+W/ft+t3TY765th7ErbdE58ZgiRPQtUCg0tCHM07BxYADAHfJmg8cRJseqXM0Zd3J5rdoyn8Rh yhoR9cuxHpyEvdP5+U/ACJcZV++V5w93tQVmtWSbf0XyERja/T/aMnkkcCtVXYtLIxRN/VKfdcLQ HCKCRGIJrn6R5vg53J5jDF1nyX9T5xk4AQhmZuIQUDUsBhvKtxDTJJdTbw4uHfTGH0rDI9IsbvAD u8naND3IlqKrCTGgQVMkzV6pXYh5GjJGfFupIz4T0WHKA6SVHjVOizsVEYLtGgPrGy9g6pU4pHUr QlXLpaX29zflMUPYgJ6gAgS3HeVOm+b1sq4JoxakAr/ajW1GN8Xy/uvaTMyBkM8tHCi8YVTXO8jf ztMTnIauefGZ3Bip+q4FOze7W1opqJpZPXX3y1kPhazym4GHTYc1znuFQmZvRX+TSYg7LuK+e7QR 1gSQXkC3uJ5V7Zd89gQ+dxf/LVFgHIteRZ4ro/j3JFNugwzCYxKCczoSNSRZst+WwpCoMpx0Wf/n Wq01iixyNfabr+GbAYQe0kVzUegIv7d4vKu9apXYJkZ4tV09X+NoS+2GwGW4yQ5mk1/jH7LbLaLx jmyjrqZediyWzKoPJJpxxKVl0reFzo4stCQaBAtkVMK8hsraEYCknuhGmcMV53sUbonknvi9HJU0 kfiM8Y3Pm0Jd8KKTGthFNJaNRhzUUPe0UNZpG95teC4zSL15nAoNMb0kvCUFQUXPz+jVHzo/z4Ht wAJD/Xs/ZvPRiNez7YrkNuLtEkRaOnqSJjysXRiOgMZmg89u1HdnD0IaLsuL7EJWrb2nl7p416iK aVMx1rUexp7WoccaGxDxyZfJdeFEMfenr9HioPZpZ5AGN0IjkEVoDF5YW29KqwhdRl2wbqFQcW+4 dUrRys8UcESgufUyvhuP561SlNT3n0Bf3H6G3zWvp02xMQ/w9PRJVhOCX9f5ZWeYFF19gGGmfYqH MrWJSpoG5yCa9I5gdDPjOKl+V8m6EXyE0gLVQ8/na5zJOySf8o+meXpjuIVP9VVhSrhZ0JTEXRff se4gKbVrfY2/nYGMBhU0u/0gnMlwU12Ka5Y/wwtiSYJDbwwE5GNEWiE336lyb/a5GSwpkMRTa/KK c+XpkGqOyqRf4qKIPayuoSwQsv+ENsbkqpzSSI4Ey2Pwtnxqe6/t5D88XNiamkUM6ZROJGlYjewL a4ZTR24nJu+3yXHLFZ0i8REsex+78FGfqRHcVsbJlb/KnyoNrPvtcgNwwQEQdFFH6am9J8phyHnQ DmC3TJoQ8unNdeWjqG9XB4T80JAEmTpGo3tpcc95vw57HbLDzdqn0001jEXRVQWn1qqe8ii+/RZF Ysq0eai5Q5R9kqX5NBZevYKfu9H8hriUGvn5ZHJ+sWV0ZFA6jRPeJDiqbdPdrT+pkYzxBQIVYGKX s/wACO9p4fJkTFrWGosTpQOdms8kaQhzc/qXiWDveqNHQBUV8y2GZJHufUVLYy3pK20dEAijIDL5 9q4sSCeWSF/3V85fIDO/QbGqMXlSO2deNwbkCZkbELyZf8srAcktj/CTh2geFYOqWKugyHV2ru+7 xbFAu3yICIh4kRfhcbfmZymcLpevmMoKJJ0kl4l2Qv21/Xs2yvRNEchXCaWFXljbHTwvr1ZfK20z owSAEM6rfvxcpz9sSmfADh1qhTIuKBmqp7J19AXZBYevZ/OXgwIKu0yD3Xs9bRaQbT718C7anGAm cLh8eS+tNW2FxSlw9VffDHhp8Hhwks0Lt4ppXUnQ9G0KzCmBlB9ueNahdmJwpBkSO8Hk0y8xqIne P4MKXTzAFYi4P8ANnCsqKKzgC/A04HGEwWmD4k/scqYvzC6dw9RM4V08hvyEP/bq76uUP5IghzKU DavNTUxmo+FejqKC+AAo0ZMVO/TJIgd3mJVwwVgjvRSRdpwjGBdSljNSMDD/+nM2RYBbFrzeOlrk WDuHoOFshqC8BZEyiFKpwoPRWRazIYlq2C5O3gvBj0VhzMyO5/B8f7KGW6/WsNuo3bYLW0ZTyqgI I25Z+DrIh8X/psDlUMZsK+ydM+lOGvj78cRTenBpcYmlvxIvoB1qzHYu7XOvRD/Fj8LA0qgxa9VR eLa9XgZIGSN4iFdFbSX7Qsw2RVRTCbUtl50c3ULy94/BfCcoe96WZseLG34Rso8eX59q5mzprW17 CXat6UlnzKEBBfM8IFPbjFKTg7bD1GeXiSuWvETySdmdDE78zUn7pCIRpBz2n3Uz5kAhCAmZkWkq 9dFQ2CAgrtL98Jxy0zfCJbIULJCMK8MBRUU+I1tC9+45BdAhRh5tHGIsHhqrh3xPAJ7A6mE9M3BV 83kMrmjVIArcvLXWdtgBORSmTCmV7QqalpaC65gIdNmW+Yh1hw7xEK1bnfXjl5NxCHBvMCQbJGR/ 1KhicmMgTfxIG4GR3oIzOU3W/UmWiZ46RnBv8ISLy7wyYKyJKQ0ytfSTxAsFW3kh2koIpdgemGnj +w9Q9lahY9BcBN2BoOKIyVuGNcfJ+w66rXp4xQ9kjur53qZQzDMBIbvcocvhg88AJG6tWNeYvsfm 5mi/OG3qXOAsSM/dKgjV872YyYQp7VUAdtfEVcfA48/YHYCtkNyFjMUR4i50HazXlawAZ/787ZVa 32ICpwLHBy5sJUEvXxeYCXrTcrkVlyA8SgMqr4MwUTxqU5lY5FUvVJ9tfJzzzniNtAf4K8LCsZ3B KcbfXXSXCuHl8xU77XR/c7NNgSoa+kwsNIz2ZigYcF+motJ2zNUsV1RAgJSP5SkcPI2EX9Aw6F6g 93sWQ1j5wNp+pO+ffd/VrGP3nmsW3tsd0CXxGSXtNBx8vtzrj3kl0bsP4/TncoMMvfntlkAnJVoJ uvTeVHkQ8Xwf2jbV5peKLdNIan50BpkmIozrYZ5NIR5hd2mdpIiJzSLJ9q067/nvulSXDvwHJ+Ci JCVnauxFi1n3TXAMtfFnwyjZZmGXtRDKy/HLTHmS3ykJt6ahjt3UvWKkImr7RlYx/riAlNNDFXIM tEzwFn7R3UNhWy5yFa3rxVxS7Kq7rMNWeff4T5WUj3VuQhT1YaLyAE/njp76ZZC5AYLCxbmYwX3X KUIKfWxZV73obKL2lAwC1B7ic79TIOiIbDd8sBlXYI+68/UARHZ7yMBYNl89ML479GouhQm7gqjK a4cSEThRLl7pSRYmIZInkh0UjDcIlYyuk3uiuyhkobrHri1CJy9MZis82enQ3KzfTdkUqeW9uce2 j278Op0zBkwyXDbIKmM4ZPvAIuSSCe1hVER5rpXmSwVek+lvcyDXRRWXBN/iqP5v/GOPIxSIeg7D S5YcqAxTtDDwd9VSgAitVsT7TUJ+itZyYrxX0gYNbPk2QmNMYFIStnzF5vYsQcD68g3o6RgAGYF2 2YeFNfxisWeYLsXUiR1pP1Mv9FVVCR05VFO8vbdSaGqUq1JByDSi9qFiuSgZznX5qRsF/5asAnzx ZHtB66nMl+AYe1Dk6Q/eD3d7QyrORL+i6VPa8ovR104ici1yed2MDj8UTVZPVazYqVowpLcmzhIb LNCRiwVHIiP8iBef2jAIreIvkLcnJ0OhLiPgcvhvugHArbVRG+a8YFZQHUrOYBouuzZE2QC0OWlS 8w/E9aQnuP3o1dnvTdZvSLkAOeVETQx+eYap5dY5yWAFE32gCIx1IkIKcwUElZROYzBvsTGqaYLU 54Ad6LLKq12aaNAVKIlOn2ARokxbNJDwjJwecwhi0rmASZyBe9jABd8xZdsJlpOX `protect end_protected
mit
8643edb29ca2f72509a2f953210c79ae
0.938827
1.870459
false
false
false
false
lvd2/zxevo
fpga/sdload/trunk/sim_models/T80_Pack.vhd
5
8,597
-- **** -- T80(b) core. In an effort to merge and maintain bug fixes .... -- -- -- Ver 300 started tidyup -- MikeJ March 2005 -- Latest version from www.fpgaarcade.com (original www.opencores.org) -- -- **** -- -- Z80 compatible microprocessor core -- -- Version : 0242 -- -- Copyright (c) 2001-2002 Daniel Wallner ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t80/ -- -- Limitations : -- -- File history : -- library IEEE; use IEEE.std_logic_1164.all; package T80_Pack is component T80 generic( Mode : integer := 0; -- 0 => Z80, 1 => Fast Z80, 2 => 8080, 3 => GB IOWait : integer := 0; -- 1 => Single cycle I/O, 1 => Std I/O cycle Flag_C : integer := 0; Flag_N : integer := 1; Flag_P : integer := 2; Flag_X : integer := 3; Flag_H : integer := 4; Flag_Y : integer := 5; Flag_Z : integer := 6; Flag_S : integer := 7 ); port( RESET_n : in std_logic; CLK_n : in std_logic; CEN : in std_logic; WAIT_n : in std_logic; INT_n : in std_logic; NMI_n : in std_logic; BUSRQ_n : in std_logic; M1_n : out std_logic; IORQ : out std_logic; NoRead : out std_logic; Write : out std_logic; RFSH_n : out std_logic; HALT_n : out std_logic; BUSAK_n : out std_logic; A : out std_logic_vector(15 downto 0); DInst : in std_logic_vector(7 downto 0); DI : in std_logic_vector(7 downto 0); DO : out std_logic_vector(7 downto 0); MC : out std_logic_vector(2 downto 0); TS : out std_logic_vector(2 downto 0); IntCycle_n : out std_logic; IntE : out std_logic; Stop : out std_logic; ResetPC : in std_logic_vector(15 downto 0); ResetSP : in std_logic_vector(15 downto 0) ); end component; component T80_Reg port( Clk : in std_logic; CEN : in std_logic; WEH : in std_logic; WEL : in std_logic; AddrA : in std_logic_vector(2 downto 0); AddrB : in std_logic_vector(2 downto 0); AddrC : in std_logic_vector(2 downto 0); DIH : in std_logic_vector(7 downto 0); DIL : in std_logic_vector(7 downto 0); DOAH : out std_logic_vector(7 downto 0); DOAL : out std_logic_vector(7 downto 0); DOBH : out std_logic_vector(7 downto 0); DOBL : out std_logic_vector(7 downto 0); DOCH : out std_logic_vector(7 downto 0); DOCL : out std_logic_vector(7 downto 0) ); end component; component T80_MCode generic( Mode : integer := 0; Flag_C : integer := 0; Flag_N : integer := 1; Flag_P : integer := 2; Flag_X : integer := 3; Flag_H : integer := 4; Flag_Y : integer := 5; Flag_Z : integer := 6; Flag_S : integer := 7 ); port( IR : in std_logic_vector(7 downto 0); ISet : in std_logic_vector(1 downto 0); MCycle : in std_logic_vector(2 downto 0); F : in std_logic_vector(7 downto 0); NMICycle : in std_logic; IntCycle : in std_logic; MCycles : out std_logic_vector(2 downto 0); TStates : out std_logic_vector(2 downto 0); Prefix : out std_logic_vector(1 downto 0); -- None,BC,ED,DD/FD Inc_PC : out std_logic; Inc_WZ : out std_logic; IncDec_16 : out std_logic_vector(3 downto 0); -- BC,DE,HL,SP 0 is inc Read_To_Reg : out std_logic; Read_To_Acc : out std_logic; Set_BusA_To : out std_logic_vector(3 downto 0); -- B,C,D,E,H,L,DI/DB,A,SP(L),SP(M),0,F Set_BusB_To : out std_logic_vector(3 downto 0); -- B,C,D,E,H,L,DI,A,SP(L),SP(M),1,F,PC(L),PC(M),0 ALU_Op : out std_logic_vector(3 downto 0); -- ADD, ADC, SUB, SBC, AND, XOR, OR, CP, ROT, BIT, SET, RES, DAA, RLD, RRD, None Save_ALU : out std_logic; PreserveC : out std_logic; Arith16 : out std_logic; Set_Addr_To : out std_logic_vector(2 downto 0); -- aNone,aXY,aIOA,aSP,aBC,aDE,aZI IORQ : out std_logic; Jump : out std_logic; JumpE : out std_logic; JumpXY : out std_logic; Call : out std_logic; RstP : out std_logic; LDZ : out std_logic; LDW : out std_logic; LDSPHL : out std_logic; Special_LD : out std_logic_vector(2 downto 0); -- A,I;A,R;I,A;R,A;None ExchangeDH : out std_logic; ExchangeRp : out std_logic; ExchangeAF : out std_logic; ExchangeRS : out std_logic; I_DJNZ : out std_logic; I_CPL : out std_logic; I_CCF : out std_logic; I_SCF : out std_logic; I_RETN : out std_logic; I_BT : out std_logic; I_BC : out std_logic; I_BTR : out std_logic; I_RLD : out std_logic; I_RRD : out std_logic; I_INRC : out std_logic; SetDI : out std_logic; SetEI : out std_logic; IMode : out std_logic_vector(1 downto 0); Halt : out std_logic; NoRead : out std_logic; Write : out std_logic ); end component; component T80_ALU generic( Mode : integer := 0; Flag_C : integer := 0; Flag_N : integer := 1; Flag_P : integer := 2; Flag_X : integer := 3; Flag_H : integer := 4; Flag_Y : integer := 5; Flag_Z : integer := 6; Flag_S : integer := 7 ); port( Arith16 : in std_logic; Z16 : in std_logic; ALU_Op : in std_logic_vector(3 downto 0); IR : in std_logic_vector(5 downto 0); ISet : in std_logic_vector(1 downto 0); BusA : in std_logic_vector(7 downto 0); BusB : in std_logic_vector(7 downto 0); F_In : in std_logic_vector(7 downto 0); Q : out std_logic_vector(7 downto 0); F_Out : out std_logic_vector(7 downto 0) ); end component; end;
gpl-3.0
e35b8a93f308ca8f1fb7a5f421fed696
0.528905
3.427831
false
false
false
false
Yarr/Yarr-fw
rtl/kintex7/rx-core/fei4_rx_channel.vhd
1
6,295
-- #################################### -- # Project: Yarr -- # Author: Timon Heim -- # E-Mail: timon.heim at cern.ch -- # Comments: RX channel -- # FE-I4 Style Rx Channel; Sync, Align & Decode -- #################################### library IEEE; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library decode_8b10b; entity fei4_rx_channel is port ( -- Sys connect rst_n_i : in std_logic; clk_160_i : in std_logic; clk_640_i : in std_logic; enable_i : in std_logic; -- Input rx_data_i : in std_logic; trig_tag_i : in std_logic_vector(31 downto 0); -- Output rx_data_o : out std_logic_vector(25 downto 0); rx_valid_o : out std_logic; rx_stat_o : out std_logic_vector(7 downto 0); rx_data_raw_o : out std_logic_vector(7 downto 0) ); end fei4_rx_channel; architecture behavioral of fei4_rx_channel is component cdr_serdes port ( -- clocks clk160 : in std_logic; clk640 : in std_logic; -- reset reset : in std_logic; -- data input din : in std_logic; slip : in std_logic; -- data output data_value : out std_logic_vector(1 downto 0); data_valid : out std_logic_vector(1 downto 0); data_lock : out std_logic ); end component; component data_alignment port ( clk : in std_logic; reset : in std_logic; din : in std_logic_vector(1 downto 0); din_valid : in std_logic_vector(1 downto 0); dout : out std_logic_vector(9 downto 0); dout_valid : out std_logic; dout_sync : out std_logic ); end component; component decode_8b10b_wrapper port( CLK : IN std_logic; DIN : IN std_logic_vector(9 downto 0); CE : IN std_logic; SINIT : IN std_logic; DOUT : OUT std_logic_vector(7 downto 0); KOUT : OUT std_logic; CODE_ERR : OUT std_logic; DISP_ERR : OUT std_logic; ND : OUT std_logic ); end component; constant c_SOF : std_logic_vector(7 downto 0) := x"fc"; constant c_EOF : std_logic_vector(7 downto 0) := x"bc"; constant c_IDLE : std_logic_vector(7 downto 0) := x"3c"; signal data_raw_value : std_logic_vector(1 downto 0); signal data_raw_valid : std_logic_vector(1 downto 0); signal data_raw_lock : std_logic; signal data_enc_value : std_logic_vector(9 downto 0); signal data_enc_valid : std_logic; signal data_enc_sync : std_logic; signal data_enc_value_rev : std_logic_vector(9 downto 0); signal data_enc_valid_rev : std_logic; signal data_enc_valid_rev_d : std_logic; signal data_dec_value : std_logic_vector(7 downto 0); signal data_dec_valid : std_logic; signal data_dec_kchar : std_logic; signal data_dec_decerr : std_logic; signal data_dec_disperr : std_logic; signal data_fram_cnt : unsigned(1 downto 0); signal data_frame_flag : std_logic; signal data_frame_value : std_logic_vector(25 downto 0); signal data_frame_valid : std_logic; signal status : std_logic_vector(7 downto 0); begin -- Status Output rx_stat_o <= status; status(0) <= data_raw_lock; status(1) <= data_enc_sync; status(2) <= data_dec_decerr; status(3) <= data_dec_disperr; status(5 downto 4) <= data_raw_value; status(7 downto 6) <= data_raw_valid; rx_data_raw_o <= data_dec_value; -- Frame collector rx_data_o <= data_frame_value; rx_valid_o <= data_frame_valid and data_raw_lock and data_enc_sync and enable_i; framing_proc : process(clk_160_i, rst_n_i) begin if (rst_n_i = '0') then data_fram_cnt <= (others => '0'); data_frame_flag <= '0'; data_frame_value <= (others => '0'); data_frame_valid <= '0'; elsif rising_edge(clk_160_i) then -- Count bytes if (data_frame_flag = '1' and data_dec_valid = '1' and data_fram_cnt = 2) then data_fram_cnt <= (others => '0'); data_frame_valid <= '1'; elsif (data_frame_flag = '1' and data_dec_valid = '1' and data_fram_cnt < 2) then data_fram_cnt <= data_fram_cnt + 1; data_frame_valid <= '0'; elsif (data_frame_flag = '0') then data_fram_cnt <= (others => '0'); data_frame_valid <= '0'; else data_frame_valid <= '0'; end if; -- Mark Start and End of Frame if (data_dec_valid = '1' and data_dec_kchar = '1' and data_dec_value = c_SOF and data_enc_sync = '1') then data_frame_flag <= '1'; data_frame_value(25 downto 24) <= "01"; -- tag code data_frame_value(23 downto 0) <= trig_tag_i(23 downto 0); data_frame_valid <= '1'; elsif (data_dec_valid = '1' and data_dec_kchar = '1' and (data_dec_value = c_EOF or data_dec_value = c_IDLE)) then data_frame_flag <= '0'; end if; -- Build Frame if (data_frame_flag = '1' and data_dec_valid = '1' and data_dec_kchar = '0' ) then data_frame_value(25 downto 24) <= "00"; -- no special code data_frame_value(23 downto 16) <= data_frame_value(15 downto 8); data_frame_value(15 downto 8) <= data_frame_value(7 downto 0); data_frame_value(7 downto 0) <= data_dec_value; end if; end if; end process framing_proc; -- Reverse bit order to make it standard reverse_proc : process (clk_160_i, rst_n_i) begin if (rst_n_i = '0') then data_enc_value_rev <= (others => '0'); data_enc_valid_rev <= '0'; data_enc_valid_rev_d <= '0'; data_dec_valid <= '0'; elsif rising_edge(clk_160_i) then for I in 0 to 9 loop data_enc_value_rev(I) <= data_enc_value(9-I); end loop; data_enc_valid_rev <= data_enc_valid; data_enc_valid_rev_d <= data_enc_valid_rev; data_dec_valid <= data_enc_valid_rev_d; end if; end process reverse_proc; cmp_cdr_serdes : cdr_serdes port map ( clk160 => clk_160_i, clk640 => clk_640_i, reset => not rst_n_i, din => rx_data_i, slip => '0', data_value => data_raw_value, data_valid => data_raw_valid, data_lock => data_raw_lock ); cmp_data_align : data_alignment port map ( clk => clk_160_i, reset => not rst_n_i, din => data_raw_value, din_valid => data_raw_valid, dout => data_enc_value, dout_valid => data_enc_valid, dout_sync => data_enc_sync ); cmp_decoder: decode_8b10b_wrapper PORT MAP( CLK => clk_160_i, DIN => data_enc_value_rev, CE => data_enc_valid_rev, SINIT => '0', DOUT => data_dec_value, KOUT => data_dec_kchar, CODE_ERR => data_dec_decErr, DISP_ERR => data_dec_dispErr, ND => open ); end behavioral;
gpl-3.0
5a30149ec326db7fd61c69a53d8f6a5d
0.616203
2.721574
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/axi_dma_v7_1/hdl/src/vhdl/axi_dma_cmd_split.vhd
1
22,455
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library unisim; use unisim.vcomponents.all; library proc_common_v4_0; library axi_dma_v7_1; use axi_dma_v7_1.axi_dma_pkg.all; entity axi_dma_cmd_split is generic ( C_ADDR_WIDTH : integer range 32 to 64 := 32; C_DM_STATUS_WIDTH : integer range 8 to 32 := 8; C_INCLUDE_S2MM : integer range 0 to 1 := 0 ); port ( clock : in std_logic; sgresetn : in std_logic; clock_sec : in std_logic; aresetn : in std_logic; -- command coming from _MNGR s_axis_cmd_tvalid : in std_logic; s_axis_cmd_tready : out std_logic; s_axis_cmd_tdata : in std_logic_vector ((2*C_ADDR_WIDTH+CMD_BASE_WIDTH+46)-1 downto 0); -- split command to DM s_axis_cmd_tvalid_s : out std_logic; s_axis_cmd_tready_s : in std_logic; s_axis_cmd_tdata_s : out std_logic_vector ((C_ADDR_WIDTH+CMD_BASE_WIDTH+8)-1 downto 0); -- Tvalid from Datamover tvalid_from_datamover : in std_logic; status_in : in std_logic_vector (C_DM_STATUS_WIDTH-1 downto 0); tvalid_unsplit : out std_logic; status_out : out std_logic_vector (C_DM_STATUS_WIDTH-1 downto 0); -- Tlast of stream data from Datamover tlast_stream_data : in std_logic; tready_stream_data : in std_logic; tlast_unsplit : out std_logic; tlast_unsplit_user : out std_logic ); end entity axi_dma_cmd_split; architecture implementation of axi_dma_cmd_split is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; type SPLIT_MM2S_STATE_TYPE is ( IDLE, SEND, SPLIT ); signal mm2s_cs : SPLIT_MM2S_STATE_TYPE; signal mm2s_ns : SPLIT_MM2S_STATE_TYPE; signal mm2s_cmd : std_logic_vector (2*C_ADDR_WIDTH+CMD_BASE_WIDTH+46-1 downto 0); signal command_ns : std_logic_vector (2*C_ADDR_WIDTH+CMD_BASE_WIDTH-1 downto 0); signal command : std_logic_vector (2*C_ADDR_WIDTH+CMD_BASE_WIDTH-1 downto 0); signal cache_info : std_logic_vector (31 downto 0); signal vsize_data : std_logic_vector (22 downto 0); signal vsize_data_int : std_logic_vector (22 downto 0); signal vsize : std_logic_vector (22 downto 0); signal counter : std_logic_vector (22 downto 0); signal counter_tlast : std_logic_vector (22 downto 0); signal split_cmd : std_logic_vector (31 downto 0); signal stride_data : std_logic_vector (22 downto 0); signal vsize_over : std_logic; signal cmd_proc_cdc_from : std_logic; signal cmd_proc_cdc_to : std_logic; signal cmd_proc_cdc : std_logic; signal cmd_proc_ns : std_logic; ATTRIBUTE async_reg : STRING; -- ATTRIBUTE async_reg OF cmd_proc_cdc_to : SIGNAL IS "true"; -- ATTRIBUTE async_reg OF cmd_proc_cdc : SIGNAL IS "true"; signal cmd_out : std_logic; signal cmd_out_ns : std_logic; signal split_out : std_logic; signal split_out_ns : std_logic; signal command_valid : std_logic; signal command_valid_ns : std_logic; signal command_ready : std_logic; signal reset_lock : std_logic; signal reset_lock_tlast : std_logic; signal tvalid_unsplit_int : std_logic; signal tlast_stream_data_int : std_logic; signal ready_for_next_cmd : std_logic; signal ready_for_next_cmd_tlast : std_logic; signal ready_for_next_cmd_tlast_cdc_from : std_logic; signal ready_for_next_cmd_tlast_cdc_to : std_logic; signal ready_for_next_cmd_tlast_cdc : std_logic; -- ATTRIBUTE async_reg OF ready_for_next_cmd_tlast_cdc_to : SIGNAL IS "true"; -- ATTRIBUTE async_reg OF ready_for_next_cmd_tlast_cdc : SIGNAL IS "true"; signal tmp1, tmp2, tmp3, tmp4 : std_logic; signal tlast_int : std_logic; signal eof_bit : std_logic; signal eof_bit_cdc_from : std_logic; signal eof_bit_cdc_to : std_logic; signal eof_bit_cdc : std_logic; signal eof_set : std_logic; signal over_ns, over : std_logic; signal cmd_in : std_logic; signal status_out_int : std_logic_vector (C_DM_STATUS_WIDTH-1 downto 0); begin s_axis_cmd_tvalid_s <= command_valid; command_ready <= s_axis_cmd_tready_s; s_axis_cmd_tdata_s <= command (103 downto 96) & command (71 downto 0); REGISTER_STATE_MM2S : process(clock) begin if(clock'EVENT and clock = '1')then if(sgresetn = '0')then mm2s_cs <= IDLE; cmd_proc_cdc_from <= '0'; cmd_out <= '0'; command <= (others => '0'); command_valid <= '0'; split_out <= '0'; over <= '0'; else mm2s_cs <= mm2s_ns; cmd_proc_cdc_from <= cmd_proc_ns; cmd_out <= cmd_out_ns; command <= command_ns; command_valid <= command_valid_ns; split_out <= split_out_ns; over <= over_ns; end if; end if; end process REGISTER_STATE_MM2S; -- grab the MM2S command coming from MM2S_mngr REGISTER_MM2S_CMD : process(clock) begin if(clock'EVENT and clock = '1')then if(sgresetn = '0')then mm2s_cmd <= (others => '0'); s_axis_cmd_tready <= '0'; cache_info <= (others => '0'); vsize_data <= (others => '0'); vsize_data_int <= (others => '0'); stride_data <= (others => '0'); eof_bit_cdc_from <= '0'; cmd_in <= '0'; elsif (s_axis_cmd_tvalid = '1' and ready_for_next_cmd = '1' and cmd_proc_cdc_from = '0' and ready_for_next_cmd_tlast_cdc = '1') then -- when there is no processing being done, means it is ready to accept mm2s_cmd <= s_axis_cmd_tdata; s_axis_cmd_tready <= '1'; cache_info <= s_axis_cmd_tdata (149 downto 118); vsize_data <= s_axis_cmd_tdata (117 downto 95); vsize_data_int <= s_axis_cmd_tdata (117 downto 95) - '1'; stride_data <= s_axis_cmd_tdata (94 downto 72); eof_bit_cdc_from <= s_axis_cmd_tdata (30); cmd_in <= '1'; else mm2s_cmd <= mm2s_cmd; --split_cmd; vsize_data <= vsize_data; vsize_data_int <= vsize_data_int; stride_data <= stride_data; cache_info <= cache_info; s_axis_cmd_tready <= '0'; eof_bit_cdc_from <= eof_bit_cdc_from; cmd_in <= '0'; end if; end if; end process REGISTER_MM2S_CMD; REGISTER_DECR_VSIZE : process(clock) begin if(clock'EVENT and clock = '1')then if(sgresetn = '0')then vsize <= "00000000000000000000000"; elsif (command_valid = '1' and command_ready = '1' and (vsize < vsize_data_int)) then -- sending a cmd out to DM vsize <= vsize + '1'; elsif (cmd_proc_cdc_from = '0') then -- idle or when all cmd are sent to DM vsize <= "00000000000000000000000"; else vsize <= vsize; end if; end if; end process REGISTER_DECR_VSIZE; vsize_over <= '1' when (vsize = vsize_data_int) else '0'; -- eof_set <= eof_bit when (vsize = vsize_data_int) else '0'; REGISTER_SPLIT : process(clock) begin if(clock'EVENT and clock = '1')then if(sgresetn = '0')then split_cmd <= (others => '0'); elsif (s_axis_cmd_tvalid = '1' and cmd_proc_cdc_from = '0' and ready_for_next_cmd = '1' and ready_for_next_cmd_tlast_cdc = '1') then split_cmd <= s_axis_cmd_tdata (63 downto 32); -- capture the ba when a new cmd arrives elsif (split_out = '1') then -- add stride to previous ba split_cmd <= split_cmd + stride_data; else split_cmd <= split_cmd; end if; end if; end process REGISTER_SPLIT; MM2S_MACHINE : process(mm2s_cs, s_axis_cmd_tvalid, cmd_proc_cdc_from, vsize_over, command_ready, cache_info, mm2s_cmd, split_cmd, eof_set, cmd_in, command ) begin over_ns <= '0'; cmd_proc_ns <= '0'; -- ready to receive new command split_out_ns <= '0'; command_valid_ns <= '0'; mm2s_ns <= mm2s_cs; -- Default signal assignment case mm2s_cs is ------------------------------------------------------------------- when IDLE => command_ns <= cache_info & mm2s_cmd (72 downto 65) & split_cmd & mm2s_cmd (31) & eof_set & mm2s_cmd (29 downto 0); -- buf length remains the same -- command_ns <= cache_info & mm2s_cmd (72 downto 65) & split_cmd & mm2s_cmd (31 downto 0); -- buf length remains the same if (cmd_in = '1' and cmd_proc_cdc_from = '0') then cmd_proc_ns <= '1'; -- new command has come in and i need to start processing mm2s_ns <= SEND; over_ns <= '0'; split_out_ns <= '1'; command_valid_ns <= '1'; else mm2s_ns <= IDLE; over_ns <= '0'; cmd_proc_ns <= '0'; -- ready to receive new command split_out_ns <= '0'; command_valid_ns <= '0'; end if; ------------------------------------------------------------------- when SEND => cmd_out_ns <= '1'; command_ns <= command; if (vsize_over = '1' and command_ready = '1') then mm2s_ns <= IDLE; cmd_proc_ns <= '1'; command_valid_ns <= '0'; split_out_ns <= '0'; over_ns <= '1'; elsif (command_ready = '0') then --(command_valid = '1' and command_ready = '0') then mm2s_ns <= SEND; command_valid_ns <= '1'; cmd_proc_ns <= '1'; split_out_ns <= '0'; over_ns <= '0'; else mm2s_ns <= SPLIT; command_valid_ns <= '0'; cmd_proc_ns <= '1'; over_ns <= '0'; split_out_ns <= '0'; end if; ------------------------------------------------------------------- when SPLIT => cmd_proc_ns <= '1'; mm2s_ns <= SEND; command_ns <= cache_info & mm2s_cmd (72 downto 65) & split_cmd & mm2s_cmd (31) & eof_set & mm2s_cmd (29 downto 0); -- buf length remains the same -- command_ns <= cache_info & mm2s_cmd (72 downto 65) & split_cmd & mm2s_cmd (31 downto 0); -- buf length remains the same cmd_out_ns <= '0'; split_out_ns <= '1'; command_valid_ns <= '1'; ------------------------------------------------------------------- -- coverage off when others => mm2s_ns <= IDLE; -- coverage on end case; end process MM2S_MACHINE; SWALLOW_TVALID : process(clock) begin if(clock'EVENT and clock = '1')then if(sgresetn = '0')then counter <= (others => '0'); -- tvalid_unsplit_int <= '0'; reset_lock <= '1'; ready_for_next_cmd <= '0'; elsif (vsize_data_int = "00000000000000000000000") then -- tvalid_unsplit_int <= '0'; ready_for_next_cmd <= '1'; reset_lock <= '0'; elsif ((tvalid_from_datamover = '1') and (counter < vsize_data_int)) then counter <= counter + '1'; -- tvalid_unsplit_int <= '0'; ready_for_next_cmd <= '0'; reset_lock <= '0'; elsif ((counter = vsize_data_int) and (reset_lock = '0') and (tvalid_from_datamover = '1')) then counter <= (others => '0'); -- tvalid_unsplit_int <= '1'; ready_for_next_cmd <= '1'; else counter <= counter; -- tvalid_unsplit_int <= '0'; if (cmd_proc_cdc_from = '1') then ready_for_next_cmd <= '0'; else ready_for_next_cmd <= ready_for_next_cmd; end if; end if; end if; end process SWALLOW_TVALID; tvalid_unsplit_int <= tvalid_from_datamover when (counter = vsize_data_int) else '0'; --tvalid_unsplit_int; SWALLOW_TDATA : process(clock) begin if(clock'EVENT and clock = '1')then if (sgresetn = '0' or cmd_in = '1') then tvalid_unsplit <= '0'; status_out_int <= (others => '0'); else tvalid_unsplit <= tvalid_unsplit_int; if (tvalid_from_datamover = '1') then status_out_int (C_DM_STATUS_WIDTH-2 downto 0) <= status_in (C_DM_STATUS_WIDTH-2 downto 0) or status_out_int (C_DM_STATUS_WIDTH-2 downto 0); else status_out_int <= status_out_int; end if; if (tvalid_unsplit_int = '1') then status_out_int (C_DM_STATUS_WIDTH-1) <= status_in (C_DM_STATUS_WIDTH-1); end if; end if; end if; end process SWALLOW_TDATA; status_out <= status_out_int; SWALLOW_TLAST_GEN : if C_INCLUDE_S2MM = 0 generate begin eof_set <= '1'; --eof_bit when (vsize = vsize_data_int) else '0'; CDC_CMD_PROC1 : entity proc_common_v4_0.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => cmd_proc_cdc_from, prmry_vect_in => (others => '0'), scndry_aclk => clock_sec, scndry_resetn => '0', scndry_out => cmd_proc_cdc, scndry_vect_out => open ); CDC_CMD_PROC2 : entity proc_common_v4_0.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => eof_bit_cdc_from, prmry_vect_in => (others => '0'), scndry_aclk => clock_sec, scndry_resetn => '0', scndry_out => eof_bit_cdc, scndry_vect_out => open ); CDC_CMD_PROC : process (clock_sec) begin if (clock_sec'EVENT and clock_sec = '1') then if (aresetn = '0') then -- cmd_proc_cdc_to <= '0'; -- cmd_proc_cdc <= '0'; -- eof_bit_cdc_to <= '0'; -- eof_bit_cdc <= '0'; ready_for_next_cmd_tlast_cdc_from <= '0'; else -- cmd_proc_cdc_to <= cmd_proc_cdc_from; -- cmd_proc_cdc <= cmd_proc_cdc_to; -- eof_bit_cdc_to <= eof_bit_cdc_from; -- eof_bit_cdc <= eof_bit_cdc_to; ready_for_next_cmd_tlast_cdc_from <= ready_for_next_cmd_tlast; end if; end if; end process CDC_CMD_PROC; CDC_CMDTLAST_PROC : entity proc_common_v4_0.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => ready_for_next_cmd_tlast_cdc_from, prmry_vect_in => (others => '0'), scndry_aclk => clock, scndry_resetn => '0', scndry_out => ready_for_next_cmd_tlast_cdc, scndry_vect_out => open ); --CDC_CMDTLAST_PROC : process (clock) -- begin -- if (clock'EVENT and clock = '1') then -- if (sgresetn = '0') then -- ready_for_next_cmd_tlast_cdc_to <= '0'; -- ready_for_next_cmd_tlast_cdc <= '0'; -- else -- ready_for_next_cmd_tlast_cdc_to <= ready_for_next_cmd_tlast_cdc_from; -- ready_for_next_cmd_tlast_cdc <= ready_for_next_cmd_tlast_cdc_to; -- end if; -- end if; --end process CDC_CMDTLAST_PROC; SWALLOW_TLAST : process(clock_sec) begin if(clock_sec'EVENT and clock_sec = '1')then if(aresetn = '0')then counter_tlast <= (others => '0'); tlast_stream_data_int <= '0'; reset_lock_tlast <= '1'; ready_for_next_cmd_tlast <= '1'; elsif ((tlast_stream_data = '1' and tready_stream_data = '1') and vsize_data_int = "00000000000000000000000") then tlast_stream_data_int <= '0'; ready_for_next_cmd_tlast <= '1'; reset_lock_tlast <= '0'; elsif ((tlast_stream_data = '1' and tready_stream_data = '1') and (counter_tlast < vsize_data_int)) then counter_tlast <= counter_tlast + '1'; tlast_stream_data_int <= '0'; ready_for_next_cmd_tlast <= '0'; reset_lock_tlast <= '0'; elsif ((counter_tlast = vsize_data_int) and (reset_lock_tlast = '0') and (tlast_stream_data = '1' and tready_stream_data = '1')) then counter_tlast <= (others => '0'); tlast_stream_data_int <= '1'; ready_for_next_cmd_tlast <= '1'; else counter_tlast <= counter_tlast; tlast_stream_data_int <= '0'; if (cmd_proc_cdc = '1') then ready_for_next_cmd_tlast <= '0'; else ready_for_next_cmd_tlast <= ready_for_next_cmd_tlast; end if; end if; end if; end process SWALLOW_TLAST; tlast_unsplit <= tlast_stream_data when (counter_tlast = vsize_data_int and eof_bit_cdc = '1') else '0'; tlast_unsplit_user <= tlast_stream_data when (counter_tlast = vsize_data_int) else '0'; -- tlast_unsplit <= tlast_stream_data; -- when (counter_tlast = vsize_data_int) else '0'; end generate SWALLOW_TLAST_GEN; SWALLOW_TLAST_GEN_S2MM : if C_INCLUDE_S2MM = 1 generate begin eof_set <= eof_bit_cdc_from; ready_for_next_cmd_tlast_cdc <= '1'; end generate SWALLOW_TLAST_GEN_S2MM; end implementation;
bsd-2-clause
f2bf28cefecb57f0eda7939de7083c34
0.502472
3.775219
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/fifo_generator_v11_0/ramfifo/memory.vhd
2
114,679
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block XMWpQ/ZiY32/Ly6F+y1CnyWzbgKcQX1mQQVgNoCwyPMGR2bEuX4NYXm+p+Iv3PIhaldxjepF2RNp Jc3C4BBZbw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block SA0D+WTT3om8muP6KFaCvEKsAK2d8kJ7c/1+WkPjg+oNHtqxPAgev5CH3pGtfJnfCDtVW30bVydn iVo2IVoNwsVVu9Q9AjhRo0zA6Kfu31BXlt5yPr8PvVt1k2vwJrcw3lPPOtbVMgg/pl1ewe63cMvG 2YwxeElh6VHnz+YEqEs= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block RIC0A6wLjhgVuryPDLTwQMfUWKLIOPUQFBk2N0w6fSq0EKwDEZaSs8NUZpA0M+lt3OIjShTjgzs7 VsriaJmqA0Mh7loJmEudaSWNxT1M5kZQA8wfHeFra4gZ7zlrUF3xScr2ww0f1pZ8kSV+Kh+lmViG d0DW6FltgxKQrOXUdiRkKVbLnxyOGhzi49QIkIdCMLfD+KkaM0H1lageNhOLPIwXTKwPur06x0wb lLd8KaaUefT5hYJu3X7Vn26o3MJxsOhbmeKaCnrY0snpT+rUwS3typF9zyCeFOO32JFRItyUMEeH hCmSJL2+/F21Gy+cPuI8zppRWTdR69c/xv/VvA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block fdu4AemMw8f1gIptkydQOd/hkwvxqBaLUBoD/OP2tpU5Pf8MBe5bNwExhla0VJT7hkqKAHfByjoZ 4NirY9xerEzIaGvi/OtJxBUDtVXRE9EHua/mBS5naTrasFRiVMqnGOgGWm4Mcf1Mq1qsizZw804z ojBzkNMO6M+q9E68PCw= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block awMhpk+acHYAH4FU7PBCGUmsQ/JayVyiUpNYAmv06/0+PF8ioPme04zYCLkz1OtbgNemuykfRgC3 Y32RiNAmCAwxscVS7MV8Q8zPk7hV/o1oBT9LIFyEA3BSTlgsNZ6gxniIs/bA8ftJSAJUyctISFGJ C4QATTRRj6H9GQZcVuVu23DvJWvHpsAEwzDohsGnqUcayE4VyTuuS9WIILlH10HxbkRzbZ1sLrKl Qh8kddevYhVaLigS4BoRMYRxY6fxC3adNu5EStvb4btA82772bRkFu75YNI/+sOBDFpkgyMzL8uk 0lODSBH56yYXZ4M/FhnpUUSAgWZD7owfRaUekA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 83152) `protect data_block n17aYW9pRBh2VHU/RAQBmV/+Tpt2lbNCtzp+8WeFaU7a2IV0W7nIaW05ZpqYI+a7qcevLsA11XM7 lIjTPsU8MqiYK0uK6rUu+s9w6xbl74oKzU6xw3HVAqPoViF8nBG68AsVVauooTYWI9V5IGzqeIgb tDEFbfkp30e8eK7VqgD8pk4U+k/n/ugQHibNyyK3Y1OH26Xe3BSDf6IfI35FMok0iIdbUsPWsP/B GZr6pL59PIciwZy7B8dlkV4GIOXXyDPVAz6ZlAwwcmdA1qjHboE67v5nA3oeAELJlgAq+5kqidHr cXxlj1u3/PTi6leXPiXJl5ZDHZqHpigx1mxx9NQPHeMOVcAGXDyy/txm3WwGDBTpt95OltJBzxWX HDo3Bv3zwzV7Azt//HVjSDFmdIKsDtAN6TQgOwGdLtPwzmA22igK0We/W03AqA2xektHpMIKEDXp KSAo7gpoOMSrMiKVSbm5UVEhuGH4wR3u8bX1OkXQBSvtrgQuKC2WTc2H8jKWyEMMJy/s8y8wKq9A nBd8HnD7QnaRVuVM8nqzr1LeEMwuQ2INeqslTVLw/MXrb9HJKashOCAmV8ABoBoaMKOzIKHWNOCp PbiYJACVs54ED0wbdPGVxx+4iYMXnP4nPh0xCiBdXHodDXCENLa4A9zG7vqYtBpS+d6BzxQhsbvj nFzW+F8etSazopiRHmdwrZiTD+tk4XKGSNt00TRoUjM9KLoZ8RJCsBz4LUctVuo46LSHZeNhDak9 pyz+pN4WLW0M2Zdx+vkj//Y5WRjrs79WEjL6FORjnLD3W/ZabxcfNqfjkXAkNeqozm00gugvRR0O i8zx0a00lUdnSKwQm+JJ0R/kh7FdAYMIS1Cd7zoLbbz/kDZ3Fy/L1bh/u1icieyUdJH+0QwzAI9q L2P/qQIpc4LT4bvrlWh6NeGzpGgwtklot5AnJyG5FbLTkjNKn9aJdtS6RqzNg07i7RVYJ8+NISDF XGi8vz0IkvPn3rpz1Xko4EZatTuRVYIaTXF9GHRs2/Ty9iLKYPeUc1wxCClh47mfrB47KhfTDhJ2 f5NyjT1GQ4DZcUvgDjwDCJHSdAi0jYJVeOSMmz18cdKxgSNTvUcA/4u+UTkfghRI7anx7Xn06Qrt atnqB4zn8yfwDBVzxgBc+Y3nL9bu5ANbhQgoaqleomaRVsPHD214NRFNaWw7zwnWxfVXSmcF4yHn Wcj8eoCFse8u64mPI1UPRoqDqzdMyuZVhmUuyBATzvsTPeh2ehYZwv7NndQ166m6Xzv1Mq58giDI 2B41i8pbeKJOjv9BiOI7oCrpBimKcRzLuOAzCB+vW+oD+rZjoP33GwHvCXOm3JDpstR9EpFudy5R PvQh4st91+peMbessk4+h4o2OQrR2sGxQOCWSmqZFzV4jojpWdrDNTVJ74suZ71gwHqFm4GjhLqs 9FLGzxXgYz42CoAeiGQE++P31FYErB3r6t0k9xUsVHPTKc4SgNKd8dTzh3rg/pB5wKuRjgYzWjFE cey/76uIUeFYqZD945uHBaAeaOY5ltiP/n9916jOg5yYrfOK4MSTA1Z2HzzUmSKd+eqYNDsu1Iez 7ubRcw5JR3GsaSXVwd/cVEflrFFmcSY0LnF48/uu6egOKGwLf41NUFBghGGHm+ulLZySbl6K67Sw N2/scp7AS6yZ4uhibcoXZhJOpJycNDkkf9jhvnkrPEIu+xYinhVKBS79+mMrpJ2Mx1RuiCPqr37E BdVBAEu+B8ARBTg6JtiDxRHWBIVs8wVA2UIheM5rVXlqIe8xlXMksfnW1fET0bGsGq8TSuouorx7 jNksacAKfZv055qsbUPFPzfFq6TVBSMK9twog3F6U/TUmPbbOxYXDJIFiEO6WsTn2hLcLFRo4fSn ztKBqd0VkBcYDxEmMwtoyXAFUm4l1Tw/f5jKFnlxU6Rr4b3HQ5SxPsA28R5ch3rzZysRuWpFb1Im GXbg8ytx/iUOdzsDQVO+BSU/qPUpnOyqzY8knhd50OJ83whwI3Fqmhzy1sdgrnLZDJYhw2/iHUR4 6TIDgjgx92KucCy7/SZ4AfMdoY53rQjtnIMmX9/D6D14Mqcql5SKSz1AoYmF8NbW8VRXTAsnpi5A RxKk5w9Q2ABDiPCqVjJGsDdUNOm/E/r60ayXmZAvPYFp8/DnPfBmg0UYYTdktasMIRq2yqX/1BCb 9dx4n0pii3wMH1gBNUJzpNH8VHQAry3BgzjyTpwrP0tgm+lAO1nBVnvCTUT79U86qrlBHaR8l88Y +sg8UPaRDvdtqhILBJyr7GLBghW4zMb6oMctkBOwoicawtrVf2975M0zZa2J29mI31W68oR4HTtT Cbl6ONkFs9Abfl27gY2HWspjPeOmR225NJPyicEIsziInl/HPCk3g9q5PBv8/hfhyjd1tgaMZXUk 7RPh2y3FpJIxbx1tJRiNUTlELaKTHhzlocp77bPHAkPYez8v1d8q9On3JRVV9DXvqWxHDVL2DzoV xApSyY5betku1imEJJ5lnS5WZ7inMtcq5V7wJrcd/KzJfWhtDPqDW8x8clBbCncj0ihHmUvM/Qrg UF6/rLBRwYigkONKxvSyxuz96n+ZdEI/fKOK6bjFiTqtr+rvCYvF3PuW58Ih9uWCuCrvPZcX0gDs YRQDcyVmYsb/BRPoLQLbA/wQnIAvD9YxQmDMPwCjZ1AkgvLobmC9EBIGD6HCJRerCfVfqe5PkJk8 3UFNgqej4ZWYWMwiX53TSmC+WqMe7KGSR64WyzjSe3RHZQ4vkFUudRJ7usklhdGp80yEzJqi80QT I3sfbz5Fi+rJSVBk7vRDsGPQ1e8o3O/QuqiWBJNkfSx4/VvntnyAIxCB+o4m8Rjp2xgoecpnF0qL +eywoJXE6+ztzav2KRFaHrNivFJSfdElggD9O9+6LkGLHBHIKmaBGg80gm3e+rdUddNXK7pNpY5A rctVU2CkA5blw/IhpZTpTW9GquyoAyivM5H8j0mKltOBXsp7CW5TfuDLJtanTJ9iQOtyk4eBJX2H ni0V2bw1koTCrhGXPUx3TzXNTSJKFZptCY1/ISNsAN4RxxSju/TKiIWLOY2p+c7npqxlgbam3bXK Ppuid98kjFY62UqI+pExARPtLC7qPaU+mkohnXjyhQHltblsfz/wrdQOZVoqsSYlQJL9MaKA/l0M QqFpGMPKC8g1lMdAWB1eKaW3D3EUS6p5DVE5tpceVtbZCU99Yqf5Z46ntSC9MQbUxrsZvuktP6eU 6HLsAlO839VRUPBqgddATnvq7TSAYgznTEcNzA+1m4to/dECzTMG7Ab7TK2ETY63eHV9hysl0gVB Bkuay+7ZlmaufGx7XdLDB1k1isQ0Jo8njdhG+EJTuE1MOH0O1U+Of+CwBxc0YrPdqWnt88Lj1SlS rRtk/7pdgwV6mdM6BmIkO2NQIfrS0C1MInOlkgHPi02XKljAtPW8wEKDO46oKGvMvn2os0bjmJgs oaeFpc83zCa2CSV0VW1hmT+De2qeadX5o+L0BoJAGXkhURJgCSj7n5YMfJLECzbqCCznqQO/vAAt aCKsJRbRINcVrUrfTDgSzydJiAzPrLVDX8ggFA/VkdeP/LyLSiByacfCTKu7Uj56nfpycGV6a5LP eZpMnfTBPE9SvVgi5qr2XTOJwc0IKcn4spxupVI770Ql7EuWPrIYiqDRK/bdpa9T4BzD42G5nwHx N6iHSbIx+uwQpvhxLXovhW9xgj45tWyZ3O0cDqgnc4yuGs+gQEVtzLOrI9PBi+ICK5B3Wtyp4ohF Lwh2++wSQ4W45KMXmbEvaC6k1yZeq9Sz1/u/aJWMHgPCbNhUk7o/AHW4bYAebynUARg65ISuGPHZ MoufdIoLR9sP43/WFqdYscX4i1cwgZn2viiNWOKaPpUSd3pAZwZLnOGkDjXgw9sBUUzLI+dTcuTs vRfdVFej5QXcLa1O+1JojYVpR1YkgguSdQ3ja8BOhxUHaWYs1uFnVrjflka6epVrl4b+GF/FXQVT l8BWcYuXbo2bslVoZdV2zg4DvyFYDaMCIV+r/K6Vefycuxhm4biaSzTXrVma6Lg2mmwIluPDIkM6 rrMP5CDWxGRMoiNrL7PpWl9s8Rz4PIDoBEW3zA6HF0OSEf8vQNkhOIJvU19xlYCwvSRvZiayIwKm BZF/l3E8jsHvBNW1lOE3H5svialocibkeCwRGvyMgqhxnWawBDancW13A7XUvwyYKlGhopgkQvUV 9NK+Qwt4cprq/xpxfBWVeYn3d/nCqoTqE2kKQhAp3qFkprX6kqADsFhp474RFCMWKg+46IGuz6fU SNItXoLBrHm1eFrX3UImWZBSEQgsWGELIokCL8bX4ZPGZupKLmhV4OGYkRLuplSbcAgQpzY1Ys2z UuZXZoIn5+VdmpLK+QFGpNaJbhB+0OhHA2AbtQlb/+3qOMLekNqppmLsQ6RECv4zsD0VXqvmKpbp fX4+9W7UhcOH1RyVikaIJ8hQglgHMHmTD7o/oI3fIumlx+yY60E3+WC7tGyYr529oz6O4TY0G9Cf A6NsMHPzbOlIOtKLcQrLmgi05tZ1wpj/KzEypuoo0TIDNdLNquxShRD0x6/c4bz4HjFSUQ7g3C8F pHn8eFszj5tP51Tveyl96yRgjsSaU+9ojgE420UBPknpDkcrqF1w1xKhtzvy7Fa150ohDkfKiRMV bXDJ96LUTxkxa6ExN6thuCrMkSeX8g+yXC4rwhMU56dBORKpLZk9SkAFx6biZTGgpG/M5dESmeUF sOEfKArWFCoLCFb3uocgZzb63Iyf/Mmx01ModSLLwPqfYcsUaAlxXoSkTQ3yn83KGEtQuUgpYxrl soqe0TnOJ/t6lLN35ZVTYIwsfmg7TmpQR/gfzlyh32afioUx3O9NyD9asMZKai1wWNGC0j8f5wpc 3zrLJB/2XUJTElVO/BrfXX+UZg+cwuF2srpLBbu5TrAaQd86kNGPhYe3HXqgsZozv+x100TqGgUv 1NMX6ohz/M2JbkWZG6XqETLDHtCycmQoGp4zTlp72izYP7E3c4xNsKGMy++Kwwmnq3RDMmMVonNx pdC7NY7b8Y3IymVJWQo2jefw/A3MZLWxbhs4aiwSK72P+EaJ7J0yjzrOkPd7C0fN/Vwadwa4Sz7p QRJsZ4p7Ekx/emUfj/ygtTetTBOz1fSYcKnhGKpLBshLXuojcKBBie43fHPTOCGNLtx7Fdh94hkr g+ltpdelIL0jVzwRm18yZeQaDrhvkf3vomKXF0v/N2MNEzJH4xlfYF/szHKkE2IMUyhrC225XBKn FNeAGHhUZEtPne9hKPOQa4qagpbrptjZrriSSvyGv9T95cH21Yf8c6jhb+SMvhYTiuldQwDzhXYh Fu7KgcxwO/XMn8aHNT1+jrQx9sGQWNT5XoNWyIZZgefLQ2zB+CUTDKw/EIB/9KdJ/s/rmWqOjmd/ di0GlesIi4ZQL/sSWC+lJKDNazVNB69zD0/xl1YhmLTb0ukEV27qEAaFmQITnb+KWodvgholiOrJ uB9WdJTWyQMSoKe8Ca+NqpwXC0k+RDscN0RLiZJ9Nq5dcm5sNxVjMeKKNuG1A1SpZoXCknWz8cKq Vo7dJ/zh3voo4PMkQtfwub2sTa4kA9qe2B5K6BymxbFqkxCqvuQWS2LZidZjKcY2Hx5pThfErPdN 8d+JyiU3mm9kaoMYH4lQ40t9NciN09BzK5cz5AZY+0bbcCO5Wa5JrqhxPOpeK70L4T+PciBcSLPX I1j0lGv+kpxgM6LWnXXxChio0/YKq3zdYCo+CTcyxSyH5Dpa+va52vdaN/x+RZs7ZftVe64teXnO gJfhCdGUvGHNn6RbeFwRSdzXLAHJTJBTFo/9PjArjwfbbRLeK0dPhCS1U6R3dRytD3+NRPQ6dKYy zgTaNRCbSBY3B+/CLK6h+YNOuznl7EQ00zoG6xZDhFaebKMZbKPxH/I1d4aLTrQl2ulZp0Jwhv0b Kai7IfE0LkyJ/fCFuaY8BBKVfjsJlY7T/nIjC9IfuouptsdkOpc9g3GkwKIDNz4ABcBW6c283oSz yvK0e2Z6OL4ttz08JcuVyPZDxnr3sGWX8JC0flh7OUrULEgosr79YxYFupskrYmslii8PJvF6+HB 3ryxKunDndGDOOGzl3FeiKaRe/4SMN199b6F19nAYUtED7WB2r8PQeTDmtc5mxtqPHyGpdI87uJ/ pKOM7nTtJWJEQ8xE/OtHrmsf1sbpIVgbb4rRUMCelpOuVPer3/FlKpi687Fi8FOUIkZsiI7/CHzI DgwAs7UvSBQyz6MjlTclcqzns3cB42ur7Bmrxu6NweIVKMmmQcvfs/SnySX0PtDZ6KbwLuLOKe83 V4+rP1XsY3SKRwuKJfUy0UAgAU52htkhjKt+TGF2Wfqk4lvGJDLNZSSaPXv3bunkWibzrlyxDtmk 114fAy2vAAHh3fO8M1ove07g0mTHSZB0z4ELJMp0LFiC5u4tqcO0P9MTDzXyJ/cFXGqnhpXoVwib +qmLaTs36FjeutoEmZq9H+yi3Uatanh/mNjsIEXqYlwKAnhzAJ0R2sYie113itRQ03a8Zm5KP/Ud V+jhZH+Ob9myAiM1kM0XprHokJ7Wda+wuCfGgk6wIMJoLIGDPiUxKonYLsu9kZWkFsCniH8j8Fbj JmN424vHBfbBX2M0NW1Nweg/NHGkmxtE7D/oFbgm5Ps2CB6kAUeI9+j3eEJh75UJ8bTJeI57fmqm WZBD3DvjN2RZBMmYsTTqyUdEFzUK7xMyK8znF8iZGsdPgDNYlccEsFlMOyeqxOLu1dtoBlkYEdtj uCPvATyddKr4c1Tcz/n/SFE8lBFrH/KgzdH2MyWL672S4VlNEwhVqstedQK5c4l8T1mJ/5T4Soqs 1HYHpHfmD5qrmFbIKYfKCJeVyDQdapxhETdxmHOgGrTw3S3hynr1VYtqY28MKHzd7NxSQPzeDJie ZtAP2bS6x6NSCd0WuCcDAA0VwaevBsn8jkdxEy+wt5cllkxU7MHYKVIsYUbohFinK6w/ZmzYUdDS DYdqkHWGaAQo01dvszTsQObfjBufY9kXMUFOKAHyC2rTizw+rNYfomUzL+73dCVvcYjbJ40qM3+x 2t8G1IyPI5AodtMWRHx05dTEiLT1/idT4/f2sp216qBcPs4Ub76nVJKOgJfayWXSG4mLYofAyp5e EZWflfAwWvchbSS4rOoZ2BtCV4pEt5PBuzNIPStvjtMwF5J/fn7vLQGAp7iZrUnOjri4EXQnQYne 0GoMH7ZfqckCsnLHMV3tdeK/kKYb32oOtckKh2kTrs1XtJG9UsG3qb0zWfj2Q6iIiS5gtxa2YPL3 ic9G3Ih8DuZR3MoZyfwkeLDY0tbZuxdqV2yOEPzJdbIuJCtWAEXpPcGe+Ox8w4kRYdIVZ34Q9lHk Tk5bQwS7lMwz/B1dFz2tbKpbtxKiPJ0gwUquSsvUSiG7nEzuIup+UCtsAJ1tzmqH9T1lkAcZu+28 a5g1gNWYXiiwlsFCzQB3sQOO9oHteXEhKL1P/6xUoQd7Y6wh5r+gG65/3tzGQMmOgrksGJ4ig7og g5dV7xfJDyfSkMZarl6CG+Bg2QDg6uAP0h6VPHcUAgrsDHbES3ORwUFJslCc7d2F26G0ScEjTOZV PK5ORkAPspDcujENYI5J93WQuhVgWHVXtXUQgFNrWElmsq9FudTAA67IlcZ48Pi8JYr/AFm0jWhY 6OS8WiiWG5eX5IVjuAAC809K0flsHM0FA4/0ZOURneTqbQoQXeObllZKPge2zoIrXah0eiUysvaD qQNGPODo9311jGS6TIbcsX+re3IOq4p+L+kUfqqaOSs9xbQjXY+nIPf9SoaV0tvX8SWz2c22YMRy 9B9xRWYvH71z3Nn9eLw6QV3/OE5FB0CsdDai0QB8QHRa1MaXDADqJqy1/WtLAq0fT5WTM0zQjVwi ddLcgssUCdRZE7kX43rqAMxtiSjoNLGk/EDTcvCW/Wu4YVKBqKPOOTfmD87rRPGYHLnpWtkjpvWB l4TLEGpt1A8CdfJg8icSXb1RdZxkyl+H1vQTj9vkqdKbbaYKA222QP6/6/FkYoxFQiTkZb82GYai XSku6BeyF8rrWLNn938owu+WLbhGe1n2UCNT4v7Zq4qupSz8Yu12EVp45bmrMysqV1+gxN577uzK c+aeMZLbCHLm4L86cAPPh/HwQI7160pvlfqOcvOne8zf1t5T3mYAf7MTmEGxOdX3A5J040Qy6SKK +NoBVIWYbEgvLF+DZDHI5CCzRNEQ6XYnlp2/gvhaL6Cmp5V/d8qK7jdxZRz8/t8kjnTHOnfvWaT8 j7kYAmQFC0ZYbcZey1z4opnkfyK2KwGKS3Y4KnAyZZRP0ww9uTja1/pno/M8iEzQ1Cp5u8SDQ+ko w5c9JGy8VLIEAK9d7xuBh787hjkICu0dazA1XNLWWWbtg8KBqcQg3vykVh0UTuMPr6gyzLDHhQyC wzwuJP9Sd7A7pz9aj3/fytVVb9Tz6NzakQNHBrhopTYQrL37q6saXNo0HzPMowgnvHlT5dvdDMTo BExKOU1hpQtj/9MgY+AVzZk9/R5tVkcWj0JVhhsmdNlPIxpMD0JHbkLPDfG3hV7+AvFB/E9vM2xQ Phq8Ak2r2agrla57PovWOH+Yx5YZXTgLPICjWxNss8w+6IY5ZMMZWpV7vurJ2ThW/9Pa3LyEMiUY HJypaHU6RUqZu0L75RG8yDZ6nspQw1tSQdGBkyVozHT6nkZY3D7KFEopb5iDURQHFBoE7m3Y0VeL M8qwYmlxTx7bBfGGRVH5WUGwAyBiNvgnw3bxHPWnZ+gtPPT++MofTX4vIsSTquXPD1QGzSk3RCeK guPj1htw5kQDOwd88VGWmul4ddgOxZdwZJlVCR9Qi07qHInRe1TWdcW6JI0bosTPk5mFh9YLFI2y HfeVlvkuYA5qxJWKBdyP7fhyhdEJERMiOltB+dSTCgc1kfv3kZcEd/GtwjnO77U6kndfMpPQcy6x WUEs0ZSuPswWcWrFTue7XpZnXliTujLHdV7kmiBepwggOMD80bKrsf7zL3ucP8oeHMyBWftmju1C 6kLOY9Eedm/MPaukvkJtTk8XtMd76cPwzdsv75zfHtDivX63zqaQ5HoJyt039FDWtd507ZKItBrE VP/dnf6u/zoAKj1tei/kYGXVS0oP1KnMS/ljybEFM2Yo5RSTF5GKSXHJBVJwxeGuyP1dvcREMsmy aIeeZ/7CJ5uL53DOUJAxFLMANTA5eSzMwfbrybsh6lSsJbTfv6I/23JRVIm4D16XwEaQbxlX9iZz 5m/dJ7INrrjDjHJ/l9Ji8ObJpi+nfOumV+myMQ2pSZhX3q/TgZfMHHzL2+7OOkBS67rssamLDaxN xFyHrb0S0EmzWnDUjzuqTQ4R9hLszJIf2DDWwuV5cLJDedSliCqM7jQ8LYANCqLz9n78bBDoR6y2 H5olSGZ++V1q9HD7FBOA4ovocwjTMvka1cvBHU578xzZq0+Za3OXIQg0fjLTuULgK1j8c/CrAzLN RnhVdMXIcA0NfGyQnvWqah2hOAxyOZ5fNTOUNDJJfuhkVH0ba+5Bodx4HYlA3y2AESlnJMGXDBNM HhAw/z/DPsevj4FfE4VcihxILCZwBhCYkZKCDBJYJH8qi61ZM67DbN0gJTiExHlGp4gqmeKt1qlJ +hbamngZWsqVd4Y2kkV4KeLJaMUID5jAIwuwLAj0DKcH7xq7qaUgeuyy0yEUvMnZVrBq7bzTwJuB X+jZEcDOQF57qMD1UlddYkxkZB1LgN0JJHjZsJaPccimHCXrsk7KrpCm4Wi+T12o+vhWXouIYkLU KHHkB17OOwqFGMSNSZcARjffmKBnA+26RhkQF84wX+yeaFME/3MZccCITzUEO0D6TarzwrPGONBS amsbDdw/tVZgStVHkuG29MklwucbfP55e+8WJ19CKvuPe3X1cg8Kwk0KQ/u6jcVnp4LQAvHEvt7t /VHFfwPYexBvWBvrOqXoM7hMVDW4fyvFNMxNn5HOTIiwGqmNaIK56a7zeVrU4sGKauEcfSFXGxc3 OfUsspBFg87eQAdmGxceqZQu2QcF072aWoX03xU+2FGLfpTx9kBss/ZikHeKa3CnDxL/dARMxfXh lHyJl1GHKOo/+TfTh2WuU0LUJRyAvL+7gMx0XATC18pool8I40Vir6REwhmdy0rYZu8JDLChT+Vs iaPDuB9UCVV9/EMyDLcZ+Zhmf6hXYs8WTinsJloYFtVFYnK18e6gxhO52R+3xfY+mYOifuZmk6sa wN3u5fK0Vs7uhFrPHJNoEtuvi0KN8vTbABS8YKS/6AUljedWL9tUwi1mV9BtZWLcVatS5kISozX/ JAbD7teT63FjuMztTq8rpi4xnXCZdUChJm04ShStJj1zkFc9sKGXdmMDQ1FCvNP2Y7vj+x/ZqfUj KPLXhzktbAqT0rSIsNeD1um3Q5vSkc+PLCsWSDiflWdmSw7MVUt4PKrY9At37E6BZq/HIV15m+pF NNdLsX7yNDHL/LPAX9xm4aMRK9AULvEErCiU+uI22PriCqeBezy6lLC8/mbgJatyyoPyrhW6XOmf MzgCltVZ0VVx0V74vPUegIzAEzzgnYC1EkCT4entNBBYbUDTohfqSBxparIRO8oKCOI2MlRHOhRg t5h6V1PSoM4HDhGuGJof1ikI7DX4A4eFlfrWxa7rOqXRpFCrr2GSN8vDjhAva1xyyqBOSyy62U0O g0LHTeYZBx72XlS+7+UHc+6G7vbJVXau4AMCvUMhlg6rK84OHUax/uBUAZNYGptpbqzb8AFOqx5R Z8GHW02Z0YdWxWpZ600hLV+wdF2ywIkIN+XHemF9gnpYb54tr+9+LpX88/oKKlLKu+JrcPd4LJZ7 1NlP5iXYkzIJjaJQZbcDtmlFoatjFY2Ifabz/rW21Z0R+sBgmwzWhjGunCM6rIWIPvVb7HlQsabY +wxJWHvRpsAB436AR0W15BXhPqagZbSbpxNtdHIiOvFG2d2/jVEle+si8+P2eM7hIvharXWOEfND Z46T/lnXAXBeAaIlMr/OrjVXzpafDHZOUxvfyWsaRVMZ8U6DxbUJB7/cNPRpcJ4meeXDy9v6MIAu 7JyY8zS0KPrdjO28xEtqo5tB5lkx+BDd9tFdfOCdIr4dPqSJDNDVyAVIDJ0zTeXOTf/G2sccdcxI X655SB0SC1dDJo4YbNOxLIAWXf9mDHmzQpHRIsDDlIOz7D6Kz+XcLPHl3deb/QUPwNuYCQWvgdCo eVmB/k71o4wOs9Fda71VtalU6VIQE7MiQ0JP2nmnCpmAhvTqejNdc1ThITAff85wV4shiqcr+BGT h5howlhNoJRiFIQfjlcK8paGRafJJhLhvQZru1GLKjeAZ7X5KeB+reMZOPEHbq9zRKGq3bWKIwEn xZ5DCaTRnyBs3lgBltVrQ/VUR4nnRAtAMa/b0E0ASPJLzVb+FGKZ9kUU8h4Qfark22u0k6kTG28N X6PIpwPN/+PxsNjeXJOiuRi6kb8wixd4rxwBfQdjNUoz4blWZelSrgQ+LnC+rNcNr/MtQscRZC7q AwabC5pXnDJTnwuN1o4MPMepHzga6A70qXMcv0g0PAZlxuT4Jt5f/ISJLiyOodkRMnJRL5Ghol0V mXukdW7pBnsz8o8pNetfXlQeS6f1sh0lP5em5L1H8FVz8td1kco6gdQ0VunY17Tfp7n5Kwc9FN1q 5N1dob4jDf1TqPtEsSQ2u/wsbDtgdBfOrKx4YxSBijFqu+YxUK7fTWpvOHM3nT7ltnIUK69mIGhG X9soqMaMNcni8x8+xXyw6rmxGvdeWuxn3aejt+lNl1i6vg5qZ3H7QqIbFbAt4yaxqX/TqRt2TuIf 1t9hT093I3H+j8iMz8aNFqJQbk+ycWia1VVeo6wnqdinvyInapcIOS0BVCDXmJ6naDPNVX/Ow4Ab WIPj/pQDqnD8QsyVPUDZyreUv79jMvK6ZENySGUWHx5MmFtVta2AKsMp+pekHvyGmPPgkG5cMrbr vTdaWFx5hi4bXqPmbnskofxzk6TMt3hEI2QV6r2q44VWKEue6WEcOe/EOlwxY7nKnKHXocNs/w66 Z90oDAwrZBWAFKpPqWBxGafToZzb6k9CShXsIpkTUK86EO66yOkO32+zuN61uihvFW8YjV9Hd9U2 sWQVNBJbMtCCWbIi0gF6u3ay8qSdzPWvjrVsbH/zHwL0caPuVWl1afFDguZv2/xgAcvyRYgroFaW BmDhtgMjNiHhtnfRf7+Vh/VKi1+mxOrz4BxqrfE1/B4vOypkGmxIBPnRK3uK9/2E1Hzzzj//1ipd mtdmfWThXsISFOh1++AgbrkSWpshc+dCeHKV7ETnRUcM57tSqa0Ij85aSBulmGkyxiQJOu1kq71k CzIP0adSRSN/elqWSUGmvSRe8zWQNujN3PA9Np0OaPW6wgVU8CktMeYvk5D1EDZjy3gjeL+Erh4m GusUXMc3vevf8A5k0i1IUXCCZW9fUqtane/KgvG8c9vuP6XoxvQRQLHMtDdcFwb+F1IGHeZ1xNi4 20kR3XnUil0lHhLUA5c370+H6MfoyrknbMEgOKUrUxmtr8oVKS5R6DV/bZVRGNZ8H+vQm/3GFRok /NqsIT4StFpOr36G/77W4PZG+d+997HUsokl+FqgSB37aHXX0RndtM++849kCvEKf6IduUvPtR+f EppxnIndEgoSoFP3sslLRItA3bfWtrkUXcUkN2WqWfFMlK+pwQfx0kRfHvCgIUKHnGXHYk/Z1+Vl NF/57hFDR8PxJvpX4RCDfl8P7UyN68HDvRR/rVMCKq/MltRenvE6k/V+6Cx41FDEyXVMhvSbovSS zdipQkLznMHfK+rCB+OkVx7eLdwZybvtpirZHgaRNxzLXtIMa71CqbggSLsFunJsGQD5ww3U+nFo l+w0gxH7TxwRwHZGzRFs0NYLHC5NPy9xwZA3u72dnshgSWVU6iAE5J0L+/k11frF/VRUSkD7nGbc EF/Z5MMl2wb7nWjbdo3VyLud7xwOw/Oc4oPc1xjsXF9bqX98H/HivcnotGN7LiNyXq5uxW5gr2JD RroCfZwizE9LQQs+4G+4RP3Dhosmkp6wL8/JQpkUQX6WlWlyuTjDMq5MgQv5dn13smEfjRJ0uawI WLw1/NIL1Ygxg76RYYqWgd98K+muB/MSBf5kzmHBJVPfNof9y/0qWLlzIbrAhI0rnogqq997SV9r iO1mom1W/OEJWxDCWhcf1/ikiUEEZ5IEgGWnEcsqwAYg4DOunXbJjFzOc2cr0BBq1dR429bbSpYw RpmFegDomg0jGNatXC0WnhW0bX4e4+u5IOyE3DRXmaixntXoa3GWXre78RYJvKORKBvb2LRQ1Ipk n9UclKrWIEAW7JFG7M51m3gKSK2e0vtUucyRnvXY/mfPkaPyTGZC437dmfD2FwQudQ+rqVwo8Yzp cpmCKlr26PhAVHaxWbxPIEuHaP/bItnqucx+Fk7MedwEc5xr+RmDsJcZmz/pCXaLYHyTDOdy2NWi jHEQ+cJKnyXYxyWDOpNFD5QuJZuJabkBrXZkhS5u7OkDwfB+fZPvnzx65iX7I5BKCaM1r5xGW/of rojDnZ887+XQaJuKk98VlJQQgyObnwelI/3/hpstCi7HPpMPNjiJ4z8FXAop9jq3hrZIDy33Z8vU EnDvW++DhZNI3u23u0kmzBsZzCxG/3VHKTDs+0j+ZGcO+UOeVjySOaDCNX4UoQx/BGSlqe0QLM3X UQYKAhquSqopLvKrJG1nuUPe1LBgYfgYQKlx0eGEMxumMqi26FZXWl8BirXhacGz0rHZWzOPWYji E/IlcmkwTwnkLoUh/bJ4px9cfi76Li6z+Pl9bqVgkUdCUZZCJeKQj+n7UuzQSbDk5rHH9VgbrTEc HBFoM1ZXiijBgcjBwky/4iZWmrCgKnQ72GsrR8ad26XcqMskYsB9UeCEJF+2OoOLrP/+T0XAYi1e YcHFxc1HQX4S9rkeNe/O5hXXOZs7bOJWaS1c4qujIXzbu8pexwk7i2qDsTJcbcrCDex8KiqZaa5V HBEse3Q5FLOh3FZ2I0DgSfXGwLf5Cx5wvVnyFBpWuzTt1u3D/ywBpk/kQ5wayeNCa5fytqPWx4y6 6i06SkVTpreP/m/f1ooqPA6z7NH+vfKaHDFMZFV/P5z6YOiHlVaynXeZqmVTcAO2RBkrSbsZxmTy QzCQqfsyFnG7raQzQkJLzYwA7YNi1rJnnDBpHD/AVKm3INiwA9x5VD3O4bRt5HKKk2scDReZLnvw cRjpfLG4DDsr8NevVjW9xpeDFkYasIU8+BtwolBn5qnm1GcSDmEyShjRGQS41gPBet7N0qa0M8Ru 99JZ+4TEOCl/crrATzAl+dg+Ds6K14uTcvFzyFyjxVaie/POSH1maZGLrA80CxjPm2aUJz/ueSmv rn55UxXUwj1KqrpOW9TL0VTfB9Gl+5qJZJn7y9fRuSldUOBX19LhqD7BUd35vjsXnajs5S5Wkskt oICu6KRnrLsurzzbUqEvkXXXCVpeqOb5psensd+QCLl5EufuHfLUnCOd/kZ2sq22D2o9mhfKbBPv qo8wHtdUKHF+/NCMDVmw629lRot//JAJYth0Vn/3o/Wd7pcO9IYasfy2af+Ry7YsissXia87hAAY yIU/ia3d+GAh+LajMjaOx3cyIfECEsw0eeqy2J3TN8uWp8BN0H3n2b5TomUQxqRia5Hg4TzKqNig xwfG4+rm18BqCXgOCtx3sShYxvXxAJm3Asswr2ogbytT18mvAkOFfiYuGND1oXjeahZstfyvWpmG je+ri/1LfsQYyuSg0vUiKrHo+LFf5LI+Ayz/Ezpd6OYI92yglzSlfPg6tnvtvepET9aGNhCl7lvN 9AIgalIpo08SC7QC8gYkrL/pPYBYNQ125S+dA4fUDHF3buGvVHPWTdItC1t0OBvJdP/nFDhEFgwT alUY7jtbWH+kYR8GVgi0VHkm2nhCK401drIv8HrZkzcBXo4vnaJNwYC/iepyEfatDU1LK8ext6E7 I/PsHYzrIAhB3Sb0DuFwOPJPnyZKl6GeM38dnoXDSITAyXun1YiLqBM6I8pRfBmLafewX9f3R+yU 2oap66ElA1TQQtVxUpfiBbmznN2Oum0zsfwcngHZvSuks4tmRTdpk+4Evh4STRTO4A6QDB7yztWT 4fgxfEYRZx0FcjiFlwelN/0n5YTNHvrmT32K5sXTpK3OHjls4/zCaSm3lvbT/pZdsQtriTCFnvSn +SDz3jhYeTfqq037qA2AfvWg8Uy7Xgz9YR21yeQeMnnfEGP0OZAPXArteZ84PvuR4MqhrMQnZEEJ VGwhpU5ZJoueM7VjpVVdYf/TGjQlcKXmm//xlom/mkPQ4OmjHM4SBTkVftaj/TCquntpJG4EOf16 Vgo1UfrT1COHnKPzmgmAyDNmrZ4YY4i815O79aFVn96+JNZFwPlHzsu6m5T48gM794EOLlotCQad qUtI2pcX8wwm69GuWqBjsuXqJy6qXXq5uLyfNyLvZLRGxMjlpdX2Y2iRQdhsD8DsM/b1eGDjrul3 PdBSzj31vH1onKipJ3f9heEEutkTez6ogt7/Lew26W8Ix7x5iGZO50te63+NVwnP6DGocQvO9Y2P sLiWZSwBYS9E/IeZE0vAboR3x33OldXjs+uvqBtDa9RGa0aoGKukySmNQIj1GetsKuH9Ld1VfNQb nD+CI587ei47YjCSxlQoRF3pt8b/l9yw0q012c6S2PuhoulZholQmnOfFFqgemM/SZbDXrywkRKy VA9VhFaiST13EZzw4rm75dQNUthy7tYxH/9nCBAOQHFf2XjIt1peyIdSaA0/08u+OFFyKfl0suuo +4WsuPfeio4xQQrp1Guqhlz18Tt1MW/kZwnMIZngYSIfn/2td8uLEk8MD7npv69V2kK6qrYvcmwo CbgVNCwf+8T0lCp7jDgUZEkTDCI6Wh9Kf0YPNecIaaBGHU3xRkqXavlhXjS3BlH8WoJQkTyPU7ns 5Nv0JOsAfe49XZvprr0FqTYGaCX9kV7+m6nZ5ZNK5D4GZVmfpAM7uNvXJ80xTSUBdpbVMSiCYKnx ULBs796a494ldDa7rwTaK38xTd3UNfcbou4onwMDI1Fk30fNpjyhegmv2TZWMmPfjcF+pzzBybi1 yNk7sgXvWIghtTHQxIcO9R3ZuwJFKDjRWXz0Ixnn1mPqalFKMyyTmNBWqgDhBG01e6c45q23E4/5 RBqw6L09316ZQrNwpGM93wSPgXXBDzv2sQ8p5mJvrmG6xtKOZ5kmUfsenKyzQfY4HIPq5pmR5vEo RfN1XdgZQ8/3tyXlWYWZsb7RNya1wYEV0kCTXom8bWlcQ7mVDcuwHSBw87mMb8Ac1lDge/3wITsQ kn1EyRHsJPELJB2KUTqo4VFWYDuh8dZ9ymqY/xRaRe8R2LYBypRhxh03hsPGS2+UK7IkXaERCjwU oshWqK90hEAAND6qfvG9tKlkgn+S0P8hSxsiu+R9+V2Jve48YsefdAJZ3gtZvQ7NcCp8qXtW021v fjoX2ZfAoewTngKLNpCa7POjBpax8FLJDRCkmmQDhj/qVM3tN8W8IsbYBD1CJBhQPt8sg/dZwZbF ISyfCXO5UNcGavCJpxmUaAPcYKz+2NwRosA1e2UPyostO3pcvZyu99M/bQsWi2R0GiwZhvbHg4l0 AmGpI0uCuyxlQOFjpWBFBR8A5g9LS5+xmSHLngxNbP8b7dhnkMhbS9zQFQGNTQdVwodpbdWzp/Nh wQXjuzAe7Wbgad3BB+VyGct8ThwQBFCjA3iR4v66U/WYuIGJebCvHXsWxvJv0i5VJnkaGWzkw8Un TGJbg8FBo/WRBmb0um3nYKY6aOw3HfYWallmE7zPGrJ2nrAHdLODYY6HAp/6oOmKiIl8OW2RbD+9 weorR4AHA7m8XjDnLngittWiBwXU+m750d/SbL5KllvnJo0nMKKJMU8qk+bEC3saq54UG9M7Ak7A avaGN0/ngs4lHarZ6Kw4cUMZG9DhW1hnhqSARXjsnV2S5/BXLvELyn4BIlFOE08/sBWgKAS/+LvB BmIXiLk0ZaZWpKqXM/zZOQvcb7wAWcd+bLCcWRVNwY0AKyeJjvDiADF/tW2RH4dewMBqKIG2+MRa 4KksSBnww62ZSl2ignaYF2m/DfSvhMql7xntMXRXv+Y2b7i0OyEbDx7rhJ9iHTBKYLCDwkWPh0IK b4+pqNT6u2NG1wqPXQu5SCvwIFCtpOYXraJuN0skucheC9uLfLBD7WVYbxthYSRTdTIspslOwtoA qfm6qcoq2ttaRX14sZ8V470+Q7JBdzg4jQ+N1pKTKOSWBfhffBD7kWnB7rw/EpfZvopdyzKk/ltt AN04+Rj+gy8QaoLqUFc7bJGtIXqMyIVpfU1ODZUuJPXz5+yv+9xLlUYQ8OgUmuFYyHbRwl29OrHK 7B9oxpnHT2D2e9RawoozrTvRqn7Oorybnv4YSHqKgEgMhDO4IUCmDpQBk7PXEN/i512g0T02QusW 35iiLHEd5iFobH33G4GUeIvuxiXIE0C2r3KJkSCMRF5ZOL9cqMkcHgPdiSTdsdLl0nkwojVpadUZ 0TyKpPpyXrip+B5jYFHep9nSed4XPSqFoNzyDV8wXHTToVEKm1+RDBv8I0Z+8L060+TOisJNY9bT +HBvswIukjcKBs6EEJcKApAfmnJ9ZQ6egSaAG783M53w9f54VKD4H8Z9kAdlJlQa6d7T63GvVRay FS3K8csgSphbaTz7M2KVtLrrh3qAyVy5dgTPToQ38td7tdhC9Mx+xl5HVOI9kxFHoPuGYTt78veq NrS+cJz6uZRdApNqPx9nJMT1JrgvaEeJ4UAhNbOWKDaxbmkzMb8UN8uahJBjiTG63XH5u9N/CwCl hbHdxUccW2aj2UGxNxDLDyML/FdpBwpv0Jzh8MnOGO8I0N5N3xUKsS6c8MXx39CtieK6ibFhxrIo A4b6WTeCOJ5t3v/djTIBhZb9tsMD/dRt/dNllUo3bWWTwpouqSGaEadK5gBObQ6OVU0WbeTt1JOG iMo8HzsLppLp56ZRcMB5co8NJV1ZEn/gTM06Hrdi0CvuQ1VJk5V0Sr72LE9qRJFjKLanDGq49Jsh zZXYILo4zTAk51xPvUDqsOBc90MA/L0ljjf9kckMxcJomeiqpJ2t9SusM3nAYdgbLP6cQfQBSp29 DFmyPF5kzfwg36xZNJDkOPlw85C6qqtIC1r+Swe/7wVlIUAebEEXtT2y+Sg8QEMvZe1zX10biG7f CoER0uMHNNmvvJ+Wo2//ZXYi7AFAooo0T6oxACyea6buUPjiLgz2wUOtZUH4E4RkP5BkCPvcFdcx jQt8Uok/YJ2O53jMh37NpKFWsVB1p4eTTBdIQWMfvJKom5YE/lI9RMve4TFh/No+2KyisqPW5Rm1 ztC/Fj1OUDk/YvpceaPj0HFr+d88HM848fUg18QOjYDlJHBS02jAv5dnTq/MCtZtjQngwz3xw15U rouwIhvoiXKHZfmazcqoRduXodCYMcW7EIa1EgAm/Jvnn1UEkfWk3tfmEflGjEDOR27cmOJKnduv 7X2Tsj0hsVJSHPUzC1wLI7bnUi88Yg8JfTjW0odeO5RCVFcOlIyc07CvryjOKHDzsfC7Xjjz5KEn MZ+dHWcj0pp5Zc3M/vc5Z33jPbCoxd+LjlBenlEPCaEJa5FsQ3N1E29jJV/rnvm5w1nesCbf8DPG Q28iK7REeuoG21Wt7IBEDp6CjNPy51+5sP3yPbMbO7aegVqw91zIqaEhj7mwTyG9Qtpp1n3rKDdx B9OJRPIC9Vl3tBZm899avqbfxr5uQdw/yTkKpksPMiYV34DLh6wqVfLD0SMuoa+S+r0eJwmL3g/1 bbQJHF/2Klh6TQyitfIV1MnJhPLcE83j8TpD3YbFPtMwyitbMMnY9CABQ+drw04MNBtFg4DCWRMr TmARdTLFfQ6n8Eo8Yt7B/fd4OGK7Xd+6J+DY+LCCaZF5lmYgwPyid0LTwvqkjoJFAZjfhrkBJxQg 1MTvxOc3JAfaruLnd4SElXcPnwRkZfDMYX+kKIW3gHTKSfFIl3IKDEEtOTrsfDoiu8oyOjK/fYV1 t5SWA5pbGdA/OTz8baLD6vhMOgFx3ilpRQO1ok1ByF0dEPh+DggaLvKO6t/rSrOofKrGNvo5ZU2B 2PclgX/J6p+RP/hgMBSzD+4/2eDq0v08+lf1eq9xwQdNNMpmvgCFguIKNafqCPaEN1OFSXHgN6H6 OpUm/X7r4He0ZPgUgg2KiMLRxp3LsO4ZMvRx5dJrZG2VIamiFx8v6OST7kz7DXunE3dBrc/mq1Fa 6jn3H3XkHf+qmMs24XsSgAF3YdkQHhCl1fTuwB8agl25ZPOAjLqwhme4boRpuhhvuNOVDZQ5s3Jj XBtpjgVbeiJ+XIZkcelIcOq3AdjWKA586MgTxVkMwcfbe3AnAHHpItX++4eKOXgdmgqnrWxi0j+y 8GTIJ5sZnhvZaI+1emCy/JBm0QpvN9N5LR4a1BpdhcVWVnswuIwvwLft41utBtoQq1YCXyMsEQfb TAdbLrn2T0KZbpqyQQmziyXsOUkBL4fTHX1Bru4f5qT0Yt/0iY/KfeStlnPfAW33xiUAjOeZr4oI K2iUT4VUNwCRjFwyEKTDvIgbXx4OFVSAZv5XNF++p4ZKAEinkA+B9zZeJslMYL0vRPsjtZuDtZN0 P8MYd3dZivL4qAKSlD4vTfquBhRi+3goepHj9ORvWAJ6YeAzHgZ5JspbgrGy3cadXOAdW7gbcCFe IKrDba4cgEkc2eyANuEnqYuNqeQtmoFlVmSp5s8JTYXLpb2vJOHO6dvV+k7eDlzJ0aFCTfrFXB5I oJK1P0IkmULXEWrqiSwnrBiKBfbkSdSh0UF8llrjniWEKROTk96FSmWgr9s4TB5iRLs3TyZGwPy9 SJYKoYo0ibwZaEu9+2UAbFRpHIpM045YywP2y1RZWG4+BnORA01xa+036TbJASFcK6hfJxpAbqmj axFDhU86D/+vqZUe+4DY2xwHjkd5GWE9IHLP1s9H7j0ttCjyw787JNpFb1NPvzP7qAb7+eEwkVeU mdX6JJD6gxNGiORVbfffGD37HITnxzjJrRoHiROpf57F0LikGAg10/0Dv0s2ufIEwyzdIyt/Z09T TgZeeitLz+VftYmdjeIit1lAhj8poHVMwvi2Nh7XgWPPfCS21yyCVqSRdfNRiBu1m/neP06/zAob dDGdbWmdbMQw7yigXeEa65pSoG0soeLBCWI9Ifd8VnrXbG/SJDS9p9OiWwzF6DDmO/HL31a/YvHX F2SRyLRLdTaB7kitHeZtCk/kSwJ0ssWRQRaF+aOoQgVQvz6GAzdergzilHjwQZ736t6nZ+X6oAlk izBtpCK/6EKLVgEq79ZUyX0gSLxmD34nuF0ztAboIb8lddMdr6JS/PDLjB8254ZgJCgsdYBTZRUT mQUY/MYrk9L22m3Awj7ypI/mZqDfBZ+Xbwn4WfN2oP7eDyVg6oaU3NLvu91YKUnxgEidNNAchpKh fqOdXWvFbTOvr2hbskqTurkE8KWaLfhPe9d5+glgdcIYIGxf7LrqhiYq4kPQLuHhZfYLaOYt0T7m QbswrwV1j+V/Xup78bzBBs1CsVkX7GQFIXVoitTRJWxVYXHYx8d7RW/PkZyzhNlagbvCmHgm04+B RpmzPlwWlNgF+XyPs4CwqZYYFx6ydRGJh1fGtzz8Twdj4crQChrnHjdd936MOKcABEWusNf03R9J rHzrDoevGOxvcd/xgCAtqbmTOvJtz2SYIV5RTl+kZElte68oJkMFy/X36ZJuYXN8R8PA0rlwD2z7 eM32teG+tdYQVstn3TAS8r143cMF8l3qQz7fuQ69Pt2mAms0h7AKyCUxRyhvzmWPoExr+QIQe88H Se2cI563iurGfB6dfgVCoWUgsKTbDLBE3w/V8jd5eGm0Xj/NtNixYj3GqlFaEAGwtpTTl2Yjt5C5 d0eJ5Amzfyj8oxw9umTNHESzM35bZlHWSquVFgd7p7QvHeJ9JfM8hoKJtktuuN3rb7L1znHJmnA8 NBktZOEsrGSJjXRfMek80i3bWb3Nbif3CVU4pM163C6sd7KbaGQLj9vFUo8PaYnfTeY45rdu+nn0 9gaEgEeioQZX+R7urF0Ss0i1rFodER2aXmLoQ675sjPPOJbio63nQ4nICN3r0xL2wvDvSYBS8MzD 7LLtXlcXWOzTIBTOHLrplz10FcfNpUltaez7DQ4C93+Q8FxJUtm8YbZtLqNNfj44bmDv7dcBFTfT B7MAhyDl5eC4AR13sD+KBqREDGnIrdnONa3isuupGTo4A64B2AhxTguRU8nuPyd0A5466rRB6Lyy Uz96eR6uGAW+uoa+chTdXAe/j5CB+kf+GDnMvSHVKsJ0bnTJZOPjTTkIQjoJuGS6BFO8Iptnyt7/ /K0ypyv21L5T7t8904yIbR5aiq/sh5d9MVt0I5GbLOJto+4kLwLmGFxZ8nB+wEF/7ENZ/R5mn3B9 YSTR8W1Wh7INGWgZWQgLTbjfFz2RX0ArLTZV1Ip5quWKMwSnsf+Y/jxtydYQAQ8ikojWjCghB8fR UJZUvYVzq21DFm0a8v/AAX0whnz3FT2uWAdG5YFjp/E3IJDI4bOIyqLVyYHiNPzt1Ka/jsdPbogj XIANE9euM2LQMkcOOUyFnlhmUgq1JBR+2t2JGboN7d/CBJQ9VfzC03j4XJdiV1yV0voRkj0XOIEl RrA65EeSwDiU2gubMJRKfod5nMLqLHp357OJ1hcZJ3nGzTI593hwg8tUCUwTb2dhnsX5xN968wXq C8LslemgTqQYAuYwlQaUa3VqBTpaX3yjC7/+8jCtxHJ5U/96zeMhxG7N9fCQpmGIjCFTsrwfNdX6 omUPd+rNa1VubSpHu28TbSjT5qTJzPKeT1xmPavfqBtJ1+wEpffy3w7Fi21gqP3xcGV6YO+C/KVv lwofgZ9okbeYc2pRLs23eZlYW/uQ/MVJ6OY/zd7iCGU9wYaeSLQKieB0WV/7goPbfOWOhLriah4I 0r7lwqYsIt3CvUSnfeSy6S+oCW6ujNhqv63YXlXQhuP9RZxlRVvvcYF463YvejvnSXv/8gC6AOXt vxH2qcZTqiE5ffs0kOz37PmsmRVs9a7mjtRKue19azh5chJ0DVreISMAr4DphWlEXvlNkuhbuIgP cGFHOKLH0zNhsIG8B4SOJwf4SEpuZ1481uL5352FsdO7rRJl0wCcRPUXXll03lpCceb4CbwNjIQo 77pWbaE0pv2Tc8oJW8n798ldUuGQ0TBE2gzVnBidNo/+q2d4hkCZgvNy33tmVunC1hF33q6jVInY JwsexXgtKJVKzb4JRh8klO26tWYJZVWUtsUJVmwFYmotgM0+1lcH4EiFvfAqsAPgB2wYJCz0nHpf LnCEOVVmmBNxSG+NU8qktMjONJ5IUe3igykH249CHH52wugyHD2P8n9UDF55C3GVHieT3TbciAt2 uIsVsFpdW0ETzcHpF+Aic+pwAQ1FwXhGhhEDjYyyG9QzZEP5SQ61zgYRjTrhC0LpilEBd+T5Gn6V lGPZNDOyjy+dyzqEubmL7YOwZWO9ywO0rXajlueh8S9jFaJhE6CBopDAWfWASjtct3qHF7V+2XuI PCXDuVsnS+Ft6VneDswpAt/sCqVqpmatLrvqguOxPwnuv1dMp2wSmnOEV9r3gRF6OsjbrJD9AJWi zDoiMU+0dduVrFZjVvtOHYkDKqPj6gd7rd9QxPPnR4+F1ZCDeJPAnDgYxNgLVK+kpdFmLGbN0hMM WjWPRcA2DlCWtJxMVAeVbw0L8MsgfhvN7BJjaiF3xTGUXyRE6sJMkbK3lR6p3URxb+s8Ch8dNXdK ir8mhB48atLA9+WYsoufe4Bd35r2knxp5d4u40XJ75HF8FCMZtO2Egi2uv7ua85jxjmToLcISIOz hxhxYIUvuEXpUDACPwAJl5voPCyL0REcRgW/CZnYogBni+sPiYYnIZK+8VYN7b4ePxXyoCOIeV08 /q5wqg2TQNUl2xAC90Ym6oBUVVMgTuNnIVaINMY7nvZdApg4ZD/F6wAPIj+2Ps9qTPFN9ILa6SLk w5o+iulrDgmB0+crAn+FlxZ1csqZR5U7Ob/9vEJEMPeoe0MGDhRYmfdQcnEGSWQde0DzvjUK7i5J 74YK8tFRLB6+T5/leE7aGm78JLJDNh1cHbLcYTSCgVp+cA6byKLKb9b8+wm7ah93H38osBSanCE1 aHUjf2Ebb80hkBWa7M9QRheDc3BmRha4STCUb4z447G20mWmzO0kilwWk/jIDTJDR0bebgW9jVwu PFsPbxbkD5Dm5iuf8alU8Socgsudonr8+xbnYgbFOPim8CXMmtZykYsrh4bQR1l3/cFi9KZU7ArC vWQtgWaQ0wQbu2v0J5g/XrgsLcaNSuTvdObWTEtGEFYgKQ2VmwaQFAvrxP+9qhcdA/ZPoEoq2LSS 66s8J4juzwAfauVfoq8FonPg4rSBjiF4U4SREmYx5rXMRmlMhU11cHhBYixHosm2G+Min3G0hduK jClay+Pw+WWaNBnsxkz8PuujW8ItRTAt/YCTG6S/JfcETJWu5fkipr68Zrg/r2IXj82nBakPcCFl YDwFbxf6TNQH2UcGSAuJCjl1OZhIBLM6mqCtet3YZ/jr67Hn6YRD3JYa41CFdecPYC/i0Oh3/Qiq J64cBFPWwEbPFzrRxO/O3WVVoEXjy/cf6UMhQBySOPZ0T9m7btVuwFqeB/EQTVOmfkaS56mvo0cM 9TSypff3NlhtnZ3lNxoXji2Wa+FeB5o3GkqKAwAx4a+BRb/DwPgiCkVlPTAa9vCQkPyvRyHktgEd Zh6KTaq3FT88ONRo/rD6gmU8Kk+zofpQVJFkm210oGndA6g+kStcqCUwM0ExA/D8vy8n8cFcZsAc JEVvb7XkgDFBhx7cblgVTzljE3QMhCeIWqVlj8ueiWmtfR4krl2GVZ9sPharigflLCD14wTgycn+ dQxZiIqeTnKG0aybX0tEvamDGzSXuHTbP//R9C72PU3AKKIjMK6MY9YYfKpcBxFVAT6PLmcJLhpj EhDXjiGPIrhMys/BNhyRYkLXLFasUobOAsOM1wdbShq82rIDk8nYkqyu9/XADaQRLPjfmbfo6L3a Tte5SlGUtNfuOEPdpd2BPsejfzkvtwMZe5r/a208F4l29G9lMbWMx7Ou9hjZgoPRJ9FcxL958NY9 AAF43E0CtsePLUCmyINS4VQCVlTOhW2W1IACfHFrxkKq4w3293EmCCmQn35H2Rx6WcNPqOzhIXOu 5hB2YFHA4lvajJRqb9Ois2FbL57Mzq5YtAXZpeweB9jkrYL4pSLfJh3UQSn1hpbE8C7er2JaOBQp jaQDBJHGk3akf4gyoSe/z8FUAoblGQ4ENfcI70CoUZXwE9IUUPM38+Bs2iwLCejOhNCPVd+cjtnj B7tSKbuGp82Cc+YHC8Dt5+JUPT++ErEPKGgEp44zRNsMPigqDwKKGTjoR6HZqoSf5L2IYGrBfgOc Uth2iL5QJodIEPrhwyAlg+ApcnE0sY6g0rKWx65BBAbwIpyYGpK7FGmzdI29vG+ITSpiiJv4cTLc XF0JOS9lahiHt4rrBk8dcxwXk7APymxwgU8PYtJ3YseDCxbPhYuxCuyGz0bwxDV9uT4MeR2olp46 f69DUhAiuD/8Djkx3uVl2VL+wv7qYbqtNM/L48EL1ve/ENh50A4bQ/Vv1BMoauhQKKA+zfM68R8r cYG5gc3kKU+A7tuNQ06j7MdTraI0QOXS6mF0CPRp1SaF9sJ416ZuItBN4OIY4PM1Ib5BphGQqj73 KULX1+vPM4ODg3DpWQoep9Cqd7bPG859UlEz6lPpvoCdevgWUYOuNHySsJRlwaqN4/uwTlBj30cU b9xoESv3/2Qfph10arvrMnZYSUzTs3KhcSDZklELGFYMxE31VC9x83YV/gnne9NzaH5hnjkNL6FQ 6lvGVpwLL5D2CrcAYBrQS/N8ml/CBA6or9f8tR8DbGsnazptlFT8i5cQ8vPauL2zGAO10bLC0HBn WsMghcyvyg9MLqWN+Wvt9Hz3qbIRVaZ+TcZn9c+aibJtMufvYSr+9ChHnZ3vfBg53G4zRqyHP0Gs GytMmVpkEQBcXr9zH0aND6sExUq8ml8GD8Yx7MPNFo18v9HLLhi/2qqY6p33HxQ88oNECKiQU0i3 4tgmMSrB4onZ0qRmKNjW77UIjDpD1VBLVQEI4mkhHkDIQfjFqT5xGGD8McMHhK6nM5a98cnUBsen 1oGHLgATJJBEoI+N4GX7IaENKqgKB/bO87/+qVwoJK3qGr6jQ+pv4+6fAFFoSWOM1/kXNGk/2s5Y oBBhXYtEv+ejrgEVq1Zp+NLRLurZiltH31saQB8vVSVHAi02YaA6OtH68igMJPKtpOo3iz4o2BpR v2ASkvJiXg0AE6QH4vhmuDnc6eQe+IKApaj4aQXn939JCZ+ZZ1FYiPUibfz3WfKn/7wctfPmoorv VBlHtqj7HaHgQyCXNaxLo/A6T/nHnveyTkfXZvyuZ5oK4tbrbKWouLaZ0MCk7EVHdAXkUyMJQoWt EeCn1kBJUw+NbhypwGes5jD6aC6WX63n/0MTBNoBh9GktHZAunXpZAgE0I+c/8KGIAOInWbE73ea iL1fKbdN41rBjf6LzvX6Vn9oOPehhdXl8Oi7OhZ0o4xM6PiuowXC+cUUGHd74zTd3P1fu0HiEN/F DhmdmQcF/abHmzDJLSmSJC8BApbcDDpX9FaBGe9Gq9WKxT/ApST9OoVHT8QuTRQpqrm4jjCzuYJp KIFgUDiPrm5Y69PNfYLvqwZN3pT6CJkUJQnaBwWjyzTQQ4ZJ85M9BsaExaFNcXoyxH29S+G6V1NC 4NQ5dHp4l1LQ+rWzpbdhajclqTwl5cXW2rrpKaQSbpN/6UY9teNK7Qd7jaL1z1xBwb3BV+cYSLWU sHrgobfNoehiuE6I+kX3cALrEqvSoBpbquuyEOZTQmF7TbxE79xe/oMafCWe0Sj9qLBa85F9OAdB 5Q84JgubCmDt5zEHiGyTA/WblZytyUzJniwfq0VjMmnedyXlJwtsa/Lh1sa++dxxHfrkHRGZt4aH RChv6Icta1K2d8vCryZewpuX5rlbScDbz+1lyPri2kbCL62duzfxfp/+GhLU8oToOfr5b6ttKIOB 8mHtyWGexorKnRxPkEyDPK7PT+Y9HEkPqmWL5Jycg9p190zPBqXpObn1kOEUc7sMR6R22ANTRvQN +HSk8f0cYpivvK+9vg/AaYZSL7Ko1+VJkq0Ldycn1VsIltyhCmCVrT5a+EpJF3xaBbzk2fq/8K3+ rLQQhYj+noyaisssTvFlfA5aP2E2GY6yg8KwIUalRFyhjK05HSvSxA0DahjWK0YjHDuj7yDtHJIq Hksf5E/M1BjjWUrBWmORtCt5Om9k6zjXP9y8wun7ebkdLdDBMglXfkklKHTF20YstI7/Lu27j0Mc i1PPNDBk8pYLMYDE3xI1nyzWIOsVuAZ8H5apmSP4TokLIy9sVhXkadKAGX6LYRUE9EG8rvJmBF9+ md+deFXREUSzneWNsEN/TOXlR6J0vdotLFSjGUduGnz64cdXnrJ7HhCI5y2ZvRv3AhRl/7WIQL/1 fSI9gLy+A0JErzjz7UYYNaoyf9l74NN0QrgDaKGiX172ELdzA4MLhxh8GaoQsuKOfABG7eulDwPL z+XMGUWgeIovWQY3GMEgnzFVGOqg8mLGXb84L3FxKPMPrfMkzo8Mphkx7RW9MkLZvFmFbdAm0SNY OfGc7JyLlN58EA5hN6IzuoLB7Ndshi7ow7grFrE9fPn8DjH4/gTGyTtnyQRM0PdaSvHBRFTUgCFP pTVXyY8lFuQtNcM5uonu/FjUk/9pj43fkqW+/k+sMMRM/AkEj5+fYUaa7VSTDQeZ47qrg9XVXfce BUcc52Roge9+O/q0GOcol9YF1g1kJRhjeVEKxBeXxAerYV12NArL1ylI+4lmxXskGzm3N75VzQaW aeD0BOLk5WGCaI5o1lnPSJepTj0x7gZp+SRvY3BQ076sQlVzuFP06MGQGkdU7tDf4VJhcm45obLa TY03NZ4YDbzVyN6U8D2vyKqMkkhJfoxFN1pQWN/Zp6cTazyZNHAoZfkRaOE13Nx95Nb1wW74LtGS rrYXVaXeZOK6n8maf4ZEBPjjhoUyvsshytQWtsbC78JI5uPzagpc3AGTNyXlX2FjBMoGuuwAW1E0 qJTI1rK/FvlvcrWpAPEIG5ys5t6GGH0V6jC197JOeu9ZWeaMMLChmQe6xt8K46oZweAH4Alztxfk HRGOkqLo3Pek5D+fCTYlWzxm4t0AjYaPx34EXWfKgcFoub8H55ZNJFEX+PMsDndPJ+p+6qg4+O61 rkjiGhBdGGWagtTR893EGQGughjhchlAE6LGDpJMaU38IoCmk7/EUrJaD5avnOTd4diqTNPOAZJ5 3A5HlkdVwywIbId6yUYwrz7qp+PagjNIAqJQzjWlHwj1+Swk0E8vAnCivvMaYrkZ8SGHyy8CH3Hk TNvZohKfoELdQ1suL94hNuVVPQMD2jDUbk5OAKyQLEzy7N0ro9SWIPvgw4IzluudOUHUAr5w3iDp q45cShOvp46favemQQf/K6ANH8OV50BUDKq7paKxH5o/BdMR1XBxuHMhuWG6PC90C5WjOoAcwR+P ZPlf18alKu+30qzjy79fb2kz6RP/KMbn0/WrO8S3fbDfiBxPLxdJSSrpPuKhPUhBHQBLCjPK7+Dn T96qfZkrPf5HsagFY2+4nctpK+G8JZDOBls6R8R8IkmDcmeEQF/IjX+f4wKAYk/wdgBCgeQUC9nz UTZ3Xf6Q06BRRMd4wJcqWunF3palDN7q96TmfXokGcGRG7d0m07Nu64bMpsrDGj9oARMP0yMTgu9 TEE19EURrJi5tVvb9YwQa2W56m4jQ+H0NIvaL6n1krUq99CVN6G/b8FwmweM+ifxSytyzCweAdpX hNZFIWeAUOA0ZaZBWm0l0T6U4fZJH52vsboByyPFEThNFhpptIuLyZtBhjtsN375IN4X/xUnmtos F9sGh6U41AHP6gp0UK8unMyW5kV0D65q5GjQ0FYYNAvmtUMlUBn7a8EbDGxN0w2G3LCv19u0oc1p wiUmX3XfstrzClAFfyDBpLgDQaGEnzAf6qIedxVv2lC7MFT/kpLQj8UycNskLDNUUl8uK1Q4o6BF Ccc9qsZHKaMTIiOsLO6jdObgzBF+vhKZNKd1ZYSlxEZMjPxuDZ+/Z6m5BfuqDGlBt+JAtC/Wz6oV RdrJqptBif1vFw1P+B9rdvo5yJMj5IPoDeX6ZFgr86KrYUXNPGS6aWMOFmAIQxGQi7LXL3fX1/No KButJnfFUzN6sa7lRBQ8F9diLW634kSjhfDiw95MvFUe/END5haoIBOHYq4a1jZQ6qoepdYRoeJB lfeY6zqto0Kg0osvjBmdf3wqjTPal4MKv/QXmHd0KUigabsiAoYqjDcOxMWp2D8hiBNy61yqrFs9 Yco/GgeCQSTzhXKyMWPFO9f9BlW7s8y3PeO3Gnn3zRevgq5Vhp9/fkajxHOul916+psxXfcGMWwk ujumvwRmQtZJob6ibV4xusNDvHndDuHlpKSJHA91WJ4pKy0hqVWsOOLy/uSA7is2WjXl8BrQujZo Ru2XxD/5Mg6roTq2ADwsG5SE7eaVW7iJD7KhHJvPT1gNAPKSlhqytWfZa1/cvraIOhYwFry1Sl4l mqLLYmGXe6KJa+10GsuIP9qQwtVxkWxAU19l0IjUWEpAmmRFDvq0LmghnAxjzkyKQoiXj94PVEXi rj9ttDtQK5XiQz/DQQa+4cs89JkFRbPk5IQMmleXJniji1FrznKnho5bv12Y29NaV45dlvya3zUx LFWZ2eiVXXDthYitZOwu9rHVTaSk5ovgwZFBLXWpYNez0FOYXK8+7KoExcmRtDdb0PBxAQ2dkxNA dOvdpFNqt2zXQTvd2BSgLq87d9IF7jcexIRkv30UOoNMR52q1hkW/4mCg3yNu8ULHbg7vO/f3g4V vR9ReRIUbFF02YpAsO/omKH6zu9t25pkczTFsCOWpB/6XAXS+3V0xhf9UtTQlNTOtzVufJDRiC+e TWeTV9dOt5Srgw7MjR9Zx9XPzLVCbt9jFJ7123+sP/fkM1nKq6POCKeHQ1GS4/zL+WgFiUvpsdkP xcVDdT3YK+sB3WgE3d3V03JH/+0FKZhsTjCCweaBnCE5J1pJF0N3RvFwemNeoIgtrsYQHAYn8h/P 3I7tNXQ/M0zUoF3MvLBJfVArbMMnLn2+6xlnKZn+HKqglQ/2it4jhsGAXZMf8R0tblCWF1hFXGaC o1S3ZQhPgo7hXsGke0L0Pjtn+RUByYV3qn2JSc8/oaKjPtWUW+nGJimFKARALkjXjzq5O4g0J0cm zAOb8gk7JXmZlDSCQF5acqIgDWgEMsqoXOu3Rp52yUAPIx2RgYlvbvt1dm/l6qtzOt+KRAANhTGA qfi0Y5R32vvWu42rwyGu/lH3BwXO8KT/mrJKA6dzJdKWgz9s/ph77zjPQsxPWUU3QDeElJy0NAjw tCo001RlN5DLYTOT5RQVkH1KY+ChwLGUi8isOve6NMAYNyz6tlytbOE3XWygxRSpLH66UWYwyPaY FkjdjhRVs2CYq2u5dA03BfLXmtTmHAdQLSq4HBDEUOH7SXEhJW9SyaSxUJCSi+cIHuAJKMwCv/gl yrXUtKB8a67WwfT7WfNAJlklyqQ6lTUDF/cx30MaS+WQH65OeBd530ZYcFyDrOA7Xu8CaAGRGwFv +LNND5laVc+bvNKz1YgZgQ8lpJjD1Mv0iF1Uy7tKQ+tzt9G3Nbo79r4vG1oEmRMfsWdCnLx3lvnY RW+gUBw9VcwkA/e5F81fajmUqEJbIQVplAhmFIyT0BSWPcjPOgA7eQErJbudLZPjHjiFHhStCZP1 KNnk2F2CAl1GT0tq48DrMlJfB1b+mkwiGqVy5K6yUM/Pjgdkog/AQSUXi/tsu4FcURu+6yiH4gGo A3NqqYkhLq/PnB2/7ZbCStnaGx/qVYiP/wpyOw4y9z9kWaDY7Q8RkCCNHhiV2LN7MIFk/p7/UPzF TE2vMxSI5jowvr1f4nFIya/ZPiblCIRY78ZHRSRIPi3m4E86o0KGp03zQs4p10CJZ70p8EAvM7BI H4BzNvTPvorR6q3rCO1Iv+6Md940iQodiEORwF9IHRbL4QI5T3xpxaVcFxAaxUq3d4FzyY16ozAI C8UlYN/u1veXKC4g20/y/7B6aTTz2DEwPpOoeIis9+M7rDkeK4FK/W1pj1cIcguLbv3bMQo4vkUK uJO7xJwyQGvwJzKb2qPxhM+53+SnHVq657CvmRhoqjLuyKqjYfpt+990JwYmKTcvrZa1nldKfn5r x5px9NQgsIA4uPP9Qgk58VX4bj4VwSRWRr9ahrJwCUQWPqVAXPUOcZZm8Fw3VbPh94MROW6uPRtB zaWo2das6ZrK2sHd/ho/TCwzhmFjc7AgtfWAQEifY5EyjCJKXbzrOR0XebUaSx5J4YdJ8WQAbq2D QoTcfTQUC9/vqC+QJ4+cfjyWXaHajUbp/w9sT2uFWLpC17bHxQVKTxGQdrcCwhs0p7l7tHcL5PbF OFz20nAy8NeUAo05HFdLo1Sl+cXjtEl5R/Iwc48eZUn3he4Lzni8In/HEQgjtCCP0eif8w2oFBzV /GJfPuqhvpsFCWUUg6piqOa1chhv2CrHJYPkqfhAL3+gcF3yQ6xXKCUPbKVzjD+c6kXjxDQVhrFq kaCZFOghM2X6Yt8tZdNzdLqkj0OBNle6iTsEWaVQU1Gw/EinN2zksSZmWdfx5qJ3quOF5oCCLYYp 4LYMkuAo8IGtg5j/+IPx2CS2uIjqpNhyeKK9nk1ZRqv+GsNaXZEmKk7N2tgHha6MOe0JqkyyzQ/x ULocMCrREnhOlk+MbnlhwmKaOsmDgl5RkyBtO/r/BH8srEzlcHEB4nOsR1iPmIBWm7MWRDYGC8zW 7lCHFPiKNOKxpC62l4gxWfSJJMe6SDJ3gZFbqf17TPY2dGQqy1iOsE9zcH2aKYpSAAtGQ1c8v+il naU87ZLOYaHwH0aaWJXhQfmD9nNro0o/atGRVvWM0t6ZR/dwKOcKdSf+raKcJARFI+31PhDZnYmR /x0Y0JNrbVFqDmZi54wkRtw0MZvlUDRDiChbqAGIGAFjl/bAs1RMCKZHz4w57In7C2laOLAn9hY9 MVMUOZsg2IxZBZOLPZYG78Mg/eCbPHD0B57xyh8NogonjKiBdfRfSJ0G6GA/HtYl5AX1BcZ9rvgb +/DUvtF0PS8emrSQU5xbU2NK9jd9pHPPqad3lxZ+c/WGA2bYG8/ZjuFZ/2oipZODbux8tVS4lmW8 X/F9X5gksZgmNYx+7ymstT3A1qgbPR5Mr5bJfVifVINz15uCV1X4shxS8uxmhLbfA/UJ/eV9vCEZ WDSwlvjD16eBuJ6LgolVXW8O+qhH/eRBHTswG9SUJQ/BsS4YvNSQfIPB/J49ynqabl9yCJ/EAzH1 WENNxOiGQZgj2dQDUm6hmAx7cHf1g2RVbSoDHxTmp1/OrgzbYSdoyoCmZU60HYNVpdK1hoMjjR4q ukSNOEd7bs+KJHdLD+tvFcZaJA9eUwl/axWVySOSAfTwLNplbYrWvdGSV220bBho+xALJ9pE2vBp OqsliTTkA1ydes60ICaqDvqV/eVsP8im6UCUM6Vd1xbFk1LSipQbyHSvrp+wcN2hDhwS3Kco+Gyx zpbPbvz+yo5cH1Xll4ouHcckvrVn0YJVS6ZFsxp4WMBFOd7Lhlmjz+QmdBrYgVqnUza9WIQWccXb /FKeXPamQYnNc6mxGa6aeX5AUxqYW5M6SpOPduVnpxhEi2eDvDkZg5AuTjsrypo+z7dTHcOaqJNf GTWELQbz4BMr0KK/nYtZOtxPXsIstVc7KFGd6Myg9Tm0hMPF0s0g5D2S6mzIrGgD08pPU2/pOrVw 4N4J71o3L7hHzaKIgGcID9EZ7Ttx5tUVIN86Mhd0UIyLWSRL8rItSIfIeYPKB0pGr22Iwfe1SfcW 0Hd8YIAJVO4AJrl5SJgoN59RsYocfllty3ON1flPbsHdA0bhuILzhIB2p0AoPKVzFVy3oyrBygsH /5yUswYAgy3VsWpCoKELC12EuTN3VDnAR/lyrTwm1XoDSRF4Cnhublj5lEWl4oxW6wjoorqH9ahp 17stYpHb/KUOrvCTWkc/OwFLifv3wGVVyvrrDB+vz+ev3UUzKVGwvU9wKwN3gIp3YiAZ/Cz5Ihjo oB9Y+oWNX3p/kGXmFXAaHEjIa8c05oog1R8kDYBr1aTzObFduJ3CTG606A10JBqvE0WXh0WzMqfJ 6ySzVyO6NJ68ays6Q/tvydYSayh8Ck70Uvp47yQIGO1pDM8JXKNZVfQpXmzayupo3oL602wGXtia Yeqz9FAhvwQeP/R3IixCzhhEwg1qh3oTumHNoFutQL3oCkOcELWBOQOPWlQBN08qDLxsdY0a4x8V bHVrYl3eCHDk93PnbdeVGaC8d5cdlkRuE647ktqEPC6mYh5l6SHOKA0oIAcZrkyLBHJz/lJo2Geg ipNw41hXbxU0/o2K2N4dYbbDEFvHA+ZxexCGlt8RLZ65zEdMF/rFu56zNTsK+4Ar8hCdX8TbvTUm tgA4ntaTDIOwNwom821ZiXT4wvpQDkXo16CzCJo/xIYM9NRgdu23EYHaG1buCIYT+xSCfpZJErbt 0ZskzPdrquBBtBwt8kaDG170xxC8t54z1zdYQeNPNjIFuo6mQvoIRJqqP23thDt1OtfruMsY753o ZpIep2j3LwNb/XS8U6O7wUC2n6Jk0kqxxb2OUqs8CWB3I9RwaOL0J1l1LiP8Zb2iQ2avGbNDbReX xeiYz+NsxSz8hVsgI1GvwYUk7bpWhVtTtePvlJtxSzJb3zuBtz6allzyAenwO+co4fmbMQN3/P3L ZQBv49O5LrXgGdEdoIaJdHjwCRDYxQerh+N63sEFI0QyT8hYrtT95JGfOBokWHkdjt4muKravLQP aNLMlo1qI8LfJ9iZh6NE3y9CpXrHc+HtSWtWMXCfqSk2Iy41kkiE1CXliuCk9Jvh/Mi0XQbCoRXW OATF/H8LR+6txNPPdj8ifNDehjknXbLdQyDeUwNFY8ywdRFJ3rcvMMOmnBOVVh8SppZo7v0vGxux 4FFZW219xLRttk3k4yt5lU1b1Gn4MqUGmDINcCdPegHyennrv3eg+9nclBlPtigWwO5tyJhcFLre LKnk158CU+NbugiyYcy0wfFDk8/L20RHScZ39Ua2tEyO9tIEUVUmub0yK7kjqoMY8mt1lI6aDUXU 5Ynz9qFnL/mIY9PHBwPN8/XY0UG4JqLeGxAzRh34hYsBINKk1GmJk9oQWfOwqEzr/ht9yCzYG16K L5xgw0XcNlyBxC0/VExS/HGFkqCkDIFTT6w29mhAAEMXO3d4mIDP0LYnzpbN9u+MCI1b1q/OcPZN dcTXd35soyztqYusjC/Rfe95UGVNifrEuyLQjcQmRckMgBgsB1zsXujtHDary/A69YZPqLEtAlTL mPIJC3wQm6ZhzEGn3iXOBQhCJ+IXAdqC6GwjvVrUrAjup/HXhHfr7+lutp+tOPXXeaoJvwwCiBMa tel8746kPz9tMV2r696AKivm6wdKbfW4XLpvYUXRwmMU/Ivx0FH4IMI6IT6JDIYpRWm94+KpgyAX ktseYrZPxDqNEv5UYhie3KuqOMCmwKEdtGEx/Nz8e3/jC/d6x0zjU9OsrkJUwxUXvGFZWu46Z5Sz hmTsQm2ZjBm5/EhJeSGRZANWngdLwin/tIFicjkobFvfMzPdxCL00f4nAJysH4F+k9BmOejquBjG TS/6Btr9Si8lSawb1btkr/tHu18VJDo88gziaxrWfVPBNKVXltt1zQy5WeIIlgd2a4owTgWc5oFG vrYVMUhWYVJgcNHAcLOxdlxPHQl2NCm7czLAk/Rh2BMxqOz+KWG9PW5NIZmpNXKH+xgDqR6NKpp2 ordgued04WnNr+bb7H5XoLfvZQ6npO0wOSS8I8zhtKaVi3YQe3DbpoTr0EbDNAigvn8VeJ45e6mB hSQts/EhTsfgFEKE7e8CMCnhRo0RK4P0ezao5ZaN/oYZxJO4odxPVMwBuyfwHqiQ/SCwrxNSbJWD xZnMhyNHSnUXdz7zAwa5auN2hKXn/i0Df74eWP5ZL2mlNtC36dQ0u+yxFIYZ2zEN7zdRuJZMntmW w5lohOQVkyzcRiliKg8u1boIAkwEBjhy6hKP7c7WHV5kuQtXxgqa0y7XtQEYcV0ypHztbriboUzk 6Ti00GvrBg2VnG967TQHXVScz6TFYMMLWXCTIFDt1XYopPbMhxT35lzGBHJ9U668CsEK8CzUdb4S N+4KjOoHm9+slJboTBx0tynEVB8OOGBuXN/COYHQdzRZP3+vFftDeqhsrdMaisrBlmIlQXNPa2cJ /iChmromCya5kXUqUCStnPVO0yfbJ3yeVxLoOu3T8ynCE8NIrVtdWFNzFsAQCucC459pTcbVnocF zOoMFh0aJpoTPTBtI5nQ7M+IevawaFjoJxWf8w55VkLdTtdyvkfFH07amIMUnhahUBEAxfi2x1MA g00ApgZvbtnxJr3xuyxzPbk4jcbmRXWMxz7TYrKdX3nq160oldqQ5ezaA0ubLoxZ2AMYhs41h1gx xgl3PWprwZZNjbhThz4JJdPPMLoaCFyxYH8VVQHBg6R0w3e8Vxr1vKvCvIBOq2Y5ZOBXr0/xELwO x9hIVN9IhFXqCKghVxq0rUW0ffy6bj6bZ4+/JHCGWMAbtBvPv7eD4KCG8pBvLQ7bJ3WXFbj0ALGe aqnT9tUGc7ODmIoyUv4mC4ML86jI8kqSx45USw2rq+nXQiwLQuP/gkH8VpcaiUaba2WOEQd4cG3+ qnliSrVKRavH4A1LPwsNNqti8NLOmZj1ZUQ8Xp7krXvonif3Okc1YwLFGSkZkSb4XYdaGzosXF6B gfYxxkbnEk5Srku7hfM6Ze4VM+P218cZZJfTiAiYbNJM99trSE4LEP/7ok0fBsG67STgw1XIgI+P Abnj5VcaZ8//BsvuL9r1XPWHXb5j4LC3Qa8IizgZjXM8VDgFNKcKwmqXHl6xcxNM0Vendwqg9msY MwbOwpm7pjQVrAr5qOkQSrtjpNBsHtu6KZWvShjVzbU4LMujuMPelEYzLskTR/AumULhqjYm/0WS owffd8I7kNP0w+rarqQYAuzDufeNi7/in8tb9MTxDHO8WntEIVu6GoSPcWvBn4a0e5HITKLLpoIq iDyeCp3C8bL5q3V9O9ToCcS51BtUCQlll0WCyNldBnBJtOZvrG4+HsIzxYSiqzXD9krPk3ldvp3a tPgvZt/MeyYFnQYe2hOHd4oRTBZrtIz5jsW5HqXPTQUVbtZ/f7qupapDZTuMEW9n4+ZIQclA4/25 /sJ/m6UA0/qV+hJn/9EVIdZXzixT2yAVAaaFdq5Hnsa3nlKM7Prefe/PTMNjGCvUEXk/CjM0SN4e NbWqC1525SpK1Y0NcNP710tvq6g8DIvfLFyaZM9aHL0psXGzNMRvTMoBc3cjglRmyZQ4U3jOVCSN 2dt/wwcJx6+np0aN4UkvNojSto2mY0WmIJYyq1iUwXs13bZwhWfBKn7SvkVRfLA5LycNLk8iTNsc ueprGZYXJgGTT0px/vR8YKRlMK02Si5FinFgfnzVdfbPBvcXxJk1X/Yaha6Q4kvrxMwb8rwUv5kb 51HALuzGr7oKWdwKOe1J5lYI6kQ7ujfTGA1U2DHlgH7qKMYCwKLzSEnuV72Yujmba5Ss7mlpszTs IBmd4Gu9FkOdpXhhJ/t645aqgyQRsskdq41RCQEbZs6M5B6aLsoRk6jV0JU23DLg0TBuSEVq9Z8d g7T0TzHwCxWLiAhafeTIVBn8VZLZVxceHKqrpR76aQXpi+Jt/4uLgJrrIOpNUkC/GeKpWkFEgeK0 8qT/ZQ+bUzoU5/hbvLfCSV4dyFRFRI6B4VhCqG8BBAdvkjMMtlXTpftkgdP2sWTqIYH9wCOdvlJI 1BvU/kWPy3c7Bcsxh/gL5g+mjRUXm6wubosqFTgHXl+kPAoJ7+Z5iE21wJZcYdMzmGGjAhhVF2V7 3AD6O6qnd6wMacpQMNuwKGllf5sXklR9CQ18jBMGF+XvJoGE50YwbgnCplW2Ur/XvIyKkNRetcGE W0xKepHU0RRI0dxKTB7EAAk/6fEAO145D1ZUUQfNcXM/BuS/RWEf35W+4BQcdfcKu0fZUTM4WRrU YUkBJxhnJFPCzLZJ21vp5cZ7xSIcf+ePIuMyfVxCNGahRn7ZvheziyMlrEtt7U1KL7LVPriOnJak zZa7rx4lvCqFj8culvJaA4gdkqXLhRZEqSBO/+TXT7nTB7KCqXl5Cvuwag6+H/0lkLxtFiNGtNGG s4XfTALtz9jzxyYzJq8XgxPEKGXdOQg4z2TFUt4WgODtRl/a0irmt+R+pwGgURYf+oXdrkFwpHzt Wz61ahiRbcAP04cMjLJ+AIO+S+kdd8w9CQPWHK34thKV1m4fXJlhsHQDzBfU0AsVg4Apua9fuHJE cMBa2YvlK8/C1LtdVR+hL726FqdoR+BNCzCg9QZ0VpHoJmlmmnd9xEd8sRh0sHh5xtsESSQDgt+G wEdBSDJdyZE128QJ0rZccYRnZ2yzNxmoy+y5p/gIVTvWEr5x93cYzCrUpX6rsVYI57SgwewyDNQC DZH6nvPEEC//gio0TYQ8RfaYa1GZDeD3/1pPFtm1t8PJTArcbA06vJiIRcCfrw5i2nfpEE8rQhEV ktkgSG5490l8IyVp10XAHTcYGNvn5LoeBs5wLh2yWgDt085nn+vKrJuIo55Q6GUpXm+7ZkqpJY/r HBckQ/q7qQj4K7y+zKedme0HXVbO6zSlEWqnI3k4vfROrPWEsqnAD2cqIaepebn0sFQEvZjJlr/d 2Lb51jNexmbC19sosXndEWjRawKLZba7vQJEFfb0XA3s1tF9QhG/II3nyihgtVB5Kvjvo/hp00cL iCuZop16vvQmrF6Afg4swkI0RizpqiZKzOG6uiITcaUXrdwNl5JZMRmiKVIwX7l76d6FD9xdaIAG vxldMTNd/o1WDTK1668Uq2T9r5Sofqw9Wwyxnl2cI/ushxKBWzKkTBKUSoBAIg4Eq3DL2J0yKq21 fIH0WczSnLu/4CUjJSY51tDEIwU2zJP/CrTpDqBAvTBu0w0tPk5JDzKE4OYqcHxVtI3XUKHscd1b Bgtq7o2uCD6y9FkRJM+6cc7YQkC6lvDZm/lKD1TpA1PhlDPI7hLOCJ9vdVQvbvrqGY8aaeu1wzGd tmF3aBowI0PChVQMO5xStPwDQmAlJAIQ5rh5vEtAzSP08aMav0ZT6uvCdp2z6zSu9O0JE8SqDrDy XdD2IOHIFxN4pOXWUY77oH9I0pm3bn+wKKzUyJq2sVpYpXtV5wdMezSuNI0YEMSpqat0GsDy5vjO gwEGT1XBE35On1TGKDcdg7Ej5qbREaYn8Q8fPLqbQRlbL5AMkTtfsFHkNy/wQBMp09/CNpSVHGg0 U/np9+tRwlTzp9kQ7QFMJF3rrbmb7Fq1+C88GRLRPspFQ0gxmVqcujgBy9beJ3wgul8vFuyLYx09 3jBAq0e09oT1E0awa8EF6wFzr7gvrOCsb9LDixZTMRWIYJUkiRAKbVO8Uk7mG1x1JF2qhWT3qG1G gstx3guR5Cio9aEhutNtoGdB34CQWetDIM/EMu++vYxByQ63zeb+CN+B1xbSQ+JrSWim6cdwX+7y p2Xp7TpTuMH5z65IkhyaVRkxIM8Odph6j1ij1N4+tCdZ/hpmBp4yq4veO1U1hsD1eQdjIplIqhKN rvdumAYZpwiKg/OjKoAAqeZyXtMZ9HEU6RZW6Z8qoqsFRmczfOoKZ6pJfvYzUlL44D8BkOfQBkfc E+oiFlcXX6PB1t/5Fd/R8cd867lgzWGOMOZnoW2PDtQz6oJldpPqn8HyA8YOgzxkeB1QUwahc0fL EQXcYlOl1g+d2MuqCXfJs6IylF8xBvRskqvTuvHsTqrngijYSGy2G02kkB+mOjtWq0PHo0/N61DE hVxHNsTknk4GOl89qAd0fSoLkd3v9OJKuDrcDhr31MygJoGqw6WCKdne/9ZCPVw9IGCDnHpJIgc3 t0Xj3BCr9SKRE8b91pN4ugfHOMDkcGYRkIvRnszNTNBFYFudwOQXx6mN3FxH4VcM7RBmAigAUFcK tNn969KPAz9+eC/7pA/wmRP5ClJHkXhn1gMtAQ9ZphXUVroH9xP60WDUgpcQPpEmleUptwL+Z8BV IjGdqWBh1uP/ASB4TFBL5tyAvoMi0sgmVwWILO8CJGxKdzVHrOvAMHfI0FI446zQt16LrrRa26Ej t2XvUWi1pr4n58wD27hzMev85a3fgQwZA4Nj1aV7APmADx917S5f8JRXsR+WTTJQkQaQ1GMyask5 NcGRhhz7zF66Hc72we7QoKd1rbsnXzOS+Kua1EFbqELeKxITd7h9r95kZZbMkzr+nFK+WPx+PU2C 6/oxSJ42OxsCxhGsaw4I8lkbXONlEO4my6ECNizXUlR5i8yVe8joBzj1G4wpIyt0DhjZr2OX20az +ITBwbSrlbqJeencXHWL6eNfEvmZqyAtsZ3ORbKrzLxDn1ZT8H/njmrCXgHFZo4Djt8/ldnCv9iP dW7NZKn6nCJqaGlrpIiOz1Zq49QZK1UeRvLCv7d8gtGLWoKW12aiGzIOG2p2mtLBAp1vvVKAUzeZ G9cvof9eOQfnIXfcG2igukoi6f+0fPISiCPf7MgTob3dHIbRAgbIIVqxGuigyJATRSlynR0d38Hq JT0gKyHap8eiR6XGp17eD0pWXLg3TJ+3otc5wjwoxwOYLTeu9Pn+yvHITrVLqCEUjRh3Xi5EcBIt BHE4h37SezrVUMKxRITX9Q1BExuc+cUHzs+KctijfovtF7jIJ4Q7J2IWsHzFeTgJTpk+GgNCKVyG f8zd6FQwxtoa0+Et1ut3JXQhxWWTvLsBGNe5UAKcXSvRiA5hu5wSVYONeaFqcO291sBuyCikUcGv fOQ2L572fVfQV1o0k+Sa7ElVpD05Xr9CbSbf5Sw7exQQzQAX6uahNmCYG29p0uLk5MHtn6Cstrrk 9k2Gr6rE8CDcB9QgzqSxJqBLU3o4zAHAQ+ioust3Sze2FKCgmYpDiVpRFhNaOtpyUZ+dU8XNgON6 VNaUk/RpidvknEckxH8sPbBgIdntg/t7JViGrCmgvcM+rAG1AkOZXAOyEtLfaGtjxepPdauKMDb7 EwrvY22+k5a38rwQnMBHHv6J34CajSmxWbP1oH0NzUjKSfWrdySvAOmhiQJqjUBB7UyMstdP3wS6 v8Ls5Jt7EMl7GXXJzNRs/b6FmG4u+jNA61s0i6Fe6nIZflD3Y9TvZNDaDmunyBv0IhFZ1JxjiwW0 UAsveY3FNLu7m/+ejhWclePFzPCOTZM+VjWXtMrojiaECxG/AJkRpJokRT+jzyLN+9V/vnvY8QDW 2nkYnf7fjWNOJid3R2VC/pqljvBIa9lcaRO27o2lrFrwHYmNMZ9IeyQ0DFONE6FPVo5s0Gg8iDwz 8+2ogZ8DQzrkpdWN6GcyT9fBVb/F5r4bO/t+Zf/QZLnTQiWre8yh5aKrtur/6O35avVWvscbGfNf BBWEMDyavfWTDx9LbCvJjvvYkAosAKzNZbQtCsoOYjuUoa3Y7oAd4VLM8kXYM7wG1FTMBMbg6MgU /QvZYce2zlnK66JgrXwi3I1Nl1XD1pCkG+BXnLKQyASnO9DqT113/0x2ujl1BgWoXmZjoeQGSe02 7SZmp0hxLu7KaouMCITPgkT5eMPUSMGJLT9nyJcQ2kWEwrkKjWHsnJxfKeCFbPHQnSRvc88+f1Se sRXQZE0mKkl6xpa+GIVIs3+d8gB507ThG8U/JY5DdBtB/0Mq4vpOFbv3xHdW5RFbqZpOrxVAmAPf kGWivDKiKxG0K+MUYiKZAVCpTw7dbtoJttgA8RYxzv7H73rqKMQzhNddpEIFL4hYK2wTeqz4d+lP oVdGzRkZJ2RR9aeeEo9qdr3/Lyy8rAEEz77y/8GB6EHYQvNNm/slYMSrILIvLYvTIekbyTbQVRr7 3dyAmp0DDDvpcnlq/Mzrr6u9lBwjMrdYzeBxMdooDD1FTKUNinAFldNalNuxdKvX+LZuNkncKe22 MY3An/G6dQExu2Tb+XLyKVg337+x0PnKWJmVG/0yN8uQPL0PbXxUoN6k6PRKE3oHPJUU62SV8x8x Y6//hpJh0NdJxaJAVFbX1Fi0hIUIi7QeMb3DKw7nafQ3yUsNJ0npvrrh0ppyLZNEvJWXkE/piXRk 6I4MzYGAQ2XHn+KCoDo1O7RUNq6ZSH+ccdXXFBki1ekLZuVdatcy/7Ev7tdo6NX0ntYTYFEkMJ+Q M+5YHvBCXCsvUdN+x785GP2wRxpdLUJm4RFQ/xeopTkUZaCD5PHbLK5V1x70GI8rOVHr02x5RALp Hi1Gl/euL3/kvGwEiVe3p5m/+SL+uJCo7+Q+lGkbe6SxAZsJMCLY1fYqnn/tw02VA13dBZ/JatCq k6p8WvrvU/OL+v8vjBGSHsL/Qkw9kbkNde4LKWi8IRIOe5Q6ToTszyEJ2gPFmN631+ZWNeATphck Jf+j00dDC0zXz3oX5CssaGS3LtzTGQE78hLR5eUr6St0AXJYprxQiYO23+PWYj375J+l829X4SWl 9WKnKXqPm+a76x5LE+3V7pPaDpX89+qW3KQom47cWQdWssW+VnoakbO25GACrjfsgaDEdb21/gDH 8pqkRLa2f4TsVFnYHdQV51AXPeCWl6n0euTQQPPopGeSfO8D6STJvhVL3z9N+Erh1llwOjq3DSY4 Pm3wEYcVB4S+4VGExbNsi6zBVzbNSud10S3SXLScoUOsPrDbymeBtNs6n7kc5X7o1NSQ+4c4f5Z8 bh0KqM8yomm/ai6M2CTq4D+B30wZhBsbXNVoA9kdQKfaM/isG3EP6Xk29LP4+lg/GGAaQFeKvaUO JDM8/R7xQhffSR8UYAK1Lx4RAWY4wqdpG9GoVA3VMUJmoMHliFDtoXuKsYIeETY/RE78427wkmgd pSVAHads7DmI+Jb3oVJyEDkJS/UePdm2THUxpruwynUdiatFuykXQKG1s6EKL5P5rKOP3hG1aBtz 0Lz/kTQ2L2XKYLjZ4KC5Yn0iWjpHnBHX7zaUpR6Af0rYGZhFq21pAWj/xH9KwENTHgURvRNY+j3z HEvwtUIsw0QUAKuLvp63w34GjjdQmrnhdnfg5WLFQO0rd6o2XSNpdPV85KNnDg13SEf+S0wj2tHS 7iqHNOZVvBTXnWTxGNmGJkzvAABzwkV+YOK5l+MqFB1YfeGDcSOM4V7ksS4VLETV5PlCmaTeEVkP kcBKElQ+mPRU1tEn4qJK2ckxEucFW5yN4qhUZPtzgC5M2EhQX9IMecUy/5EAzOD3ha+ARAkgrBbY gVYW1e58Pv9QFzF3AJuAAUQ6B2VDeDEi78WG7yh4M+G0pGFo6UDoLDR2uVQ3QACaU1Mtqy1JsrwG mXlG7hXLYD2c64lCqoihPX9HA5g9PzCysZVT9N4M0Qm1/7R6c6gKOAO859mHjlRWpDBUmpHxuCCy hwSLcX+W/DH82d8Q8kXoGqmWoeb6AzSusEFzuQEj2P1BttqMuWAwbpMmdu5WTx18AkOoI4kbKw0F qCeZAoEhAwSOXZk6tStXy/JLos87/XxXeRCGJ9FRUhdELvKcnKVgMgw6HwE88BJwFNb41feGcusV I28FFZGqan/ZxCUpz9PPo1JfxXAE09g+hJ6rRFuHw5UHM4EHEIG86iOLiMgbJvaRyDQ4ciCVuWGm lDYjzt4aTdyyho5JqIhM66tYuXn84MGMxZ9s87uM0fqujW+OhvS0FPpLeQykQEoD6+cB4y8p23I9 Ku2URFvvC3HjuQjLspRUOTH/h1y9OA9cMc4RE14IzeAON14fC6jLFx//J0j2PVYIFKS05BPD0Lrx c8JpiHPVVo9kql8D633czpdhq9uOYDvIZi+l0nyVvPOSvrCydPeh6MYIdTU5hihLbw/Z7ChqvSFM VOm4/4nEObVeiJh5aVlWS9LTpO5/1levtenUYUwU3pjgqzXw+7QmTNLUrsGEkN5955yzR2vN7/W2 ZNNCu2W/zSt2DGD3G1URdOazq5ScDLDDMpevCBHqOLz/7OG4CH/pcCHssX1FXEPDFO2LyPWfs64/ px9O92vhwg5GmW4Ety6G1AKUe2ZVIUbLqb8okc5wn0IYTHS00EQzdNt1LAReBVI9Cl3etRYEcFji ynZ09c81HTN1zTq48VQTMSCXYVshv8sRCIMylRd7uzWXyv9MTNHobJTVXlBIPc3V2+wjFQU6bMB+ Qc1TL33iEZu1nA9A3jZnDKPlgBDsSvj+qYyximRFa5LoO4XH6pvqkoGOpfMrAoh1XXZ5q3a1cE3d VsoHem56b3tE2T4C8+BeI6rXD2QJHrlDvRA+vz2oGWGte6r9eaRY7iwUqP5X7tLoMw7R51QcNQYr nMt//3K5JyuLU0zA1+N1uXBuOYMOkQje5p/KcP3Kj2ktmIXoQhXhpPWVYTnBXDTmZQ5Lu0xosnp9 fRehD1eiroHayZR0k53k8rY/xWgBj9BsdsZE4MA9IKzY6eqfhsr70TDIFexq+2DJSrr1SLW2Vgng 8CGYQOACGvldkr1L7EvPTSenxaiJazXQ93835q+JyP9kKXuNrfKT7StCFk8pWWCueNYK26/TK8BU ntFJS/Oh1Btw5ZYXASA4MsGb2vhdLX1qSUyNg7xuqigJWv6/EkwRpqNk2uY+4iOBV3e/FOAwMvjQ VSW9QpQ6h8/K1vxmrWvwSscdhGVswhOvIyJa8ILDxoyh3rOJif+Je4M+49dB2F/QOrffnPdrPLn8 xIl1fVUSB6g80C2m/WXnYfXS52i+fCcBonSNrBHKA5W+H9wfGsaFIH1Ep0di5M/f+quIfFMyAwZ6 DBNG9OdnjqR/ZQtQKdN36Idx+5B0/0g7LjPtntGZvAiVtlmniRJ8RFDKNm6vg5uIj50+84oMD5mh ImFxWI+EhGyuDkBSEoSlQ8nJ13/Z0MGMKVdf1g/9ViqWf9P7Rl/5/CVczNY10P4f/S1Ytw1V/H5v ozMHCWdEkUQsgrm7rJ2UYskQusG/cwv1OiwOySC0vqwKWaEjwFR0T4ohpkvef2x3vCRCTJpGwpjy ALiCgPgA9eluH8mh48evnFzI7dqbuZegXy09IZX3i2cht/gKvEE2BMrC+UOBLRtF7i3iTW16ru1v eVK5+9MR0ZmvmNAwqmzA0qDtTfzgOLe2HAjgKvgI3PD8eTgnR9zkgeOA563DB93ZKXeFe3YmHd6Z z8rq/0UhbC/ZoiZWD5bGYZ41QweBKU7r9fhvC/vyi3gL1eDYGdB1Bp/D76BWWoPrjgb+Rf7kRy26 lZCKQ93g3lWkp8av/iKgauhzbxDWzZGb22yxfy9nJV+OTmsOSecoeWNWCjJe18tFn4coP0gDxtDk P0XScnDWqOgc93s4pDbaSyVTAsPrytltFa5bV16rdYXtjVfGbViTj6wWDoS1/2xSaYYTYSoHP0jP eVejuwzZkbJi4g8QVrtlIruDtXz4xQfKGW3FseHRB5VmM4Dn4c0R/J387ITpyPer0HgO9AI8he+f T04eC9yzUwqFQn76f42Uo5rXVbshuIv3DKh3PB5GNMzkAsXFzoBFez2wV1ETYSaJVsJrysHjhvuw vo8JfGnHVpfBT5upTbq5MlQubSzfMRs0yWMA79WHye1q2ven5FCkLyP43yL/A5Il3x3MGOcsJ2r3 5N2MrGDRscxmJGZE4LWJCmIOc/g6DR4dzotAJMs3G2nbixMcAeOC4xvInPiGpu6FU+kL8BAu+wmO iayOXoghB1EhZDXIxi0Cy3NB74kWTqbpaFZxu2JXe/YGQG8i11lgrngeOlFa3xn54h7lgNAWxMSp peJBlhTxSY4Uplq1PUnW6Be5XpAfokHCM6qfrHUS0yTO9/CYfP3RFJrBfBV2cv7DVv6dJtk1ly0N EiEaU23jI2FPvZE5qbPZ9PIfe/daykwvSc+yPnPczWembIbsMob4C6dT9E/0n5AmFY5i7DtLW6GT TKvxYctPrSP13EgY2a3IOS08Bs12nwzBQyvAMxbHPlu3chT8HxzmC1KN58mTVtfFbAfR0W3vfIBu VW4oVKsOW3GBAXaA7lFxekXXQvAHSm2oIv7TsDjBFEX1m4R2cGcSj0162SM+66XWRWHWKgvvdSEE +pM+m4XzxUIYve4mZlrbTlei77lk4X4LDINaYX9AQsaVP/q8ViNVL4m8/tnAkUis2RUyIzOnPHF4 paiT55ANM5Rs0ZPqgqfCU2gatUWOxotKglnarAP+IlzzsJup2fAYna7998r1o/5X3iON5B8mkQyQ 2w2Ef4L9ZBLP2sWMAssDlkNfWooX7+f8/5EfEYPc8n6oPZTb/YvafOs3RofLbRhRD3QGGFy8ZJ7Z +ojpcTKWWJwmmklAFUfFvVb1kl9WeaUmhw4Pzmp+xhXBNn2oOJCcNL/PQCCnjDU7qU/IC4TxI/Kf HQHxwtKPR7w2jXyh+oUWYmYwSJF0BEE4/CsIMHk9HWRk1LKltGBEGpPWFsFCRMdgNasuyU+b8GAT UEOFkha3kLkbWj0to5iS4pJN1xKHMDBsP67vofMHeFHNcmOcx6OlC7Yk3SoYKSNADPbrsHpCIpaE 7EEi6hjW+VZAFdqjvGZQ34NkEpOsiUNzWkQhIe6Xlgm+Dh5jsGdBs+P60bQSrCPdxiB2Wi7pwiwO m0Mx+PIQMKA5ZYXa4xbhx739bBMAjyPHRcpukvvbTo+Bzs0bhisvf5Y6ncMbt3bdRlv8kWfSWyAb 1oVvCx0pQ0BN+DZRC2DZ3zgvbJJ4ZvKTxpILl2RS/0qxKnPRDlGexUNvnGb8rzegrPXeL3n7uVJF /ypUSR94Q0D2bi5mdAE3lbKci16td+spUDiIH2G7sZWdTKUFUlyDKu+rn0WDXG7U3xt2uFmEwRnZ AdSJF8Xp0XkBYicz5oT9F1yAfXikaSx/R6oDM3GlCRAhQxp7KSBwfVjVY3SDAfZojZXL6yMjG4+Y 9vg/MS8olWcuNQEk89kdyPjDoCpMzFV3Wnh4d+1PCDBOe1AXOisiHiuOF0CNj9Fr6VPs0mDDAdPI iLXE00dqqT5UxZOoVBEdPAmiLr8uf/oKp6r9m7mjwZ3OgoXSXjKbfLcAqkaVtyWSzVbPYGnneVLW JHM+t5YFMxXg4sbK0RLpJXkKwwEfmRJ6/w3Fwj1RSvZR+b+LqEZxcWKIodt7rmXbCZE+qdJh0lfE LZNkT+QZ+UreSLjDeaDTVOqHRMk+X3w0YLfuErCIrwSU1sSzg4DBUwkOIKrjRrz+3eDeEvbSv+Nt Xcje34rn2FB36tQecJFAxcItcDWuNadoFUaRNXLUjGvH8nZ+MsTS7fbRkixOYYwWjlLtMzsKi67T lkKZ1t+tS0n5bvo3fu+BKnAACqiMjkbEM6YiIm3Ho/bC8rBSEOjl7DCosiQeflihbnCBrSN+nyie CPnhXYKbyJRxsxzdV0oKqJfbmsQ5K9QbHNz2DBmulNkdXAv5BsUuLSWfFMzSlsxORYy4+4ZZm7va sLHFGtOJfUP805QUe19zBkBGDEjfBACZ258YHGOUqFpjffGMu96aFyNdzI41qC+ItXLg9hbLXe0o HgEKO7b4fm2eOKEBK74SNwxN3xhzOWcaH96SEHCQInY2/nADNlqjN8mGiCXquJL4p3pzaK9shSCq D+dSkGVaIEx013lTNemnub2cZR2ZsKNY+zWYb4bZDTjxgDBR7M+/201MCbxkAz+RQa6szmpivLor wRuDSt/mtfK2ipfq5L85Io8ZdlZX+QIj/2NGYrpEAaC5kcam9mhFsugK9ZgwX6URO6ZCJc6hA8ob tr8JSN01sJUp6r/axAzBw+Ma1vl/Sp0PlV6gtEvHDTYAIUXbJr9UqrxwGhGHecGSIchH9va8ZqYr R87fJs0bnciX4jv1i56VON3CgujOPaZcbrlLZphwgWLoU1w0FNj2j4x7yKvHqIKx5SPsv9sMZNZc Cg3kS1dA0hucK2c/8XKUG6IB94jioAt1/OUdZF6CMLzOsVTL+mC5AVs0r6wqPDNFZ4965Iw1NfXy N4msuV5ozXpxj8Oxrh+/8vvJMfCfNlr2HmVb8zyqlDBcrPZL4eBbqEBFCAHHJgpAo9+/4d9BgG7I IBqoXh/wS4Dj7+G3lJEB49nn7JELF5mjPQ/801ClF/fp3HfHJcUBNbh3fH7ri/EhMBDmk+nNcULk M1Q3TBT3mAI5HUcWc0yGRf+wnM863IVTRokbhkLc0Ng4pdB3pmsjInXvVIqSyCw3slW9GlVNr/49 +7wAU6TZPp0LCbZJp9xkBn93Nh03M1tcFD+DpQEi/wWztNMIhCZDytajNvQDfWbIR1OBbSTJiA23 Jz/dvPfEXKCcrBcKAzVnwc7wQ+b9CFraFMA42OYz1u6C4pxVAj34KYntojr5i8lx+P2yj2zxLjRg fnL4zlOWm5NslPoDt7EqLo6+buodR/116WYfVz6Zgd/T+Lo4yX/2LYZhKdGmd1phcnk2635RwOVX bPgGWrbwql93yuukgB3tTV1ZGAQK6gewaJR/Asuk/67XBolOdpYzZKTc3cNtZSalSYhp3ubnXewI 9Zjj1b2tFc2LY+Z4/6gGxkEckbGYrCAPwStBU4SJDVbBiQiG8uKLhPSUciH8BY/PxbFXLMAW5pvN sU2qRGcpLmLGsbL59HNnVPC0o6I6yAubIZxAzkED8tpMfgxJpwf/OznY/W3YYYF99F/NBpQh2P+8 S3v0orXiL1Mo64wAKqfgJ/YzpIC45AeU9BwRI1s3x2lJ7GYh9zHt67YJhYZKFSJlSupLsRAvbESy Ek+kMOyRo11o5Utff0TS0XdM1bX3e40c9Sn7GXBZ+8IkE/0zD8bf6qEIRFNXHbhY16CkwMUS+UVD 5oH7lHsOQLEKsIvwJKwkUhfoLdQkpbHF3SmGcFUCBSOBhumWK/sUbOKCOc7LiggXiZ2xM78nr2N2 sqve62j1nsbJ28eHroIseBXziWLJ6SyyyzxfalHPfT7tn734zHA4XHrAm311VjMZiNKF9Q45qN2I aVk5v+RZNp1qTt+mWi1FJaW2RWJIWsCkTdvHNOMB2jfBWGzj01CKtjnTQ3pR03Kg2ZYno6uR4nBw Rq+rw4SsgBG9szKjszwhlKexH9xywRKK6By8yDP4IhicPUa8ADZKy8LFXLUxkhT3f7iCf9mkmhn+ 0+RmsUTWIXWJiB7tpGVndhSfvlHxTYtO+CEhaSGrlFfz++WzzCDq50xUH7WT/CG7Oy9cJjMOEsxY njY3T0mnG0gWyq3bSKVdHUAjJSNzXomS5KzW50FgN33dsSB1vQQhJ9P4piE+ZuoWWnWIF9miB0/M PntD8GTKfh8O0q1CxEMPe9u/LZvcmnOfdHOeSqA3dDK5ps7Mq5pIS5+Z0FRrufwRkcHt2lxyQxr+ sgPWJrHuMSCSeDxIRfkAVklcGRIcZRGOvmCAAt2uGTSJk7cUS3fXO2XGAti2NZpyOlfhc5Ff/3n1 Ud8BdHuedRGjFfgnWmxyOOJtBiJRmgQ5aYdI31Qilj9F6ZwrNDaWPJx7zsp6Wdak4ReNkbsT9dWc LpJ8XiTG/j8rJ7R4UGfgZ966+TOV+rSmucEaFUAq8PhnxlqEiLO7mKGVT0NbjbvSWcwLhraQ+U/g xtkd/txCcWotJ+mu6gnnAWcb1OVQTcg47lsMh+KRs/u4+EZE45YVFpdC3Yc96p4MVJ9bHR2mWqh2 v6Rg0gg1JjE8zIWNjmJpjcymxQGJmk6iJTxd4HctijDGu9X3/UjsRHJz8axyUhrh9XOhkpgQQt1u lphQLT7+AExfMT2lUW0Gu6w0iJljZmP4Vh91FBKSYulmg6eqZu5sKCyd7lInLZzrg4hR09s5WKSb H5gl7X3+Hejbuow1dKPgfMFe9LCBKQfNtJuwTMOPlBKiAjv/ci8beF2po5F0aSbapQIyS4p77XqC 8NffAmHU/WJxa6Ud8DX5SDixATZWtvn29LsVxdOQyMm8KsuWP3nNbynZ/JB+JZDwsDXidNsXc1MM cqF1WmBCR2iJJG6MUKfRsSokf6SbCow5lb22y7iuTyqUTUFHl0vtWurH+BL1BjXyR8X77+Q/Tbfv rhmuHUGnjTKRkw4n4bo7fM19y0M61BMGuSuUL27oapzfRbw916tvcMjjJW2ze2eE+FqoYfzaU090 uGmKxzGigSgg6BY7QXPTiWacPH+xl++Hya/lLj7baO3xq6w38zBSY46Adj8GhInRa3RHDfrzbgW0 EhcRcnbYCaXM7H0c1y1w/yIhLvcAh7mOoYrL3tDb7mcuSF4/H3ZRATuxMlUvdMG1dtywNww4E5hC 2wlkBnw1LUQl3PIBXs8f3kGE8GquHOiTSZoPL0b0UomAw6CZcbRzGz5T/1TWCV7b1iE1mSRusv4I Ctsq8dXjR1y27eDRAzhGeXEb9XGwY3CaKoL50n9Rfqq6XI6vF35OWQQN0b8KqqvuImVTP3VmxmO8 DWFbmLSU/8hg9QngCjLTwWxP2CJj9LPRbtBDsRA2la3QcxVLf9P+ELm3WBTK/09VhefAwr9qkamC fGG9CmnlNj+ToFvkKa8OLA17pWTcpaOyIX0GTvMGz+sNGwZuzp3iyjv11f4I45bJSiX0ABWp/IQ0 EzgtalXJen7sPeXQ8SgXnyHV76vLCQjypLb5aaH2ZIV2nD5xFDMUZWPf37o9zEOvLqfV3jfonlL5 46Oqb0ffKRRiboMbzDiBvCOdZkY/UmxxU3zckYsRrWfLB+VEok3IYJVDJTRcsiKdp95Bv4vFvyhv 9yeQB4TXV9M8/9Hc7JrCwTyt4kH3Ff7JZzgO3A32HH5abiwJgTdDgW0Tme1XQymdfV9uo0wc/38Q k0/5WHBzVvu0S60F1BwIM2Qx6DIY7xwE3aDXUQkgzav6DyMUBHWNXMwRozf7bCQEI3sgSgkgPHyw 0m2z95frYMkW2W54Xvh5cfNsJtAkt3AoI/iDxtHSYERzLzRuL9RIRjRpisDmwhT0Csc40prMon4a UahejxPga4dDLaq5/P+F3UAFwlKk7HvTDZ0viL0Rfu3i4mGjgmqi/C93hCtSunrfRXekNnEadv1f VtsrKlwvEVLzmgyklSkdlZVyvjwtvn4/QI0+bHvEwvs/p/E0iXPSgIZYkftR1AIdVfO5KgKIVZAX nxNQwB1I6aM/eYEaF3Sri90tMnyAq4a4bA4clW7AQjA5FyTtA/YURZ73rCGw4ljmGaZ+8hCXR1TL 9yzpU3MXU+V7Wq7AE21aOxVMFVnFPemst1HsS0Cp79zZqasKmOOLkLNVePgsfjI8eRM/n3lt3YIg F5aWZ50icfTzHyWMAkUQO7R3xQcFlDuMM3Z/meoZNWCM5ais76zSZ5ZV3NgmJ2jzKApFlcKatNV3 0gCe53cTOkDN+bjun9XXfWFtZweBkUzcUEu3b5ni3Agm16ZSbhue2+hDkCLvTkD1RtcHcZoZadPT 2I4vFuzKOL0WRe/3wjhZCwHI2e8SiHokgPkxe+hcPu2Vl35tf+L3qguEn4U8yyDTutyKMUzFv+BD K2p66IzCWKxebimOw+gfLgphSHTAjqAywkOclIS++RW4NqidSwwFXAxQO02uZDoZgLiUctMtAgND ip9QxbHzmGdIiAYiNtoDLYNqXl1da26UAqDpYGWyTgwhN8vk/aMxCsCJES4p8qCKKWm5I54D/FlR hulq47LUHPdAWxEuzODlfZu3HweENDXa8dYX5D4evXbHl+kd4z9DwfST+niae6XMdPIPpO/o2TMO W4odJ2fN4FIa1wbkRsECsSYXYF4hHgGKxHZJUVETIpDJCdNlKMp+hwFw5Kh23gCphJnH3SPOdNGj ms1BrDz13vWm5/HIku05L+KKQtKtIAEUJcoPIR5sJhJk4tbC1iDpzhLb7Ul8hxD5XDS6go0eoPxJ qUeb2RRsZrDfoA6iGHWlmm0IuAW01Ai6GGsHPZrsrxwqjEC68tGjTx8WPfH2pQLPJ2kgaolj+LLC BFmiR78vYu5pvyagw94v6WeipM29N7rYV8hIOewIGKn152tkQSX/egTS9MLGWzZs35x9gR8ju90+ yIK2eZ6BAsoHpCKRunYbwI1B6RWQ6+SnDX1lqJnXhcisYrMc83TI9AoulUoDqH8l4srs9kD6rw7z EuZVxXPYpBu8JJLLMk+wdNOICofKpCpWz0wiXEdsDUBgoEnO6pCPVC/H4ZaWaeoha+Rgn/D4ga9c /DDHoDVK/2iRa37aFesb1qarq3/DuBYlIWegYFwm7+kUzT+kwee/5YNph2NTNDMB6dOYQZ+5E4cY LL1ERPVm3Ru6JUkGyXK+UtBEdSGbaMlARBi+aDoxzxh8LAMrqaJpVfUWphbgDg5Jd+kdPd7nfsnz OG/1cQtN0sGGHQ94eSwSXfGyPZPGKXd6jTydYeSH7gGUPwEJXuv/oHXxjQ5qXEMzDHo06Hpl2eP9 GSTamGT4T0QwDgDKHiNK622piOB5K0yB2FB/Zsz9t4um3FPJdUB8qU3R0tBGXWuywpAhKMTjNTnr Vn67pBggLf8VqBEl2w/LAGJF29at4IwYumMt7JMR3S5RyKHlDAyU/JwzYuJpopFEDwc62n70d9rS MnmTjGcEjIeX3vO+mu1ZmfuOd/D3GdQmAE8SqvkoWX4l8zK/ZopIqA8Cxd+oHQNlUczw3S/1ij4u jDiAOudX0/+4H3WGkKMflNnr3PvcN5dCegdSD7c23LebSzaSm+U+wr4wSnZyQgi5j3AdNJ1ydtq0 23CaEk71NsF2xShuh0Ov57MROm/RerBNnzm9SMxHsI4+Z3UfZudlaPFnQIygU/fIYPrmA+qwya/D gx/TWB1XMOsC+BsEMZ1EzdTrvJnk28MkOH9Q6A+nGsmcpCzdPEnwwLgT9Ob16oROmPP7Naxoqs34 HHKiVm6yntGGaiqelnH8TAwlzXaE1Flmmagy/kHL5hOxa/tPxrt+mVfrOtRI9dD5NZ+oszOxGnPF 0RvToNXmRadv8zQ06+pX7QlWaFGxGzxKZs8Bh0Gc5a3plZp3oYlwf4viFxEqn1Iklh/sBRRTsd8U 7d1FEHenOl9dDXzcy3OUa2+j3c9N8EFbWw9ryhaO4IdlexQ4EDotsBYAUssuwaA/jqSiPDCIaDG+ LQkfIKZbg/eruYEq9jiI381Xlxi7IbsIUNFopAWs4CjUNnnmHAaAIBCehpeBbP8uqmfVzr5qnpaZ y1E4O6sL+lremTIk7gyaH1lWQsEQxVQ3MnAFTh3HvLm7Om3kicfYnGt9JhkfCQvqlplRDkRrRG3U aOr2ODgdShexr19ATszRXOC5O5Y2q1pQHrGtaHUJ54J6LsIVHKDk9ECnlt+CtKoD9Kno0ZSQhtSo O+aeO9GgrRfNx0bJ3yg4B+eMSd1m7tG4+vTj2DsogkB1JQIzSFtHFuEQv/eV+kD2zLmK3zebMbRV 4NYYzjyucd7jG5iomeoa4/oPcLoF1BT8QzD+Vz+pWZyMRgS04oq8E1KH8KP2K7OF8RkLElzioJbZ HGJF9pq+IWJD3v4i8l4y1xPAQ7N6PdlP2ZJhxnhIKOHT2Qd7n1RnfEEFHcZEeqLFwO/2PkajVTPl dt6L/gVi7bje7RWp+9pEeQC16PU6nfuNBNUmcX8AUPX5xChIIWLP0y/N5ZhsxgzQM1/aiU1244yH 3/gB1EP9kq1lzBB0AtMn5y7n9QrKtBBtWSU4XXkycKOvLkxO09623PjRSG0fAOh/NqssTLtIw9pO iyU6tVs7zJVjJRUCald4JItBZPMTP2QShDBEIfYWIMjyWXfFyck1S2zo7ZN9rBUAiwTcn4zs2NTv /TKxGu5/AAY4uT8ragaC+aC5phueO6hBvOLPFxvjwHe6EV9rEXADxP6f3rr8kPd3c3t0Nyu8SGiB agqAuJo2GQGMaTyUmhbA5x76sPvqugK3kd2Yrqxxcs5F62rW5b6m/ExcWjdQufpRPi27DtLou1w2 +/0NyfSF9wdEeQdMpIyzmpD1PD2dg8i5O8nCTRiSk5beyNYFCrpX394rOq7xC002s3mXVTadgsLw hqhhKmcr+f1JvVp/xwqx/kRMXv40D5WhNt9MMK7jy4buc7QFj6WSgIpJZrP7DUpDDxEgOtuuUK9g jz0v7kxRJ62TMlcwut8H9EjKZit+FMciwmaMUOwJCfb6i38MqzEgThVVX9k9o/GuaiBkWs5j5YDE 0IHWCvlaieKNIr9vHc/Q5LaIlwfMK4s4hpVJrwMeVfOKWUP+7/WBHjf0dsFisZwwicfEV/RkPHhV taGjWy6WY/YM5jN4x0wxx3E/gzjF3rh36ShrNang9HsTujzRb14nqcuGNmNnkCAyvRFfnMCKAzhF eWqyMsw/uhqUlmXDGcWH3P1V5gRC38wT/lHeszmkHmt0NzPDb+G4MscopHyhvR5pjCTYhcTn7+Ie qSC4QpEb5hdFKZPJoJrkGA1zBHRxBiO2qXCrXgkZhvjKFjCRB8Vv94hOHbCj92c4p27vNZrvJtDQ xRmSu6puFNSzyOnTcDxxukn4yg00O62SPBa6md1b2ne4x3cVX5o5hPhQbj/LbDJbyhg5WbBlY/w8 pEZGwjozPqw6l7LJ0w5H9E2rdY7n9WH3WoX95ZHZg9v2i6Ul8THpfdEzPEoukZ84EoU15pWOJDr7 xyvC+g7GG0FTIdsYt3DkCgtAyILU4QA4sJYaiwcFkCD5fD0DLrE0A2QdyZfEy6gO4udt0M+Mq+kg uNEikrbxIBAMpirT4NFhsAOHbuALBzUkWo6gxYByXM23oP6M5gGFOCkqG8D2qXcoIHJcM1D4u7nu kcImCrsv8ma/DeIFW2eP6BlY6UMfV+4+GWiSCxdS6Nani9ek3mKT8nIL1o54R0zhr/ZTmpDzq/vl 0zZUsP7F0BKLGbq44o1Whw/CqbsOOZ8piO7F4RMz1XgQYoADIhYRAkB7oDoEuBXIWS/5KHhY2qn5 qRtjqaz7ely824QIpIJ8Uu5DKBdC9W6fiCnwTHM7+aejxcQvg+1xV0tw50cYTlJ9J8GEx8ljkFae SvWM1mE7ZB+RSQWRzSQOehDk5AhYy9/1rVlpGEte3gk0gPBbRi/2I8Rfqz1aIKn1dk11Ys39Pm9D /rQgCBkHH2SEcPsXWBcfbzvAZU/eJGPOVpAt9WgqzwSbzVepRJH+iDLUeoBVK3Lw9Pclnz40gOEk ASf3658pEhFa0/oqfeyrbyczmW01G/Rqnegtdhtl/PtgQ1cUAQ/GUz1oL2PbMUSq8KzxZFj4Kzmv yOCaTIsJ9wJJr/svs29DJ2WKu/TW9xbyVxzlUkMSQixIEXP3l7ZuobMeJ9CnrUvFXUWqqT/JHbYD Lx3HZaT+bu4sssG8FnphghZ4UXlX8EMK+WHWrDdyJh0iEg8CAikBimVOMRd0ydzvrHhNz07qADrx GYDiw67kAUTdb9WwjSXIAt4c4RYmV/y+Jdwebatd6iluIfOoJZDuIujnGkCfpWstTNj8cI7Enxlp XoRRaHzgGk7aDQTP5c99NtguQSl9b0TzerDnHZ3n5LTeyeSa4X27I2i6co3CAHndf9S5nIrgbqDS QqoX0OWbCcAU8Aw5Kd6W/pNBxhmuI1mdFw/2MaC9RgG9dF3FJt4kNW1d1uBbeSK8rDVwk4B9dYRv Wn4hX1OijwgNRiLwwi9VUiE3Ou5ygyXfIA6vBbIIf5z+D+OtLgHLZYeDgIbtmO2zZJiltjNEJbsS u+zGPsQ0vFSlAzxDKn3O0m6IfS7+OFDj4c8woTBW5C1rLd686IOgvY5UZsnJmQdPMmkbRBYFN30b 7/m+fWB2AuVOBdrNebBiNSuxtNzctniKejTnm8UJXmaDUv+7Kmmkf7JpqAt76H9Wa+u6RAErFxFP Kds0r3OXPFdv0IwF2zSEuUdJQLCtiSDDDicb9fZaabDhfsDMzGqS+UlgKqxTWPykLq0DOFJZUKy2 GsOH/KDMrq9AZdHBLOdd/3/ZSXgJ8kuGsY72nnCyrdwaWvCtM62WwSEPF+EHFUIh8FgEXoW59fMY F/76KEGLBlMnNogoiayEy/kXUGYvZaOsdqr46TvV8xQ1ln8dhQob/bGTSqBcbf3hm2tLXC8L1zvj f2UegnS8FWaNu9vG2W5QndXovqt5sy3T5pTbE/g3JgPcFJYYj5B9h/uwBZEKg2oJ3UQ7HuJhWnvt 4BRAnzcyhwmoWUoGgbfS2OPlmyuNeuCWDn0DmJKCp9SlPKa7Y+WpGP82/LubTwMTn/dbKAjIaq64 rZDsz67BYzScSGaUJGfXndyu7iqb8fK6iAxd56ffJs9fkA57h445QdxwZBhtYwFwVKxDNycZ/BZ3 mcThtIEH1CsMJxmXhq0bYZqY+UxroaommcpAD87cntDbEnWsDl9tdjBBroMdv5rlktvi0qrKiP2y 2DUlDTIuU8WviQH/QJ4uqfX2usPhIPqcFAzuEQiQKisBRotLbOO5si0C4loK75K9ZzLf4X6jE8wE q9B1JsW3hi9sdGaH2PbJOE89SjCiWPJZorL+jMBczo1ktRHKQA0jvIcduVJNdzK1odWI6vNmDBqi a3HZH537MEqHuNqEo3+aT/QtO8QvLHW+R+MKLtqjU4IYSnsU7nd2BEDSLjcZ6NHIkfjnVpSI/bWk 9g83B7B6VFT6omb8VJn6607lA2gmpt2VM7gjwpbJX2P+i9tRlZIhSf+93ab/ZlZOj7SabNh8/ktM 8sDJVJMq/c3/DL8bKxg9h5NwlI85j7YCpZSyfV9IoyF6R+Me0Ze6X87Op1+VwKSV6+bO+yiEB4is 7PzbxcvpHKJtl/9rT2xtQN23nSuWolxOKE7Pj1NXW0lo7d9RHQzb+hT6j+bkD8sRPjbkj/8nNzaU mgMTFB0n8X+xfBZFqXJYIKOzmKEPXHtfBer+1ZbyAzkCHI/UiudDXca6Y+27EV4bcRY1lEGH0D35 U4xXbH+nj9X3JHeHbAypfI6opiOcEeeff51mzEs+TtJ97IvbVh7FRQ1VTx/VbtEne1Pa8WYihyoj WqTFIJbaBVbPnfhhlxIFMsbvAOaWnSizOY3gM/eQvQwXLpQjN4WjGYrafafqnNiEFZddVShyErVt NMWOECT0+siRQUnaJZve6SewzCKfa74gH2bdusNEJoZoLjabZr0dYKC9cKa17T+JUtMGi78mZcQ7 IpDEOm1y00yQsRs1pPKxdk59Na/c/dftT42B58zSD6I6jqbZ7E6SfYNxdRcAhB5p/8nsRHY4Jdv5 uhf7C5X/LJqgbOQ+QdZZntOjHulV5UQLLbkhoI0bFXI79Y6oEtTwnkRNo5SRqfqzyvXbjP6nQice 2ii1iT2TwzDf7rXo+4TH9WjjlBvFty+vlY/3AJ69XfDPpvfVO9jwc57jtAYSCZ0WMKJYad/fDnsN VBc0lWb4HCk/QsjTjJnsJgbv2wqz6/7d4ShNXzlaGBM++G+c7OVZMTZL7kyGNs3a0qv9afl/9Qxz IbsCy5mK3kX/4Weq3/YevbUVU2kakxvroNN7aRt1LxGKHFy+CHfdFpcGNNNq4LmUOYWT4T5Wl1ME yfapa2ld6p4ZNBB1/HuNgLKJfLBFCBJWLST/+8vJPjM3BsAMz3sILWp7sIaCYPogjZpDJ4WESx9z +fX/15Kpa9mC7DlPbHQvPoO12wONCkZP2V4hNbRkUmkksFO4QoRlAxYTZG0ywljJBXmd0OKxMDGZ JA8kc1MOzOORYrfG/mRkOfnSFlKeXTNI0xpknT/vgFXwy+YbZavVfo/MQk/MhSxdlotEr3t668jC bmhP09DI7qOsnRzxZWRKvBVxgFRU5MDM2gvX7aJmiLgWqhpcRd1lePT2Z4kfxYo5fxsSk99SHZFr ojIHZV5RFjQAEtIKS5WgByvVcNj63EroTwCASXwinOtghlbGAUfieyiahAviJfZVD42Z3+qfrh6N yLGVwKiCcpAM49vLHeEptOyfylQzFMb9i4f+/XcGkYS5bJDjIwPYlBZKn1FPfN/j6XQRFokoopvZ WMyk49nj3CCGZ5uZp2QlttBWFdjBbXlcihAlE/DxKPkMTo+TtRPvikeui78rzO2iaK+rngXEMRNy Aj/Vgde1UDZ0Vq6q1ToFzelPejHKXzm+tZW1gXKFlboVbyXstiZbO4/eH2rxy03XgP4VnlT06UcS jnUOppNYS+vHhOPELio0ouIU1yytjaAYsWebFNrDM3i+Y5zMVC5k394P9i1a5TH14dDqpLJDHRWo AN3ubNPRYZeR1ubrgiPIixR/lhM+plzDaHDW0zHd2kkzzcqHevbcrdtGzQf+2eL4uGrHvHCHPqVY RlGEjPdy/Kw7xniWxvw71WnsiSnbLNbMI+ykOawl1W+nDsbyNHOnIzD4I9ctXbwOyqrkX0JLBxis 60JCTtoV+nUP0u+RresvdrwQqDZsRqocgT9p5i8E3lRTaf5xsLaRwivg4fSZe2UvCNsTVa+Dr8EM YG+6LjEOOcGhCZJJB84qiuBGBOKAOoUhDJ7cEwC3C0P+cFe/a/1OXwIYRm30sI7fQdCEv2zqwkRz mMz3Jlk3SkxvT3tjxjCIh/ParPfs3vYejARnu33JI3GLKsZR91fzMnzYi6zTZasVEFrOgV4q+X1t fiUohc+cUh2IzJWKyyoGI+rye9B80Q7UyP/y7rDbBYO6IM4lQhBYqwTVyE7telBnaAvyESKpBKdy xq2CawsdpZPGgPRFElQr35aKtNnfe89GuhYDFFqqEWgJCd/2u5EHRgtK9V0tDVEP+UntgIkrjVbM OnlfBpfvG8Ja4Y9+NTMgRzOblX/ZD1AX7vQtL3ZoNKp28XB2huh8aCUqcw59CCx2R9iV3vBEov9L PhMdeV1mZnlvgnslBniUA3svBFBL8zG+vFa7WB3hFNWOEWONv8HDktb+xpc4yQGddT3W9TyylYlu mAwg+1g72iGfZL3w9v7d2MWwq4oLBGURrVy016kpIvtmvmzxMTKOOmYVQtT9Szl4d6WlIUFCtfj7 vnKiX+jaxziGqi1/rNY180Sh/HMbXy60/4E1m5BE3wxt6CCZwANFe+XrFzF6yLalitasSB+fMWZr wgk2b3C4BTv0SgQ+tPmkgwzeIuh2Z1iNG/MgQoC5Mb31+NfXEBn9hDgubj8+0sbmSzDTogxKcMCY GpVH5Lu2jU7nx7TpxGcxPnYL0p0mKSZ4ThWVriiwSCoLWZuJC3xZMSwDd0TcOMBvdrvSpf0kv10L BeaqXI4UG/Q2gTg3hsgvXVxNGi5aQjhLDJ6sUBXcK6mhDLpKIMnlsVrhCICaNXRdQIaV26XIarZA TvOuY+hAF8Az97dTMf556s9hcA9uv0yDVPTP2hrbPxjFm3t/4pRPe6Jo4Na6YoxURTce0ypP7JsM qmqYa199yn43zoZxybuzb+YbTOC4E9ZCbyEXAF9L6H/7OUu5xX/e66e8V9dDu6EQJLTigxwdc4vE MkeGBdIcZKK+yC15RQnXCZRLYY/KWBHx/sc6S5S4oME+d3n8soGkpCqEsFgVkOLHqDvDSZcFMYeo vv1oLeercCvYpWp0l1ksdwG17VWom1q94KzipPb18wPdsLBwI6KD3chsMITy0PtYzefehEPrl11c +eQsNrEtr87kCRTJkek6hu3a3gCYDI5hokZiDLGF5Af7qsk1h/HGDjaa5fKqZDjJymPtq7TJ1bNE Yte6Dkt2fdXCRNAfsMSkhBBJmR98fDAiiRy9unVEdwadfqvmsc36JuKbS/1Gf7TnFnVGljtNylvV 0812e9ritJgv9YsK7KIUVzLIlpJZTNU/9LieJu9sBjHZXObHCByoOGHsFA7oLd5Y7+sTPfTkoRxw xoo5EQI6T5WlWc6xPv6WTvrYlFjc4rvKE+74zqhNMWHCUjH0rejWMEtXoY1aaOhmNqXZMg6mVgAr oDUErUsa/G56sHQRVEgGt/7tLO2oBydKdF8oYLc6uuv6DYReAlpEk/8JlFwLKdgmE1848PzJ5hUA MBHAPsb9WdMxoecsoS+F7D2Q4BQ4YYKMuvt/yhO8DlzANfGJB3EbCs6q+lt5VEpKdCXzksSpLF4U YO1alSRirFgdQQHB/hVA72uXZDwhf3UvLZGmdfK6DNqIIWR/ouLPrJ6pr/vW/hcB5NWDW/tHxwKd RMRdMnOUkqnqAg6l/OUhXYRH/IxAmBAOgpdUFxPWTwqMTwKSmkHoDSeyAUnR2hJfH6deQ1PW/qFK kQIToxR437u6e8m/Bw6tE2YElKoBUcWoOHru+i2+orF6MgQR6FfHvP29vm+SAEzsOoHSmV5htP4G lebXBNzvQNoxfTeRiLZ96K6u+ljSg7daRT50aEDI5Aunhw4U3YLKusEvYrppjMWojxDm5GAFnokS GThFUHepFCnGGA9vs9re/J4V4yQcP0LE9AcpzuC9xl8i3SvyVf/EEQLftXmxlQrzaPGcbuimcZFP rPJ66yWAiblJJAiGWwXFFEa+0SRtG0U8VzFzpV9t1gICtj44d+4jYdOc1Mw7nVZOLunuDBqGk0f5 4LHZ3+Pn9W8RYJju15R1BW29wuqzfnJjwYuy+xrKqNFqzmpqOGLHtxb9S+7EFZlTNGipIxBuRO2v lEFM4BaOjNBnYItnuWSZPG4NnIw76j+UUycfe5pJFNyKYtcXzU86sEtISPHOF1w+HSo2+kd0/ZVk 62rlbNxyGq0tQN4yThYZmAwyyTzjH2jalE6WMbSqwPWHfC5/bWDPBnQNe+suj4KZwWcc2qDT1r8h oYYxPiJhpuxRDJ/f0l47JnLNg7/nBq4TUJKtP9VtA2uWj8BV9qh8fGy58K+etAyt5ICjeVS/U8ob uKSmQ/rEHCAKacjWncnO3U/v+weA1qIndPOfZm2DYprov9yh+ZbegOfk6d8oGywsKPwE+abjQQ5W /crqnaq64rcoEJfCko9Na1Owd9u/DmYZHZ6DhBbtRXKuGDQJzQN82XQJq9uC7NISrps/8/eqi0yO Oy5BJwGntNmRcweQhIvoV3o37m4Ew4A9d2q5gXJqqquW4m6raBrDWEJgbpU+VTiIBVCxPASg7EZB lBDTWF8uzcXL8lRpSZtS0fBG1fT2/u2k2+9ByzGmstf4sTULgh5zogf/+EUR3lwgWuRi8ddg/brL fAEIW/CzbaJlTZb+hE+nMN6phvJEwU6idePGsJacKVnpmge5fQW6ZbjiPQ3FHIhNfjIpted6c4ho P3SVVwA58f5nzyDLZr1M4u2lNydyKBBvxIjwKM6LJpsMw4YA43eQG71Tvvaee0L5jeLx+bMQtDWs pvkvP/2ykH5prd1fn2F0OXplU2JguRTx4DYjaF6Cq579HyuD8EkVWCZ3s0f35Aa4QYTrlqqaU2v9 1+7Nuh2TzHWqFJcldM+FBtzQYnUsBbAqvvWbI4WEP44aU4DAxjqkWLDBP7VWtOR5WR+RknD2MHsX oyLsikb7hj31RZEjUXgLy3mIpBGP3DFOTyDF0TsAhK29UKEXAMJgYBpTsed7DBJkp48DALdQF0Vp Ge9Rg1Ue6tnGulAwtHj7zxeWHdMsBriHMDAWh2ixsKKW1U2EtmNQj69UNxsPDCaFyKun8w0M+ZJ8 IqPmCT/KJE27h709AIa9j53x91vycIEJFOK7lzNTGav1GStPfkUngezDIXQnkXUAIDToHQh7GRnw Hvhz3t+voyI5JZLJZomjPWSpM/8/DP5pIdEzM3dtEdG4lPMbCGLaQPOv/q5NXr8qYgQi7CIsfV1y Yp1wSH1onDVLnxebCirC57BbHbCT7JFZ3Gt2dbfIhAcsi3+RTYxZ1l3kulYqsCwLtr7Rkig+EImU UsLUG+emidj1VBV/LgSUMt8OI7WMCJlm+73zAsmbe2BntStK60fKK5xCNNK+uyPT0nFnStfrfjPZ kGlwWQBlETMisi0KR5IkTrtD+mCOfOoIaNAS5+oWRxZIZ9tEcKFqevWOqQ3IbFcx/DFCBGwCD4T4 6/eZ4cJfdM6+Df4JV/76YeE9ZF1G7cq9Z64F4OCELanNDLXLjL7/316yzg+3JD0Z4Gw8xMd/0Axn By4Ju0XsouNAOebaSiF+LTgf6LqksCRUS5cHCuyY+3TF4ZzjVfosPGkNwb+LxSW3xlo1fEeiR9a1 UvFdSFY9csx45jnVbLmZEaggWlZJFZcyMm+x/nxZAn0sK120jaHlEPDlwgxV6O3eUqShb7f8gUps TPs87zU6fACbb4sU42FgEZceiKPhsF5fnPh+nkaNhWQky5RScaAERxvDCdu+4vPcqSfb+s9i0CEn 2RLUzWnd7x87Ac5FqBIyXlPvlpSGpMSJjDBJ35NrXg4jw5ysk6hoM28UEBuG9TAtWv+upm2tDMkY afzPS59YJcw0CXoBfgl1LP1qlo+faFCPA9ReufIykiFZr2Q03PN5DvC8dhj4AEOL+jY2Qrk0Rj+S tQ3BpMGxGafj60spCzuP52DuAJ6/s9eL1wfU3GHEhkT6fhpEA30Ez5BdaeBGsurBtGkLoIkKB1Hs ZILq90iyHk6C3BnQ35lf7xZL0VoQLsd/ect/B5UyU2ACtv1Z7bdh1+4wcbDhfO8eLYbPRmBDbRF7 ZS8kVtLmvHYGvee3h7sW9vq+p8M5x5r9LQTCdumYyhIw7/srDi87nu6lWhn6N+m9vANZCRddBPfR Xv5eX5gJIUkYK635XlDGUuJzaD9+ZCZ86mxPt65Liq/TimzVhFjM9iPeCuuWrW0CoIKQ1qRvRlkq NBMWt0+PQlzIteKPdRzihSsSQgjv696AahjCSgh/KM2DNEEHE4SXrXYs1gL3nKmsMxtGZZ11QZXi GGyK3YHz11ImJBKYfHuOJPoC59vrLmGrPrYbIXGr4cLfkEyQjjNWTrMbDIqWl8BJXTArJ+vymElx ohHLsJH3WzPj+2TSdvIu7v3lNmnQS2yDzNCUY2xtL4fotCJzRSKSNjyruAsoJ81aQTLFvooPOTyy WVchApVUlhaEQk3Gs1nWRcovqB/yUbXSg3YK5hAKkXtPq21zVNUzI9A//nxMnDOXmGPQ2e2rHXP/ Cye0owpFYUsWYVsseR1MI5/8TUq3pUOaKNEhlQx9k7h3VcekRFOHixZiIuqPkmydVIYtQCevVWAx sBSGDSUL1FU9BQQi/A/azsjMBNJ0MQkigai00qCt2DfwKjj2WeOpML2Xh9v4f3Q8PwqDB9fvbEal HKnduYDXu0CV5v+0h9IzsIqyrucWOu+bBJsYdM6WZ5o/cjLIDHlVMfTu7YCMw4yNnKSuUsRgIhvM b5B1lInc2R9CMbnljjkxJyMumlax6a2FdqLGSw4cbjTnjYnTXLJ68wKxEcZjqXe4gxIKibD93pFJ Q2O3YqRQ53JixYAmwKTtP0TganOULobDpEwRkecXKn52WRcRHDN484GoZg3kyeWdQ+UAB0o6Mn3b nWH3QbtEJ2DnHioljmPZCcbyD/DJg2JQbt9S0nJlC2LjioHO1yW0PNGFTpBl0kwTeM+7vxy0GAOx r2M66fwf10WQwgTH3Bw2V59dgCN6SJiF5y3ytDxUEhLlTlLU6MxrWlFwWU+WHKNWeC/27TNRZkkX YoPJbbhtHO6Et0R4hAv70VU138jlD8d2zRkgQq/ypp8/RSl+Vz2tJinIGRwL5IFIlxxNQXV1BNe7 wyUqIwlbQaZoUWPzPjCc+cD0q08N3mg0+ArrzWakauKmjZCfohUTvgRqTdJ0eKkQS8kRbigxovQK rN2jVG1K09lq47xJlIV61VgFTuXuItC3igFPPTOOh1hbgzYjgzTJjbJKQ/qCaa8p+iFie24wuXes 5TU5tzfkX9Nz6iev/Bt5+2QBq4kca2Wk/807v61gTkX6R3xU6se/WsMLsfSCtO1uHxCMf9KvLNcV 09rSjuL8Ouz4V0j999Xxrefm1qKGvzJ03OEFAjaq16nXfD8bqR41/88dNsOVmKWrpkqxTnL1J5I0 54gKue8jH1ZHjOSZFzmLyhtEBKj7JIUhhVBY9xY7R/MiKLffPUUUuxtlgNoYzcbjMDjeUE9LWlkI Tg7Srp/hX8yngv6+oFWdDKlQvE/2NyYNsy2xN+QjhIQ+5DVVAeFC5qXRaSgc86x3x9ovYm0rXY8T NOVRYmPyTctxHmELnGMLd1/OIKse58DP5btbgQrF/w5xKjmjMoGxcVZjaDHhC+/+OHaeGGzN7Bw5 2B3tNlLjkULV2S9R1XrUhzucUn/l4uXfZ5NVWc4ePZr35MnW3ZabiQviIR5GD5D9LK8hMNYCWsvE UJsBYhVeU4CwTH44nCL+uH/lDFzWbSfUgPmZmZDHp2i6o+vZwi0U+JqKximnNM3rsg22f9Rc3x2H In+TLAEGwruDkUD0YnO78sjXixPw/YWMBvuWWZGL8ThpjitPlpte+p130x/3GYQikmCuokLYdGpj ZJyAAljxjSg0RCymID97fKpL/5yMPdvNpomZvBiWn67r/wY1YyZCw1WaNUinq5trN4lmw1FGiDqb s5vuRaULJ/YdCt0n2JHWZFHg6nPbeNqZ5/d3BaW0z4mPhYrZEtgX2JGVOsfxcJy/FYzgVJhJXy11 6p1LB4bamKJ6ytbKT4KLMoIXb7WIft2s4qCheDbWYGS+D1UzSBA8VEV6LfW+8UmT4lQFtqKSBwlT u0LPUyZNQVIxp5nS7QKJNJHKxlnRaKDibdmi7sD3I0xyok68nW+6+CMQctCw+favm6KElKBmXXCs oOeZwgoDtnul9wzJcr/c/mFyQsuoZiLqh9NipQQX8IWMcYCOP+8fDZyURMlsCnDStqUsIVHsT18N F62nlVyKWYq9O+5v3MS8mMyQbZBVkfQ/50MDfORLOLDYIvntc3wb+Db9hOQSywOs3X8LTS17+nSe od+ITWC9P6g/FGYO1y45YaFCrcVr0eUlNcXK2TggTxSMYKjY1mkqh/g16A21X7sneLRMacwl6HvG znMHBKmSIUpcqzwzL3HCeMAs5m/IaUc+WTr3NBGIaD+Y1b9TczVgHPe8fdOykRDxB4fuOhSx0uSK X3m6T2oP/5no5RDZ/LxAH/djnow8RDT7km03luob+jMmwBdvck7tf6VyPrDdzVATkNFa6Uktcvt5 6Qro20ZH04dABx2nS0PmhAzkko3TqBbtO2aTwm+R+BW6/RJHU6eDkFI+VH4XF99cSUaX405hP17J x0IpHCiQZ9CIqKQYVdC5oLOYtxVzSHuNnc2tGK5DywKdXzcOOQhdA8vKelATnwpT7AuRcOESaoRm hIP5zO/HTj2d8GZ5WlUFsabZ9yjDvp6nWCA5sCN8pTTXGniVfsynrRPPMXbH/gMI/NAOQ42rvELM zK0WYmYysG6d0sNuKdYvPowe6/yK9sI5LBr6OQiIIRTr/JfYhsBxIF1dzmP+hcK/erfnC4W/fa1X 17JaYKRqdXG+SS/IdSTu6lRlxPz8miR45nOH0u8Kea549J1qXf/Br0HtnqcLpLbx2sW3RimmCgMp 8LS2GxnL6nKYxb9mzvwc71Yt0L2H7tA1vHNUDpOtZfbnGjiKxKzdvedS6j2WCxDnxFRnQWxAceA0 BgAaZJs3iJQnnIpyKmPfRX3t+yeFTjgsw3KwDlxGZ3ZIDwUtuHsYmpEZCLravqjweZ8/DeIyGXfj SeXeoo8cNNFZGncN5cRF3MjecaNjtQtkwATeZYFSMKVCEsKFQqjSSzRkehsQzSk+zBeLLXs1Mnm3 TCg300tDiNEiMFcGuCotb7HnUwAn/g1KjVSHZnCICvZGt+G7KQQP92TI9U8zG/5ARGZnEC+vsWJB 8GIrW33HQguhpP+jpWUWHOSWZ9Iq9y33ylB24o/jf+RnuyHV7TbwZyy2r2tecluseKoSnEHgcgTB 5ZDqaOnL08aPuNd5SkrXtkibX4p05FZjdPl2OeHRNOVDQrhGrErKQCzbPfNoMje9mmAa59cA6ZE7 KkmfHy14ji5xqsjPCFMOT33+tDWw+xxEsFhd8inLMaBdV2e9gjd6aPd/Zd310kGfL0HAP4wrNlou b8m5YYbSH1HFQeYqGNd+56D15XZoYy+dgNn46fhOxVgs7v45wh4u6cSnTtfvANGhfytKoYlyjtEq lhYhmaVQEgd18xcjI4LBJX9wA6RberBBMgJv4gXneFL3fy9MeO/+iDpgi4E2eQh9CS4wEZx9QBz+ XM02q7CFpKmgPbxWREjz7h/lQp08HEald03eIPjXyGSztma4sdTb2vgdhurihF4p8WApmWvXZ5jR eHnCn2LTA39TBIUNdGI1DR6suKEzx/kj2N6iNCagJj0LENn1klI2R+nAU+F1Bm/V2goJDPA62sSl J5T7HpowGdE+6UOKMvLwUMukJT0zmTU/JgoTwFAL6dVZbJYKPl92Xd3T9tlZDakXb0e14/EFCW6Z tGRXeMS571GnisLFvv65aozMgJesTo1L+8ZNJLi8t4Ri7bmOi+5QklUybnD53n0MAELqpD3De4zc StnV2SvIqr+QXiY31SuPR4nSKRSh0APwBXhx3KCoMNAJCgGzTwWm9fpphYmqw33N3HRjIyTsZ55m 2Q0SlusCwlTnbSK61IEWtGifi6pD4O3MhPor10ar7LBzs1ELWWh7sEM8Djx2e9NW+Xml5o5D/Atl D+EpbHzQkmHuvczwNCN5JzvHCbMvoeMsqOwAQueTRXlFZ6WTFwRDl4pt2ss+r5xMT2IEblDk9sRN Z46PBa53/458OGUp4T4iHf2PZyHb5T1dgollSZ0MWjnl4ZX2sPkkR1mmTZ9FeqPwcXlho6AOlfWd D7RhO/O61hoDBDWdTVyno7QhNi56WidafCHpNEfHJZlatv/dA7oHPYpsWLSlxCdc25+4dTMfPnNb 7QfcS/AzjGHihHyV1spQ27/wTRhqRHAtkA7SJMzCnT6LL1s7qwva2fZiSwiMFZZ7A/xeB+ScqxbI 982BJJI1vV2tj/ypAD0+oDv+UL87JxNTssm+DvIAKScz+ABfNcGUMO4HD1ASKI573nQ/wfgNz2jm DD3m2RnaV9/RwUudWqiL+rAfUqQbIk0BsSBx5cVwui3R+fZtfhYRsFEVjZvu5177MbRGzyNQsVbi JKOM6ys4mIEgFX8h+Zugt5yLfPPdkQkBhzUm7o3w6gxvQLcJc6FFU2f1edvVWTcSEORRnCAiIL2R yHKMALDITapO9QRbLlHopbCPGBS6JHz/QN3qLwyXgw8kT93R1IoJKlBL7SHt9V6bUsBFyv7aBbKY 4sHiqy2sXLiFdbwITFlpGUKCV4JHAAauFzbTnJSfEyiPDYRjVsaRlwZrImxE2HlzPaILc+RyWr0u NbNgC0U5A9AsODQfkzbpog5SqTAhUfXJe44JUrdcNxu0IE6VT4N8IiKSuyFjTAleWtAOywLenKEE Ibv+yLxWn8xnaPHvCzgtvk+ifdwun8gNtw6oHligszgx0II85k6o/yty3ekgKq5HdV44XbJvvMxz LXBudCbjZegqkxSw8a6MlABwFyUG0ZtvEaBTtQ3jZ+ZYszPepbNUAV3UVg05p38oOyyLDG1xY92a Blu7kX19tS/+b/6hOG71sXLg1gTqi/T5pMddsCZj4NyLdKYOY7XZjAfmKFnm0GxJ5HP7/n2KkTm6 CCbX3xz9k5lRDg91Mt9NmbiaQIBvFbWbwqwphrtbjMPoP+fPdznLV9O9JCtnnfNCiG7GMY0M1RjK EQMDpi6T3FU8dRz/T35b3TZhR/roiRl0Uajmk3dHl0+kZQxcghgWVFdHEaL5oF/ebtTDXjnjUIr1 h0hT/BP2GYc8KnFoGtSYs1OFC7uQvUfcWImwuJS4+O3lXC6fXRcHyN91Jr68AxxFyUXL4LEAjtY0 3T49IA9sZVNIfY1bi8wWjgFpuOYMstHPMI2UBpAVI/lRxTFc/I60M08WhgMaf2TTqiYk+EZYTGOd llKX9xFdndvAtKytafW3WGiOkSUQskCM0QBUu4WpYyTrmACW9LTpYzFsynNyPIP5TzMFjaX6D4mc 0M8LE+54o3G6vx4iXSg7/szCPtK5QiSXTH8uyv/ppNQQWEfJOaibBDxxLR86fAJSInGDYhqWczgx NEL2svrHJnkZsw5/KiFZykw3Z8OiPxUtdpLGnFKyOYchcZgabstX2QqpN6merrDCvksKixbSWeA/ EOB+cM+danDsaNTAgsHdQZndj4B0JaPshY9vMbakCL5mQ3e7tGec3ps88sTED3GQeo7pXqCBzt68 xWMwVw6H4VLpKCA10wLYMC1If3tzczbgWLRadq5mEZOeVTnTUFs8O15GXKYOW+jyM6xMFgZgYn60 YtfY+UFg/uHSeLeR/9GcVH7myPbE7xgo4J7N45Ec0HCSRbXSVhqt3cs63Zp86sgqRNB0zaWKu6u+ n6z8D7RLUp8O3pRrbwtQbqhursDrgVBLk3p1xVPoZo9weF0jyip/lNdVB6Jmxy0FCJbB8iSI33wg tHqxATTGSmO8avvflX+EIEETCrnDVaQsvzbD87VpTq9kSuE6O9SkU6gEsJ7BDZpHmRU1IElnuGsF tjCpzrkYi3wuUPbABpubWDTTgromVXbFt9UWG6SammbLdITXTTrnGjXej3WU1UJWGEPMXrn0O9Fk kggn7XVMrtzY7p1QLJPTkxguK2eie254er3wNexjBJE5nzQ+RzsgfNUHbJlKFcEju0bNkEKf737T JCcPvT3GHRLFNNHLt5y96LJv5Slvoz7ZHRBNLSq6nuzJ9ng8yeWY8gOq3P9NLFQrHQS6hclvQqnT VP7mr2Fzj30vITb3iPU+0Jxu+mqMThXzoB+vXWYJxyz+awsluyhGP+OwH8xwM9TSSOCaEXhW0IPg mjdlw/gJTlSnFNXmFPzvo+NESC3FuHGXzUL8GV08txTQ/IhDVgBnJdnHENYNTC9kRfjdwUkPIEFI hgIVJysITEtDOcaasx1LJu11wpukbolJBiVqII7Z5oePc/qGCEueKP1Ud+DCiO3uTP6r7ZSyxjNf mW1l7+qsDAdMocWDQrI7rIvvfeAu10wlsm+gPNvZCpu1VPr7C84ur2Lic9CFTO5ax8CpgeGCqDiw G0+dBLzQDwkJ9BQWgbT038MG5IDIZtBPdqBIrHuVnWN+i43iYTB5Ii3MLoO+c/RX+hIphZJxvgHr thtKiVa/qCwOt86uxrF/tdFDWer2qdSRWOkuJ0PtT7UH78siu5BPVr06j3EfeMHnasrLKDAsbsGP 5eLY8Ik15E5e76mr40oSPwpHtpHZ1lOiZl5HEy4rmrY4uCi3ITqvlsB9xJp+evfWN3Bil2aJZZ2b Tqley89EMF6pb7zHRx4JQ09cf1QVEMZJcxDLpNy+E8BxM6xtE4L/zEyDpM1RFBtTviUJC7W73d5W vaBFN29nMY7JiwS/16YPXr/ncppCPiB5qf2NezF5o/8UIpDdbzpe8nvi3jxkxUvaQPV+Ml14dYDw iIRj7pozWsp0Tuf9qFKVI/jqgPQ71EQOW7lC3ZQus9k4RZTLZpVKn3cDeIjkh6WH9HMUrBp+mUcG AeQzG9vUqvUvTWIUgSfTLGiGRUvV3fsIQ22gXzqEtBO2oM3sub4ogS74ltdJq99QBCISR1adc4Zl eJbqfODR6QxokIUhvnDif4bE6rW6gdnsacHX1O4AI696EpZur57E9kn1r0tld300TqUwCXLw+5+a jOZeZE0iSM/9hlntVvfzR8uAuPBBy+q/9BeaL8wWfybYg33rZzChAtDiEDbmdam5LH4RoG1zI6Ur 9vSmCJ2i01YKa8Q4lzlow17pbUdmO84M1kUKAKwhAi125NgfUuoVzO9iuXKRwYKjYvo0cKlxV7hU ibtq/9NBrVU0sYM1YFqqVMmLKXZToBwX9bP0pgvs0pISiibJoQa7u0fbmYCfr3YqcaW9drTUTckc IH0qNcEmr7KYI5xgQlmZzMKIR3nxh0/oGD9h+opW1UCjVJrN/zRsg2kIRjh7C7MAwS2uXLESbIgm 3JWn3Cyc3hrOdMW64vVvOCx5YkD4PxWavv+sJ6EBtzwDY+ZPBWKjnIKG/4a+EQTLmTWco0F6KxOK m9EwC7b4EgLgPa4rvdQ+W75gwU2Yv43GsUny/4Li3iqbwAUeOZ9nASIo5F7J7T2nyVD/FORD7w/q rqAkbQx7RROLuSAlvNQNBL8izocqy+H9RFNaDNuG2usRnKx1aT2O1dFoaMhtpzdUAvDPW3lSGNHu 6D4g5UwLNnvMZ3pMQbE5wqU5I42Vf9YKqg4/jH/EWEuukVlalVtvS0mgqm56A7G9zNILgFBxur7h UHxHE55DxbSVFa9VswARcIoYfIKjTwvSIEKSNBYECfSXQCpeMNMRRJV5Ay9aVLXz1jDpl6z+aFEI 6xwJwfyNrpixW/eh/QZC0pUFMuSRgVyQkQ2/2/2O69vLiDyNSVKWnutWyudP2X2AWlrawiLmSk+K RdiExEb9S3RYFPqGAtDRpHol5oeWrUNWEUDcHQPHXSFtppWOZJsFN6AuaaAkZo3xONRSJrGDGKne 78J7jIgQvsrhwIFFwVmEp5pIBcL4VzX9xEEVnHIWcq6ywaDr26H5dyiviJlDvDIo4zdUsBP7Xlbh 4x/gg059o4C/Rrxe6Q2jIWo3C3h/BssfqS0M7n0Q0281jcD9+1srtemPLWLeJDZU0AMBIM5+9glS zgW89K0ANTC+CRLKlWDPwEypxkZc/VfdSe3S5XQEgJHLxcQ9MprztmNzpIgPGZz9eYVX7kspZVRE zf2Se2fuXnHeSeEip6tGvVgHFTv2ON60oVzuJS3qr/weYafGuxuocZy+sOwFcwdQ2Zgqzif+ehU1 XyFbXjge1yAsBJ+e6YKWgeDE1YaZ+gQPs4RdOve3c2WFSyKBN6nwNUwsTZNxzmdaOGet3hMq/uuT JW4/oMrFyLNaRCsj6YHXX2nKgUxLbldIAyoEgXYvI7bd50piyjdJrnlLqxUeuubiMo6mlWGt8a4a rOuQwizH5bfP/jXctPU09zCzBlVZVW1hIeh3ZcUO3LxKSfiDhG8xtYsHIa5K+Gzj32eqd4ZGkJAV adw4f/GWQOFbZW195l3W0RQBgtt8tKlahrc0p2RXAFO2vvMQw73JoPdJa0MWIY9w5o435SQwdTHq PeJTdNrP/CbsXHrWrDJw2hWlwWImuoarn7+x6/Kg1u4iqRbZHkeUTaNkIG+gOQ2xw62Y5fl14hEc 1A+UNbOh4qVZK0NK2LwLcDEByP8I7cFgkrFiTHggrMfwI/tBSDpl/J/MM2WU65yym0+kwWBRM/3V uvjVgEbJeRIWSZHeoncM0WMnAVxQt7yRHDwsZURsjex3oaHuCYBHPmwuMraCbB2iy0YNu25M+hNI KvcpqZasp9h4A6sExuPF4GM6OYx0r0yiLFbaqwsDtJS3OGYyBeGww79lApZGS1jfkVffsJPIvicL WDaG/E3cihYaslsXMKNpA0914TsKWNRHM4ye3zgzeTE5EXrPWxEVueqXLnFCxvCT9oxhIWO4lft9 KM6pVWP8ST0ncSuI6rsk68RR2R/MkHn/alCul7r218yWLyXt90w/dgFgaTnP9S8PpyHcS9ORKf8f FciYFlr1rILZE29o4Ttnxr6r8H32bmEcYFvWmq5hxszrVf/q1qSjo6OtW/LNAEDQQ2aU20FI5Bp5 B8UMTM6LrIIICaiPZq7Zv2gICAQmol2q36Nh0flKJU5HuAUzDe4Xu3B1hciJDo6urKVviBW4glJK 2Mpwb1YZOU7SjiQr9BwMyaOmRUucEpg2KMS9+uyPFd3ctVL38DSFXZWSQS7vwx5PXf8DxlVhiLJ7 s49SFOmYL4mPtW92tWv+TLMS1VQ/G9CafDSWmVGzkOYC940a2bLUFNrDBaRyLYZ4tqy3j+ExqvU7 bk2IHZMdvEZEG56HpUJzn7N/itKozRAopxII4CUbBQ8UsjothsczmbbhoTsu96MOVH3dRWZKP0qn uMva6x4810hVwhNgcU4VNJFa6kzmVYEe/tSaEHUQvuzgrhkDzlfYsANpqW7HcYrx/pPEts5I7oCR efNY5DmQp0lUFoe1WWUDOgpScHBuROAQY+CIDNNupghBRyRht4I/JdK2WcPxJ05FsC619lFWRY2U 1kGE7SX9RkcagrufYbTKqUg59heVLdohgy80IzYP6T0rK8xyPemt6n+yecNj/hdhMOiKQ2Thy3m0 7whxjYEcc4GMPYAtJaxXVrR1Y3yWMuJ+9tGP0rtSHX8BCz/g5Sh1bazfJ2DtJUQ78YjUyrJNtjuz BXbjUytTliKwl+cwup8uimgKim/0ajbPrC32P3MIQ2YxdWO5fV2H1yulr6tkhwcCYCZN3Gpw4IwI Q2RRJU/8M1ijj8J37y0Aai3huSCpL4hWmTrW15YAhaGIEd05IaSLMx72X4lWeWGluT2RyDcBJS8G UBUMFUzhW3IzA6eUo6qwrpSsDUN1BNqkUx9zq6Mdag1RRzDaSmW5YCWkm9Fn4TtfgfoRhaMFlq/P JbWykC706i4olFWsL5tMnpOYGcqGlXEb+3o0cfY8UAaoonXUQmP3O67HfxgdUOFmVgC8/FwU9+S+ WJJAhFsR9+J2GIxpr8II+EQfxvPhtB146mMV3m4qq9Au/SuOXMWaK7tMm92y+uP7UqnO8r7FdOB6 f9Ek0pVdsYhEPlemzbUQQNVKPDw4Ntc4nIIR1ecMiqw5PeYaRt1KrK797n8Lo7gevjxextfNA5w4 IMJ/Ib1N9u0+fthJ9gVA4+NlKnGlZTxjzkfBmCvGD3f8G+u62N6eR2wd8+B2/9SotPCRc/+PEWF7 HjCkMeh+6MjS6wHiYbQM5y2PZAt5nuiOnxQlk46MctPStMs3tTRrdzCn8i2klFkWZKM+R0RC3HMt hAQYSv1Wn8xFWR63kAwO848cmx0hjdsqyIe9mPMocwy1QUCG/pjjiBDg499U+MQxKkuBs9lfU7Db lGtnzIkwHuw7q8Z4Gz524+MrPMXHYyR3ey46zGs9AU6oySrmR1fchdCuPo++Ixpq6k5Ga3pDP8tQ 4oGQdLkR7UHa6hDSLxguAY+JdCqf6eIB/kVm+zC7Tt0vYysk6e0b6adn9qgr5Ii5hXLtcgFaMnL1 8jI547v3bwSWAHE5hAnAGAPD/MmadkT6UDOqX7i7cQi29cZjKFG3Bvf8bJmaFjK+kAcloKZO1c5B gSP1MHbBQLj9OzkLRHgJoUZenTekzgW3+DRT6uGggeJ+uxKINSzR6Jv2kmo/VmtHDLbs+Szzvfqb Jjjy9j83lEiEHbdusuUXtowlcJJsWsGDrhQR6SBxKiHH/hDd5qMvJXr/SBnm1qjcqu3fJ+7vlk4/ q9+SdyZlD9IUoQS2l1vw/6BtYUm6UrQDeHAxH/cDA1pVZcjG7VQAqphZ6qUCd2o6XsdLiKQo3bno 9aJK/uXpeT5oz0BI/Ky4xT5XibnLpG751pcqB6B2jEMRUGd7ZcxLYBiyeag8nl5PVUd1zNEgf3Kt eAWR8saIhxnCHm6zF2tqwVczGp87tS8Cd/ECeyNQ286bpNFgmpnzEZ05UZiEDwQZd3x5lfubgtqe aCwfswYfdhTgzQsS9bhqzsim9BNUzI2T6A0tLwmuzMwiYfPXex1qUM8vbj3Y6JHYbRgBfOaJFY1o F5DSi+VqrgFv4qL6CRXSgx6MseKPYaJUaPEfucN2GDuivIAn1LBzGjwEJeyLfXZWvJOBBDVwD39/ r8TAFmFv1P+Pb8UvLCK3yl+BeTHimzSBLMkdfBj/Mo94BGXuanfMtmY7F4EVoBYABYs6ZMBEPTGO UH2xNbib+WnIT6lSMH4h1bAmYFoGvWvBg3bwTv0Gq81D1zGSnq3dpdOV8jvXVmKPEDdHb0LzW12D Cu94eHjLAhUPHxmn9vwfYwFR+Fi1h/XzQv/geYwn02ka5Wydhl3joB5bCl1zx76dNoTjfqLjRpf6 QGY6Cx1ySaDzQadVReK68FRiqMtrerXKFt45IaEznhMnOqvNI0tPrdAw0ulKy5CMS59ZgL31+1Mh 34epMq0WN0Nlif9QEHo/JRbNx2RKhYGgcUo04ThXDWZfFBs1a3SMUDPZlka2+ViHol2NG56HcmDX XWoqorqxNuwhFFpUqkzIrViLtPopJ50/yeAOENR/4wIYGKlxYnHyf5Z3bMbI0LwFrLiLbFelp2Ry uWltAuRolrBVUJmHwLVqc4W+eFquVKEwrEcWk20tIdptfyNQ2GlBkZY2FRlfH8L+TGEfDhdG7k9t f0eAOVjFM9p6/ionN1jWb8ICHGe5bGd9qbfWVx4bBjhYiDgGhTD1W5Stn7jsmSgCD6870jzFRXUl WyRhff+6xtWCe4fbKkEsCjG5hiFsAhh+JOBx/WqDpK8gv5l49ywVJ46dnLMgnUj7fjuNIAnZO0/N d3BoJhnASRpnNgl4Z823eH2v47D8DkMMiubdZKOqLj5AVP/E5OQTw87HfHLl9Kt+B4SO6pwQOGPr ug9MtJUeW4ac2hyvpyp5/FY1mwD8vajt847Jwun4frOU4wtjmKPrLKqu/qpwqUcXTYsIDxTgikh/ 9kxlRND0WXkUPbW49VpxHU19nAMV1IwUryZBxZHCYH8AQyrCrlhlP+o/E5H4oraecjcLI9+JdouS sBPxuPxMGa7/zvKpkTtCotqdTI9q2xFmcVsSGCOBj0fJgNakdopau+PHTaPrPfLEjAiRwpvmZzqc QCRWP+72Y4srFd0otCgbR0ofhT5zTPQ4aah+3WmcapYGEsDKVy2PMAPIqfA2y3EKKssZd8SmjG05 HYBOEKZ985WmiPEfxl/msaiDyubZGggh+/hva6ns+7j9RMeEFok/LYkTaRoosmXhPiQNsr57ilwz CK1uWLrFl37ord312kbwZdqU6kNrgkQT1SYlVDWlF7yKXT5jdosjiB/Ut2BDLwVDRYOAKkqNU2rp 1EjSyQynsmvgApb4xdeRlu3EsKJebNMZNvHECoBlpwp5MciFUJkA6emNKLVgPZbkEujplvcaNYXD dHq4GOu5NtDu1l+dmH+YxAaPK08t4kzcpo3ARerGrESaq0Lu+7jEgmQREXTlQ5WphYCWuZxD94LL E+AobS5PBMI2MAOAGZo40a7C0lKJy87U92Hh4ZZKmB6JEuZni/KWlWpLoVXBmCyhVXGUJM9r1+6d HkdtWOLN8Ht3jAAv7cxKIcZo2jp+pF/GVQ3G/fobDkuMBVG4SyO80LL9S450ArdhNmNAu83yvw9T zGDPBDfjQFVuJ/ljOkY9e3199AfSqFhOwcuz8nGcIJtcFtSqloKznQYcq3/ztripC9fHCXIMLIXB PvpZJ7hStp8Rler04yjoogO5nB06VFoWVq/i7OqXebhbB4KPSkkjn+Kwth/+SDIDaUcXb8/GaL7Y vGdmnyT0lU75FMUrjZbahz3bMAj+BEHhKHiSwnbGrqc/sLFtxFFsOerG4TVfE3ph9kbbbzLp6nxN ko68Lvfgh0U1DvKM9vJKfs3WcLwXC40/QsDGTP2T190iomlOJOSfKU7fXRaeskq8N6VcCpMoS6EK 5swMbBrqV/jVOBfcgWIcnZ+d/mTUUSGot89pcpX2RBEcfiHV9j0m4UbuWOb/neMSFcnwDoddo2UO 7SOXYfQdbwQOOKzlDjnQRXgkiDlS7VngQgcbuyHIO3GxOStk53e/JqPTDQiXn/iU3d6JzvGU0T0H 3Nv7A9ar1I9F2+O+37m6MJIlJjHcHDkijaqmOAXyyZ9mitDG7ifLkDDuulg8mMNtuQHp4l4ehEwY XxFupHUuz/R5m7p77KWShHIeZW9pitYiriLZko92tlq/mGCZj0gKkTagLRONdWo0Vf4XJLvtLqiT JlMYEj/PtegHt4kl6iyOF9pFp6GHwmFDmjcJ+9ZYOzYxaLaq0LsgoqPI2BLtO6cb2fcHdLG6/kK1 VOCc5jgyCYpA+jj1QbJ0PHazK8RVo6h1QSbyXHV8VEtqaEDJVDeymL/Q3zsT4yViHlZwkTnencC3 cK4u+d8Z1UvWu85RnPP/5AKPGYw0z8gBEEnTmPuwOOMUVkKRhB97CwxnHlCRUXe6tDT4KWcjqTnr gI6DND8HnHgzPjF6RPI8f+0mfQNGZ6HAtqTOAr8et4qOyc7YawfjUOUMQ2anJfJKp0yRWdba108k CMjMqdHCzYG+j+jqwg6SUEDXoKV6Ea2K/kw/KFKlQpzN0fnB6vB8wZxj6IdBAmJjdFwJvAIhc3/T Npl1/OZkgX7cBPz9K//W01+/G4130miCI5+lU9Bxlmdmp/Hcq4ZqxqSV016NNQw6t5GvC1hs492g wfz3Dr1oEXj2WctbXpmAJ9VApZRNWDzhtle4Wqyv2BR73xb7rh7/MGFwC54GxjuYZHIco/IfcNdG lP1wUDDx0EgmdBMO4+ORdts6Jud1jUobuQDlpsGnTKRMCx7hdRFiEdGpMABcGjIsWq5f36D+Vyxn FNsOY/kB30JVg1TETuhcN+B7Zpte9BNLIZ6QHOYvKKVre9QWXt2OXykbwYwZfthXbNfdbGv6xTEy iKZwGipcUkb2onixtLEY1L51Vuaba7b6UW/Z57VQKdwsRqeSdKRWheYjl7xPQRc1tOzwshF2vMaw DF3PfEPPMC61rBfDW1B1xWp1KVentyX6F1n7ZeFtE3x+3OS1XiXBXRGLJS/2MOKoyDhNtYulPlFI vrTGhh9CN9FNSP0GW16ywqBGWLt7Rrowlpg6/tTkzDYwBIy++NZUrRge/wkHnrORT+fLCM3sOB3Z NzlQHwCIAUBhZzBh3UcZwqkwvGZHVYG1SZ/wk+bopru0YYw3E5z0Gr/FH65rOPU99jLDxJLqFe+w CwOERFE94mMDt1QK99qzqX1m1BZcECS4TU32H1+Do3gyasj4Zp2IAYrvcEulyRAU9sbDWw1mdKqh 7NcVZL3zXvA1p3/FdEa1ue849E46sLFO7j/o+G71r881JD9pEbuM0nnNVVjhVPUnlHUG/U6dQ/dq K5WiLMu0CxVu/BliVPoFxXuXgtTqx+sSZ8atvGCElncJmWntxUXxQiMKPEhiWmW9GnQAWCc1WBCn OZB/nfsosmEOguoo9gAUsFROjBdvo5N96+NpJ81a1GqrZ3YZ55PkU3JPHaChcBrK6i/r3l4O20xk gnNsj/vkmibrgVFcbV9wYGSKGoJqkldDDWDdBKcREJQt+h/rJawPEi/VjLwPh/fgsT1c0sCvM9wB M2IxAYGUq1Wn/x2JDwxTCVhT+nLF+D0H5kVVecnkyfhOLdmMWmy0tnkDtT7abXl9AttLrdrXHK7M JYuzfMrm3t26iJAv8zuKAYJu9n4piy92lzhwa7C3C8XpRrBcuVn60Fa2ldfEnPs05AVjvFRX3vhc SyWfm8C6APRRedyZTLwolj/jEA9r1E7xCWSg1cQyPxy8VQZI6RoVKRhp45RWaGyW3teWEowd8uIu ZwaI1ZAF9d6MxY6ZzGIo1gU26/9WUwA3uJ+phYoVMDE8MPpHrb06vKeHcGFFQ1aae70ybzCmpFLB EkzE4BxI/u4IkIVuR8+5N6IrGAypCiGwChgdI47mnSjM/NbepWN7OP4HrkNu/+cJgOKwYmJjdnaT ARmfLpTUD1mj7wiPecIVb6vHaM8q7dPdrF4OPF3067zspkMRYOKM4F0DTTWlL3lqSBmvpLk4UFuY Fn8zImjXeutkf7XlwbwEywEzBT9wG/7Kr7NCnl0PQzXc9O2RVQ6Br0Aw8NPMJUJ+T24YcB7g6Ar8 Rjy22N9Yjdg6t3lRZ42YNxbgMcorLSz2Qy5YxoErfeACN0CcHPkE+6KYkHXK2dhVH+phkmoXZIXi mNgAP2+B78oDPHytKgFqlsRj7tf+U4ko2qlGnn1jP6TwN725Gyqn63SzEiAua14pCoc+NHakObSU TzP2ggj5yflGT2gX3ku2dx8YDA/iLEjU8DPDzOHOR1yX9YFLtl4Buhf0l1/rEJVgqxPqkZyEqr+i Nfucm/EYYYMtjr3L5HVhjY7mwAHie2RscMO7YA/x3eNJNCcKBg3eJP6zaxDLzfiUCre6aETovFZY GQVN1JmEEufhrydKCeAtHbrzROKXsixu7kOsziukMh/L3WfJgS17fg5DfdM1grMDhWwjSdB6YmvF M+LiL0fxg1s4kkwAunsgkyOMdLs40QnL5ZApXMm3sZapp3584PIHFKJYPfQsF4gPociUSfrUw/uy F3FDj1HQkvcNxfQ5npFNNDEJCdpu/nKTf3F5jQrWvU6h1EVB7gUItYZ1fNhwgroc2hEEB0iZj30g pd4uF8SjolLuX+x+J0qMRkOOFRfFajKRC7Mky2/EjaDtiZnYWIqytCJLZ2rbZsBMuPIanJqwA/0p Km1azQpIPDTHvVk/tFTjW+PTDkIM9KUEHH8NUQNISTbTYeiJ0IIRZZSXeM8ij2STPu3FKVvhmGR3 vXX5XkUuHemgzblpArbGIIbzwJHooQ4rfWfwkiiLXfylto+FZ4GLpgONkGPuRbHqmY4pImyhWQSR fAMs9L6QG0J2ICW7X8hLlSg4HmxTg0SK9AZYbjlUp0e3UVbUIUifv2fYtmAhLcNPGOPyXQbXRmVN +AEQvmuphtYbLSdrYkHtLX2TAzwoZPzolg1k8GY9G9BILidZ/fVrP60g07VZVnbvh+d8kG5Uc/If /nk9XZaL9XY0SVcrbAEL05gdYgw3W8sCp27T4r2xhJbhtMtZBEOL4RfW/hu5mzF6mu5MRdAoWSam 6F0Q76mgftX8a3Fddyxpor6Da2YmnTprYeCCGy4+RnGusX1BEaJw6uda89WQqDfEsVaY2u6PAspd b9qulHXIY2eiMtSpn4wjS67L5pxNbTcXBDk5qSU9NK9k3x//YdusTAxADKaT3+ePUngSfuAIxd4o uY1nK2rqUL0coHtwtu74kOo8D2PlmdU/k9Xplylb6ivmmEMIH62mFxvkOfgm4aFhzgyLSXp2lBFK F2mFiV1Jzzg/CSTsnRnNjG67zbE4HSc9xPhkZF+R39Aiq0+tFDvWQsr9VP99TdiCFFGo9HuFa/uA K/1/o2PMZ/RfgR/8PcdlWok6S84lhSawL78obhAcbZLX7hWkf/fd7ovjl0dttViy4/gkYvyOw/Ed Cj6gDZ4/HOfMq4ppqyPZ8rQWXqv1nm6BlUjWBgJWTyEc85roHG9teiRTnainSaSVgP+27boRSOqy t4OvOjvb1TmdftKH67nxQOIYA2OJPOt956IC2raBBp78lvsPnC/a7XBr/cEr5/pXaQN9W77oCe0T QDqduiGt3xWsxqLeqBlfMXYxv9B6njIVkSs5N3oO8pHdRPkdshbVmzI/hqHjYqq7cfrWk3oVTNVV ghgoEY+aofHI6Vxvu3ru8oCJQ9ELeWp/QYWLGFgsldhngj01VpmAQf4+KKbco8kp/d4WR05Ju89h 8sKYHDV5nL1esCfQhub3BVGcjkIIK9mgbl2uY7cYwJTl8zXlLCjlZ7tfx2Us7KfAr+SArNqXEWTe goStnhzVGf/+ZM7qCGa2giR6tTmhU3xfl8oSotQqy9erGy36MYXo+ifezSng0JSEOskOGkktV0LI IQPQpJkR+byYSBOJUmCqjxHpMkrs7oyKc2TAqtrCC0ryYf2URqzDBZeKuHcXnBeTD0YlsSpV18lW dit7AvzFeexE0VjlMBpLzJi48ZJe1CPWAsm2EtObfRFiPrFwVTB54okQ0iyd4leuHwBleOIlrOQa 6EAIUgKgSt5HEWWrMb9ce66CMVu8f/S7of71td8pCjEkQA8MsOU7iLfj940PlqF7ydVr7nKyeTg+ wQglFffl9vj3dcX02gdIuZtX+4H4VDLcoExhYMm+XddUF25o59iYGdxM9GPZ7KRybRJYG9wR8Iji T2ZCRSkkgqlRkxEjCmhIkfsbfqJ9MYKlDSxPw5yLOpsPGSXoH8EEFHg/BdXdchyapC7Iluykb9t4 GYAGGpe0uZeWGeLfmPK04MZO+wDVWRR4sHHJlxe5COufaRedBqVb4ZDGO9ddbhQ56NJnAtBWzxo4 jMmWPkAo9CWxysuXKESlYAvHMuUMvdcSHpYnk3b9pujqmAa8/vbNVEK5LWWy8ao5BM9yyDbyrk4O un90pE1voyqiQvgcNkPodJuo+FR6tWt/bvQzep0OPBiZIy85hmiAWb6WEe+AV0f1esig9k8mkuQd E+p2aaGcrzZgZudIZEGJm103xl69MfVjh69k5jf3GtC7QAh9hn8lEBPOt0s4ZGcMFKZqoBCjI6cv QZ3az6hDxkiRRlU34b2MOY8d57Yq+OVhW363g6R7EdTVc4GRm919uDnFdkdFllAaXdgR7ncXIRPu aEd+U+ZwrxjIW1mlvxNfkqH2rma+AvF065zOXw4KxKjyrFefQgG4TGSrN4A6Qhr05JbEad6DUGh6 WdLzlQXzx9wMX47MCRnPMu+WMPlppoQXKEw/+wFLqh1H/oXBfewKb1D6R31jODfTteX9BoY9oB7R 2q4k4JrNfxraXXRx0Ifv7JxrtCWxUabdJisHAapN6DkR3J6uYhvOmsaFHtICG5RVijiDm7VHmOHT W8gG4ubIqDPdjy/Bq9+I+3nxIzRJizc7ho4MM+SRztjqBk56XpzCWQzxewe8TPpr2+6o+5fKNSTa yzvO6CSzSTroA7ZIO23XUsSTUQSu6sN0ayggYuzwd43L1MZ1qMvJfyZ9+omd1V+OkX0RSh7ZwTOn jwoOmJUsbIQYHwLRNSntMPpnCacO3x2koVQjMszxXBQ5AKroJwBgrdE4CkfE35BGrM1mPv7wgTpG sCQsIqilkPmpklatiPtVjDz8l5HOZRWV8/CFGC25ksWHXAuApJX+y/RHeh1DC6w68i3p7XywleML Ty7K+Nttnd+cUPBfzpX5kzkcz1joJMi2ii03UDEBerZ2HiuiFY9KWuUA7EkKkg0l7R34IhD13uAZ vApPHg+vrAc/Y98h2WeWITMa0Z6y/5zz9Bh6gC0Sq/FMAF4EP/cstma9qRxdfEnFU8NN+VErZ+HW Ou4QhxEHm05wV+5zmSOP9k3hshXvvmLLrHzbd2w3bdxqb+/UnQbaAUsN5MUE1gMbA3IAxttuH5DC 4mbbvPMm5kONAw3UtZdfc0pKu3wNulQnjfnLloGIICLySgXivZ7eKRqgNWG4t1Svaf85QSoMqvGS BRJX8SH976hRO+IhIymPkKM72G1cAe5uXv4pTVfeoylHt5VZfav0JLgGfFRtPMDcAlmtE8X/izwA CXtDhC+C1SfetlTzlwKmOHuw0JgZv7L/NmBV8uSc7oa/XtwhY8eJY8d7XcLXEJD2Ju/hvxngHl/S 0EDvdC09S/wC4wWQf3AQoVcwUGxaLyh9kxHpE5d09sdSRrIKDdfziPhPW4NyQ40AWSlEoodrntgH Rh6twN/umLVruT6EFFGTPTEVFHMtLt+s+CtRzvLVq3BHrjxcuFQdndcbJQIbH0yxvMuGXcppaO6X oXC5umvTrl26llVmI4IL1H8E1TXF/FleSqrmtluLd4sP1j78SR6bkQwQFcb3kXPmVyecd0whucr5 HbXRiUQB4fW54nH5VzJIQrPqMbzXf6tkO1GgTgHSczzP/M7m2C1hhDmpVqK/izKldjdW2eDYB0yq YiFHcUJF+/3MFe/3pfQ0CbWRIk4+LceY/mGmwoksdqujoF/xVbtLilzRgRjtayCNzY5e4g0OFd50 1vUpxRKQaMaGPzhNXMp7TNhLzRRb2apNau/dJVCewTRPWQZIpepAxTx1F7rp/Xf3MGlBE1cvu5FG L1CxXjWPcypAswVMi/diwX/+G6d1KstrSuUg+m3NDASLx5R4PURrbSaEkLoPpu7vHJM5dNJeOH0S jvA7Euc5YslSlaVagEshnI2leBgwvSVYFyXPibk586bQV4w0rMV0a+K/Gnk4KF/wni2y11Ib0PQJ aiPbNgww28wr0j9ZI8LM+f9iyE0QvxEsorwJcOe31lXFJBywC0mVFEmZRBNP8K5X+aXNb7bobS7s BJqzBZMDdg/2KBkRTSlniyI8acDWHI5xNbzWOm/EGx8xi4uqEdiTURu0bMMXCdM2xLZMOgznEl8S WuOS4skRTYKzCBi6CHpJoZdZrSmt3jr6s27TF6eO/LZgdZEYFMZAr4FLph4aptP3wZqCsrwHaTfY VlpmmKyGFuAdjSz6+Guoz5zZzP+kNmu8S5HVS5yC49AbKh/vq3b5CB/TkjYwqxdU4dQt+lmCNb43 8n1ZFv51H2TjEZS5te14/uMxK9b4/NbFVO2Tjq8NTuuYFaOFDwhCCYx2XMcpoyoWEliO+yL+dmez 5KLrSkLJWxQpsFl/p73yX/p+Dx972cRJEp+ip1403aevuuTDi9C15pquUHMc+Wh/oH5fTtpHN8ag xye9PqdR/oI+wWVWANPaESQlNpFw+2ljGSX+2ealbRKsNv5EVSlpA5ftfcBTHEL2f5OeJOP8iXWS pTNyxd346/aCUnvDr+c/ET/TfwoQ5Cn3WJpwwyE2bqKGO6DyjgMCXYe+Cgfcf4WOFEZSHZKNMBq5 b7KS8xJgOwg/pKI793jPrklFFvDSdAuhSZ9RRzFuDrG2qjgep8kFRcEyXjkjcMDmvv7pWSGZn814 Otdavu5Dt+ma67q0Qx86JEvb0kFKyo9xbDXow34ZtCMA8jxmngdq6m/ocjMzMm+XgsELGjK2BrqD Otvq0auaHyK84PoeC5Vt5YbvRSbQF+kO+qa+3eKyfnH33AzasAjV40ZVtAnzORroQkoBs3tlLrT/ hiYY5eoOlCtI6gOhAPxxJvY53Bj/FZnS3JV25eGZlDIYYJEEQUrofYtb0YHuM1lijoCJUqp+cLVA 8/JnaY6H4LgomYxzR6M190mmIyuHBFs9yMNQChw1RxwD7kpuNg7gJWxliCrjRIGaH7JXTUGy2Yv4 gV2Pf+M2WplecPDRvHjvXuEYiENc1thFkJCVkTJDdQq7qtERGdNStXzPLnvWM2SJoaLg4NBZNXFK phUPAjw+MtwX/J9ApxsbpCDip49vR7aoZ84a+GfKgsPUDc8eztyvsPR2kjClBMO0sOeVdMO0KgsB 7Zmd1XVBLgjaHx1L9nfc5uJ6g7y9Npf+bPGYgzuVZluR0grQHZSYAEU+qeh91HRDn3Ty9xKZTMIz 3jHUbE35TTt1L78w6G1Z9OGxrS8nIjhFQsKqb1hJhzbCaTrvxjmtG/AcEHlbVPUUMNsC0n7htrtN cPrWCmul8sdRDXMzejGXhWZXvOVkbWC+ecgOYAFW/20fVKav/A0cwtMOfJuDVFFYOWGS/BX5DxRL XWiURvQPP1fUVQUXdci47KsWVpXiup7/c2uaUJLDo28xByJ/yu4FN4u4VqoI5OWmub20ZIpm0kP+ eAtsHwZpf1LvdTuEKRuqVPUHF+vejfAu6dV8vZ3vikecSlYG92BpbHc4X/yASH9CeT5aykPgcXjq dHupee1QDMNXtnrQkXh7UUd8bH+eGYRTUvFVWsbpliih54pJVTxVjfeqfu8kppLqwTCpcPh7FTZT Tb9FuLKdAAqsvyu0GxwCjTw5Yw92E0z5oonmocAZXB1UFXjIx4FgZgqUn1i8+qI7DrCFSSpG74pu AiiaVUML+2H8PifzLBu3qi89W0o3/07e0apcCg432eBGj/4Nm5oUIub0IsiuYQwvr6gqgjDjp2sg joeO6wfO+sG3qN2ZWoz3UQMU6OuAZijbFHiMmSn65BcgIhEDh2+xwbBMV7i1qEVSKJt4oigoShLo T+Npu6+PmA2tZH0pVr6HEX+ik5LgfTpMHXlSsZonn2Am7lqh2Eet/6SFJPJB/xXAJt6gnUMXL5cM UWlA+XRden5ipnWnGsg2PpUktVDwG9bWo1Dl+pdF4J7UUHFFEPzdCF/MYSE4h0yBJt/ZkaqUD4CW XhvPaAL9K3chXZtpO50ZgF6EWtJl/uT39gqDjjW/upfAkT7YG1A9Q88zBGDzGolUNXd1SV6vL/8o /ns/JvH58nRhRE5WUPJya0fizblMtaoH1SpEiU7IHu3G5SP7EGyBh0AU6NIKwmHnGpv0aSvbFKE+ w5GS1P1kqdPH7nYyT/2p/TYYzt3zObbRFvnByqhTXV+qATzlSfK6G0RqX/oYb7DcR2sT0hlaGvBs /HAhRbHJ2tsl9EjF0Ygwj+ZODqDBJbxW3yHPQs3/CehXrXs/lGFhrAo88bpvVlQzexI8BWNPkROZ cLAeCYuSuGXjNp4SB9LQirWRjx0Tue4YBIjwV2ffWlk8J0MikH0WUNLQmtPQCLB4IpxIwnRcfJtQ fD0zpJb7p+HW0hLTqZR0u+gbpC/Ar09DhqOO6aetQJoTdtQoUMlu7vrBtoyvsc+kMOesqsOGYYDV JnU2hPClnVxARDkESiYZBlWO5na13V6GaMc7RMVr2PN/F0+BlthJW3Vs0zj8RxloYRQ+M4plfsM5 ZM2jztXxG36/ZRYKkLbatJ+27B+FW3GHNk9VwS4h/UI4xKeay8utENpAkrexnfw+MI1MUNgSZv5q JerzQNGid9zHjAC0aGz9v+IZwT7lHtrqjOHUumZkBVU5T9leCSm1NqPcxdiFPXXxLtoKZ+NBkXya LBeSSjTnPlAGK/22ZgI4vZRbOXrZWwk96mlhmVLua/MIMR0/LAzW4EHdDfg8TlGMIehaWdN7ab8+ Zb+E1HKlLXW3LpebsoNKtXQNgNXzzOG/OJe4zKlHgANtx08guiT2ClwcQZaleGL9sJp35aMriD6C wu1/MfN9317nVu3/1PNOt8gPV5UT5clPlsDsM/6Hd9Ms1ST6ZXzFHsmP8MBkeVWC106ubioI0zJk jwjdJN//1YR9quOYooAvgHti1x0YmPmhyXieZEfHtn3iHiKHMbUUEeFtno3XQo/kVXI+srfxdFy+ zRQa7yvnNxiPDkOF1mpHHATDPDy+sT5jL5S5mTIMtDzaap40mOzW4VV/PSGD0zBfstY/l127NzMU oM4RpfTuOw+xyvW6Wb0ltpZyTX/dz2kvaqJqTgMLGcaIn0ty6gFKXVmINooI5rUhbYGf5VQn4tJw CqIJvF0Ri3YrQi/sU0fjEE4SQsCEpTZ6nKnFtwIM5KWqMvXu0B7GNUWfjT9Rhwx/6YQN2MHF/tEw tQ8KzJhRuhOSiYCat2+1uIHSEF8G1w+2tWWnqD5UUXz7+b4YvvPn0gG2flCrrhOVgbP9ocIXoDv9 C4I6INGcF9KTX5i9hgpIe8lj2xaAigkomVgIFHnxdWICa3uwLRcj2ZD/C+hfbCtnJmg6dTOiDvG7 P+3HUuj/7QlnJ0zMlSK159F1fbnecN3KUJ8cbMxgR8wug037jyJAYLQaIl2b0abMIdjlLwfrShnP Nw7xUm3rjULqUqEcNfhGN+75YKNxyMgZF9x6o14lerJTPackrElyFX/lHn2JlJWIlGhj45JwyK59 1ND7Rb5V9JircMzVPR51BlrkYa06mpbwGuRD40jgItd+5NNaZkRU9GygMj522gm6yKVQjQKYPsae qTxP96QdVKVa9Fhhie+kYo3q84eqq4oDIhVyicoHUKkVVrnlY8wzhn5eCMuO6wg02eA31TcVc63g V37wNHlNNlZFDE7k6e0I8g94mZCEBSOhONt5Zc/FHrPpCaDZa433fWAFCcPChm2LpHmveUtBKxc5 dNNnUDAxs1ttOTzaIBwpI1zzjg0DYudM/c/XFE3hfExXDYR3WvTAoJFrsW/zIvGk4WmLTuXIsBG3 kweT3MlyM/Kaas3nOTq1MwwsJAKjt/h63TMd7yS1mKMmmDurh2HpUG1yVYTilaCaXOsZAyvakiYm gQCTBWGlR7dhyAbKSvYgx0lnYTcBQNncKpCZiB4kF3+MoecCZ1TqWG9aVDG7rB8g2XutbZiNpEhe +WM3JSwbnY9NTc+zPD4f+95njkBMOpBJHpnc/dvrP9kl9MvOeEWPccXH9Mww8z4o4bGqPuuCwJAz 7hSh+gBJTIdzFmAZgUmaOa3yV/ugjTU0r35gzXJcwFSD/ZTJLXTSEna8ZXkVDV8TFNPeN0ixfPyG NhS/NBpEpym5tww05I31QRSw0rd/xnrNWKc9pgyDBl2hCFbGV5fMa2VBTdL4PU4zhbs7dgwEslIe 1fwNKlNfNXOtPsL24Z3zAhlzWW9/KPrt20/BaEnySyceBzQP7w9Xdt/cRBI7XRfJ16fni0zjixYE Xp22Ejdy6PLJoz10akKGLGRyVE4zFeXDu1yDLgKWqi4VMnrJiVEIEy/7OVupC/xwgE7ltQtpG0Ax 7FeHxSqIv+g6mz22rLXodCRntsurksnPMdz/m0V2F8ipchVNeAmAlY4GtZ9PvBdDA1wwf2pjrPAF TAD1MbGlFRhPxo9xkdnFiG1GmvuTRFuolXrUaDdrxSltCey2ZLHKPrxgaGQjnqPolzIaWO89RERN pZp5nwBdlfuN1haA4yVjovwwyCSJUUVBGpj91UrysoZfucWmO3uFqyoe6wmYzDVuqKnea/h90TJp jxVzhZc5ebQwlw5QP4gKKrk+OELbGNnRxtrI3swJW+fGhwKpiYwI/2jMbT1qAcbgHW9toW5baCye UHFRDQFpg2LThoSfL6M7eLnczVt7gTvRkRH36XUvbiSaKBCcXXTmjFSiQfaS+c94E/oRBKOvGjZA qKZ998i70Dv7H5B8GdnFfOaTVqxQXi0HG1Zk7m4n4s1BJsBwq60jVf73UxAYo4OudXelOYP1NOnH rtNLOtP6mAnKHjc+9M2+r2uH3zyi06NWDqLCZdjqPHC4YOLr5qFzqMd0r4WV8hLkD3C4jYRPj5fi N5RZfSz9GZPUfdFS3ztqBLqs9tlle8Q91hqbR1Zcsi/rvlYu/X9hnAumv3NiaDe7Q/dOcaviaTmj 1rkak2xhokoIl7PMXZr+AsBOmoXMUL0WbLifFVZTanTH2dpItsUQsKsHz3YiCcWMs/JSBpetgseJ yn6/RoXRtgTZ7F3zOewb3xZmJG9MiZaLQCzPDETf6cyy1e1EKGSbNOQ/gKNiEQDuGZSZHR3eL6iv ASIXuNNcPtaEBqPGXLZ04AvwZ7bieOFixi3J0Pe8yOuIMG/YXrXEgCwL9awKOGjUrOzW029PKl3b E1/MlpVEgufsy7KcwhgAkIHs4pfaUgq75BVOC1Ue64Qpq8AUQ6Z12qRCZSt/X61LzOixXPihvmmo PZdFIpE7rA8f+1LNHk63/RLdv3IKaa9REUJHsQ1zHFK2EP6KfkMKjFwOrRk1PfBDnuSQ599KkuHd PR4UcMuTHMlOVkDRs8vVoHQN9mcc0dWbcETmJgvzPzZaN+TLUXJyL3njH2xov69kwjSXH2WM9NmG Nwo4EVDmdXxpgApTBB2tjMxPVYYFSACsuA10wyIe3EE/8bKyYXMrIzkprRWPisQNOn5W6fC0F271 xA2IF5FFFtGNwjJZHn12dLcmbeWPoBPX6BvGRSpcxx2gqNxTcmtCIUDP6338JCPUt0zFg45+cpG2 sYJ7q8B0i+Tr7KPZD8auHhJmrHDiN5QOPIOvUSVdF/Kb0jD+7+W1BQ+sLzzC85Qv0VHqVc5HCKDw A+clhuqijRGY9LtDoNOrnVJio4Sce0PLG6J2kExJcKVACpYH2yZXDXvXQFh9NCSUavQ3aL1QwY9G N3YkLTbkptsfq114FGPSJH1t/B44MgSTA8ob06p12MRh7ewzkOAyjcdbtFZDjP48P81u2VBbqsSa 8SADxq7mBDuAWmSfO6aXDuv9gmMBLCrQBMDK32ZUG3dkHAf4efAPNE+Vfiy2OpjKeIHDBTSthpvD YmUaj4oSvFibbNG5RAPLpoDoJ44QlSdCJXtUoAitoQdNlvHFhfJS33Uy90rHHBsCJJ+Bwm+VY/+6 03i7gpxqkH/1nsH31CcM1Mxa5iZ+wSTnUHCRuum0YWPOKtonnmY3SgP1oM7DyyYz/m7kjMcJOyu2 elqX/lyTl02NqHa1xDc72YPt87ObHMH7j1r6VUZvqoJiFyq7D1ubmHL42792Ga/D5Hv7MwszBnqi 1r+ZG8vjcMJqDtEdMu4dUax0C7TNQCCnHOiOjBDEJ8dCg2aUz0RIuVu38rwnU4xgUPWmRie2D7Hp MHpGv6dvDn+Y1BfkicGbIm0k1Jt6qr3YuyAgjGCvNM2I8HMk6k3fI0NSDY9sCbUboklu27BP/VnU K6Jgjp3nvJxxYargxmb25LeXAg/Cr7EKTD828rHgK4kzJJOMiAJXCmh+wx5NOwy5E+2yz0U4mkLX lt/gCvfujKAPPU8f8ZGt10/Jffw4g5GOqvE43Z3Zpx74aB/H5s1NGrmuWt65wyOFJWsYbmZkFoRR +jeUnl6xDbFmxGY9dHRbJ2d43ahuXkyv/E1gPPNYQnPWrl9cPbD7lJdzUqwC0Yj3tFaz8okE3BA3 Dq4EuoleX072TwJZNlSa8trOEvHZ9hBziVzP7/02Tv1tLcdiKH1V4NGjkMOpmJUpWsKU1kHkFtsT 8SVFcuBiG1+CVkNem9wKRj2msFj/zef1+KCjsDxjWFYE53Y1B25D6lXA4KBf5xE/kJ4mMfWzSy8T bzvwxYoiC9pkvFLlvorA7TCPZqq7s51NY3HwVH0HDps01vVBNbEK9+q1x9sTdX9pwqe1SdDvBu1O xSaNIaSHaE9Raqd2qUGZk9IKFWNaAwW4vGNS3NwS0S8YQC6vLyErYsX4JHHjz/+H0x7/3zOHrTZV l/XxxGmLlur/bG3hJvfJSvNurp7X5xUFmbuXYoUIDbD5POnmtvUOQDsIcNp29AIt7o1qovCuCEt1 tYcX8dWFTR4ps9JWRjE97DFsO8iqxEOo6Eh1SwvXAq6Rjq8U5x8oHPZSBfGtmItSrtFEt/F+G/aK X/VZ/gkTppvDtLniJHOI8P8Qflz5OcjJ1DrwmkeoykPmPJQfZ7rlsgrhz0gHK7VGxKW09JA/ROKt JwS3p4ZOW+zERz+uJTuDgd5uTn2vHB1HqoZbZPbpkXis8JcWOmCyfl7Ybozb7jQWlmTqyMqADXUo Q6P7Bt/8fhYGZd31dN2S8ms8dVW0UGsh+7tby3KDXGRpwRAQx7ZVHXbd+1DkuOzEho7+7lSumf5/ TSxmKZGBmUCxXri8ZUggwmuvc3oyYQ7KOqLIvVv7O6eejSMYVFl/8FEQdI7NQ9edZd2qKCAzRGW6 dslgzlEn+sLSkGrZTeJDfy1joj4ZLtjBnidN1kYi4si96WfXmG7jHbvOrSomhln6jcF5voN16fQb IqRNa6z9S0/YjprKlHiJdKcf/QXF99IHK1b/ueoCFYk00I/8xLQ8P0py4DcTJIBDQ3kGq4xnK7sa xbnIzQvHOXQc6WUiElE0cEwIVotr/f7L9co7II8gIMGTIfSi5D871B4YYjVNDkpLfelPnTkNZX+N bIHI1yBNOctsalTZXMvv+zNp8SSmttMuNWptMwN0x4ys87WnqSnX4DIcZmW5YEWagwLr/hySkIeH i8Rj6WkuUxQupzDMND99UAcgn65+wZ81uEQyhxfkOufRkij5Htc2CxdVPhIixAS9xGX36oPi6xpY aLIuPxhSfz0QM85CXLb1ChU725s5RbSq53gyHGQ1NNHc72BEA4LhImMZr5tjY4Yq3xldl1Rmc5kW oxfSB044ah/08gsjd0CAHiiLGQhoj1sxg3GKx5doQlHJUa+raQPF5Vb02ecDlZa8AN5q1bzFwcLT mq8owxtXx8VahGaIZ4ZzBWPLb14t2gjtDUOEGFydoSHiMdbxhaNA80NAiCVrOTOLC/pdtQ+t7U0x ATXSAaIfSfsMbMH7pIt6cJcG5oOQkN4BwGwUkrxBg6xbgqpXX7nTj4P83fWHUqdcOuHoUHJ7HPCT T74w6ebZFlVyY6JZaFy5JHWX1qfnKR9aRznZTOD5Od09PTByaA4SD5n+3RxBGnhBlSNt4NpSEQc7 s0mWyiekYqvr4Gt9kjV/bnp3ufFJjB+ccDWu50nr4TkIoC6oo3gpA9GB2PKm0qzDfIVIV1Q3rgi7 Z3GMvwCmeJEp6e2FGMdVdt3WHlPgCIscURGPxRXM6Fwq0Mk1bkDNVH5MEuqKZBY/1KUkT2/Rf+Q8 XQsu0mgyd3/Dx3ini33rP7p5pY0lJyMRfaW8HmwknPjXkwxSAyH/yYZeTBsnaC5Ch5XW+zG/gQHG W4mcaJczZEK5YnZ7YN5H961c+G35qP+gDxHlcY2dtZAONWuKIsDDJ4+i6VVk9IcDE7IX5OW4sirX AJUSEXOKCArE89VBh95zaSFxy1NQe2y/ag+fJdlrNg2CUKgsIIPN5zJ/Zl7nttZ/vuVMWd0kplqk po0DrGEPlvZzlr4fjXejF5frNA7Ur8et2rlfPqqL8N2zX+iXpMR/f/u/uNDtCph2I03fKJOUR2SK fbKQVjYOBy4zMrLOokM01N+/F3nowTJBTd7y4R+j33BbH+H79dY5fJ9idVCLMfQJgCgwAJYiDZaK WMvOgoJ68bHFA7sy7outKlJrFcg1sHoTqogoA2ahpIBHKT0f6KQTdnhpGSaqKhvxVMAHq2kRZW7T lDtexLHhX46wxZCzxTdP+hC0AI9KfC3MPsoiYSitgwubyL0F2IA4kvhiY9KhSD9q8CFxt4iSucoo 64URPfqQBn4F2Rrrgys68QWvm4iPqRpayYnHswVw/OoJZI+hbVSoJgpPVGpQCiaF0UTjTTTcj6Jy Dcs7/EkvQ+jIgSh81AanlqfQ2PgU2kG1nSG0rkOsfjeJ0f8EyxoQMP3cqgZ3Vi3tXmThN3TBceQd rNt6CEttoc5/t+oWEY08RXHTHuY61y+JIe6/8DfIfuvXgmaKTTOX5lhhH0dGoGsccNqOtcJ917nF qkLRr9s4FeDj+jwWPqe+j3xs3W9K1TsQ5TFmZJ4XSdYWmb2ACKRggbUxoB6PoevC/UJtbo9ZOTbP pwD2bDn2I5dPYPJvO5hvx262DAGHm4XSkSbKIUl2VKWGUxUfiQ+bbkAy3IcXU1kJlHewKQbuiLdt ulNr3HhYLNrfFeCVeXhK9fUYZiUot7SVLHmLn6FtvGl6BhhZ46ii7QCtOiGszsO0G20F+yUwSYAV nPhRNge6aLjQyH1ZiJymlryfZQNdvfoYYezaw7q6TAhUvB1afjrSOfnR6BemeJ+AtoglWxvwbivc PTvb+/1doYnhhgCSwqKqw6bzUpqli/Ds68vCd0wvEvQYDMK4KMXUVBpqy1O21hfqbTfEorQkIC1g koUcCzU+QLPcMOpzFxtgG9qLgtrcr/991A4aicLsMAHiRzl8cL/IANV1ROeGVvT1v+BA9gobkTz3 VDEUglJS6wx78HIh6vj8ApYELADzmJW5rDEZqjPOro79qv8THZbg4iV7NFxL8AL7ytFlmUYrdsFX 53zNqSc1mKb3uaaBgp96deTMWVzmSq0/IjWjUPjx7944V8RL9NnVY/+S6x3R4gWQvCUZaMTxTW+h /e7PIG4PBaZCd3znqjwC+MohYwiU59j0XJ4+Nl9tsVhN+o/iCLRHbpzJczRb1EAWp52rnyGlu/3n A6sGqSK2XBg2iuKqgmDCOy6wqKmYXjGGFqYGBTVPncdl5uwJeiYvQo09R39Y/Py8FcOGgmeB9bqT rSKVx2Zo9JoT0YGofcv56V5E4Jo1I3Tj6Sts6tDckPzzpEoyyUq9XLByS5KCUcZF7j4JkPyWLa0H o8/ne3ynmQZlhTRRtkbsauIApWJT4FZiDrzEwMvvCkts0OQfmIIKX0N1OCxjc6UQXNXReMOqn+dC PHmnv6vRVfmXvInDJ4smvyCxKkPM5jl7308QV33zN2rCqFLWumEJgpNgykuEuIh+GubD52G70R/P 31kShs2XWws8W+GYz7iIwptCCkaIq9eHuCK2KTEUsDv8DeRBOCWVFd/dtyoqHy+Qk4RXHFffaO4R UeTZic+ghMUTw4T3dh23BCtbJQYTOKc331Z7gw3AO85jadrE5fngrDL+F2mvLOnlZ+OIqYgvgONy cD5eUEJh23EFk97XbZW49zmCswgGD4Qkz0NoAchIHwGM500x+eephCurEbyf4KyqIugWi8v/CYDI BW8JmBJh2QHm8vcBhr5E2ZcOAwFxxUNqX8XK0PxQd6iyGjVUc4GNpx3V5zMIj58gfoZoMExRCTTy mLLgB7m0XW9611kxKN+R38CO/uVc7M10lvXEafwYgOIt+K/IkXqR1gWytKqF558SOntvFccnQExn Tk2YH4uWY8F1ImWmtmCvh/byZM85i2jGju4HEOhMlAf0WbaUoTp2XwNywq8FP1NMZAX2MEqBJUzT dzx4j57x6mBv1/udviGRqyzAEC1Ocz4lFPIHHz0aPPf5QgEvn8yxqpcqHPnoyJ0SyNU6v0vLfe06 XTS+FKlE9Z18uoqlADA0uSnb5PCLoNoJS935cCwdQ1Ut843hJEEBdq1xlFR2fJdkvuLDLBZ5bA8Z VloSWpoUBEELcOXcKCca2bA+TRDXf3gj1/xX5jyIpUb3wp0Gh60U+LQp6lawpFiXbr5hBiTn6+yH jG5ivoVg50xr3zoWzpHBsPpchKoBA79pRwBCu/aHzx9ozCDqXN/VSKcsRv7M7rY46c8sloz/fGES n670gBJV7+QGQvmTEN403I7+oRSZqFMkbCX3XnDsZhkDOcGnAwaL1Q1JyVntqXuyadPMCb9dX8zl bsCJxlGiJB7hwcD2MYP3eOS0/+lVMuEDFpbDd1T1XN0dPqb7UU75T3rE26XI+KivyD+NvTiPWWTi Uh6QvNJQUJ3kbe4lIKXCvCrzi5jxG+zVnJdB8VnIZlhwoh45Vzshrq3rB2+ZS27ExIck/8snuVzz Lv3M2h6uCOlgNfVcVkqamjJTaTvgdTMsKuZd5HMSrTAk98UcYRQs3C1+C1xdUkZyS6uljKFlc0w2 xcNO441f5gP8BR5WlOHHGIV/5Y3DOk97o/jKOh3Ju3HihfhSDy7fe2RSAIAO2919f6tKfCwynXno pMKpZjb9QZ23LsHOC5jAmKv1q9OQx2tcIdxmZP/7jFDieP0RzcnyUv6okwvs3q+zqDAQvIneEWsf 8i5UyUIVhZ3ijeSOiIRbxis0ACh5KQ7ChCuXm/MLufOvyPC0b5NreeGAKAUrlsxJMj2VHb97ZB72 GsTnyJxRK0O69XgaY+HzvxsDgQ94ohXFe4LKiZEbkHSNYrALdRqSCBsoKygTclbZ2C67TtBkh7G4 dx+qiV7MZ1yEZ/h2yjIVb5BQUfX1UyDFDwIeCovCmbCjkkfUq1BMc7IhugUtTXr3DM7j0fT9VRI1 vOYo36YaSNgb0r50lcJxmiNw88oh+KYDjBpTME1uDOrrVvRPFIAnFeHB3BsixYA8fvlDBSEiREAY j+LWQlGnu7qllgYGQ9dJhzIoV1scoddbJuUBTYptHAPq/XbhGGuPb9i/zJWWUr3BExQv0lXlqjZX TyRjPCjP8ihbWUa5hupKxqZFp+zaaU6+llgyMaGQQ2UmWskCtI4Xzakxnj4A8qdPgHj5QLYTVpvk f8XNM39ywkdkSwWxKqfewg3tk2MNn7xrAc1I6moq7RHffst9qf3YvjVn8AfwmmjewlKoiN1GA/wA ht//352GTmwZHePtTTOu8VrQQlRL2f+izLJdpKGsAQ59MM9Iifs/iWPLjR6zW1FzPc+DWNdpfRWV fRCtvl7Q6ji6lAIXzAI09rFxfbEXnX+SIy0TERWTi/uZ2WKFZZtl0SZCmnsHGKFhOtPgLbpCSFRQ /mp/w5hQlhvq0LgR0Y/xgCOdNkBvYp7BxTq9avs+xZ4YhasDFbLSQOLEtP28een8GfgVnZOpHsHc B0hQKHN7fsXPxrP+W0X/wB5K5wbb6ZOO/jZr8weg4PeCSbyn6LnZgfc1PEZggKfOtN+5Vo868nIc 65RvriD9XZqVyk25+vCWCOmJaOF9/i3PgtBlp75gp7QQnhcwruGP3gxb+Kk9cgkZ1I+anKMJIPJe t3HUh9FBnaK5FgVGaCGSCIERCgNVrEscaOK6j/DWLzQkpjkkustSY0RjAABhDA/8G+i29+vIsI8L HeaMiq2uGFi0UbPFwxSLMrguLAsBgqcKuZadYIheD/y2VwSZ1/+++1w6c2Guk8rx39gQXXkSkzHN yLPEZipofk0PX1+Cnqhjwb0+s3ocV3JFBdFxcemp81o8+uk2vZysYPdBhBRMVI+5WkkijICt8vCC nHt+ZyB8XuKa7yWL7k78SzXdlMpg1pRncpndnSdgnKWeUcgFbd8HEbtMz5erfCMwB5WAVBZ1Qrfx 6QFvtxonJvxi4hMChNvczUvQNeCpSC+un8AIoXa4tW5xCoZaKE5qYl/fUVOPqXzFvYTahzLdFwsX qOG+RsPi1FofuH77Tv3HuhKxW51iUP//bcmCWrYaweWdvvtuTQl1lo/jsSpSmcRJLLoYnI3/0STx 9FQw1/nTR018/x2W15Q+LR0b1TjrmxIVoMa+GlLIZpPLMR9r90E/6/Kv7qCqyzi4Ml4IFd/N1N9g 8l0QfxJ+dfYAm4cS9YwnKNGmTDCcu79KLcyJpLNDZqXNS8FHTMhOltu8nuoAKZXK4bwBYpYtVDsU pNmd7nhE9tl2SyPyNTV8w/Y2nNcP0LFXet9veBC2amwzawr+wxH9U6WKexAJbb/hGAqsy/rToOcL E1LPF4W038913dX04maFx9JFyuXmvQvLkznfcylGjK2tig4yl02pdnBO6aED+cbG2HCSpSX95l0F pcyFx2yqirdqrvG6agSenfSe8GGNM2w6/8bSiDevwMBx5r5I6OQ2AqePUS10Fve4NTylBlQnKRZC 9DPQYEPtjjdm8CrzuI05fxMf21Yvme7CFf+ru+QgnpHuMkBGPNfPovFTXVxwFEfnruzno3lvO6dm LgNuaAOz/tNkX/26VH6p7X9BOLQCk8OrFjn4PANHRN4KVLT+S3WZKiCkr9PexSxmplE8CGSsT7YY c5XPPfRtoFRhUe0x4RYxmbwwBp7FVZFwXC6RJLVs8AjrpCyaOn5ugTUEe1YwmYWaqQWsdxm5HvtN DjP2Z/mhCL6jktPbx5wksVkmT852wy+nMHjGq6q7HNGhnGK3atWVESOy1qFNSr8tSL3oljJSPoO0 r52rlCDWBC5gTI/q48oTFUHbDBaSiPohq6K5xsH6sGC9yYteGASW0cMccGzr9KoCP1ptc03olh+G ayiMry2/uzhsPv/lsEHz19DRRS6RrxAygk3hd4H5b+iUA1yD1HkGDGCMDtDILkigP0XiyCJvtCea guZPnZJgTm8iWat3yKwP89RsmXt4KLG1zYU4hH+MVwI7r/snIomIpGqnyQyECqY0a5YeMBaXPNrh 6KCfuv2YGC13ZaRGHBiatOZGlYjdK4jaC36Xz2n0E/nbLyj4gKXSlS/GF0oHi0cqVfFobJtMoJUS WAj3g0ZCtKARs9OKKR8NdHGLvBLcPdwIiVWa7nCpjwQljHLqNzlWdA5d+YR/1gIhVBDDz2cdHreU A8XQIRCLY/KpiUhPQQsdaNbzcQdGNCIql8Yl1s/9UxhzNLpltv84wNA7CpQ2fJ8Bc20pRpU9OwB6 udvcTThV4pKvLd7OF/WO9+Tw6GCschvkfOfLKEA43eTJ8mm1hyVIuR/3p40pyBPX/KF4Dm6aCYwp fm6aHwzxmMlkvI1AgQoqwzO/X4uU06K/yVNWtfL0H1nCsl+44kERKsskYTZIlxdpvUnnwpb70cT6 ItgvsRB4+0SyMGp541Wm9y+au8Lb8nzKIE5X/fVaDAFfLRmh7OIAheTcF5SNJYcpP0XBsI+sLeKD T+3EnRcaWYKaSyRn+oJk0YCB1eQFyqmZHNGG9oHNGh++p90p+JdW6OMM/ZVTlj5UiyN83ORxZEz4 HcQmqWdcnBip0U3B5Dk6sBaKf8ekgdTa9QurSyAPXuVwAyQzoqNhtikuw5LhwQ9Aq9W00Yy/2+rd PbTAhcD0VBBX2smU/NvaaZR7x7yhfFDuqEk7kKVPgAxKYZsyU6q3gc3q+cuXZ6aIxONRYcmfynWe o6J0GEDRaDKvDmAwPR5cX0o3QP/KcfpxIicf3d9jmaGvYMY2+YXq4+fWcAkFhtySR1vnPa4ccnan VCCfZSO43T7csID+XVdRtNy6iO82u9TtsrRgXhHk6IqOb7Lws8OGIcU6cgY8gPhD0ugRd9Yk5q+r zh7nlpn3DhEpZYiLtyhatK/5O7Yk/E3PefIoOVlQqaSYki6LMewE3GlP2qwhNGLhrTl/xoxjJyJ4 bJjWCi7enmG7OlF2ZoASMRa59N/2ZLLnggy6Ag3B7x8aI3N0A4xYFzxDPBtcde6+XjDXcJbn+A35 2UdugvzHebViyp45LcQiHuj/DkTu9VMvMeNB3a8v5Oud7sPej0i+mGq5pPPCC/iV2DT42+yom7Ga hnNWPZxYcE6d24UXm+kFZ5ZCPR5ZGqIU/g27F6ufFUJnrXYPoyrN7vdi3iN/DxPNXV2yQerYTBjW 240iFHFLoMi1LAWRvTdgUmyzRfJv3CLfPwJzC/6Jmy0a5zJ6nnYPwW59biwDwjJPAmZ25vuyQYME w+ehiH/UxsvGpgHoNetvZyAEBO4bt/GGhxk+ozJcsFHbUsBveI/dik2DK9pIkKjq3yl63w5+8bW0 Tog6BlgyTXVzpG+qR7gthL7rIbCB7E6jXldwQYa/l/qQIrAtOzc0AvwRMXnomyHahYyqG5retRS3 fP/JvOouogcb5Hzwr0qrUHBjT51Suw5khgILs49GAmzXgSsmjDeYVJGpVnCB5msl3krMzQLmxXhJ 0M91u58pT7NLAmgEuhnG8J6hpHBqkpSMQyX7Thgb627HhYh9Qko5ueDpKR01R14ZeCCBCoTdLdkS tJG7YsemgdxF3QtrNlIJUu7/m50NVeVavKuJFit73npEcvFDFDsFnVgpS/4eM9z0YYQxHCWcsDUO Aml1xarqDyRBoNOKAe8hyHMswcC8I6WpN/uyZaNQkDqlgTFvPZ0yZFQNZiS/tfOAfeJKB2thrgbX 5BedyUEaoGfO8YzKV8B27LR0DyRf5pwOuPcr7b2YT+TMLgst/8VpWES/6pp18d30ZNiOrmh6qvwC geTFpBbPBTCl5RvCquYite41Oj/JNnhT/6CCngIwInFGAsRA4XpmaFNZz30RLTPjjvv6hcMniU0l BCpdcGvmd3Ti4uWbmvWxMFzGH421HLl14awUt4jU0WtR5yfTbXiJrzCQYd07X0IhOWaL+giV2IGF J2HYA+Ik6UlyjGc7+MGFYvrKYAQh5IWJy1MPg8BeYDNphVT3lEpXIKaiuKQRGghsGkpRMOvQYXBF MRxkXY8aWXeRRixWKg9e+gs20RzDcyGEPu+cl29j3wtYM5YRS7A6urvFc+V6yvGWv5gvoJGgdvwg EY+ZgztSAfV4loRv5k1O7YW7jHFtFMxm69NohDqIRzpOUEWvlXoRODlbgJVvt3RqNjeuf4l5hjfQ YVSM1fIo6u093ZvBLH5w18HlzyUQT4bqJ1LLUmUq18B7MW9atZfu/zPyPIHzsSTd4Zxo81gEHvSg QmtaCvB6vbgrpF2wTaozhhgoE6B1DsOdYdQB6qYKChFvDathEXb4CzO18RTB3zJmHqS31ApQf67t k8RzHtQCdTmZEwQu7snWx8YV5xJX/GXZl4CK4WftPda3LAdJrzqT2Ux185OvL/RzFRWE5gg3jQIH TA6/zJelBZRXWlmI9XnQEVTo9uGzgjyNFtj9o6rR1yUER4jIYRfC9Z/v7aPiG3sjMXofi1WaJaVA RYC52g6YkSlqGCyx3b7Yb0Niume10MYY5c/mWeiIt0W9ASDI3v+Es7RgcFmruT3tEhMkTLrAX4DW gGjrtFxHJmR9b5cJ5oE4Bz/ug2CVT4EgwGFvSUfCbTVbcl2teHfiJGyC/icuIsOf1Rz29GccTF7F X8asrqWFCu5bs7QQoqvsVEkzgiW/VoGXw9RBLOyAIsG+B5BTLL5VHraQBa4s8ZuC1KpljeiGap1/ Jl8qvtrtR+OjsIc8+p5/2yzNFIQeBhiiAHbhAo/DBZOAupvN68kY+VsqTjzy+tPLz7oTMSlet37f R1R4930jARePfWoNAVyuD/R/Ka5s1oLWRp0pnvp5pGsI1r0Xp1uLmuWWXA++O8X+Bq2lpoGUrFgk eYHD+d6ze1HYU4ZdiGz5dDq/JOtJ6dw96eWzOkZKjaRnhhu90BMaYRxgG3iHhZqKQT25iGx523d2 Swm/+R2YgSvkAHiLyUK4Klvg2aMvqHlAkdTaDuwocPodDPgJk8R+Xd7DG9+Vdnz/fxB2OgMoS8Kz ykzNriw01VvB67JnBiOHz5cRZ1WlZeZ4KpQiKxQbox6gyeKZmUHYxf5Udwu+GOQZqyW1iWbO+8eT vqyh6w1l3qUTA7anJCOKYvOrWK/f+Njkar2eH5za3srK0qjO1rFlrt5qhrdsv+Cr7dBic4NG6iWX yKNkmGQFOzN23o1HwQEOpL6AIcCA2vN7D7rOhBfWHwy1hQ0dbPs9JElzgGBjLCD9pS9yV60NtPhJ RAoifOROcc45E82JUR3mbt49WhRL6wzB1FVE8M2Cl8K5KN9r+AwpIy12QRoIFfwG3wV0ZzZajvqo z1rFfA/TqSpjC1eKujHtEWC3Bfn/dfheYIJ8UaJnGn0U2evFJ6S1QHqyfX6P7ddfmaTH7dHgfeq/ KFOpNPQG54SMSx0K0HWXKRO/WLG0nyRP8+q7vZ8RI8TZVvUXdP1fEbWymo277cBP862B7PumcX5/ 7XF6qReaGD43o9nYtUlv8mjsBgsqtvnVYC+GCMWC78g2S5MgzmzA9upOPaBkPvIuMfaJWUk1cQjY n6QvpUBC4EZdDk/p6kR6sl/n3wDxLBhV8tzeeFJJQJwXIA1Tmi+R44KcQazN0qBo6XoyYEvQ179c HcYAlvA0Xf58M7Fzo4R7vU9C5OgAhZ2DSA+td1h9WoamQsQ07HEc1lKFEmUd0c1UlNFcAesl1tCQ 4MM+wltapi26B2/kdOBsCZVV4bqO6z/TPysN8BtVRgYqeghA53G11GMFRKVBFEevXR7Y8GSSlP6a DU1H/hI2kM2wuCyFUQgP2ZBsf7TAzjMLGDclycPa92GVJDtIHFJDwg5hZ7yaG7T94J4Z75ESPzEw TWual69wf8X5Jwco9w8qqfnY8vyTkYnwK3nnRVPMXYtT69lqxn2DP7VB+2GOC8buKa5U2RQzorDD jLEh1MdgCfTvZEhdR+475D+To54LzmzOwP34QsVxjD0io8gdguH43XxKsDj+2tn9aWVER3QACuDJ Gnstfs9GZChd63MBwtAp85AwORGhI9NMxBSTYlv8nn7gnZiWY5kQ95+Cyw4a3W4ycsXKdaHuRBAu xDQqxB8tZzVj/L4n2zbnvZUZdLYAlIWaPwTqGWQwig0lWgpbrrc0kufyGgs0Z0VQwD5RdhdasR2U GNkg/n8QoCKmg0ajBNAiI87fQDqRRid4y08pguFG3s0ZDnGTbwUKl0lF7EdzsAoHcIrj4bifrro5 CgSps3fVLaMCUqjnuJPCfaJaJwHuTJU72gR6WvQ0dhuabcTqYyIDLEOSuyTqcINAWsL0OC6Fmf5y tNw9man0SAAFNCmTBjXIdBQOWMNHfStWMkKX9phy2X/x6gfVi2i3lmjCJVmLwqvlb8dPjCltIbb7 NMTXAJBaM5Yy3rnQuO3tK/kbYhIGpyxHRPRlYarubmRKZnBAb7359dUOc2YbBLZk5u4tfKaCeeul +ebKQicgLWZ88bXIw0G/ntMD0jfEnI/SCeMCCWI8n4rHaSCRi15vUNsWHeER8KLGa5yFr+S4JlU4 m7wmQqOb4Jeo+UGKkGbduYK4UBM9Z4M6DHfa1X22kQk3XsbZV9VzBk4nvYcDjFyjawGmX02qfJRk uBGOt72sQ8Sp9y+JAJH/22ogXzMzcWtUccsVwoMvxjhEPU+PN0OhH0cSRJaFOJr4ukOBwHQ6o8uq q+AUgpehgi4hj4eJdSe/J0mjUy8TxDOogbSyrbtaWYBz7aPvwPsnHrZZSEn/n4h+D0WluMFPN0ff B9IY4A/twSEUkYEwM8rO1weqk0xQ8590+9ojp600TU3liDBY1OSwGlSvrsts9k5uJKxRrX4MX1l/ pJ3gdpUCLaobTlVuSVM8card8dxJw3FbTrlurDhk+kCx+9TIEhgXSsT8P76JQGTaiG2LCY3F3CLr ObK4GV6zLAVUTDqWdBmDa0cC8GrzXxGgoZqLnFWSU/OiJVZTMDCp1QvzDl5Wnu3CXsVcPM3y20hH APIO6iECth6yzC3iGcdwzwsmo+vd60GAeqicCZqzCQ1z9tsMkWGrGEpoXtgJ/UH7PBCSej4zJgbe 8KZJCBCmHoTSNTAXzBC8pP4e7HeBk+dPwTBsz6YFRk6y5K8t3XSEKQUuiLU2y6GAdmjGEgRPf+c1 BH3ovwHae7MF5iniYWGSEKtvynbbt3vG4d01WZ+2cmt7OrvisUmizKAoNy7e7ZIN8jV9hiXSeMas pbyEgqVcwO6TVBYXbe0CYNUAVaDjJ2I46PMCPgELGWQXbyLVF0JqPpvCIRtiQZ5AygNJmM2J3xMP v5njYOf8KlOnOrh2qsdAaRNGvSzbwk7Mm9muhD7wAnj63PRd3Cbs41sJAKKLAzm903geliBO8UdW dCXlYdCX7XKT+1TW1+LljkwFuhivsEKjiTo4vBi9hIWggAWJ8vPYBekSkC8LRU40r3SsXWBVAI7K kFpe64Oq2veHRzADr8OIDMKvTZMN8c49qYdYUHqGimfxAi1eBWofApi/iBKIZIXR6eIMOpsbkRYP 21bGlKgw+u2Vi5b6n5waRsj01TzMyr+9T2R1psximWd3aHv7ZQh7Z8wXW1vMiKImcdC87x1ePEHp Z/97tec9WwCo6pwuAtICQPnQRwL82QAMjWe9wKQR4YC+RfCxK9cO1EL/RCTWdvwPQDWpeh676uYt iOnJS+7ETMjz/hpPSUlXAhmA0D0y/b88FVPN6v+Ql1xWvKHLhfTSAAsSsShscKaEIou34WlE6c2k dWo5f4gqwDLQY5xm7ViN2oDqd0PqP7QHhOzlQVHKvvLVE/jg69iEs+MwJwvCsulXQUeZW7hkzIFP numoiVz6V4ETgdRSdX74XS1v2l+ECOejOo3MkJFUoVXpzxme5rbLuE21zq//c5OEe2SFrsOOxQ+h +ucnY7MRPaKnaJ9zXq0cqCILa5I743Z6R/aX+IMhVLyONHRyLM0I2I++zBXWbM2rHmDMPNVPF2+U SBpsmCeZifYksYniAqu1ai1mZ0sXcvOgNY7kbBomZNigbRCxfMTs8jQm+cfePFEqYkEb0/S3gGuI /BD/Any8XRyf0CQpyLh20dhxyLUSi955aEKDlJqIlf6zMGGPJGC2XMqPR1ElPtwwpoVJ2la1PfTA aQvBxrPZv7KhSkTVwdpba8o9yBknP3MCO1Nq9PGMhCVOtxLjAvVrjOTvsEPuRosw9mRDE43GNRBN FdZug6pHpOBvBdIsbhkgf2yxO3vGQu0JmMtgqEma3LLa/muzWn7Isp0vrdUHznTrAWGR45Z35uB8 kcEnK6EA/8DPaJtzjVoavkWx+nMmMb+015IDtBiLZwiV1Np75QKzuKit5ibvofsN5GP23DueCwvt hxv0faY7Udia/KlG7+/nzjlMT8kw80BgDWn9HRq1KxDdZleCIBLNOqDSqXhwQA1HEtqiopTKkM1R x7lgMDHTyldl0X7MQeDFPh7A5Fogj2TzYB44t9WkPSisl3ca5W1S8Rn/nq28UCP9jn3F121/XGKz HCV8bmYkeIUBmazPHgJzCNAzipCa2dkLEIefzNCLLmDTI7AMTTbGQPeEvmEPJYPxOmCa7O81f+w6 dej/PN7R//Tt2w/1nmVow45ptOtujDgfQYV3F3mhmE3g5JvcfGRsHrXZz14S01cAHiL/36ZonOci Z71EAGe/eukF5XIlv9vEze57AOtr29FBuHbEafkaKtd5WPP3VvIljHeqR4Z5HDfQiVSFQbZoWamo QlPUqoSNlDApdDYTvRcEpQrrmc67cbVPRCeSCOwGMmTbrYUMLsZB+S4/J5+n9jooYveXM8j3Fw3U bHa4N9S11iqVoptwhLMov9PKCLSTP27m6CGQQ6UB/PE/sXES9KcWWIkbD7BhsvVbL9XOqIPb927O Xi+1gSdVYtDdbUFN4g6dZnU9TizqJ36IRcl0r9f6bsiFwupGWbTlhjhnyD4aek7jeA/I0SXSLjyQ I4/2kU/DyD0Xn65WbpIUEVEn9YUiC1pxSK7vgVP1+Vg16xYrFnzBVHc/ifvL2kPliYc80gcUcoaE qRqwCrGwAufO9PBDk1mC2Hyd5AqlJb0Jg8AWVvFPyrQC7pvV2+NwkZSI2glW0FbBAz9k7lidnb1W 23mEAk4+YLA1hTkAaycRKHPVtjx+U0Luq5QkiN8FP00HlooRCRa7PXwLaPXJmfdEOTVgYtCGxpLG N43PgkP/9yPyVR2yDcknehNn/4z0lKflVDBSjwgEuGuJvrNwKJNEl/g0E/w1pOL5X/pqL8FqQhA4 lpRDRlav5Hoov32AL7nv2fFE7wTrXy97lu/ySExedorc4OEOU+88wX69RVpIhP9OXDFkqzk2o6E6 CimmYIq9HTgannMOc01bhi53QBhMiSrPKGjxhNr/KN18zRUdxqGZGOGx0+2j1WbObfdfejeNHSIu 8AN/4zoIKEMmVmEhLqJFXlaM5IpbtH2wiGGEfY5RmpxzyLXpSqXTFJqJDDRJ/ojgUXtR2A8bBHvG AXUWye7Uyk0OVmbfCN0z8Q9B62KF96nMWMsOJjHBP8dj2kXSpItVLSHU9VXk0LUxVOGyaJccry4L RWJUiWP6SjC09jqch8i1gAqzo130rcmkteCXT21GBZz6revg/94NJT4XAbS8ioX3nNP2+X+5PYIy 8kSiSI75yllVbtqr+8YNuq/ZS5T3ryhyWcViIdnwyYHJdKLD91zjh3bsQMqOOsBwvYhoNceizQ3p WT7Gf2EPnGs/Zgi4k/jSxBNNqT/H2ItuZOA+ZRw2rbOdeUGjpiUqNbcFvOxEL/O/AnmrBaNM1uUA nkI2UszTXhh6i8W0wZHLrH4CNUm0UUnqg24S8ADfll9OOn5xKBdVClkZfwpnf4vgN/bzwBpPVvJA XkIAMJQvlWkAe4zOwdvXuwd9HDX50XQaTrmb6SOK2dyA61iCuG8Q3uLM9yVZd96XsqpDiooN3GGs WFD9lzwTnunN39NbPEKYK8RxgJFz9CKdYaDYp2O4Eo5BorqiZh7iJly5+JrgU4A6NsjgBZAn3faO Tj5s+oI/UtJS2QbDtOfui8rh3LNrfIWe3yYnmEcL0Tt1u9+rNClxj4xOG9BmwnA4aguAb6VhG1Io 9rPeoSZvcy8KjeLdSMw3Sg5w6zSNStDc2v5XsawQgO3qfnRP+eyXlnLmgDf17rjEFYK/KZOhWP6E 274nkBsFgoEa5nSe/yKMrfElfxDGLCHq4BhsWq4Ogxjje/CSGVvgTzRIDR8r+3BNmxUOrCJ8xkNx bUD9CI1jT2LFj1nLLmRXW6/B9APZEFFUdIVh4/wUIhuCa+MNVyr5/XKLZb31KJtQz1jMRcAlujVu gjDCdpoxKMao98XxfZK9i2d3rAWRlMmQRHW61GlGz2GKQw0cnal2/7BkrTFEwfmEWHeW0qS0V5ZY dz41tvYfiBA+unM/B8hXzf6d3BhROzyzyvmz+9HDlUMpADH1dbkx6q/Am/BCSR6HO+MJg4wj3+cH G/wXNFjiRdO0c3mMZ43Tp9/FuS9ALIIXS5t6NFUW5wh1HOo9+j4I8+8dFJlMMAAoQy5DOiCqqb97 7WVL7p+4HrMwlvwf8P1Zs/JMJ58PpbNr1E09PI2xIFYP8wBuFbeR5N7xeJi8cqGrKcEaUvbaU4iM Uc2WRnnB+tBeiW2f6Dpd8Vo9hhDwhpQ3jfI94qvOaS5JREwOvc2D/fBFhV1RIXsYbFni6yrn4ZMA 0FBuozFcql72FdAlEajfrtszQoKqUH6t06NonphYj36dQWu4L/HKf2t2t+6Q0IFLQ7+Y9D9NrXQw tWW4uvjTyCkAlukTXVdL98aS1SJCyuf21A+rkuJ3Spt/0WmvVjTfUlSd8RTzBk9DRKNFcFzFV/qj PStzhXjt1fbMHTZ443hx2YyrQhoTqW08uwPh8Q76ZB8SLpUvLm32ZAx3BDUpNhqZVH9XX86sGYUY 5xlH7BgejNiAGbxbuPTzMKEYgdaHDCCUgHHmsu02uUzjZHEnPmz7gXBbIoMFcjALLAyo/vrGP41b wLgD6G1adoCkpJL73juGphYcv4IhmOdOG7qSE+iYjFmU7KWPLtCau07UC70XeJOtrNoJZr/v6BBs 85xVln5dOt1r6ni+lw2269r3+Jk4NYH3nGClkHJUr0B5lJJzFOnKkKCS8xG71lTDINnO/KP05Biv 1xiap0hD22y9QqhCD+IwxCd1O81qOOAoLhQoBovJtCJ7FIdCnXeaDUmNOA951yh0OOa0sNt3skSy b3PlMGXgP3ad+PqdcDsk54R4XsMt78CpPdQoUceNXDR6Ghg8NuL4IV1LYlnedvM8UjE3vtKX4s8Q 9JMx981O44aEphvC3+ufVjQgjyE/akrI5W7YCTP4Z7aT0oPe7MThfwsI5wKv7ljlT2UOz59/mLam V1dHbC5f3UjGf3my7E53Vrapd9FBvhCWcbdkQN/mo1JQONzqZbfWX4h51imCYnYkRISnapFdRz3X NZr/KF8R4o3kGHs3JuyuvVUNBiDgETiFeV+sXa+p97s2ZfmMa8LdQVaQzIzyz5b797qyITGWZE3F CZBtMc9zKQEXwIXp+R73Vjbj3qiWdTPTtT4scK/jwqzNV00T5nFvdvob7ZEmgQ1xvqlbVe5UgiVM /VfCPEp8kY2J6oe3roz3QHtZZRBKmrfONfGLVZWwPuL/UxBG+lkhfw4oLgDd0mXtN+DZcNBlGjC9 IvRnDZ1GPVCuurmw9MtjYlfjTHSAv8tIqd7lGF5vcAN+BxwWOE0gMXdPZFkFRe3guFikBw83qK9q DRjypiC+fkVharxGDvHXfKXK/Tykkz4lyKA4tL5we5224GKr3NFGkkd5uum1Pfe+yPJw5sjglGqB KATpZRgPv086M//cRMmvd32ea9H++k2PzqlxaAwX0HlxSYH+Iusau5cFtDXQrGocDWv4Cc6V9IGT GJb3J5cGrDq6M76OK6jGygFYo7/cRo563ijk3EuvuKT0n2jiMYoLedBTOAWFs6dYa9xRDGdE/r5c WPyVMli/JLothfllMs64pP30oApYt82TcTsbGoSlxVHjYAc2S2C66kJjtmdio7+yGni7JeN25Wt7 Jiqxw5zNa0YDapEtmwp4liTx8Gu7T/RVp3cZJqdvDea7J4xe1OGa7/2aTNyqIJIjqL1qfTQkpgK5 3+Dg1i4tiR4CNSkfZdWEPLWwuzK/wsz0fRJ/qdV4L3lGHYRn7yXL45IEfCmf4OhE0n39PQhZ255+ loU7h4LoLNQtpZLz49i5wbk/UoLAhHFDBnohTSIykpHVXJRkAsglFWqb8Z7z7y3OlUEVBATwyPpI LwyJ+QPElM6k4dIYi3qY9ioJFE0ynLL6/1Lco0MOGIK57rlGg8zko0EEH9F6CPvIkpNJxPnNR7SS YwhXETdxZBCgrLRtrmHqCFW4u9EfZlelRl3ZanFEVLVZpIq0QzJtQ246W3DaMnU9XeDBRA53xErz 0Z4XjiAp6XcSq+3msI/NNujYuJaCfpcq+v2wGMFXPsS0k2/XirqT1fAtI3ps3LHcyMy2p7COzn/b KpqnD43DYjAHiBpCZJ/NtMbOsj0swoD+zjWk9FPKyR1Czs9AloSbG0A4HeyFHPdMWiPY5D0ZCzHC Ul/GHz5QYIurya82nDlIwm1la7xzW9mOHgj+X9Syb2BJq7GMKhGS4HKaQ/tQTKxGQARRLPlOfRe6 og0Rn8RPOqlxfVrU7npjPohyG2DO5aXdqtzHVHYrieFffgi7dXhjPg9Hz1H7dOTfU9/DtpO6v8g1 3CJru/wkWflcCRG6HcTCNGfUZBlITvVJDPlW2+HATY3cYrO93rKwj23lW/8Lcs2le+sO6NES5YPg JCiRFsmBCGZD228ARGxhG7gLFyY0fDLxfryp2wVnvbeGfF436ssTCg0BxJ2/BiA0OueLLaUMe3Jj 6foEm9o3mLPcfctkrVe9oSTbckQBfRArjmD7PzsCWinwcahouE59ab5Oo67lNWbnwzVKy6eM5uNu znQ++aYAPBYT2Kcaxl7cq1u5W+35fvxZeulOt+a/efqoU6ZeXNPMYNuvuJPJ3G9V2ol8+68SiqjQ WVNvnjLGe5htYT/J16UMmCDUHOt+neWoQoQ9YtICqFSUrTYLOeCm7EKyuuVGnMGJF4HokdNHL4/9 EBUA0693Tk6qLizOkH3k+tPsll/QEsMqzVE8/b375t+1lW1gg6R7bkluK73YwoLAI+o8ejVvSVHK dYBfA1QaCkPaH1OVoFFDc8p0eN40FpwoVA4z3J1qvTf9IrMgzhGU1UDJzFDKybLXjp/1SheHh+a2 QxaJ1bn9Lh3TJdYP3Ju8dKvs0kKz0pAfePARmxQgDVd6E4XqwU8lGfzxCJfy1nhjE64czP5jecaB hDpwUDGLuMCM5ohsr05/qTIweaM2zD3MT7e9azcakh8ald44R5jJQwq5DNDX7dW0R4Jx8M7DCHfv AtS+gctwi07oTUY80PSSzKPjAyRZDMxhNEe56wi3lG0hkK+Uiq3FY83omKum3evo1/XUVrXVlIsJ 9XkG66Jd3ACfZHW6/4XB7nuHB8CegxGU9ncWg7yoTXQbc49pi2IKKuLhm+n15s2CRcztOdNuqxjk 1lUwQSsfutIq4KAo1g594HWcXtEqJXnfJUtUO0Es6noM6kFSk0/Px/FYiOU3Gyv9uAG9A0Nk0RtE BUXd6oEPJViVxo1y90VmU4vAXhX1tLo25eX8mQtr5ONLBnmpaZh6nVMzTn7yR9/GADgyycA+RNmy t3YuDlqGWM3FtZqDwazVO29F/DA4F9zDNlNaNXDva4r491WxGzuaJZiVxzG2hDDu4Uj7i0J55avN Q7QSEaN6pSfTCN3TIL9/7swbWdUyebbRf5C9krn4O8YOTDHc315cgBcru/6jMb5NtAbPxEpRN3Kz 5BvY0dCada7qvW+dU6VrUbXS4DLMpMufQ7JoK5IFdzeqRyFdZwxtwz8YkkWehG0pyTmQs27Z0AgW 8lzQkgE5/PUjt+o/xAV0y2N+DXHex6hYtWnXBlPZShct/kJtsgEEtjqzsHFu0SIoANakQX1HQ8PP yP1vaSnZzj+jIPd/UIlkqyKFQj3ddJfjFYoxfGomsGfPTqJnUJLKzkeeIj430aV/xlD6L0D+iRnB ymGOQMxAvqDjBeUjlrAPrKypAjtQvARLoWOa9NyDnxbr0bDBHcfBfKeJLDenzjuZAbI671gNtFo3 ikDO1oPcPz3nhJVo7iyvl8DFZ2neTpa5dTgXcVja1X7hVId5UuBGTHn18loe5+wYWG75ur78JX3S 6wvknc/4RKTlF3iqQtjLQGkiQUCrRNgT6EETirFSaZLUVrSK1o3OzJc+nnS8VwLYYzKFSH/sCnBZ SmZRTn3k9u4stysCXpgoA9Bnj0IJb+jAfXPPWSvA3SqHjm68e5aND5NIp/8E9rJXU36R40u7ADCg F/bPBZVfMMS94nSf/oZ/h+TRqNm41dyoo1Zrv52orjg34iC0SEQ0BPJ+XXfsQTZkb930CQq7IBH4 hvmEdtzHo2Ss6FIy59XDhWHlJ8lZOteszJO67f9WyLXfSTUoHzOrErmLopiVqTHKGf6vTt6eZRu1 ceYUD6u2hfgXSNaHzOKD8q0ZH+BpFhg+ET5u51IsNv65uDPAwAuy8ezLDzkhk73z9CDe/psFjB0U jAhLTQTsasfbjF4ch93MaSi3DTlRBpli4Tmj0bl8AIovkFaWO6jm7hozoEFZhdFJKVdKmjD6xLzw R8TvS6XJH7OdKBu7NmflqcPZNLhuh0IJBI6D5IebPo8e7p9Zd9YerSJWAkS/RY0CHyLrx3Xixi0C lwnA/1ureyGMXwZOumLRaNLo41ZXdI8GbgtwDk8IyBDPMsrnlnbgxbi6nSM+5jckOG18nE3xJ6ix aSEB+zsmmUnE2UJqdGz8ehtGzkcb/kmXttKnyjV1hKA8C8UvxH4RqJ1O7az2eOp4mEqlzireFszx /zCoqs7gxgQYMBrEM2PLPHYGqP67tG1GJ/KUeWdfpoNx6WVlR8FZiIwyew2yYWYeb6LZXh/8eD3i 8tuFvEeQrxv/dWLN5lrU9wFfxC8nAAwafBZC1EKur4BSIb7TWfB5b2ueKoTTLDHZXvNmcoJwtoop wYgwkSwMoAP9O5uq0lY+IXwdVlbkJVb6L6dntH1LerqCVGGjv3MTKf0lEEd+lBRoSpAQBIwfikA9 kBeB6vVCR+xP3X+AKQtecRj6/ZadqTCHDe47+4MqO1cjyeS+uE9RI11e7z1oMq3gDntMSp7LC6nl NoGC/5YOxoDp2pFvMfDBfQIrlCaJ3uRiB4MbfXtEfNcCDKax1payDDAebrCQY3B8jKFw6vii9MqH R29VcQjEt6+WSn+/VKCpoaDXxrVseYv+hQ0t3f026UeRDcv8SiXCXLEwGgLQJeYxQhXACw7Poqsl ezN7XacbRifNmKo3DAzfAIUxtV4qrnyVJycysSOcM7DGS2NjV7dEf1BNzcUZVANGUi4SQpjHy203 h9iTtvoD93cAxfZpAB+vReQKpNJSFdyoFlLabkeBuon9Iomp/2iVRoz1rgSuAiVRY8xbfI3PMiZD QVKfUU8kUgaPurUVOJZ6ABk2WOmA3IBUNIAXthuHnIO8G8C9pwDV4L/TgwP3T3vVnmgEuB2aNEcI Pz4JOCeCWGFWrlMcfJjdEtGoNmB3sx2dPNmG60sGZN6Rgown/bFtBu6EKifrJi4JN/17PT9cjLy8 r2+m6/8v1RII9knRNCuAITt6fR4l9w1IUK80SZF55O7C852lVha/IdhHDbV9A1SqWndy1PaCo+2f 0LQ4DuvjTHLuPV396wCks/XDYWD8G8BF2YrXBgeGCemy2ZdWZCHNTfultdQQTaGFcUbO3l6hH/Px AWglC7k1k17wK723+T3u346l0K9tjl6k2q8yQkWiuT6LaEvpRNc5bXzGvflLn86dH2Vwg+sIqXmC 6pDj1JLStcYuivE2FPqOc1Jc36MxJkZ/ZSu9oyKUNilvtk/z1qbFghctR9fdz9eG0Q50aycJWejm XUwJGaYVtNtYv6MScZtil0EdDtWgpv6hxzpNG3WtX8+mAfz7eCg7XyLzwsmvUHKUNSDZOSWWgAIC b1RwzdzpXju4oW+u+QiElkDX4UOIldFqFVmMxk17xKj6IOETzfxxk6xygSGT2a8Q2Qrr1cNeGnD6 kdDD+yjJ7iG1i76fX0/+wlV52hDE3/BhRgF5n78LjufrcrlspHBBEuGfxObvhdqbRpczSAf8MZ6y WdBuFyxkOCbmobkB211PsckXUSlu/1I8+a+nw7V7NyCeMA8af7yhd/X9043jNcUlAAOqdhaBO+lN Q8uiXBFEKGsVeVsl4gAySBbUtKyWXy4PVRCQFgOHsz3dS4Z93HKAk5d2p5eIbWk3IfhRgbrGT4Qk C7JqFH8sIDS78RQc1e3LWX4M5KL2MoCL95IUIKsvOjHzi/k86/pkvUccyD7j+3Up/AqC+A7Vj+1n 1dui7orKDdktidZRNt8fSRf1yUFHRMbRC41f02yXctnvjLsBA3iiakwVwDyyG4l4NrBk9pK1+fiW 3xWejYcjwWhMm6UU6IXk/ASgXWFEx8+T3n/R9AWgPyZU3AgnsCK7zj4T7PpP9jXr6Xh262x7lLwA n9dQk5DKxAtwhxAx32DG4kAm2lA723coI3mgymDUoiNbsB0uHlsfoJ0kgVWzkk0wX1q7Wq8yIYeV GxMZoQGWQ8BbovYIEy/Iz9itupZCyHXjhjnjP9JZz/lr2tJef8tok2k9RkFaPOJ/wjllYcSpkg0U oTBfzugqNgHEHaPQRtUY9gbNnaYtW5X1AntgJhQ9oKegWDW0vJohJ5RjxqO2Mu4tKlRe2RgrAZaP XnJSYv+ryUHYTHOG3uS9ob2JyqnJY0Au/KoBYeoga4T4h9lVBOg443PQr0z9eHHf0K4KBremI/oL tsxpwt7yH0t5K/62N+fbVNCyw9CoaAewheH5I5wLuSsFe/HOspLnkby4AbhSUr+g0KwTzY9eb6vV 4E77OYFpVwTtvyEJcUZVP7qwKGv2lbcuJCHIybeSBSl+AXd3e4e3T779/13tSsC6SkC++Bwt1rpn +rgSo/VZWtpirsvKCfMqOwpcto+KeBGrO1w1hE1QoCw4XQV/iylfKJxTj+l5pX88swzz/tndTXw2 OWhleQS1wPOrFhoVLPO9owD24VcFf4F1jEn22AQWP16sN/zk8GT5dogHu032/mME5M1GcESGox1P 8vNszDq6HCGA/te+4IT0oSs9RZee4btU7g3bTNyd2f349X56TfzhTiUg2LrFlDeWfeqBF3zNDycD /xT3HmN36qNmNih300TJk+mW4dTilPDF6yFVTAebUzSQVttI4GzrjdUAF3K0GYOCrW+z9xxKGjj+ x3i/mCkuL3/2Y7wKTnEydULeDXQaBM3TTN/owUCl7V1VkjK4YHZhjXY+CB/xmL3ODEVIKEtrPh25 Hk3A4Td06wvkslwjM2K3PCdg/NmFvV5JEG0qb1ffRXIGJCOFRDAg67EwKLTBie/dja4+o7idp4bp py1Wr0O15+JZ6SjnwbIMYCmOidWirBw1LFIre85qIllj7ZNRNsbZQXZqsqVTX3EzEkWckFAACW3G WtrwE44NFKe1o4CRt0QsAnEF0BqqkqoJbyCFscwyNoYyJuUAC7yv7wT+ImhM7ua+HoSbAsmgk4WQ E7wcV2Ir6x3vi0GOdySjUgJaBnMLXjSejkrUgzeMkID/1j5yto2oUwC3XMixJdIGL3AE75dqlsXv aiAj/QE1AbP3Cwq06b2JSI/+aSDIVcNJPJjVt1mAardWN5gAPI5nS1QCVf20Pgy0xoIHISj8vRgl NnGNytAbcF9ROLIwjcpQzYGQRgYlpZzolqMnBL946U/0ndQfIg2RdEawIR1vgrh5uu8McM7niine XHvVOlY2oqlfuLTidFEK5xBQH1l/bvasJwjKjvZQ1lerRa6aEoaMxKx8HYePOwiB++KiUFKgtqQw ALzmWmpRYufrlsV2If00QovehFcIVX66J3QW7fj9Jjpk4sDcbEuHOszfMlMTM8OY7+Ns+4LHdQYI TuDKWSusi9EXRT4nF7nhmgZJBcVafmGcAd+nQUFHj22ifVZV33gApG/6mt0QPNWpnD4hNFf9f8C7 j6nEL3ayuT/YxS8zAIxJkd+F9eGjySTCLL7I8pO5bd4qPEn6jKHiZCPkZEjlPu1kUrf4fJlxQSIJ gyG1F5WipPTWUWtSM5ZBIG8fkv8KDOtHK1p1AquLuaiqNNbMk7yaUJf+njqJxnAxFreFcoaBNd/t YjzvrBSyjtXa3LXWTlVqDKUx35LFeQzHqDtDVhG7WCqouW4Bz0QTmGwFgL55fT5nRxEQf2cqDHLA etIODnWU4bvppxi5+8pIKw61E0VVAkDLZAg1Nev/4X9KSrfLZH8KN+WO6HtMfRnMF6S8dkBirUuW ZVJTS+TPGQxz96KSDF1OGlRH02ChvSjHqfXoi7QuMhvMxAEZRA4Lxh8X4FfTBLSoWSU+sk/LeYVW uwgZ4kwwHYOElvaiShcH+Maj2++rTWTgLbXqt/I4jyB+3oX+pL3k/swlxGO0DA4nZ1LQLc30kMGu b5bIcZ3EdpZOdoapdsPrM/TD2nQGMGaf0apzuPO33lc6jDYJVruIBGk+DATgYDbPyL0FCXUHz83V idbb5PmA/wAv1TY17hGG3sEmpFmspRWwvfperjulK2fOiqACgfxQw/GBQ67voWVlfMa+pHOdLoYQ 6uqI+EXkHD+dTDzn9oGWGAyLbN/gOMQdU9lUnoN1LEUfY4ngFJxf8XUAN1SVGebEFGgKl007OuN/ EN5bk5PyOHa7C70l95huHLrIbsFh0dBEkS6eefwm6HcXRc6f4MxUfCLEuGMjbJEsiYx2w+nFiuKp duPowQVjQSPKMBCRwsB4WQ9OKd197wRrip3tOD5zXigMGG92jR3CwN+PgDROicvECliwE8Ov0qWi hmymHTAbgizTmmVwx40AxCaHSDFsfN7rIuT/VFRnJWYqKSZp/ZdTyaJk43rFsSlaqTP1UlwBzHVS mDc23H24vYVowLSbP0yIzdFjLrr6HQeuL3mzPxDSPn/lKaL5zpXK3PsaJmfeRwIUtTaYEmEZDFTE tnJVIW+SiJ/41ykZT6ePm1s3S1/zsuthZu7n6JD5j8qzyDPQfZcGbzVDIJqrPw== `protect end_protected
bsd-2-clause
9b856cac2275c3564dffde2d9006a724
0.953113
1.816467
false
false
false
false
Yarr/Yarr-fw
rtl/common/wb_traffic_gen.vhd
1
2,034
---------------------------------------------------------------------------------- -- Company: LBNL -- Engineer: Arnaud Sautaux -- E-Mail: [email protected] -- -- Project: YARR -- Module: Traffic generator -- Description: Master generating traffic ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity wb_traffic_gen is generic ( ADDR_WIDTH : integer := 32; DATA_WIDTH : integer := 64; WRITE : std_logic := '1'; COUNTER_START : integer := 0 ); port ( -- SYS CON clk : in std_logic; rst : in std_logic; en : in std_logic; -- Wishbone Master out wb_adr_o : out std_logic_vector(ADDR_WIDTH-1 downto 0); wb_dat_o : out std_logic_vector(DATA_WIDTH-1 downto 0); wb_we_o : out std_logic; wb_stb_o : out std_logic; wb_cyc_o : out std_logic; -- Wishbone Master in --wb_dat_i : in std_logic_vector(DATA_WIDTH-1 downto 0); --wb_ack_i : in std_logic; wb_stall_i : in std_logic ); end wb_traffic_gen; architecture Behavioral of wb_traffic_gen is signal counter : std_logic_vector(DATA_WIDTH-1 downto 0); begin traffic_p: process (clk, rst) begin if (rst ='1') then counter <= conv_std_logic_vector(COUNTER_START,DATA_WIDTH); wb_adr_o <= conv_std_logic_vector(COUNTER_START,ADDR_WIDTH); wb_dat_o <= conv_std_logic_vector(COUNTER_START,DATA_WIDTH); wb_we_o <= '0'; wb_stb_o <= '0'; wb_cyc_o <= '0'; elsif (clk'event and clk = '1') then if wb_stall_i = '0' and en = '1' then counter <= counter + 1; wb_adr_o <= counter(ADDR_WIDTH-1 downto 0); wb_dat_o <= counter; wb_we_o <= WRITE; wb_stb_o <= '1'; wb_cyc_o <= '1'; else counter <= counter; wb_adr_o <= (others => '0'); wb_dat_o <= (others => '0'); wb_we_o <= '0'; wb_stb_o <= '0'; wb_cyc_o <= '0'; end if; end if; end process traffic_p; end Behavioral;
gpl-3.0
b7eb085fdba488f39449861b8b77ecb1
0.544248
2.767347
false
false
false
false
Yarr/Yarr-fw
rtl/spartan6/gn4124-core/spartan6/l2p_ser.vhd
2
8,734
-------------------------------------------------------------------------------- -- -- -- CERN BE-CO-HT GN4124 core for PCIe FMC carrier -- -- http://www.ohwr.org/projects/gn4124-core -- -------------------------------------------------------------------------------- -- -- unit name: L2P serializer (l2p_ser_s6.vhd) -- -- authors: Simon Deprez ([email protected]) -- Matthieu Cattin ([email protected]) -- -- date: 31-08-2010 -- -- version: 1.0 -- -- description: Generates the DDR L2P bus from SDR that is synchronous to the -- core clock. Spartan6 FPGAs version. -- -- -- dependencies: -- -------------------------------------------------------------------------------- -- GNU LESSER GENERAL PUBLIC LICENSE -------------------------------------------------------------------------------- -- This source file is free software; you can redistribute it and/or modify it -- under the terms of the GNU Lesser General Public License as published by the -- Free Software Foundation; either version 2.1 of the License, or (at your -- option) any later version. This source is distributed in the hope that it -- will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty -- of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. -- See the GNU Lesser General Public License for more details. You should have -- received a copy of the GNU Lesser General Public License along with this -- source; if not, download it from http://www.gnu.org/licenses/lgpl-2.1.html -------------------------------------------------------------------------------- -- last changes: 23-09-2010 (mcattin) Always active high reset for FFs. -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; use work.gn4124_core_pkg.all; library UNISIM; use UNISIM.vcomponents.all; entity l2p_ser is port ( --------------------------------------------------------- -- Reset and clock rst_n_i : in std_logic; sys_clk_i : in std_logic; io_clk_i : in std_logic; serdes_strobe_i : in std_logic; --------------------------------------------------------- -- L2P SDR inputs l2p_valid_i : in std_logic; l2p_dframe_i : in std_logic; l2p_data_i : in std_logic_vector(31 downto 0); --------------------------------------------------------- -- L2P DDR outputs l2p_clk_p_o : out std_logic; l2p_clk_n_o : out std_logic; l2p_valid_o : out std_logic; l2p_dframe_o : out std_logic; l2p_data_o : out std_logic_vector(15 downto 0) ); end l2p_ser; architecture rtl of l2p_ser is ----------------------------------------------------------------------------- -- Components declaration ----------------------------------------------------------------------------- component serdes_n_to_1_s2_se generic ( S : integer := 2; -- Parameter to set the serdes factor 1..8 D : integer := 16) ; -- Set the number of inputs and outputs port ( txioclk : in std_logic; -- IO Clock network txserdesstrobe : in std_logic; -- Parallel data capture strobe reset : in std_logic; -- Reset gclk : in std_logic; -- Global clock datain : in std_logic_vector((D*S)-1 downto 0); -- Data for output dataout : out std_logic_vector(D-1 downto 0)) ; -- output end component serdes_n_to_1_s2_se; component serdes_n_to_1_s2_diff generic ( S : integer := 2; -- Parameter to set the serdes factor 1..8 D : integer := 1) ; -- Set the number of inputs and outputs port ( txioclk : in std_logic; -- IO Clock network txserdesstrobe : in std_logic; -- Parallel data capture strobe reset : in std_logic; -- Reset gclk : in std_logic; -- Global clock datain : in std_logic_vector((D*S)-1 downto 0); -- Data for output dataout_p : out std_logic_vector(D-1 downto 0); -- output dataout_n : out std_logic_vector(D-1 downto 0)) ; -- output end component serdes_n_to_1_s2_diff; ----------------------------------------------------------------------------- -- Comnstants declaration ----------------------------------------------------------------------------- constant S : integer := 2; -- Set the serdes factor to 2 constant D : integer := 16; -- Set the number of outputs constant c_TX_CLK : std_logic_vector(1 downto 0) := "01"; ----------------------------------------------------------------------------- -- Signals declaration ----------------------------------------------------------------------------- -- Serdes reset signal rst : std_logic; -- SDR signals signal l2p_dframe_t : std_logic_vector(1 downto 0); signal l2p_valid_t : std_logic_vector(1 downto 0); signal l2p_dframe_v : std_logic_vector(0 downto 0); signal l2p_valid_v : std_logic_vector(0 downto 0); signal l2p_clk_p_v : std_logic_vector(0 downto 0); signal l2p_clk_n_v : std_logic_vector(0 downto 0); begin ------------------------------------------------------------------------------ -- Active high reset for DDR FF ------------------------------------------------------------------------------ gen_fifo_rst_n : if c_RST_ACTIVE = '0' generate rst <= not(rst_n_i); end generate; gen_fifo_rst : if c_RST_ACTIVE = '1' generate rst <= rst_n_i; end generate; ------------------------------------------------------------------------------ -- Instantiate serialiser to generate forwarded clock ------------------------------------------------------------------------------ cmp_clk_out : serdes_n_to_1_s2_diff generic map( S => S, D => 1) port map ( txioclk => io_clk_i, txserdesstrobe => serdes_strobe_i, gclk => sys_clk_i, reset => rst, datain => c_TX_CLK, -- Transmit a constant to make the clock dataout_p => l2p_clk_p_v, dataout_n => l2p_clk_n_v); -- Type conversion, std_logic_vector to std_logic l2p_clk_p_o <= l2p_clk_p_v(0); l2p_clk_n_o <= l2p_clk_n_v(0); ------------------------------------------------------------------------------ -- Instantiate serialisers for output data lines ------------------------------------------------------------------------------ cmp_data_out : serdes_n_to_1_s2_se generic map( S => S, D => D) port map ( txioclk => io_clk_i, txserdesstrobe => serdes_strobe_i, gclk => sys_clk_i, reset => rst, datain => l2p_data_i, dataout => l2p_data_o); ------------------------------------------------------------------------------ -- Instantiate serialisers for dframe ------------------------------------------------------------------------------ cmp_dframe_out : serdes_n_to_1_s2_se generic map( S => S, D => 1) port map ( txioclk => io_clk_i, txserdesstrobe => serdes_strobe_i, gclk => sys_clk_i, reset => rst, datain => l2p_dframe_t, dataout => l2p_dframe_v); -- Serialize two times the same value l2p_dframe_t <= l2p_dframe_i & l2p_dframe_i; -- Type conversion, std_logic_vector to std_logic l2p_dframe_o <= l2p_dframe_v(0); ------------------------------------------------------------------------------ -- Instantiate serialisers for valid ------------------------------------------------------------------------------ cmp_valid_out : serdes_n_to_1_s2_se generic map( S => S, D => 1) port map ( txioclk => io_clk_i, txserdesstrobe => serdes_strobe_i, gclk => sys_clk_i, reset => rst, datain => l2p_valid_t, dataout => l2p_valid_v); -- Serialize two times the same value l2p_valid_t <= l2p_valid_i & l2p_valid_i; -- Type conversion, std_logic_vector to std_logic l2p_valid_o <= l2p_valid_v(0); end rtl;
gpl-3.0
b22fdd9af873554626d5fa21cf369058
0.425006
4.39336
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/case/rule_011_test_input.vhd
1
489
architecture ARCH of ENTITY is begin PROC_1 : process (a, b, c) is begin case boolean_1 is when STATE_1 | STATE_2 | STATE_3 | STATE_4 | STATE_5 | STATE_6 => a <= b; when STATE_2 => b <= c; -- This should fail when STATE_1 | STATE_2 | | STATE_3 | STATE_4 | | STATE_5 | STATE_6 | STATE_7 => a <= b; end case; end process PROC_1; end architecture ARCH;
gpl-3.0
de06478e40f53aa371be98aeeeedcbec
0.458078
3.517986
false
false
false
false
Yarr/Yarr-fw
ip-cores/spartan6/rx_channel_fifo.vhd
2
10,230
-------------------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used solely -- -- for design, simulation, implementation and creation of design files -- -- limited to Xilinx devices or technologies. Use with non-Xilinx -- -- devices or technologies is expressly prohibited and immediately -- -- terminates your license. -- -- -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY -- -- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE -- -- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS -- -- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY -- -- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY -- -- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY -- -- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- -- PARTICULAR PURPOSE. -- -- -- -- Xilinx products are not intended for use in life support appliances, -- -- devices, or systems. Use in such applications are expressly -- -- prohibited. -- -- -- -- (c) Copyright 1995-2014 Xilinx, Inc. -- -- All rights reserved. -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- You must compile the wrapper file rx_channel_fifo.vhd when simulating -- the core, rx_channel_fifo. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "CORE Generator Help". -- The synthesis directives "translate_off/translate_on" specified -- below are supported by Xilinx, Mentor Graphics and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synthesis translate_off LIBRARY XilinxCoreLib; -- synthesis translate_on ENTITY rx_channel_fifo IS PORT ( rst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; rd_clk : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(31 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC ); END rx_channel_fifo; ARCHITECTURE rx_channel_fifo_a OF rx_channel_fifo IS -- synthesis translate_off COMPONENT wrapped_rx_channel_fifo PORT ( rst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; rd_clk : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(31 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC ); END COMPONENT; -- Configuration specification FOR ALL : wrapped_rx_channel_fifo USE ENTITY XilinxCoreLib.fifo_generator_v9_3(behavioral) GENERIC MAP ( c_add_ngc_constraint => 0, c_application_type_axis => 0, c_application_type_rach => 0, c_application_type_rdch => 0, c_application_type_wach => 0, c_application_type_wdch => 0, c_application_type_wrch => 0, c_axi_addr_width => 32, c_axi_aruser_width => 1, c_axi_awuser_width => 1, c_axi_buser_width => 1, c_axi_data_width => 64, c_axi_id_width => 4, c_axi_ruser_width => 1, c_axi_type => 0, c_axi_wuser_width => 1, c_axis_tdata_width => 64, c_axis_tdest_width => 4, c_axis_tid_width => 8, c_axis_tkeep_width => 4, c_axis_tstrb_width => 4, c_axis_tuser_width => 4, c_axis_type => 0, c_common_clock => 0, c_count_type => 0, c_data_count_width => 6, c_default_value => "BlankString", c_din_width => 32, c_din_width_axis => 1, c_din_width_rach => 32, c_din_width_rdch => 64, c_din_width_wach => 32, c_din_width_wdch => 64, c_din_width_wrch => 2, c_dout_rst_val => "0", c_dout_width => 32, c_enable_rlocs => 0, c_enable_rst_sync => 1, c_error_injection_type => 0, c_error_injection_type_axis => 0, c_error_injection_type_rach => 0, c_error_injection_type_rdch => 0, c_error_injection_type_wach => 0, c_error_injection_type_wdch => 0, c_error_injection_type_wrch => 0, c_family => "spartan6", c_full_flags_rst_val => 1, c_has_almost_empty => 0, c_has_almost_full => 0, c_has_axi_aruser => 0, c_has_axi_awuser => 0, c_has_axi_buser => 0, c_has_axi_rd_channel => 0, c_has_axi_ruser => 0, c_has_axi_wr_channel => 0, c_has_axi_wuser => 0, c_has_axis_tdata => 0, c_has_axis_tdest => 0, c_has_axis_tid => 0, c_has_axis_tkeep => 0, c_has_axis_tlast => 0, c_has_axis_tready => 1, c_has_axis_tstrb => 0, c_has_axis_tuser => 0, c_has_backup => 0, c_has_data_count => 0, c_has_data_counts_axis => 0, c_has_data_counts_rach => 0, c_has_data_counts_rdch => 0, c_has_data_counts_wach => 0, c_has_data_counts_wdch => 0, c_has_data_counts_wrch => 0, c_has_int_clk => 0, c_has_master_ce => 0, c_has_meminit_file => 0, c_has_overflow => 0, c_has_prog_flags_axis => 0, c_has_prog_flags_rach => 0, c_has_prog_flags_rdch => 0, c_has_prog_flags_wach => 0, c_has_prog_flags_wdch => 0, c_has_prog_flags_wrch => 0, c_has_rd_data_count => 0, c_has_rd_rst => 0, c_has_rst => 1, c_has_slave_ce => 0, c_has_srst => 0, c_has_underflow => 0, c_has_valid => 0, c_has_wr_ack => 0, c_has_wr_data_count => 0, c_has_wr_rst => 0, c_implementation_type => 2, c_implementation_type_axis => 1, c_implementation_type_rach => 1, c_implementation_type_rdch => 1, c_implementation_type_wach => 1, c_implementation_type_wdch => 1, c_implementation_type_wrch => 1, c_init_wr_pntr_val => 0, c_interface_type => 0, c_memory_type => 1, c_mif_file_name => "BlankString", c_msgon_val => 1, c_optimization_mode => 0, c_overflow_low => 0, c_preload_latency => 0, c_preload_regs => 1, c_prim_fifo_type => "512x36", c_prog_empty_thresh_assert_val => 4, c_prog_empty_thresh_assert_val_axis => 1022, c_prog_empty_thresh_assert_val_rach => 1022, c_prog_empty_thresh_assert_val_rdch => 1022, c_prog_empty_thresh_assert_val_wach => 1022, c_prog_empty_thresh_assert_val_wdch => 1022, c_prog_empty_thresh_assert_val_wrch => 1022, c_prog_empty_thresh_negate_val => 5, c_prog_empty_type => 0, c_prog_empty_type_axis => 0, c_prog_empty_type_rach => 0, c_prog_empty_type_rdch => 0, c_prog_empty_type_wach => 0, c_prog_empty_type_wdch => 0, c_prog_empty_type_wrch => 0, c_prog_full_thresh_assert_val => 63, c_prog_full_thresh_assert_val_axis => 1023, c_prog_full_thresh_assert_val_rach => 1023, c_prog_full_thresh_assert_val_rdch => 1023, c_prog_full_thresh_assert_val_wach => 1023, c_prog_full_thresh_assert_val_wdch => 1023, c_prog_full_thresh_assert_val_wrch => 1023, c_prog_full_thresh_negate_val => 62, c_prog_full_type => 0, c_prog_full_type_axis => 0, c_prog_full_type_rach => 0, c_prog_full_type_rdch => 0, c_prog_full_type_wach => 0, c_prog_full_type_wdch => 0, c_prog_full_type_wrch => 0, c_rach_type => 0, c_rd_data_count_width => 6, c_rd_depth => 64, c_rd_freq => 1, c_rd_pntr_width => 6, c_rdch_type => 0, c_reg_slice_mode_axis => 0, c_reg_slice_mode_rach => 0, c_reg_slice_mode_rdch => 0, c_reg_slice_mode_wach => 0, c_reg_slice_mode_wdch => 0, c_reg_slice_mode_wrch => 0, c_synchronizer_stage => 2, c_underflow_low => 0, c_use_common_overflow => 0, c_use_common_underflow => 0, c_use_default_settings => 0, c_use_dout_rst => 1, c_use_ecc => 0, c_use_ecc_axis => 0, c_use_ecc_rach => 0, c_use_ecc_rdch => 0, c_use_ecc_wach => 0, c_use_ecc_wdch => 0, c_use_ecc_wrch => 0, c_use_embedded_reg => 0, c_use_fifo16_flags => 0, c_use_fwft_data_count => 0, c_valid_low => 0, c_wach_type => 0, c_wdch_type => 0, c_wr_ack_low => 0, c_wr_data_count_width => 6, c_wr_depth => 64, c_wr_depth_axis => 1024, c_wr_depth_rach => 16, c_wr_depth_rdch => 1024, c_wr_depth_wach => 16, c_wr_depth_wdch => 1024, c_wr_depth_wrch => 16, c_wr_freq => 1, c_wr_pntr_width => 6, c_wr_pntr_width_axis => 10, c_wr_pntr_width_rach => 4, c_wr_pntr_width_rdch => 10, c_wr_pntr_width_wach => 4, c_wr_pntr_width_wdch => 10, c_wr_pntr_width_wrch => 4, c_wr_response_latency => 1, c_wrch_type => 0 ); -- synthesis translate_on BEGIN -- synthesis translate_off U0 : wrapped_rx_channel_fifo PORT MAP ( rst => rst, wr_clk => wr_clk, rd_clk => rd_clk, din => din, wr_en => wr_en, rd_en => rd_en, dout => dout, full => full, empty => empty ); -- synthesis translate_on END rx_channel_fifo_a;
gpl-3.0
4d38ea4e0a6657ad602d4459f51b155e
0.537243
3.330078
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/fifo_generator_v11_0/ramfifo/wr_handshaking_flags.vhd
2
12,552
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block VbXNNz2sQNIe/Uo+l5M5dRWBWUjRxBAHVt2719Iw7IockhvUVOKInZo/KKcqFELSp5Ob7y+/U9LZ G4tRrz3aWA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block oEwJH+5spLyqbfmL33IyNNEWZbFnR3m1JM8YHVRYKyJBeGddca8IxSWU4ZOT6J4tFpvqc2uxjlWX WZ916ejUE/4AzabGdGyyeFXme8uds+yKAAmhhr3ralVuZsXCphuzGFLti0dswL7O5NrFjjKdI//w qBOt4tpjdrNYByXpN/w= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block nXB3ZSLnX4toO1TQNmQa5ihUNqsbZsBHPp58HdewczmWOt+6cnTENVFUahi3qyatrXVV8Iper8no 1kD/mBH3VfOaxKqGGfLfHNyuFw96l81ID6J98Q5fcJy+MJWMrRlmJTm8O9KAk3RuwLNo1TkQ/8bF eQQ09VKMXsWRGR1HsgEPl7AFHy1S+Q++DeE1v2vkvkR2wM4161QOVlZjNL8bNF7QLzIyq1SBgA27 zlZDXvMin81LV0R7azOAx4PbEa5sE256fECSDuTlN2p+P4Oguy+SJjcEs8HDSln1dxufpSqL5+qB M1HEsTgjAYxC9YtKQBVU17SY7FvG4EhwqYDUhA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dM05GYqkaf1hWdBujxvJjJeCs5vWzaUiXDqJIjwDYgcDiWnIAGcWhCWiNUUfJhxS9VQt9ouOsVca CsrS+Salpy84W68e0ohsGkhTvRgV5C5gMkqpFK1HGqmZHHRcXYj7kaeF3LqMu9+wY97Z3/GEw9zu vpcCLGozCAkH1qALmic= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block RRMLTaZSmu/MEQhbUFNmojY091MsbTh3NThpC1c+CSF8rgGfRS4EwCE0/hlSTbpHYryBUqfMWbQY CmNHx74Q6IPrvP+kJC6gEQojgB7XDFh7+T4mW388Y/guba05dlIQVCTrqvlK5oh+IZ05u4aqCC59 fU1Fle6FiVXsVNbdHsFxBhXzPJ4AEMB3pJwnQwCp5wKQAcyDYW9yhpsaBpnQJ8dwYnYxJj9ziozY Ood4WHEc4+EljuAQ50SJaK8pXCci6THdWUFmXpwBqwGe4a8fdc2VD8j6x67HpWESv64oNAm01zcC VEquQo8nnlNsfVFgO7OP26y1ElEDxALISX90rQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7552) `protect data_block dUFdgSRqPrbhaAcBFL9erV1feZNA/NNl5EPDZrTpV2a4nONFdB6yz85odS7HOMC+mYog6NpUr2Tn 1YrYPWC2/ukYZ9EWBNwn8jGEbEyT9lvF3Vlj+Z2+E4kEy5CAvDH++y7Qkc384XYWj7U+2qy1jwNt IcLr6NeoQ0dQ8RtaKbJ078sg0vOC65Q/f2ox+TsPWrqR1UASCthqRtWEApbWr0y4ziC+LPYGInsT QLzBK61vJBTP0IKZWHE1oD/UMbeK8pWFvlmPxuqM4ntQbcMDvefxbP9qi8NXq3/ZptAzrLu1Zl7U 0Ty0GlFqo2sZjBKZPHfUMBuz4leF1gnfoTzBC1F9K/XkxHS44sliZWZqVN1R9YpqYGiFZBDt8nq9 6SyT1Ec8arg5CeG+Bcr2ZT5snGqwyZKvpAqt9ZXl2Ld86X2FOGx00HT50ihIsRr0FoH8B7ZlgS14 cwmvIHP3MQt1G/eE0IjRrQDEWudmGXaaDIBFkYPWdxHpwavh4StCupLK+Bp9KvJUoZFaSrzsAlg6 MEkhsyNCqd/6cjPnbWeDA7Wn34ln4Pui649LXvkcBOP0eZrkmR0AUYUFZuiG+3rOxdiMrz+FrA7U 4QS0htV0++PH/G0UV83nBCcwHBhLV+gML1I0U4smhTF7V6euMt8v6C6+OkNkSRvHn1Fbe37x9Dr3 YYLCXMFQZ7DBWT0bFOcAwzxZU/MwT6elAufiO+krSw+nBK5ffGEotJmdCddI3Gd/NCulaSmfjVCd 1COLz4KQwuUBHw3TxBlAZGsglAblBDatOnEbre1olqp+o7Oh20s1d2MQBT79TwA7j+zvSVkrU+vS BHf/Ajfhx132vri7Eyzjmp2zVW7Cx3dELO/uyd/+7WLxSQFNS3KCwDJphD05BjE1i5pnTZyV39N/ VDrCEFE9ylJdhaiWHKVv+B1/qbwFcA0EZhzQ3u71lwhd1Ratd0NhTd/ylS+96b/hl3Oz9wjw9UJ+ bFtkIAahOoQGHBTNATv8BeV59ss+yRvUYWQIdSPydzPc5iXCRLJKi5NUOmC3K25XRsp57Bva9+iJ m2FFQAJcFyuaTk2RL4MySHV7u4NV4jTFVhZIbVP+mXd1OcMgJk7YW6KaFGN2YL91Ph+owdf1zWVT LXSusjHNfF31yIspfK7PRoH20ML8xNIbd/CzvdPijHvnYdo4dn6b5u1YweMuocPjYpc6mpc8cIXL s9RIw3nJ4W924/i/FN/HzOGiINr16PsrLzihbsWu89GwoZgMqauOvUEYI/CZlvOi/uVtS75Ce4LG cj6t4mCt5Yb0yP51r74O5rG+c9s5pzawLCt71CEWRZ9uoSi7MvSVk4qMngB7+NwJqwWODEKtc0tv I6SIjPfK0yKID1DSyhUV4KpgIQupIvGRWUQ4sR7Rwj5uf60/naYXJTfPIpTNotczMvzQvyW54vTC Q+YQ/y+R2WbRhY7f2KsrFnHhWrx8UNJF1a4O5xfNMoQht2SUAXPUsYVX4pkZpRQ1UBRCDvEZaXcl mhM8l9Ke8BZBlFo5tmZ4bummXShy6wyRprZdKCx+XpeIzl9qohp74DDHkiHLKqN5pIaKLwsLmyQM u9n5TmshyNYr6ExnLsCzHLkQ/HkMaVIz5Mlbkvz9hi3sjUG9A6R61Ct3wnBXTiOmROOo4vnYwIoH XkPOwRlqnropYx+7y+Pc3ajedvgACztaW+Q4JsvIgxu10VSmbLOKS1fEu3Q/8drgPzl0N4DNqtNz hkML/Bh6uaeFQ2QIWheC46lG9UUBIPU6HM/gb0CTdYOl6xTHZOnHkHHNpGmfsw/UgxHoP9tbATt0 +/LUDs1bCJnB0SWxkNDVPQvR2dvRlQDNZWqymUOoUXlsTv1PD3mgkxfAYvbVePi0Q60MHcZcflPA S12s0xPkdYq1aNUnzyHAsNDZJxt2FMHJl+WxlolnjgDyTBPIG6jdp/kIUsyAXkTXghveiMgQj6nf u/hijG5EnHmUJjgAcd+tkMmkuB9+M7D8Fqtgb5PuDDOiWPnofunv0mQ2ngTkr7aZ0WpCfv0B6/HT IViwHFwsMlsISTi58RnqZaDSE/3ZjQ3oDvdxXRLsRcxSYeMbQIzT8j32y+wiSnqAuMGKWwXcwwfP 9hXUydlZ3FVpzLSRHHp5A8eNIu3XeBSbsXF8QHKMBfOysLJMhnZMZBdp8LB5nfCs+/wlkx0qTFHb IFyeUosJeNpye0IHfW+vnW77/Dvgc+PWnrBVO5dAgO88YyFNN6FrOe4Rlw4daSHD01yeAsQHUCSD NsxipLB3aObyeMYA4bSSB5ygpWbYfJD41bcnwOMmlNQ3owzH7M7l53xmBOQGb1sCqEgjcJ7zOWBu poCdGljVRMt+xR+q4UC/IGNCpg3Tk8NW0k+OHn+6/H6VY+/TspLga/Vfx2jUo5vklDz8tQSnsiG+ iYyX6RfH+LqgFlXJ+IK8RHcFchIvxDu6zLyLmUbxeekOc6ecCq/CZD7YZvfRhGcbO6HFv207gilv stENAlrBcKsT5pVYgTUGv9hJB5u8+tugvT8pLXQbX/QIPkOTGvNzHn0fcXfuwt9MVwqWw3rhGhGF NOnO7dU8GmBDSAuQgDphtX9eBuhzG4CbocP+cUkHA1i3AKU5FmIPvjDYo/4Q2et6sQTdqiitMPEr KOouolC8OQ6gAj6afuU/BWLWfEXtoxdARjKe1pqmIlfhcazSnaEyRQAuGJGqxpoIiWRlR9miXBIp mvEEzGjDiiuMTgauCvKsk/pKms6BOIJh0BwUkGZDLxnaw0kOjEdSVHKVvpvuHQ3+GuSwsWQpZiJb W83QAUF51l0hoMEle3uCYuweSfa938jPBIZ9iJYey7CVkqyBEGbQ+reiGXRlJhqa2lGTWFP6W5jC O7JqnBDi6D6n/P62jfDFRoc/F4AyZh3Mdwhp56bpnRywCkDpbpnaT0flitmiunlWQnz2Jfbw0Qg/ 27vHmRSWVz69fIkRJUYqavTI72wxbWlbJOtoeU86on1Wc0eguFQsO4AXmVPQ/+piA907pbZ7J517 hXztVt4DDANvXwTh9npb2HVhIs1BifkUE8PE6S+HAcUU7ZOHcccXmJDCJ31hHRV6bTPY9l9W1LA/ ds2KNJ0nRRSXWLz2li6QDxyhwhPr5aiROK0cHPbOBWrVniTLfgbb92d6iZnBkSNCFnLPz+qz2TP4 HBJ2j/AuLK5AY2r3v+KQ1QMBYtU1XKcvlAl8Y3O2lBp0hly39WDOMae5q2rR+sxwNR3RnYtk2Prt 0F/43UiW5pPQ6ZFtNCMKvskQ4tsaHra2QwZdaJsFRUDDAs1n7OD/JSppB3CvzB2ZdTn1uzeVs1NO KdfK89Ir5ikhvwpfwGEV9WF+wWD2wXnFVPIat9FFPHX1T+x8Fr/B4Q6ikWbthu/z4HQN/axOd+mo YcLxPwCM6ANtXIiJGsBaS94IhIdzvAdrAjJ+gp5hku2sjALp1XdJumBAWKir+aXMi+/yuqVJHzF1 KMRPc8HAJxBJD6JP+wfQUoTfDFctrxtl5zIn3ElEoKXhbUw5kMzYwOVHiPU+hjVNu41yahWXB1wm lRPJ3fJok6KBwlzl5qAIRcwkVHXIZ3ZbiSijYxo7wATJBsQUKk9AF+9RvSo1KN8i78YWw/h8vQle nEXhfDDN9nPlOYEd5JtDdWjt7S6jeWavn3VLnhXFBu8nV8SrTTabrGxJ3+LU3mcfv+suxArlTRBP O2ZsrgFkiRGJQq6VZdXGIVEq/8bxeeG8QQvlJSJeBHGLtMlcIZeBAFY/yjE60gr4UeIzQmPSj8I5 wqJ8xI8pHJJeF8mnIpCvT0O1ltPJaj47kuGAIHcsAOLIVsInS/gabvthUZBSfoVBDWB2VLG26cHN RWbrLCcTZCzh7bVFDe3+T6PUcPypC28gwUpzv+moh6AKOhG+gyNhu+kkA5BDouoSLtkFDNDHIGPi QCfpVjlMh138U+aDNZttoADYaXSVF6v+NixxmJLj0kQOQLBkwnX6AvvIwM7oJg3263BnMyzgGOnX nGXVdJnU+PxCrAxSa7zeGN5bgEwpYwRYX/MvC81M/wqDmdUX30f5OnhaCyyrfthRzrnWBuVaan7N 3W64GDM90luHTau3lsYFc9qHGYuHgefUCeRqoYJORcLZWNGKrUWDbkAoLzIcvgLkstyEQLSe/cWG p3f9GObH+8R3IRKirTUakmGU3XlJRNnPHe3VpJYpvn42CN/16Lbz6x/jtB6Wb8Bv9ReC8AFoO9fF SWgFYrGX+UmyOlC5T86wi6oTpFXlk+Ddx+z9AjV5H9ZPlc2B2mRoBvqZ5iy+/igWGoM0PKfQgaQ+ MhX9XW0Jm/UYtvnOSGb4o8qzNRVb/7qE8Try2Zb8xIPD77lzQ7tx1JOSY3yuLLNMVfVQ5tQdELID 3l41aSnojVSqObPjgrbI6Z7AYEPtiz4TZtFGtXKO8xAdegk8J09+CHOE6VCn9zAd8ZYz1bl9KqGD msbAMI0i/b8N8HrxkdIR6ni7jpnkLZRU7Tlh6Dr+X7xoCtEWxKpomySCzS8CNkeo7jFOe7EPnuH0 MHr+cC23YFluRMI6qNJndSJhP5yhwn+mcj46UekTSvATCApQbIqZpexoXoYT3Xd+Ifp9iGB6WOlz Tm2ZEJnWMlDjyvfXbaQW6Lu7jE5476rznROFitN33ar/jcKhTuG5hbMCDMqcQUIRw/RGbItYqDEC sfXB1bGWT7+z5XrNsI5Qrq5j4Dj/I5Z7DdycozZrHsCvdAGn2uih9vxBcnp0cOCO6eDdVHXsrPgl NzZIE+44vZx8OpiMqqAs026cmomYk21m4iGxet/ShI1jN3/3zBSeQD4J2RvNbYyW6GSn/zCsZ6Mk KYCVqun+hAFDs5o1H0RJC+MdTZ7b73RQpJRu922vp/cHWbbhpI0R4QnGrcuBVzbfuxkAEWfiv9LI 172Z5dyQox0KzOSSVf2vwGNAvoeISZpFP5MSusrxlw1Y76tT0E//MDO4JJdtEpdCE7XqutSI65st eSkcc8tVEuGt+D+olsTOY3mkEJdfMwhYCZDmbkhYGcYTAINcUCVu10A6lB6XqcgGc85+IHm/ve6+ nDyh4qIkZ2JhUqTfm0/OUV2+UaFHXOXu6OPjkQO0hi9Oh85JvQyBUvlpq+z/BlCpoIAzO60z8k3b w7qC4a/AabQWcivN+MbxsM0rAKYfeSsFDlbiaeD7B3RA3jzJW7uioqur3znKM8Td9kVWpKoi9tD4 ZgHJGxycaG9Beeo265vtYCvNHnsStGmvR1WtPWw32zhtORNyUYuDtNWpVWMANaFdvs8KpQ2/N68h h7UBmi8+SQEjzY3Y7bSkLGhkQ9J67rAfg9qgWByfXy0wZQk1wrdbhE2mqrlZ+UgxLzplzw1/FRIj Xaq7Sq21yiwZf6uDswzm2TPqRAyNjNJok7GZkcw5jQTqdkiJ5ip1WyYxg15ASTE7h8aq5zUvfObn 6BsWg8z3XXvNcGbKAfwM5qJeHap2kHpfaolPnwOufyKce9V+z8rLhJMcCu7hRt9HMAjrBU+o2fXx 8kt8s5E+jBnJ8vevKe3czfNQz7sIUwDZL5OcMGwTXNRxvniWOBYH6rrpRQbpIWsCVasDAS8QluQx KgBr99JepgDpBE5wcVGbG96TGMmC4SeeumkmbZb5KYiYUfPhuN8mnJaewVZe0KFBNISxeUYW4UBN g+Lt/4hsqmzIWjgYBTgflAWoBKVDHDsa6DPi/K2k5/ixrACHq/SMelK2n7BUIhe8S426/dhMmbIA G5yrVtjeZCkDR6uK4W1Fr1R7zhAbh9EeQrWpXyxzDjUuEk42tjrP6TxBJPALuXuu9V6l+H2dxM2G nW5hdYHzMjnioRY6sBXdmzXIJ4Ige/RxVVgaRd+ITMuIcEhBBr2RrebKxXrTR4tVWjtc//MipIxQ 1sNgS3akaPizbhLrVj2FITBoNkP0uAaqpd0x2gdKC9MxX8pnwoYpvi6q9sEfNKVuBkQbznd3vHEB x3Mqc9nFmrGNHtP5xlNaNNEH69yYzHLs+lvLSiE2S5hLis83uVfZcSPa8ARCALyOfb5X645AIMWJ XNRIheYw+SHJD6KPz+e09sQ7reM0hHJ3giHeschKj3RbCbD4DR3SLWnYaycHpyfEtb0UNQitrtkr 1ux0cVazTNrApl7F9/xHt3ALkBHq/f3FsDNwrjEFbMrGjp/tze/LW33PbWLLKDVz3xlEKRTg3LCU UmWGR7KBiK2nuL7EbYgtHjRlxj+1omaduF9DHVi66SgkFmBJu4unCbbhRBZQmmQe12NBdJNREtIa D8rjZB3lyG+ZwF1UH1WtK6DXeKHqUY8h8eGnija9+/YGHYQsizAfJpCay1HV43zg2N2acXuNwQuu FIgqBAw1l15vwK7eqm2TKNu5Fwo1fYsaYHto1oXtOEWfo3WeMOakYqcLLhmkXLDX3fOvitBiE3j5 L7uO+GKs6+4PlU2GqBlCcaI3w6lAULCiymBxKZiDwPvVCtM1NpnoLFaRe7AGRy6Je6n70ygk2Qvm FCjQLqpTotlgagGzQ1r1xMW10kFBx7L+/1XWQn/63hJHZj6kG8/96lS+w1rvBm+JSXss7yVtpKHe ciwuXHe+p/g24H51Ghdqhyk/S2h4iz4nGYXd+sLpqpSOc1GdKCj/4DzVmlte01o8VGOAaMtGxy8V FnTdGNJGw2WDQJ2oK71NyiJ0beot8TR7qPJ0jokm5G2zOzD6QXbtELq9z68jhYekQwfzkITiVqk1 YXbnuW2MlJiinuzY1bzXZ9SS6Pm6nFbUHYD4C7+SzUl/wG/4BwXfUZfg9t3po4Vf6Njes2bTsaGI OWYAlLfdb/0TJKqfKIu3YIiY51I+GVjmqq097+IdOAMYLDw6q446/fCmHjvDfQHG5tiC086AVElD mPvCSVdgaOGzlDI2M76cl1CHUJqOYseGssVzduNVo5BxVNkdrSWRHIWVUpsZkR2jnlPBZp1ceJja oyVCkXoBweTsAAwkehGaTT1U0Y7Kvdohrs6g6ZRPsB67m9DnBsl2qxIsJk/pvbnOfDtjbHJbRoX7 8iSaVGbTZxQmb2BE9oMvC11KZBhvDuksZzjhZXX/RHq1tJdHEARvzPQ4DgGNshnLJNTR1tZoDSF5 Ddu5cdF944IQbNqxLjAtjwK8k0RHkf/6CuC2BZbPddY64kldB5XMaRmuhDUDRVS97EeDK73Iktf4 8HL29TnT5sKfHTeSMZu3mxD1wzoHu7MbNZbwGz6TGA4cVoTpkbKs6Z6mewo754I3bnVFdqVLmX/r tcNMYL3uJLeLkrVnkPqi3QJo7fzXHIxlXc83MauGkr0DOQnvwAC0i6zX1fvQ+T4v7UJokl2YPEOY WhTXXCf6UsDVUcUINDdMykgpsr2hHo5jNm8FKaySXCxQMJg9JvSZi/tk1hb6sZmxa6SL0TT1mAck IBBkPM14FT/vzaaph7DZ0tWAovTb5DgQtnKvBxbGdhCTlpUo/FoHJZVIesovyvruqgo7xw+GRHkr FwcZ/JWRXbKm9a+BMXwvApUSZzJA4s2qUL3KqaieJ8AKG4hp+D6rigtGnDmocPUSLGqJOh2Wa16z 7WV7LoSAP+j9pzJxykqyYC9Qu0kv2yCXIc1pYO5fX+pxSUqlsEXirLeX2tRmBveSGNhM64flu6sx 1a70l9wyTf+O/abNBendcwikO9d5iBPR2l8N1wZpB+7mDRtLw12fBi/EYciDKoTFVlerRlM3Hcxu 7oqOEWgOgmBq6oSCrEI4AcuoOj30BdmLjpIcyMe+w4Y81Z/pHNk9LKf+7r1ZNXB9T1N+9sX76gj/ mPQjhXgD/OTDyP1WeSGps6GaSrt+sjc6eFO9YT+ojH7HWj3xWcCa7XDcRahbQ/nus2oiNM7i9ndS GSYuqwhTqnLzwn10Mg7Agd8rZTy+2WZhQHVAKiNolLGX3SxWldiZs1/Zf2wNCjP4Mx14QDFsEtMZ CVARiXhmr4P1gLcDW0rwjod5NR8ppOofi1ZEV/0WaRf5eiUUlm/okZnaxJO4uJPenvPjgvAlGsyo 5gcU0SJ+xfqpbVD8NA2ShixSYAA1YLoyj9pHuL0EJFMI1IA12B9pfsW/VA27HMz0G58eIOgGfegP iEBzM4rffX+WFhTlIiKy0npKjUdlsOoL++Dp6gt9KVu62Q7QJU+lhAZNlhZkSX9j5p0uVxX4Sl7+ UzubmagGnh+kJeSPaMSQ/0wKVGxFGja1sqHJrq29OUesp5EXiCVaMbJ6NnnCfHhNFKq2yn+1qMOs paI0Q0dPqrHutP/K2aNKzH5cggMInVYa0h8FQcG2KzlwD5TOfUd6CpFQqK9PPOtmXUwOxP5nl0yo RDeAfAuByf2waOGFwBpKIf+r4U5pWUpaIvxYnpuWfn6hUWXlBHYwGeedNaLzv+OvDocbl93NtfGL /UBUaDC01k/xNzx5j2gf69A0GuL+9O3zrlOPP3MGzR3/MvRhoOSqHSUEl+fxf9SAqXIKmE723D1Z 18K36zuLqcTDDBzHcjwWEXxRzJNLI5LpXGzLYjNkqq/z43zevedcwdBm/7YJZ3n0Ew7avbCUZX7c oOrmz2EdaN4V2Qizq+TFQR7Pbu/gBkufC0/CUDWwQKV/4D6DMHLLAIz0L9j/SRQAxNvIhQJ4jcV4 oHTJAWSPTo6vIxuYldFEHPQLq0OGwRaMIKjLq2hr0W47/B5eLLFpFCwDDVN8C5bbi3LpVrLKt5V8 1rSP3xX97BAYIkNcAn9uifKtUIaweU1fiKL++XIKVJiJSueZ5A8YlRgNGtwK7P/BQdNn9yltZUe0 CHwLLToZJsgZWYCANzDWbt+RWYSakzH9sF9KNphuI2iUeiPY9yWLEUUHGUjYQuW84YQ26s5gL/qF RrdOBzZ9Awtb8zza+h+J3CFU7L8Db2dbDGJ2uF5jnRSs/w7OsDPHaM9lcGkD91gskd7nIBufVZ9B W9fXCB0xdhDTxAzHAE2uMHR1zozKN3jupNzRBCOvJiW25ec5+gi3kzNF0AZA/rsVwIITW32HqcFX iCfiEs3WeXJL7Y60tV/iSlvvkJiVfCk2QwDKCblHYeNJZPTTeJbbXjygDMwK0xRCsENcV7MtRsFw jVaScYb9ZfWq9NmLiP635VSBfGXfN40BmggDqtRawmJA+11PRz5Ut4BGEgS/aAU7SmBN1+frxVZJ R0/YSjSvN7u6nh726alsZlN8G1Zo+0kKjNMCbY+JGyKC+kTnfAIFs0lv/xFpqVHbXWfZXgJpysgq Hc26e7UwXrqnPISiY+6lgBI6zpHW/ptsGj5SnCiN12F6pOTeQmb4/zGow6uY6L3GS9K+pZ3lty4R G6VMd7rR4bKqZtiQv0OP9Z4dcs288gSK7G7aerSEzcvwzuKoSULrtCGNqRaCrUfqNCXp9yISVYKk jUgs4d+ogGx5qDrDt4v8BCnytarjyTWJ7QTePz7HJ2NycjePv5ato8wDkoJkZNwzrwUTHQeqqXMA jl8PBFSx2dd4VFil2uL0TMi/gkHwltQymnpCNvR2FN4CmRibpsruucAXq4OSqp5H34ZfUgzxgmSB wGSJEUwDrtkIZ10sZc5hSTyj8W7F6yyL7NzXADYcsJ51yNLpgxsn5T/yVz2aYemn3ueA/TxLONrL mTKFHQoyq4W8m9zbmzTTzad8ZHHxUHsCphLXy9IxFIARVujA0ZX0y7pnsT7kV2e1X7VokzSXVbF7 nyXADje5tIR23u5PFZiIwxVSewoLIMCE5l9Qe4ggnKOes12V/uXaI6a9hOZIBvxuKcoacglHzR5K 8xIda3o6On0kdCPQK2BsKraJP/2IOXW/e8d67c+rzrYNSwF16aAsVZA0hHvLZ00rVODj/lqw5UF2 11l3WHtNpQonChn5DqJ5nlYStc1AjpHBOWDWOXt9bEcE5q6zLQEK3FZqvPyWqZmvkr6HCTEt9CRs 8TuCl/Xc56IqtO0rPiunRL169wMtjFY2a+gPNZvEJJzuIaMdZgvqfUbjalLKQ2TvF5MoHtT97/FQ Hm6TXd7y+nxv6S40eOWTgg+f+45N1QOp/Q1zLQ== `protect end_protected
bsd-2-clause
fd2d4bdb7cf4ff6b289e4da98ece0101
0.929812
1.888939
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/conditional_waveforms/rule_501_test_input.vhd
2
400
architecture rtl of fifo is begin process begin var1 := '0' when rd_en = '1' ELSE '1'; var2 := '0' when rd_en = '1' else '1'; wr_en_a <= force '0' when rd_en = '1' ELSE '1'; wr_en_b <= force '0' when rd_en = '1' else '1'; end process; concurrent_wr_en_a <= '0' when rd_en = '1' ELSE '1'; concurrent_wr_en_b <= '0' when rd_en = '1' else '1'; end architecture rtl;
gpl-3.0
fce38854e6d1ec2fd5d5dd313c3595c3
0.54
2.564103
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/fifo_generator_v11_0/builtin/builtin_extdepth.vhd
2
80,613
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block ithPwBLaArZp8rLD6EVMUzBsrGytvRW7U/nK4u7NvFJ3EGP4tLy8zbAOJzUKNrCpzIy2cMEkYZ3K KarlxC4ULg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dsQVG8E4XO075jawNpn6uaz1xTbhTMCK+pCYCP09AZN+ojj70HJC2aENvX4Ih1oWYv+vQN3WsK/s cOfn7l6uzpuL0y7bsYl+Bbg6SGZxd5wVUTtFPMo9XD3Pdr7vakcEbhw9IEbDFmDdDpyLLnmRlQA/ 9vg/Rtrh9Wn9qtDvvAQ= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block AUTH8oyCzDOJ8Nfky9vq0TQzxFAOrAOhks23K8XXwNypIk9QN3zkXjI+nWdMApn9oOoSDdyeYzuB pOP4Wfhd9Ik4gToc87OeixyoSOcHZk7eRItGqfx9Va6wQQEwICacydqI+O7ubb7/yQXVLyDaLbLX cF7dZ2QfHMuTxpxFu111JvuzUiT35SU1hkD9pqnRMExreGAvJvGMjiRLa2J1HG3PGqmFXdJ0Whtu AdzgakWVWv2MJjgP17tlQUkMTe8cYvBbQbAf1z+ROr3kT/pz0SxF/TiXFpo8AIONazOiwgbkGYlf LT3Q8aohdjZynjnw3ZHBSalop+pdZWs19ACMew== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ZfeKSFbHDSh25DWp1zw6cvHQBDjETcC8ASLSUFL9mVgIrE12lWeW+oicR8YVPtn82y/ggOmN73HZ PYy6A4vMsqS5e3xoez9No4KaVbhtE3ITIS38MXDHRWsP/7w86ytAO7Bjb3psQw6FEBJAYh8Y21zo hSxUYABESoLapRpa8Ws= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block LAwR8mLJicxqc8nCFROrz+FgPwn8M5hHBddgRp1BDOQCFiCGonln/snLLuCcxe1zstB+8LOLhsjf OOqexZ4j4S0mB7iurMeCx7Y4Kjn9bt8NtjXdnkSPRkJfD/0ZeZ+DXZud+Bh3xT2rIlq5rrKd2C7s 8gNHOQEl5D1u6ESlOPstTh28VC9h/C06fL9XVMPbS64KqxjM/x8f8LDW3SX85bCKL+FtWfke+Pyu +8wCMLK0Ra5hxIRuwqqCoWEFzvcccG9Roj7+N7ZfovGHXlO7F7qsWLY4MabLvLGxyxqc7QffK9nB GZwBLA5ppqAgfe7tgapC2NYmb/kknmvnXjPbVQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 57936) `protect data_block sfhnNB+Badg2PEEWzMpFOyq51nNMbBQFCYqAAOclKTjESXkXquBoOeBYOB3al1Ea1GGy00KkVnEx o52d7UzPhW6J/ieJlVNOpFx7fwbnHm3NlvHLK0IY9Ffppes+kmXimDPgr0tragxlsww3F++M7UkZ TyjWrCQtVzjNgpqa6nkO/RGxWUiWZkPsZDt5wbT1t+PR5gYW3TK7n40u+svwCQ1Uvxi9ZfNypn+o Kjso9s+lAHoND99adFEB/0V+0MQtD+Jk0ZQfPX8drqsXr3e8sUhHMRGIwn74IDI1pAJBUmqR06W9 m4XDp595Hv1/uaM4kTk/UbXMjeDNB7UrlxtbRNVkxnaS5Qt3maloPUh8W8L6x3TlELvJqB5WAc98 VVxTCQzxZJVvymKhAWkZQBVWgrou0fS/yCT/epLGh9NP68QkRtREbqXw+TFKhYTiXt2Ns47ms+zJ pveXCaR44HRU57UgJLYO6ZEV04l94QcIGAR/D66z+W7P8Av8EhqDW6I/Bh0lk/ZEx7Dk8IKHq1S/ j4q1OkQJP6INjk/Llk36rhd8tvXgsYqZRECIE6OBMH+BejKnzEJ1bqUmQSrNpw/9ubC/xyxi9ufh crlNsjEe7/ZrSAZLObp4JIIZvqSxTARPDsDzTxzih2xGKwVqobrW25/WzdL+oLkVfiJLx41J1KB9 b0xqAfKU+gH8btRNwqnbyDWtgbFnVLnh4z1h07mm3wBIyPiP1Dto5CIOdvQ4Vkl6EfW9P/Own+od nFfY+jWII5jtqp5WAZUKsFbfMONWK5VwApPaeXrADqd0oJIgOkddlnawAkaGjRu8bFMgpoYdLN1Z rkax5B3wwTAQJk5IGEuao+xrw2H/YlMaRCdX2iV58U/8u+7V6n3EDgIvzuUrqg9USoGarAP6HlYT gfqHxYKkHq+VEVpLy+POyFeGnVcast+t4IupJ9Eq7eWUSaKC/zYQWKSHUR6050u9MRqWfQpxA6mt ywpIQzG4kYAhKH/k/TYR1YLhvBl4jyVjGgCcfy1NG1VhWw2q96+ctxexCQhpiN5UuLgyNairt+9A FcX7BDFWx8bGDeAueDoZHJZVdiGnaGrqC2I4YIJMazX49LMIoCR2BJJi2ZKBvHe+gExON9HDCq4X FaGjnH8Eg6oJ7VG7zF1+t6RAro3q2KPlWk91eHFXParA/xRQKpszdQXqN1+ovSL0xG9eCfZWUAOS XytNMvb11HGd5odyAwZSuYPoGgv+A+Fe1PPtlKxJlQBh2UF/CWvZP5lfhF0p10zbqo4Sle/Ynfts gLajvuVG9zzit3utXNsxuvQnWCe6dw2GsR+l0OaglJcSUrycr+o1yzJwe6jFgrqCCsrKfUlpLl2+ Q4TX/N3C3XSxFWz+OgfXkr65LbJXsflj1dplY21clByXnJOrugxdsFlKwDvMyQP28lIZ4Wzc2vvz Xwm0wcE+xr49n0YDFy+xF91vBmLnH33/cxXTCnh1F4kstxvdK7vYZKPZFF0q0giBYkWs5C6dpHt6 zuJlD1iS1E9SI+W1zGg66qTl8ftckbKy4DcXHdzx14sgFS8XzB0jBbn9MBko7yZ/k7l/3PwLAgO8 susUmeYND4c9w1VDVNmUx0DxLduDC7j2AU7uwDnfnTw7Ao5Jf3b0xBygY2GH6DEIDPeF6gZiHOS0 KAOQ+6XGzIbO83xzk4Ax8898Caq5d3SyMJ+8O00Cd0uSkEY/wiF1JkmXqfK4VR1dDGaairZ11MmM s56Tt8N+0WbcruMea0FY+ds1OAJ4I1GYQTvc09NyiXfG+iWMusKAWk3cpDlD5K92pRCjWb5Hs24M 95IeRmMadA+DaL606qGXoFTchzWHhYKyWksY7gCa1TPANEpRWAOj651UND+TO+HOTS+beZudtDM6 hk1PiXfdMeY+KJ8td/WAuCnFwqcvQKfkbJ9iTR8LewArlXJpTzpJvmlETciDiBkwaxuqC1/NBR5F w456C+X0HORxTrk8xhanyOEFaun/b7w5WM4hOBeWv/eypnDZjGdwuGCBKLMTqaik6xbRuVcvwhA2 2Gs77zBZadDcN3otRjyaifysOJ0atVl0lS3CfIree4IJyXmqmPnR8X6pMaRcAO7F7DQ8CBuW6ik0 ugYBZRMd4ih3/8Hi36qDQT3eoCzH0Bynmba0fQQkX3tAmUyrCLsSaGVJDMOocKtGj8rvhaHaw9WM zwitPgF58V2dSGTAxxRPSBOQA4JCdZLFPwPcaSEyXxe/zwHOneB4fuDty7HC40p2HU9HVARTLkCP +60Zfie4wa/KJ8a6itzGGzdWEFfbU3DhouQ+nkkaq7NESu2OkOjeahFGamPZXUmlwiFQYFSZvlGC Mp12lTcFunst+2kehg8ttiiu75SHTkTB4x6vrSxlHBkfRWT27KR+7CLgZ0zvg3CPP+Ze26NVKcqp SFanKzs0MhsVixXd4WBhhAc2DTBwM6u+PGl5rOmmGxDThJRl497s7JdR2333ArnmF0M4R90xjEjr +t6np7QxIi1uQaJJeRLM3/pRfNeGLDE3pLf1pf/yqhCrMxrDr1sHIM31LuvoixvvlngELytFCQVQ 5VZDsPBxJlnRu0H2BTrwbBPErjbMarBzSmtP5UmVPaxzgwM86QcF9CgfPLB/hJTzpCB6kXY5jruI 9pNoXyMEB77QUrrC70IuwxxLWRGieHoebZWX03x7bOGpdHRS+9cALaOWXlNwFDmQLbkb7g+5H2Ts gqvyH/t7Yh+wDYLqvRSSovm+r2G4KIcKcuWuYAfcNY61TFHAVrf7a0HDxdKnJKhsjKaWPzFjBS/i JlPVJTqyE+FXAZ0klRAw0xKpF197uHAPu4QYEzmIHDPYPB57aNmHtevzIM1GBRONRsyahNnGLYKR 5as3Z4t+ciWyWBxIJ4CLUQG8+M5jIUR+BV6x4Gn2DPtHFXmRigP8rK9gE6ZFlmYm4n7GHSaIkXBT tGBwuVRnWwku0HVQ4AOaNN+bcEVIOukayNiRlqc9NPb9rsQLLg9lc9Q5SSgNEe7yfHw37gB3KcDg REZbhRCZq13LoYKn7+rwQCEO/ULsT+rH9sZhrMeEliqE+tKemtL4P9mxGq9GKqcnXRpFWDtZA5QV WeV24sr/4woJDLHHjOuRG4nNaeUUqDPemh79Rm8SwtObprGVzyNeToq9UOUrQgTPQ66FucQ7dYSY j+7MajFm4VAWKpYwvlf3m283oBK0eV+aryo45y8+A672Hq7hQTdiMS1+PyAgr/l+P3LI8x+T5LJH ginfKkbHqOOmbvDOYEE2Xmql7V/TXGYHW7hcUVoavNaxJIu1OqmO0UFRUSP6Y68/hnw/K2nLI+JM K5bE+cjK16T/6Y/+8BE67gyRDEF0wkdHEE1U+1xh6ILyZ4vrtjguwaiKv2QyZDwYSitJs4dKac79 XDJEmjVIISy+x6zc7o1FbgOm45NocKZoKxrXbA3hpa+pGHPmHQ8VXmwLE/MonrYi7tI1aR8sGZhk 2BKohuj2q2+c6mbMA1L27ZyXvane1hDGWmUyr3CD/ryNEKOYxbtTj0q1oU+g42kb7UW5mP4sve+l jq5114Kgej9KPiGfeCatQKKZWpebvdD9Sx3nkrOteiQfiKuFPGtZ9SUQeuBr0esRZwXa8raecA1i 19SLImEo+sdYCgeZHxTG6ZAwnRGpQijzcmqW+2mddDtE2qpkIBzCEJxSA/7yzVY4cr4uF35iD9Gd lj/HbxRZRX+xHMV2aDyrfor/cLOoha5fBCZfZS9suY656vC9TpsvifB/OYCtCJ8FSRUItssVsB/h XeMGZf/hC06f6VN9mjW8GUgwQEnxRlf+LQiRZUP0XO+W8r+lWmHsLQAg9cVQHX2drTC6zp7KQESx MS9OBb9eMObs0VVAdMcoHZdA4//DID3PZ2ut6WvJAMMkTVq2tr4QQbU+pemolCJxKg+WLAX9hfP3 jxnPdMSPmxhFUbmA6lwPsueko+NLhH/bSFeQnL76jemNuxy8QF03RDK6SGvJQ5JsG3o/597BFEJo vtdZOaumz9elG8N2PXC/4Orc89jC6tgZbBMJ9AJO1mnOB4E7Pp5qli5TCzfd8Di+UtMl2QDGch2/ L7dqjE+HSBMfKJNnWBQrCxL+PjdFtxTp58o8VcSfgB/MvXueyWpRvmm+ZtNFIz3uLX7djN7x72Bt BWAY405lolxMPVrFu02K/sy5p0fmQnD60dtJApN6+3fUwObcTu1Rv+tvGVJ60yrX1uck305B2k1N BGENV/fiJISraXL2Cx0TiduDLSIoCkxF2B+dmeMTGZkoabMWW52QwkwQs6B2P4kwIh7cp3SlJcWc tS1a2rO85O0t5KQeHky55u3tJENvD6fCZvtZKHf/s6fzOIqPcmJETwfTDEE4b+NChLZMzC2zyOC9 ekiEvCmg9F3fUS+kNnRW25vRubNE7ESBcIxFVD2T2+RGHsH98LMpvP3nxugYIL1iCG1EL+2Mouc/ OF4/lmnF4K1CMKyAPW0fPw7KC7CSJZ16LrHwqGaLFrosLcXKGLcsWzG4CiMrdI2dRlRg+C1DFlGg YGkazTSl2Zu5UOg2li+1mrGXGzYvDiK7zhEobyhHHUT99Wy4AOxki7ooVseV7fj1SnVhEDIUqxIc N4N9XotxDhSktG8niOSHOxp+Q8HBFI6m6zRcpRu35bLpUxGRKHZ8rE9t39VglbdUvufOShD/hDRf R0pxmwDXoxNyFLgxtp5Bq4Ren/GBFQY1kWVlfbU2avdVlJdgjHRekETwZ3jxsZ0PlOVr2sJu9t6F KyVIK/swezcS/AEH9jmcP2HfyY/QH8jySHkCRUOXOSxI3/IwkxfArXA+QeY+bKfwC9MLkAa0OoRf prGfpQxa2dXUoIcWtVhnESY46amM3b7LgWOUSMgPNGvT1m3usi7FsBHdm8SAL891LdEFH6UXa8Er 5MLQ8Nbr6Vx0X4gKuSi60PM2kOwRUrtVKINF4qnQS1nglFINLVTXEHyfKtA60RlU3ovIifgQhvt4 4jWybFbiCE9t1sPhN+ivZm6lWZ95WJwX5Px2cXZ4gNapaxrtjlKCJfYsZPh2MyNXETOWnNeGIQH7 8DWaCfmI18KPQIsJ5Pyw43R2giD9wJUq7RT36IA3GqA6Tqu2Xbv253oEmvTg0ebQF9qCLVj9HbQ1 lELbJIx/yJbXPGYd1n00tnBWRylGlC18+QR1JS923Ay3vlYsCl4CL9FM7iwDa6AyVQRZhbYEhaVJ 63A6ZJO2vD/oAk9go6lLvr8DF/gVNIw3u96tnlqhv2stw0V20UPzafocWnLRDVb3n5GKi39snxz7 FaKIN0I2L1q7q9X698PpHZPuaykoxhuNdRcyC1rxLv0zOWqP3+U26VW4tjyckjeGsNbcaQ5hTaXe I7KFIj/tkDfMaIzuWLvTo+y7uGATCs+zoAV1GozyzIzO/NeZDtCFkKOJUnBHVGkWTuznPKVRSFfH F17nwbQRCQrR4wYixFBToiANbhKQkaGmqCXIWblurNVgaRSOZ+7cwLSbb3rV1AXJU0M7hoCw5TYO nGAQymA+OtbXmeJYfG26eVRK3rLtqXmHe+kH50nmcdjgS1CQdJs7SNCt9h9f+jKkAgejOG0omwhC LuD2MTBBeoJv5CF3akBtaW7fwUCvtS8eiCpDp+6/FrUx0RfZOAFbpZ+R3fN3PcXL2vH9jI6J2i/p JaXkg5rsMWysOjF7BJp2Hl7NB22d3h/eCxOcFakG9zVljL9lljDpH+tZ1B5i4S9/PWgda9jHW0th 3gyjJdZdsGylU0mT8aTWh+mjPshWH1hSFhKpIqCPp6c+1Bikc3ouIxM5tiDGrWXL7M+HWtd3zJJv MzplF7CoX3L3Dei2Z8W5HTCEtJaquF87APeeYv4fNyCTHdSmxTIZ2uACo7qGVjzT+ie6qDNwPcwR +44dmZMxc2HJd+tsvvzls3U65WKtJrUzXnwka+1fQaPnE0+aP6SCJJXWd/ysCO851BLSwkpwK5It 7qSrGpud20lrqBuYbkOXGq2gK19JefxgUOvwvEVlPWKBY2Z1BKudvvfmTtvnkq5ghqde9QHN97Fk HNAR4aT565FIytGxAWMfJifW56TD5bmioH1Qyhc+UJyr5gRE2/j19bB1gkXCoibXHhcamaRfntb1 FY14Xs1z3RuqY8IhvCBR4gSnBrFqds3EE/HHSPwV/9qJ+oSnuos1xVUZTa0BLTJFMH0p/+8j0pJD 99BXUAhNVLdjXjfaUqAosCPuXMeZZwhcunJORV0PZTmS8CoRzQdMQ4qEfUPeG4umNPdDWvblkk+W LdQ4++2bnJ9l6DoG9rCo8tAY1B7RvGH4D+k9uK9Ca6zOQZdwnrav33glQiPsIC6Cf0hKxAZC93nu CD2F4Z4cXP3j3bUAagUmti/RFHxGTCqsbMw/iICrNY8Ce+OIOuEEokJ1NtjdFi210SSQxiG8dtqs IKkBcx+uqnaK4xsrN3bWAlkpm8gWkfSpvy/TvZyPkuVwwSOb8vDSGAdMSDFhD4/uWTPu11q/6KO1 hCCYA/W3caTd4Ei8m4ghjcJMvAFdiEBB3JkLbjecGshWwWGJ0hA/4b39xUv9hMglibgHykp+fmVr IctVEmVtp52eJh+BNixh7j/l/lFEUv5G2R2Y+TKaP3QgEkX4QNYZ6FqShYwX72SPCkLHmXo/+bl1 8RZ3dpWcveM7W5ME3V7Cnr2dkIeh8bYlrS3EXX643lYmnY9mV3HwKXdgcDq3DqqMbE6sKp9Jtfo0 UgKvwkMnBMUJQiv51glqF/sWHiI+jyj5miIhwakOCWadh5mZeGSNrcy982yJg33ZyrVjBJOrEkNV 8n7jUt2JuLc2tAOsfPEwESJP6wgvmCtr1vrtZ2/0p/Dgn6E8UY7yu4m8pSYov8W8zFILFYv+CwJf wjmMLIyxM5Q+q2EFpoulxEjbao9ABJfpbVsrkJwG1ekcsFe0xYLwYwzcCSp6t4S3COWldA4ir2LK Ajn1Rjong9G85f0+7Klgpa7WyaJf0HsFykur29DFKE0N2N9uKi4fWQ+u8VU/Ea4zoAbvL+CwWPIY P5FO22PoZcZ8C3LzLy8D0rS/qkKfm9hknAk7kThAu+RssE2KRswI/NO88PBUzYxNU2x3RiWMnglp PHJZc4fm6N+quv42T+oAqk88ZiQVAHIThEyyMufOwsMNTn/w1JEg6mubo8Mvf+siVeC2RGVaLVaL 2xrzob8pXccWmUXTHrU7vgGcZ+2M1x+oL3F5YdVq2MEyEzilKPAmzKjbhmKhEws53NPNroTNE5Uq g4CV92rf/hFvY8mm/na1Sxz5d+c5IhIjQwcGbcqWgnpFa8Jus8//N4v9Ub5LR4n0tYnhgbal8qcS M671iL6O5yNoHMiTSyJvswluEmdfVDHD7rS96Fm/5THWtxBhRS7CrSZVwmO1qJM2gr+/KflrI36a GnGqmyH7s4V7QXmSSHANy0eC12JhI5Fvkk3usVZoxPEA90grBNKE+b5oL74PY+VLM97zAr3YC9rW h1QiS0X6OHWT1XxBxOIYAIwEAUh4FgB2x5AVH7MJJ0cDzsD82HZOdyyxndthoiq0dAm0hHjc4EeH 3lYmySLBHlWx+spW6op2QqUs91LfIGEJtR1e8ScmdBfQBiJiO2+YZFq6B1AB5dHTiakh7RlonlnK jZHl1qA/0fwYq17gUaztWo9KWUHMaHihzWu6j6bBiqZgc6GPrtc1Mz0880S8tXVL0ceIyH7Kr1lx myA3eX3hLDFkb7TuQw+hOQCOm/n7Yu3++xPpIv+j1Yn7j9xbBd6bRbalEqg8eyThQwPHA4CHCoEJ VsbXgJod/5ZINrtW7oq8d+LZUY8mIcmW/kqWx6HwzNP/Lqn8FhXhexQZ0Lp1gYD55FwXPRBOPqTX YZ4IUcBwzzW8MhWrV+R38jRCFvMv3/2Hf0DutC6hyY040UEXQHeuE/vm9zWCS17i36kUaq/2W4e+ /FSvGTAQZNej/FE+c6m4n/UW7y9StcF0lKk8Ltt+bgHJVMW4uLyVeg9rJO2SlwWws0iF2I3x+5z1 Tw6Opxfq3rp6M0oHl/7/5ksk4XlG5XRrNVpBlDEsYmH1VNpkbZ5ccIavRVZylyyP3R+mu+vjAfQT sQKbvMl2XysEAOKcI4ugW34OXjPyYbL+Q1xMEuh/Pc3hnuWzdFqQGZvmd17D8/BAN7iB/XqABOHR lauFOknOAtLhPRUVq5+PaxyEvJSXfd7Oc95R/n1/yav2sAfNqkm+QBvEDjhpXlww+IviAtaO81wd Q2ZJh3nNpoSokFjQXMdT39IMUZ2tkT1d4nvB0FknbWrNUgDtJrX3Fc8I0xfk4m46DnTyi+89BHcU nopoqZQHX2L/nvODVopGdgAXWyjAdFMowBcWWv2jHVWrTO0swyQ0L4367Czt9qCjtfqSIdsSK1ff F+hCmx+WdJT+km9NLpnI0DQSBjQushGlsADzqH5qByQSrEWxlWsDhHY+aQY+SRbzxGbSUWlHyey7 J1SoUn6GWVeMkMQ4xdvcOy2dVUdtXYA60YM9NZA/aYy8itnsWV4IlOjLR45gdALPRrbGhZkiiYgQ sXAabv2OM3tdkh+lLH1ehYpQkZM+dVwkhgppWCeKGXUNogfAxeKVeEkgA5+nzEUieolvAQmOQzJ/ /Tgtn9p0GoiEEjy0tLLJ5YnOY76hjnWgl7cQi2lzkql+pHZvITe+Yv/a3VmkEti7PiChQtwAjDGY 5jmZCkb5qWYuPz192fJO1xg2xlq6GBNxwPSEgN0sWKmLDSifuj9xNy+sZMbfxL8Q8MKZ1fqV5xNz aqzTVGU+chUH9VxsR2OfnWPfpHqQxtQ9kXj3+rL6W+pO678nsQTH+rXxAjzNsaMlAMYm6fwcO5TC vBCAnqLWN++UpaIDzj1vi8FeoTXOqBHzk8hPSTPXtUmJVc3MBalIasstHyz3eVarM2sctX4bmVyG tbx4wMpY+6ElYo5ca7BOmngwPYiK/QIRiARJycja3yDoc6VY/vaFP8x4J5skqkoZlJ3ufMTC4VkC ck99sRwSlBojlgnrOViNHe4+ZdUkl7X0y82JzdPBr5IVstycfUKqf6AZq1NTveTD4+WVV2bXVDS4 XPXk2eWQMNlXp/w0WcIyDrr+ohlDJ4Uk6vc39jdKRoE7e7b/SqSGhkaTczn5TUKLplYxI6n8JjB2 hXF0SrIdNc985FSYl/EwDGUmawmOrFawtNlyCbih2YdjEtwvA6iA2/iwSj17wJooWir1xoa+6AWu ohkDbwKNQj8ERM3VxsI7Qvosasxea0vQt+IWlDT+9YI05snri7Kx2BO8WlRnWmc2wpNrkpEOTEUa nfPb/No4mnQ0M2sfCIvY5ukZ/01bZtS1q+kfTk1Kv8eTdgEPjon+FXZcPb/pxRT1HbQXMyF9aO+E ISKL2sfqfUaY5k7ATlnUkC2FSApUlcpmguImWdsXJRUMfk6c10rZmlsDt+Ltd3H4RcU+85k8zxup FQ0jbM/DV0VfpBsC6tXzbY4mrfBaPEabHHRA7AFg3vktI8NzFMue700GWx+S5N4yrfWM47Gu7i2j 0u1m2IsQ9HwEQN0mWe03B0YJVQAqczA/FRYBYcx57Lj17ZemxHbJlZauQeFsOahfc3Q4Ws1VOblb uIB3f6AfjZ7ic81gV4W5a8Ft5jHVu7udIecYMiLXIZNIRcZabdSxMlGB89sZDfA54jd6SQYiTwwx twUg6DhwaYTxxB1shT9XsnexU1JTLB+kfMJNB32HAqLizeBQThabf/IIABfRkLfzUqqkfFdtr1HA npwZaTZnD+tGnOWEwLkeyVHKYPWIU4G3woEt0z7xc9X0tj5S5iL/vkTZmgn29fBezPDPhVTBs4OM xwSP8x0MZWDslC97PRZKTUUKErAahUUyQLZseiHH0KJFbV4uotQYyQRgkN+/2IJ/t+4L/LZhuLJw FljmhHsR3H3SDGpMzZJ6weus+clO9vTvhDi7by+iHzPis2JPwW3IgMQUGV2qRYV2Hg5GWrCx5hYg dv7LFK746rSfB9GOvvmtg6xqraQN9XfUEEuyXZ+gMIleec3GFDtA5hitbDN4J69L1arUDN15ltHg a6M5z8lqdeuIL8ZeyzJFB2r0ewUoYrzilbbaIDG57JWGM2gRGTtnNKEZhSg9p1ZxXbEnrCwjOEJM MEyDaMWgmhLYx8TrhEZl8Iat8U0goYpMC2rsxcYJEFswZE4ybXjH+95falrpRiM0i9wgDZ8YUd81 S1iQ0d+vYj/sBqFwdvUuEWoxaLF14EMjSY5mtkmqJJvRAQ9cFftUPcpj711DqKjOZ3DFUMFqz+oO evSYUIqOsyZaf9QEjt3vHxikMWhOKIlmYYQ12cNT2bt00OpEI8+Ajn1v70mSOsZDJjluUo36mLxc rnWPa5w7kfXXAZoRMRmShv0WpoULKJ9ETNCh5OpCfUv4yaMNuBagoD2W5CrkauvVZqDlQtwkj4sg ChiQRyDck7jypM7cd4V80r0IEaQ9nLv7BBbsOHg4qy8bkfvVRKGOdN+rVRaVO68q9iL0EGWsg6gR 16umyCs8427VyhkhyMuA7oumFsn13n9CWwqasSM+jFRGq6w9DrsErVq0b1gSR2y2zvK9WV0DcDU2 s1Ud1nrCxthY/ENeZkwbC5oA7Hgual/OnGu57fk3AbU7vSuVLNSK6Sru0/3ePWpCI16oG2Kfxpmt qAyZlZvaSwXcQFN6/p9JRycqxVmp3cl6/Gzv3C6hL1z3HsyIBDChm4X+22tWVEf9kEvawc4YedbQ KL/K8kKx1Oaue0oAvLRVKYnqRZ0Fxu3uq0449ghanzgESibUE4iffzjl+Y5yb3F1g0nR3+BBDjMl uXKKAxYLqegjGypaHrVf1CzXOQXDNQ3yQsWkQHNrfs+nbUGAAS+IPbLjCBeI9aOVv09YMCube9lA 533D/sWzdC9HqK2Vx9YlO83yqe5TvzhFngxesq8SIn1c2cnc7XO+lI2q3UZ1bsmdYdi77pgBK1HM /jF4zG2F/hvakbGacJ27/VCskLcqCiuLT+sqsihW9eWmPnnXVup1x6HwhfD2Lmd6+pgt7ck2WTqo JUbjffaN17AD+z4GH2Ae7+emCuEhwRICqFDnSltWpLDvcSBqm0YXqI3R/fV93SMa9yaufoMpgOuw 8Tsxl5sybtneWgb2u76IMTZ9x9J0ORrQdhA66qgo6GwTnUsvKisuCsTwsUS3FcN6XVf+xI4nwOf0 hgCFjPpNIDv9RNK7wtOLPoPXqFgddaGssSlcO10jF+xxrL0LJZ3s1f5X8I1CtMb9cCGFreHEUsoO DtzGXuCcRKG0RF2FSO18493yh8f77GZaArErELyHIprlj90vnFIezEnAizd1Cn4KXL9iBKpDr/jq bMdIX6BsamtXDO5Q4otD5xo7Nu143bai5Fe31FG67DejStLYQYH3B2FtgCCHxBR3h7hqm8hXl2VI /6QV1Rv2/fM73PZ34nkQyjKLGe48ZnLiLa60pjH60p/OAzyIbka5LZddNd+uXhRJxOQkhRPuOcCI hirbkwTEhg3JXTd2q1EYrCVC96zfOWdNRsGCYkjEpo5LOXt4jxRcimoVUJs3hKgFozZ6LpnNY2T0 Q7+9vDMrf8PBChVK4jM+TLhmdXwSKxOd3yZTPD+7Em36HVZBnTuJJvVZZai22gMl/mJS46io57Ei zGUYaBYcezHLKaThEubXWQ9NFATyXLCue95m5qQDxnc+noxQLijDAwnxgoOhC7VNrTPZvMCc9YQh JnuiyRh16iTVtAtQx0cQ+I0Sr5y5YSt+ZL0vOuDISCdLBKjgwbTL9ayAKY3/c5dMqX/rtGWJKIwG 5R4uYVESgD7NY63bGzg06pXzl2vFWLIGcUhW86tCLYTJWaI0mVfqypOoSnsMmQs25nVuSEKmTCl5 VzurKCxs/KuejfbSHo0NdByJAOsssGB71maJ+n+A8U78yy5I9GDxAezv6MWopupFBsfJ+DckDSHr uB6f2/8cWm/j5t+Gu+kj28FJiyQlgQQWa00X1sy5ZWX+Y7u53Xoqp7CqQNG4OiQfJtPpvK/b66jG PSqhrSrQpgVxcDeh5C+p3XC41+E/ENQuzbJOigqd0ith1g1ZuyPAlh/8jiYaZ7T67t7Fnx0l7BsI Zim3PwlfFO7KopWo5ZogbqumOKlu5+66A1+UZVbDhTDnRm2/p8nXsxLrXTT6RYlgV6P6rVemQWMO nuO4QeWseCRAYLiG99Bz7jBcvfbkBQIOlNwhlZ4m1uP8GrRc/aCzZBEBqhoGXdlNE2lbpD7+sfWU n//AsDktOCAljRVbDF5riljoLytebb5hE3Y1HbYk6lSgbZDGbe5Wp3+ckqAPrI9kF2nacHvJ1ETx 8qMbBO5IAYHulqltgGGqFN1V7r3PKWc1nmhtKWgfR0+y6Flhebn3cEiAJlckGXPj49eEOP4i2bBc iTlkSIPsC6v84qpGl2uhdMMOKQkXM/RNXfwaIG7Sg29zJe0K1mibrkCJ4DqJ98RaHYaAlf1L5v0D lcGeRTVuFJaKAxxL9oEUTmX52V15lYWqXGsPb0qlSansob62Sg7kg0+vuz5OQhPlKIXjzlIIKlA5 rYTp3pZU0ap0E1jBkk9jQfC2rnb5aO8nnRQ5lcmY7AJl0UG8wQAWlBGyPn5Jjl62qOby0Qw0oJVf RR3cC3yiE68zAI+ODu5aLA52RM8jkei9ahH4DcKHDptaZa0Y4E7wRIWTcWCNHyywvSr69p3vipdO vNZ791HVCIknYF647HM2H0wsgdqmxjfj1eNyqhrzESSQGM3mMxaLyRQP50xiroP4PCvoeyA+QsPp 6cTVr8/oMuq1FDZ9i2mELAJQyxS8Nrp15meKMfCkEd9TZqA4eryjtLhQ/n9zAT9I1ABL63MbTo2B he3p5VqZ+10Qy+Qi7cAa9Rn4+xAudbJN7zSQNw9njKpsr5yjR+21zRExPO1Qduz4dw2ZJfK2Ffi0 CbWLQkvkTVIM9uILN92JoBDDl9pV6IDqXTy7ItnYXD0kIjbajYkykU3YVSlsZavPNV+kp81hWgqt Y599oJbRBSLEdHD/ejOx/p6sgRZikZV8wJhSfnbkvAZqbdkNCkxHIzU5y5m+jIEgZusFZAdJaUS6 US8HBfjau1t9VCyYKcVMVtgRaXUbScGxQXoEwMgonugpRj7YLguwjyEn4vOspqWFhLJfOfIXFnau GS353IIhojPNU6X8K9wYRSYhWi9kzqsZlcLIQyqfX3aVWju4er7TlE+jUwPkXwEjgy5YrmL9Ov18 fNvaGkdQnSghloLglDi10yh4aL62OAR5o10+Yt8BmppRG/nqEr/gqIb1fxCbBupN8uicyu/CUWIK scAjnD+I60aVQg+nlOPKbyEAZgQALU8IdJyxAHmYZtYRLaxlDsruVBSQ+5qGu8YrOXXa0zqJL3WO zQ3WmApMuxhjdURAQyrRJ3gNsooHj9ngqnmmIUeU9lLDn6waIl0r2WerTzRfSuPK3dYnI98PF46H CTOMaOvr5gOW4S8aWl3GoWXmit6Sp4iq86s/W7+yT73zntaG2MDTDrElNav3HjTWIJXa5F54lpli gLJerowZ8H6q9eKr3DAOlfjjI8fCoD1Gn1d5GXQUKM6+mcD0uiyctZ1wwyAxTnZ8v4WpLrMQ7kVL ATCgWqSHbD1ELPgdpWqte2cOMs3/0GOvgawOd6cjCpUO4Mw4v/Ts7t6mBPS5FwFD7TdUmyHRts06 CMmcF2N4yQRd1yCUg2SYTmsCIY7eYp0qoko67QoIXNStd3Tzpo+pBDhn1dWtrT90wCOeRjV6t/ZH vv3DKSaNDWCpoJESr4IjkR0Z38Q+8fR6jFqMmo+PfkPjtrsdb/GiVGYd6pu3nVaOqjXGdiXmUlq8 z81mzYdwbVEM2OWr5ToYK7fL7tXSw8eMaes31+XZuCET2a+HGd5JUWqfbJqBdCOWfD7Jp30x7+SP Mo+t2uMKWDTtapgLsKziPQ+s7CnwFbdffkrhaQmN8m8N7XEUtAcdzlpVBH6pCv04vcKeupm2F0ov DdEdcl57RJu/aAuAQ6V+Z+PT5jcj00NCr/pxxRX0p/96k4YK10yDJtfG2/ultZYbWmQeo8F7Wxim QrdlJ5o1H5Qm/p3E8LYfY6V8SvJRM3VYiJ1yarLJQ1pBs815kuNQJG3RdbQRdLiNvlEKlaypkPM6 K2di9wyRalgKji8pUbiCkgkpQK6F99A1i0ORYMKR2MAaUwh9DKBQcGFmvl3B+RYQ6j2g0rnF4dlI TEVDb7KhBqPVDDEbxmbTKo57GmG4OzKThZG4/uR2ljfO2JF9nrVwzFnbdfm7nVE2WibiK9YLC6dG HR8LSTtnvz8ebkojQOuzU6DKnO+QWyPhjebRvYZa4IeT1DCkAOpaiGiPuLRzy/W3L+Vv+v16QfQo 0y0GWKqns2mxu7jhcCeXqLaIHbo0irF6InMbxE6IDit5TgeAsIKXPLPzZ3o7CJAtzx2oEeTYxV4l TJ5a4rAe2L4Uvk/4oFx2mkw1707gXr8bzu0qZldtdnV181Izchgi5uvNBGjLnebxJHVWDjWiYUpE l0cO+xBT2DrUfYeEK8cLzZCcBIhTmOV6wqVkBEahYyjLylSqQhQK77KhpcPEeXvUqDocS74rxgf3 OGIQmMXeODi1xgSc9sQI+pDumO5gLnSGHoFKE7qHAIRqHe+YNJhfm/g6i4kmgqdQ7wyMVJeOMld6 cC4mlEjOjXvySJ+RZOITaT4mIr3SyLMlMLMdYjefRsrVPnnonZjqU2wS4cpQUttnIqP61tREitKA 2qpdeDNnUGHSYAIr1zMOLGrWZjyPbe84dkiSgR2Ma7OH3Q4XncsIPSg5n87aOikPoq0G/qEvnMYf U1fo7TDLtTwSEg4QkKdcCf3Pl1+Q/InoMWoe9mDOVeCDVsgK8iDMAjjg7fSZAUUpAGjvXWcfUfO5 FSxX/K+BOhPTiyI1Zr6lvqw70uN4iuImjqfNd049KEDHsnIr/JOGIQo/kWUDqLYx5qo5FCUVq/L5 T59iIoFiJNU52S4vo6hyFp21+vJsWozUVRE9KCaTU5hkeR2TUs9wpRdc2A8pj5bK/UR/wfzG6cRc D5uodSon82d7rInRWQ0w8fjON/diFgmFDo0GaaywmnMzBwEW8/otMm08BMQqAyP6VFf5DOQX32xb oFglEH9lGayNfBu+LKjWKOqxXXDgswdRY+zHk3tkKJvbGHaALUFfdAEK6tT2GsJr7HdM7S5BsGay jtMqDFdr5YDCn76UDPa/pgka2DaZhqEqoPn+9I8t287Beizm3eA2hFE7qyDWwGTYbReMr+sZSB/2 GXZhnpMrrlyIGJ2zo77DK9lMg1DO/BrkqKhdeQ9rqxy7C+7rgwgVJgpIybwCAxT+wCtLRGsVK8i2 XNLpbX99TPpOzbaXuY8P3+eiKdugtfeC0RWP9AuIiDSUBEuNG2cqrxLwd9ZTaGUg5GC73U+AEPUL nMPxyKk6XB91crzvgtRCscejLpjkMzigZJ9arOPt5FShybHwSjfaYs/tcwBnJIrxKM5bA3fQkAC9 6Zx71+fwa4QmvOhMqJYx+sB7GjRlIMODPtod4h8azHHrp7oXZxgpiiXAGI27qgMXceFaQqvkmDHw 0k0QejL4mUYA2wwyvsIdpEed5XlJuWlUxfvfIdlq8SkmF12KxqLJ4vFX7RfWbPlpxkIpEdmrl4kL YHELqqWSzUP2TOGRXD88lumvUnHyurgJKnG87xI9Tc4Y4OTFkX8JQcVR3OZFzWxLaTFAI4bHS9CO JzcdZ5AWLakB9De0166hpdltWWApo4aERfu8QbMWNUzmHmKFqSo40lqTrrtLaJBO6xXF17p3MlLT LSb0W+/oLG1GNxwWbFabUEcemkb2D7mJbnakkhEqHdcmApDyx/zVMKlXeXebHAW6tFX2tT0Ivz0o TwUQD2d+iPT3zAXIcp/79UghcvolTQTGicOuYkxiicnCHAUtu76Tl32q0uwZV0f5HWIHmnJ/BgaT 2gd7CEsw3/rSw1Ejs7laHn3JIP3Pelw1TESopc+0KloZEddFO2OOgyZ2epAuY7VSf6uRz7rUCMvZ uTsNISlSRr+Nb/04AGnkGLPv/8XK52QdX1sGsJuWBvxQ9fgVj2Klw+PU8UMHvK2N4H6JxAPUvhaD QzHAtptaGB6HxsPczR1Lya/x6jtdEr07AVG190eNWPWPs72IkCMb1p9tikWFNUxxBXVwe054LWla KfPQch5e09WxoEJqFT1CYX3X3T+M/a7iJT8iGp0jh5aQkT2T8TtuucrJe0LprHQZwPQWPzwGjkpX It+fs+mc6DzNPyf/6n/xCWyDtNOIoDY5cLrDF3+KbGddT9Hyr/rVM64UDYnXa91vyb1DYAinoLm8 MxwTS+9A1O62U97dSv8edj5OmwZOinRLYBAHLSsVgoSFf/H3bxviwhoTbF7Mfg4tLrLUE7So6/Nz ClI7/2RxXea05cz9mLlE2WH0wRw8OQQNo7skiZ1UM3jwEKxFAvR0odSPXQkdktYXntMKVYij6SMj LjLBn2RnpwbAUYDXPUFN/ueZMXdOIEqai287SSdqLVEDyh9W4BMKP7Xg0CP4OH74+q1T4SiL7Egj KRLtwP96RFP5ZZMFC6fyGp3qUjpQZILytvyFTcZi58eY45wwlbJg8Td5oAl0DUNdo1R86ch32TIT 75EXk/6TC0A1h5dv+rY3AQWlNgzQ+pMmveQEyW6RK1YH/flPKYIDIbrPhqappYEAOTnwZODLR1qN TB4PAnN3RmkrNvURDwbKO2yk8lT83bCdXNGfUwQdpXQl6j2omISxRCw0XFs2bqsycshowvPTtPXL JVzGlc51pLVh2A1DhJ3o1aGrnxml6fb2ZpEV9HDIi2JQanGvQVDo2qWGZF6BbNHloPo8COXkzISa AUEQ5Kjjp2nqIB+c1aI1PwzAz05z2Z5jFqhXLEIIu1WXXE2jPK1LUYnsTjmEISmbIDyrdKRIYrJU T1/WPUm/gIor0hFuIg4n0EYa5Z726tC3kia94+6RKn8KIm9P8RiJYGWj6AyU0VqQ70Pr0wyKsZdw 3lONjOO2Hj6/QAwFH9D8W1yFr4P0TYbg+IrNxyr9pwB3WLtppo5lCTpHKhzAHP6FObNmhFdRiA3G I6w9+OLTBcEGu+N9+60LyYlEPju6RUWF57jGaQ5wK9X7MoR+YXRApopDAazSizv69vg/vihImWnL 7gKvzX9t67Vz/PMu9ey02fYL9G9aF+QGZ8JAkrFeUKBMAiM7PUZZ7NzEiqR0f7BsPG4Ss1iM6d96 jWRZ6G5d9JXEJil3wd0Tr5d3iWV6xT6vxww6IewrZTMxfE7MxWtYvoH7VLpI9+vvqFJQb68rkmPw fEwawuuhZQ2jl561QJO5L7ymFq88dOJfMeOBjI1KonVZuDhnhmzdzltkBs5SqNiigBipi/lEtE+V mfFulk+sU+EjJ+fqd86XZoUXUal5N+26l+X2QaWeqdmco/6p/VYVBbpi8u32mGxDC/5ah0fTJ1aS LTe7C+dSMGZ9nHX2YLfSJTCfGqVGVu453U0RhsUzX+GDpGxwCylB4B+oSf9DsC4ZltkyijI3w3qD D5Mh0eGSXKOcU5zjfnvHo6u/P0Rt0eySsPAbhCo52eMhqebh6bFnA9ALVKo9rWmON18yn0BF+SU5 /prxiiw0KlHDzzpDUU6Y6BbiY2XxtU0KyCFpF+wiwsbXAXfpbm6XG5P0Jzf1hcVQ1J4QFF4XiMWs 43SjkMEGX9/OFZ1MC/+xhHHJ6/JAawxApsOZMrKW/CAVgplt8cPVyb3BZSOM89CkjP5XGMth95mK ocp/hOhimI0ZL+YiDM7jZszwilW+TzG9NMzTlt8XC1xUaIuYrp5IibyTl+7cu5FrMOdujUqzn+xv 46MCKMP294R+VqEeX0+vuylT3Z7v6ZM0IS4tG5xyKD7bpce0O8tm/9OiJ57KNut4401qEThUmCsj 5JHOgIujQN/nuUCUe+wTB9Pn48mNLc+JVE9tICmo9cW5s5WN1XU+0meISCTKOTyh/JU82TvriT8U ifZTwYfda1ifW0tOyEkbcrWkAgwwn7/ItsZ4VKpomtvcHi5NCrpZfZuqCuf5SllvjEeQnPHaNDgf 8Ku4e+BGGtWnz8VSnHmgKUwaBHGe4rE8Lwxs6iBNpvMpH9g26Rd+bZW/fLyddbzIHNv/TG3r9SaP 4+R8UNZH6cUHO+nFf4vPCD9zvMRXPNQghKSVoSCxZ/KKIGQSEkgoKPXS2VyobtiN7asRzc5GxZPc GkM+10bv7o7k57bS0eenxRgBVZ7Re1Oao9lQ+xzBR4lmsr4RxPE+WmqM01wtaRB+GD6OQYlk+B4H sTx4hTl2h8Lpo9glvN3i0FcGkL0sR7iTd74aqR2r06rN327yTi29XizO4k6ZZYYRFnA++IFJFe4g p8X02FNb9uzi1uDrP2HXqteIwSjBK+MJOaUpBW4NHHEK47WpJvAn578VSdBH4mXZQm3PSFxiv4QV 77m5HbDIh6pDoXAE3hU1TPrLxdggZFt3TNP8Esl6P/btoosB7+fqmWI0kGhG0IfpE2vJ50AX/WDD 9IAHnVviGXuhleeA8+m80vyoO6baeKIxTeBQ2endQ5bE9cZFtz2Jc/OfI4TLJfxcrG+pNLYz26Ar yRMZB1QiihgCc5szO5I5ANy6hbtTNSVCWkcHK7DRoXXMS1fUyE4GBaGQlwUTWGS/jiHo3vj8rjqn hKwvYrrML+6IYoDYqCEJ3oMPDxcTPOk4R1n7qLwf9fn7l6t8Fnys/HJJtZJDJftiQvzR2WGhTy3a FrIeuhvmfrTLEY4647b8Apnzk+S+iG1FTDqFkLCo5591VrtFz9fiuYOMjbLpsCmkPyLebsIy78ie 1DGXEtm7+rXwVDeGf4KhFBDLjvH6m8Ex2huZ5cU1E6wQAtLP/S/UO6xBo9W9ezpPFf1Jpl4OiA1y YgxCSOIwwKm3PCJ852IAe6w5GOXLlir0EUhJIT5olZ+dwe08AGiuYQF/cxBqeR7BHYKelAQguivr 1VjeFQ4L39M1n9C12Bjx+PUCXX537RRIDo/aS0UwgKGJ5b2LcUpxDKfhPkjIOW/f3cgtz2FIYRIx bK1Chx2gG0WFZ4L7RmPBnwTpOVWvpkb+hX7oASfa7UbOULpX9lSl3xmx9D72rWp21iHUEjxdrySk aF2v8E0mgyudrRpokv5w+wBnWo2B41M7rjLB0Y/hNeGw4/EFVlTnRvOAWcV0F/N/a4dmX7hBqbt7 56ITYXM+XAc0Gn8RCuzsAGqbGlCkadAmfswpCb3mvUkDrgHg2c4PqP8YsB4Hg8bW3YA3vuXI39SI usu0LjZyfAqE4kCOVfAS4fioObvjnlQQfKn33y8NRVu3lV4fhhfKE1H5tI+1BMbmVwaWq6QHzu3x hvXXn4uDNBKYHZDoaW43d98YvyqnBYoA2XTEnTbZjTUqaEBvDAUp2aF8+rS4U89YaiUWdr3bu0fW AiYo55wYCwRypuJ5LiqbN2Q6MmDq8ZPdh2f5r2t2YCnjaSu15F43GR3KpGJ2OaZwTpnOpodkkNOc TNiDuTPuXRKOn7yvc6TRm2+52f/Hl9uZQ/V0Dg0CNgu7estQO8ok0P2pSqPm2SDMZPiYxhPEZQTX 5BWVBow9hnJyqBJ9N7QtJnbVep0vL+MMMnuHfyzvreVL9fQ2N61U726lw2v6BgKAYpeqIWDEhP89 nCNG7JV6Paptiek878QAjciG5QmbmcI/klurLCMNZ/DRwRTbTs3iov90eNRHPo9ayP9kfvIZ/3aH PyQZS10v87luBGQg/WPpTVbxrG43RkuIY020ELZ6gqv2I1dWRkf/RdRnR7ANSDnManczjW9s96DO dsFDrV1WMnMArZLYdXcb1qdRA56nCOAWtp6oHVNVrVNsBYyglcneciOiceiLYOOVJBfRtppKi0D3 gbA33pwkr+b/XczsavgwzNemc8NgM+U1nyOt1ZJoodSv57gq4nmkF7FGdgGp/dj4KZIBrHsxEsVl skQ6+j4b6vTxLKs1p/S3NG3fhtUhhZunk7I/H1od6hJlk/7PYh9/Wa13R2IJZ4LmP70PZnKHp3rC UkMzt9vvWpTqDQCuLeddU6rBOAA187pSOU9wh6mufjUJpNvsmH7mtK6zNtSd/bgwINXWyPqnaTew V+JkGFcdpUqaMRPlWuR6rh22iYlYnGG+A6NQva63MFsTYBMEOV3tKjM6UFRkTMWe424elyVzFECI s+VOAWt9fJgjRbVF8ghyelmYmtw2BO8/3LEUFLb92s8rU0rHVsPln/RAx7kp9lRwOtjYyfrB+lhg jiZSwz7Kgrz8rXzE8JtAXbAMnBzrttftwHXImVep6Webi0dUxdrs2+lsEDCQs85zhDTBG4vHjVwK TX5qKbnCgrlrf7Yp3RHn3sYxoop4WVBrtv4/uhAOfgu549rrZxmemPkymkDYJv86OKV+cW+Ucupz FvzR0NEaGvkb0tJfCadKkHX5DQDoEX8DJwCFvvE6PGpiSFeY+E1jjWzix0uexZcFPRnngrk18Roe 9W+ewdhzvVhzQVofooQxMTcZvPDBx9UUwNvyMcAGRyNwSoM1e5yGdKC4pqH06W31BHPR80/xZApf Uo+rAaxxeO6pVjHIRBeKsnqwOvGEVhS39f9C/qvvG0gWfLc1sBocttY2rm6+9RDsqXxF16nT5WZs TOOmxHKjDgriF+CB+h58fbjCgMat54GDXSk/TGsvzAYL9tekHbU098zXR3A5rDB73etacRTSwtBi 4KSmjCE1taKMV5K//J6E3s6GJC3LZoeclBmm5H6EArXHwNggeacYFkRH3w5w3nbakv5/SoC49Lzl BNqWcEgn/7gAXH6jcAaTVZWB2pKdLhzn19YaOZJcS8AmaZJ2CkZrAHCl9xiI0uVJZx4P2DsibNCL iFn7M93EB9fNTxuUmH/0IsuPQrceq8+xmm+taJskNjifCEskkyQNzRh7npwW41uoW7LTJ8M8hzNM Aw4exQKGwx1pw4CYUvzl4lamA/NlSAO059IDiRno15pquCYPJoNyHwzyKzGcZLGa9zsJi169WMax 4YpqCVNmKrDWRdmK/gOhr1mOUTbjrvJau2sTjnjnktF7FCZxolCivQ2d9a6iG4eFa2y0kwMzxRrm Oxt2kwBtaBz7ZJaH1lzU9klg8/N1eXe1t589eDBI27NzhV7hYf+7xSLU4RHTV/3EbiNyNmSNnjqh 12OaU9E+Y+GPZEZmiVVz5VzP4L9AodGI4myvkIjfr8KDFjwK8U8xXpjcaL9B78c+gvElwTTMkO2e rUK32yUDUIyJah8puThvBmJUKHdVCc3EEdXqjorU9P+YzNFwaazMinFoGjMOWIBcUw2GSvMp4Zaw Sn9lEPL5+SWa2EU0lfXD6fTpdK5OHNAbYzV9jTq6yiw0q9DfDqXBoyw2WcExLu2NwbhinZlmic1p MpZ32c5eJvgLyjuZ7vgoN9IlkCHDKs+KztHG5VjHBj9SsbW+sui3D9Zbu6RrFm+E9t11YnhUBDCW sJtvXIkRRAfQvUWU9LDc8bEUTvl5LnkS1iy0939z3bty2XzVBH1Bx12K6+2wdJ3ZU2EgmYX9++PR p4qVr3dphvP+Zbk0a1p/3vVG8BQZOE/aMpt3ZTwBy+yqsCnlMr5R5I52p+8zO0kwlMWfld8DzdU5 O7/Ea7vNRD6t2rIxE/dItymhpjboDxbGBQygmrcKzzSP0X8wkBzbJ7BINJmSTCN+NO9nff2TqsZe 7EIVSziBTLELzQ6CFevk/LM6xb/hciXfbQyyFuhg3r+heKFarUZKGnzczrxBpnOn6JBHGNHsoVGn E3R+a+s92dQmXdYHNnt6HFojcsJuWtn0poVFVmYRUiVISiDdIb9FEeGP40oq3kjBjvXmvY043/yK mPKncvfwJH9WtxWj+UH0Islo02F6vO0F3ofNxQZ/rWVqAMg3OR9nd2X1zUrahhnUIolLESdbgWWg h8Uytk4Eai5VYgUV0tFqoAvyCchrqDYoD31cLHOzv7GEynMtlNq61JFJVkoryZ91jFQ1GbtbWes4 6HYWroeJD0bv5LTP0r6d731+7wWQf/4zucET94PNRzW57684NjyVKdZdCAljX91cs7GsGt8VQ1zX 32RAUTK2x3VVB+kX8zXzCTAa/0ZlhLQAX4xLfcBYz6+zvd2zEtrmbn6xbyOWyphyzbnGqYGaZqJ0 eR1Tmt6qCEx4P9jiUcl6tSMpDXyB1Sn8yQkkT4XjZ86WOoNjBXKyZzed34RKX8ORQL+gesGtd7L1 KmSoD+dFDOki1UJBLvoVXjLpiamO/JJhEowTV5DVXrDz4U1AZlmzlvlVT+AWx4GYMmnQ8NYAfCRe UpyzMhQa5QkJEFXpLYFPlCCgCJ2Wehj8unIdmfh2X77Orp3fBgyqLM7beSWa9+b3UCJ5CpVCR4lV rvT9JRsVW0d1rNx6twn6QePDfsMfw7bXCLk0B3DMFlIJ0IqwFlIvWw09cVgeWLhXgRkYa9w0ItpH sMdKBldSfsQcSWP4wDRmNj9XME9BjPNueXarzAjooB6MxfHt7/cs8r2Wg0MTzMo2V3FWo9WJV1LL CtDnUZ1D1HjTyEx5F+XLiEek73crTYWrtonTl/unNrXJ2Ek3/ksqrbV7r9AaLVASllq3u+8UDJTX h0QQfreyol7TbnoAcMfXUbMbEQoh5rRXj1CnKAojjTYUUEVEY/ALZY6P44WXkAEwGsXac4OVYqGy Z/WrTdeks6Qm9eIzriw4u0ls2fqdqTy3j/Q7EMpiDoffoIoA2kMIeJTp/QvpZ22pLBIYcbeJGWFJ /FsT+POO8DsfhEO8yrl0gPnrmlrJAYGq8DwW9XsDc/uVVJHenSkw2MesBAr3XMlZdwNZqBlh+579 nmTZJBzc1n6+GGWdc3Zgoy0pfm/oJO8Lp+1m4+71m225pBjnKQVaKzhA+dgTlSPUSs7E2XE+WSAf t53NmZrMfKtl9Z9hWl32TFBbtG3Zs0Yy4j8KQpVo3+aBY/8ef6Xa98zRFG3JXr6IlJw8deDN+TDD jd+PSGb/wsXUNQpxLKS85GWslsZWrk8x1CYiApYRo4SmUTHCqhY11IxAo+KYkjapR/1K8q6i6WUK cbdWAopCkw85aU78LFF5CGiWXowftCfcFFaZn3nMFzS/pR294f8eKEkoIFImdgfYtYVqlY3sm1He aM5yFX6ub88cwexr5EIMTgyEqv+B9AaZc82IY66LPkuQsWN9CbwyQXHWnrceOjp3ou97FGQOqp11 4O7/G0zlDH3w0uv1sCgQwzZUL5Zy+rvI2vbVTeArnT2EXNS3HpxHCOPM0Uk3U0KOL6+UJfbUS8os H8xkIZ7EmZmaLHXFXODYUUqW8AS3IwFiJ9wia62EKGniiROU3QK6G8AmIoZefqNAtjsjryT/qDkE aoYX39B8ro8kH7IIuc4E7IbgGquX6gKxerOSc3hJMYw364yPjdYXn7BjfQx4R9a9rr1VSoZ+k8JP HqOou75jWr8HDAMOfLC/hJ9cIzCeQuUVltMSFkQo36+bvrAp/EN8Qp04nqQt/cLdwkrK96TxyoKL LOwt4OEJiWQmZ2Cz9G5nb2rdsR+eukVZJ4aV/849d0OYwmfWQfvKuByvx//GWgljle160MJx99tW QKNCzn9+4bttKxPLIFIq2cNnWTVCW3QQSZSQuzRG4v4bdFU5g0CGH8w7gafZ/rrIEchfRCD/fOE4 A8vtIzRwMS4N3W8YRsIqyqMM07YTbbdrRwm+J8ixhtx3Qkbw3quo29h5Db21tb8kfoWX77kw1Cys EeqCdW9FvTyZpU9pT5etVVwphZ5h3BtTzVsFM0YExTMjO9CVHB4T9ICgKVBRxoyZ/bKqdxLZjc9+ 16ntM4n3qr7Rghgew0qLq3ShMW+Cvmm7Ztq+EzKG7z4gq9Wdzd36EPVmVC+uTzyZ/b7Ebbrgrsh9 2avNM1bovaIyZ3TQATorr0FPWHCIbNVAW/iLA2LgOWBYNsioIWpSksEeMTEJcxmylnLuOTNANYmi b1pVNudVm+wSg4aTomG2upCOWGHDmb6nJ+FjZpuuJUsI8Nr/fxppW6W/1ZJ9EmCn5lTvf2eRbR25 HAmKzUd6x4KFUnRiGt4ionLeVTEMcEfR03Amvh8gbZ9zmL+liGFN/bAADsDSnSUPArcloV3BJByf lJet2L8ArxfnLjanxFySMxtRD2ltdM2IXCNbgp80CRMB1aMomTyQDv6rtuXWXJF+9v/XWXJmzIwq +IpjxF4CpJtwQtmx3+UN7IMfAkg/lMymuI0DwIDcgzUsE+Z7zHYTeghpWNEgjK7KamGAgQ1Yu7Vk rpMwZpwN6LsBXiPbJB7obMJTpZ3rPJRK4VzAm5mjoHRt56mzhLjjEUbLLhcHOTvf1hRUSVeCzZsI VbatjLA2s7gPrdaIxj4d04BF3Az21WLBA5qq7yPdcfr2oaDm7WtAQZuiZAHQdVtlWiyvkocM7abv +KaiylHE3eoqHVIeGcPrT92Cl7euH7rgtrdwTJrel0asT0xQQezbFoAMOv6MuZ6o2d0rQjiMhgo+ cWasQbxxAJ7DHKzbd8iPcskpoxSZXsapRl5yHZnM8k37Yhx5YoKlS7Zn1Wc3oVBd+5zBZa8wok7D W1gR8xYUmRtZrdyp7QYGtJgpIEMsz7GDa/c6Qr2BxILKaAROhghh0Rgk04fbQ+konRH7QBcFtmuN VjIzY0hG8ko9Zi8x84Awo77nFWDHIY8SElZfg+kbIqLFxvnhByUXbvwSqgAp8WrWkKVLHE8iXLMN 7NzjkfNNaoBDjSdRGJ1O3cVyO49OZQMyduMEI3WBYi8A4ImzgUXr2i7abGhNJFQ95xxP3yPJGhdQ 7sIR/++goVD2UPaK+pbXqJX0G6hAgcm4iLYyHjjI/WEj/4u21ZQBUNKGCQ8gN9zT0J7ERZkIggh/ N/gtIdUlnjmj6Nxu5Tiv423J0n3T/+c/K8ewYuN/agNgWIKqyc50GEKGpuU7nhcq2NveUXDCbM9t R8z3/QrYKyjyUW8Io3MmuwqWRVO01NRzYN/7WS7OQ70afW8BTcuZpqly0afRFIhhHDG0fRYrTOh1 MDBUCMjuJsDooxx6gM9CPorrEhXQ043SKEL/abo3l+XvpH0w6ugfhx1WB6lEqXNy+mTyX/MpNBDu IbjhPZTD9fWnFTNr2pN+ocYaLcW/2B1G/U35VLxptob1iPl64TusLy0kwR2vxrjWlMXAZ3bxg/Q5 u1Ivk0YbU/UqaxgemkT3O0u53AfE5XpuVrIbX0Cl9eMWC/u/+IfdMWC/hekiKegyPbciGLCMpLzf XewTgw9FtKtHn7W5P9cvenA98rfvF/HIeK/zfE5Mli/qjS1aBkYSTAG2uJj22WU+AgewWqSyMl9Z vJrrcB6t1Sbb0ZphEvLmaYrA6Ul5ldyz4CSE8ZxouyhTZbR57JBgeVYabMClx4OAMebLXqcfWiQX u6bzRKIMTC5XX6YVRPYWBSZMRUKHQb2b9BDCHmpkZjiUfKmbKaRAVjiFSnRw4nNnk9utduStcq7Y bpCJLGTib6Z6kqhlSAT/Nfpi9g56S6BpBS/WI6EqAo2r2e8Wz2QJ6DyZjmYADaYl/FPPBHHlMxwo ONDke4pMPJ8TFXmMG6fus4JfT6cU3kvJbl7IZWnypK2SJt/rw+vbk+mY17KIny9dV+TyjTfxEvFz OD8Dpqy58xS4Al57wuOF4mBYCwE7vGAQuoQiNd2PZhbdldR50Kj7nB+CMQbMLXiBs6u29MURU43X J53ORIoSdtcFsTj0RE1y57oRRVovK78S+XMvAYlH+rZEzhnribGfBCdesz5IKpk1stt1YafHL/St OofRrnnpptqyGRi8RAwaSc57zOgEn9JSVIkDF4CAfccXrwV9QTxUS/0x/ZK5nUJzVkIPJdnMU0yv 1fb4phrLRXtE7RoVTNl3jPBU9LWZ/c+Cv1kbrK6NzaftFAYAvCiD6Jp55EU+cWK/JIL6rJ6j6ora wsWYbo08ciN3MDwsAqsOIJBd+WWfH63XU5Lc2SjCSKwvwZMw2p3/6tdc7rxps8WyazePQWpYJ715 TGa7PHk5LLGjJVIrBhlvnbL1JyBISPWQV2TJRU42HqvRipckCZzeZVb04zoBpo1x5FZ4UApm7UDR qQJOA0DYSpPTPO8qnqtmIgSGqGqqkmwjSVaMgRU1b6EXXC1q2pAu9X31iggd1pSwc2ujEYjIMeIM AAeYLLpy8vxe4ge3D4U2lLWQSQNTnTboWFTH50xTT6rOGI1uwituvw9waoKXOedXQCQCoITzW+1M yz20SIyIzU6KFkuKNT/qOEICztWQONAVK1S1KMclzO/vFcwXn5OxIcQEcz/c7gcgBnfy2G+24JBE HiWOGRoITC0AzGXuRoVj0VbmjmmNfolWEb2dFsCDsxtOagS8TgT938Sy7Rp3pkiH0ZilOjBDGvLe jtHPlTz5b1RQe5DiSkjaxhENEvD7aV9zN0j4BkKxzkmNxQ9n7OfZvri0kmDW7EmzoFZBwVQtTM2U b+rQrM//Lo0UimXhi6Zuh5RgDTSfaYCvu5aKpv6iY+A6l8Ogvd//ErPjrUQlemQrIxNgaUOOn5HM y3Y38HcEjzZVf9V5rb8qm+j1C6KwRW8vYbPT93+/N63VFvXKUea97PSzbIMrHkmxmnKCTPt+ejvs RbTRXyMOamLkKuItedF5c7PfqzmHET1fPZD0SNI6SxM7OuN+/t9PB7PeY2JNd87Fhjf6AvxZCG8p 8yrcnztkoaVADuyvwpjzwc4sdplZP4VlbZsdRqjxu8mgPObFhWz7dddcqJRzyMhs28XoDm4Wug06 WRGjYtnP3J5YbJiN8EyY6DmHq/R9Mlg+qdqPmrE55moWzAIIXxopQrzHJs0uyQuLpewICn+mXoH+ qdn/KLGsgscK4SgkDJGdOFPtEkRnexU1s3d4yWlvm/HrxtLmf0c/Gjoz3JOXx7ek+CCUrE4QFXT/ 3T5UrQrgwGl/5BLvM83JOKhQ9TFuR9Zttu1NOKp2qmBCR+qhz3tHqEi3ImLXvfAlxZzZDOw5Qzi7 PCQFfsNSDwq6c79bhD74AdLvYFtFSve4RHA8kzU2f2NG1f27p0HNF97oT486VJDwTwPzC7WDCpCe ZMmR7fFrMkONL4S2Hdi3i61CfG9LsfbwnVyDtSR3AOpzzr75zIrpQqnRUmhnpU/EGlBdy7K9lwVR vbSck59q6RBJgsnrdaQ2Ppv6z9wltUZPhiyl/Z1bJ5Vb/Nc13cMdWUtuwn7IIxklJDb2OoXgcI1h fGJT0RQSRreE9pP9Y4D59XBw7ehZqtS2xsMqR/yvzABIbV9UsS3SfRzuR9AhjG5HHRda+av3DyFb 1R+mmGgE7IiI3c2C5gAwvlt+/GOmw3LU97H5k2zMlVzVwDQjTClBIIXFe80ZhbOEVc5a8uctWuh4 tGKV1/0ohnBYIZ0NL5jvvs65T2XVR0R5cQI45pHSn4JMWf4b5AJT8jY8anE27umFFLry3AThROfM zI0i8Mn8uRKu+xmXj8sP0dQy24ABTY0PKS+kfTwompGErkM1ioiQMrucfyk6p7hBrVG7b7lfK6u6 mbPZzhcPgK6bB55BAbejFsvWz41obgegRcTdf0TxKbHFk6sDRG33FMwe0YInrr0oW4nUOPmeJ49L 7lQbSatGkhhN+QxLGOWR0cj2XrWpwf/aPhjfXnHmhLpYBsVERnUxO222RPX5BWemTQOqsjtQZhJ0 CLFpj3DqfN/ln+vx/m20hHghpsyQv9IqLXa6IdeRZ/IRVtAf7uToOEoIZYUecOMGLURrJ2y/crTK 4Ce0qPO+9nlmD4L0ZtyooATPgFqba1k9S/0UZoH/oTfaC90fjHKBpw58OueMI7gIC6rcRZ7mnxVI shJ5SNT0iRkYAgMYjln7kU8AJh3kEgUMsNqPWW4UewOP3zEPpsLwHstynHy7Nhq5D0BocMyZ7TKc 12Xc+gA3dfng1C7GmJIS4ciOVNoFFkWBvZPA1WJWDRAFv9E1mBD1aopCXRZcP9jb/2tTJ6RYps9l +4eANPpKnPH7ct22Ku2GNeSNFap0r/hx16z9JJDFglH/YfO6JzdQ+49fUzPffoHL4YpHaZdku8ai LVv+ipnl9Sq4Sv8yZbQrb5mjcYs1B9nmHtsEbTyHwfenX/kDtWRx/XmDTDptORRsJ+/S7Um5jKBh IP8ZLJnyuzDeoHZYx7bOcE6m3HhcFf1CxpVSyHXjx+IOxAaiMuyw9L/nqLglKGVlyJ3DpwUPohTK zmAa0YppAQJMbvDPSDUTtHSUSyWq52vklbIRvcv4kL4KTdWwAclczDMs1mKT3xRw/wCjwitE9p+x MaeDtH5UXuOyuEVWDg1V6l0+XppwtPQJPYjYKtGb7nbZt6bJn15mNuMvz61AGkGxQA7MqxZvKpp7 BgksjUtvwtlr30iW5qDNjSutcBN9Mwn5DZwAoKJdTZBrknDOmtp9e/J35ElM0N1nC0GXFcv/Wb7/ Ab9NA+FK9ot4j89u41h3jf7/0oeG1j6NltKfkY9icSntBLhINvCWy4HPevFxRODSBL0jVfWq2k06 2YlDL8+L8NWltNH2PsAsP7TQN6TajqJLL9daPuO9HQBs7dXT7oQ8Q8Pxbag2/5j6EZVa2H77rEyr hVKgJF21YTcMf9SAg3sLpmKBQMoanG3L2CsKJdweLXzCd2da/3nq8mzUuJDEeMmB6FHjkrJi4B2w 1vMgbMNgZZ9nmPs5rTYr0911MObJApkbr3TpUc8FD9jXPwYSwcOLkySyDNuKPb9Z/MOthI5PobQg HU1+sUV8KWXIQ22yPYEzDh0lMosAo7YTi836COOWRlZaMt1q8mPesTfUPtHLleVmOHZ2NXQcMNXE uzJQn8dQDuc4solwKaNFlBxI2013zn17+cK0H9WPy/HXUmNjLqEDeP6rucCnR4oartdnDx5+uWx3 T7z1aMcWFVQjgxaza4Ibn669RwQP+F1AE6zHBQMvYFwrUeYjt5w1NKf9O9Y4LqWbvvrGzIShwfZD TWhtKT55yToTREzcb7k/t8upRT/fbltITHX+rHieKegfLyi9Od5lQ+fU24WgkrAUOQThw4zEer6t RoqwNh/p4BeZoQ1S12u937VVsytXcM8Er54RXatOcrhq0ie3X/gw2gzHYMJk2I66UrWukpORFLqI PllLTmmj2pVp6wF/UoifIZQR+l2k4JWljjtMXHGUB72Bk7QR05nHBu/jWh7csdGjhrAovj7rqD4Z V9myWAvhi6ERG1rgt0zgsfsaTB7liDnlWDTCeIj8yKgQYfqkOa90n/GCaYjVO86pntpRkEtFR0WE f0FETK+w2o0yFxwQ8BGIa8eTtqPnHxzax0Z5FDtqHb0har8rcimcuKZZx27oiJlLefuOdKdLgp4d Qh6v/+bRPNq3qqww5K+Pf9UBwPgnRBjjdr21bwjCiob1hZbUXMzEqCGJIgOeenF87FMqhZp9uUCg VwTEUs9+cuGzA9KiMcM5OZyVi8mTPh9GQ741eV6odpcCMV/BqsYWHfJ+TMVlXfsRV6JH0GlqJ3jw +1FcZVd916Io3eTF0PAHRdrcBVO+NUc2mZnVYBUWCUT7mGkT23FqZmiJ2PtcyiDHseE55euUqjS2 WTjRjL95fb7X5cjISsP+eOwZv/uYGm8C/dFLwPalD+EvxQUB/19EO1m1ZzHRkYKbxpRkaA0McMa1 6otUVQSJ6+2F4EelK5JOt7Hz0MyM7d6cynn2v6jM67OtB/anbOMdKafRYrs4D9Ziwwy6FPWJtp4n C+elR27cMgZiiA4tndsnI+Czr0Kck6lzhcq+LLLtFMg7Z+S9rlgxgpyR7sH2sUHWJ155XzNvLWJh mIpvkFVYHHy77B/YkrAp/UWDsQcIRojviMDeW5st4IQVTVaKjZFSPy6NHMxj+q+LpAZ2DawglU2X SJrRsXhOPNPXovaFYUeeNrERt0mUWRSBjec9U5eCzTMKCK9o9afI4Hs2VdzCM7NYis+xTa69Sd2j qu9fmRl6ruFUPHF4bRHK3QR6ZdzyGoUmA75EraWgil4lODfKasePjK17OZRTKO0FLPfN3IYsaRS4 I1m6rwHSXsXkrovKJLY6maRZqh1Y38pjN5l+LUuMrpPxwVS2h0xeSh7r8wJe5k4dMXAd8N7I3Dzt +Bqy8ucY1hn6WN6Bu3FPx3yMlg8jfzFCnfam4JAFniDynSugSWYYKAN6MOQZXvc6Jafq456nxTTA FLqqkMo/7ERwVR80gFrrWynNrI2rbDynisOlQCe1U/1h83M8Yko6b5d9j/yfqlYo+pNpn8HUDtWV 5Faw4hqT/sc4HctwGkIyWk0iyRMyC5NLnKXKllf3eOkDtASXWNIhy1sByWmNTAoK2o5iaozVjOSQ H3L62gQ4axl1jBbsuaDwuWVwh4BroUg+GRxoO7A7sr7iErxPDAZEIfC92HEJZaylK4tvcmQXnh2K JtlXEkbEfOkCXAfIzesoxfm4E+uUZRywC+Ljp1qdgRXKYx7FfuXuX02TCpEEySSWLFo+gT0yFuEs PyJwblgyGl/UIfpLLItkGb4Nz7gDJLOaw/8whX8+R739CGjTV4CVAr4p4QY90GRrC2XW0X8Xd2s2 WgmUKOVvzf4JX6dW1rKHL+288fZpi9GyMgt9yT/skC7ecTcxeRylGh4pVGTJioBjYKlOuFRBEH3e rjvJbCGWX41AEiKsMhlmhNb4QiSkySOzrGd/4kx2kB1OFP31ZnBgucEiwXh9y9j3ETh4zuw09J+l M0CqwBq6wBi0wLp4QB81eu4Bw0ZFev/ZcOupwdavFfOMV1RJ6U1bGSySrbx3xSQ57/LUy5MKfzvE YQowbdsUT26peOYFREPd2knt53RUDo3h0RVuAoT58ZhpoObmr/8+Yimx/4qm9a4EH3oxdppTdGOp lj7f90tLuTK/v5OC+Eh7A1m0Qf9ooXSv3GNkvN6ve2y1F7O0ig6LM935a/WjKBEiYJKch4/hzNr9 TYJWC1F3ymIE/uF33ltL1WS4KwSJmWLFA1vFF7O7f+DTn+RwzIMXOhKmGUp1wbDTtlUbVsq9lAMq jaSxaM57/WEkyzqTOHpqC7Vadt4vA5E5rPU9KYobT8MYIk+R0Udn9Gy3otxg91E3mOKOP5tlF6Ri 5PoRGKv5LEpbq2dcvNMFdVIKf2J3ZJRBe96jTK/Kyml6RW1kyICcsXtmLHdxUyJxBUdEJM+is3WG 1HPhVprGVZ/ztt+c9EXbD+m4Eq2+TdALft+aXHgp2lq3EbqKTomuSzzy6ZDaykdkevmYpxWr/4w8 2BTvv4wVzrZpSfIW3OvBdjIRIH9T3L78lUeVN4uoYK5qayWjuiBUjloIXPcNKpE0MI4vywKrg+fS WAmeAuRI9FUYepw9ZqI6N50fCEflQ1H8AXWFx4EweVUcV1kH6o23BwWZHC/40ro/auTG0/YjpUjV kyRfnAIDZWxvvlTsHMDNoRHOmQ9U2ETU/AhWr4uOp6ER/LQ+1B4T8HYDiNuwdrpaYUK1Db8TAv1R QXAC+OwEZQhVcwamUN9JQiF3etK7zN0BBk8BXNXlrfcrE9bsvAUXw+gGLo22IOR6HUW3k9NiJ2p4 0p0EasqEUHH+NZdczn7f5TfoeDDSSOr6nmI/Zjm3svvjeweaAiQXy5vtlpziFXW+CkmxClVksU63 xQBGP4m/oog515o93MilndHD8moBfKMWy+XUg9LVBIz3qhSkJB1lHipMYx9IYLIvC69o4UjEWnuh swEMQFq3NTZvfDEjfrqNombWDG6noQGUyMLJpPlAxRHGbZ/HtW7Y3WA92yMHOhWpvO9WIErNX5mM hH5WcN+Nbcfe7Brd//fIZ5F8wxtonly/cJ0OSSl2KI9MTLh/E9Bi/e8zh3cxcRLxvuLv3Rz7BElb d8glX7TsL6vUxHmy39AUZ/EOxXYXwXZmb6czeXtXxqglYo/fVoAunOXkAjHs+jZV5751ixJ42Ojy AKyUYAT9XnYieaMPUCVoAaYxAJS7x+tI5Jq7OkNdK6OxD0ttRDNqAt5OeZwz8O5k+jMBam9sDIM6 GllIKFbQA/KrXuLER9/BH7rYAZtICZ9Oxk+al4gKEd2fn9Nw4CPSmmWU46X29fkEXJ5ugCvSGh4T 3tERgB+tdBN7L1ykDonCAkmN8Q6fyCfKkXpbOV7M13RR9l8Jh5ToN2veBhsH9Eq1HNM45ibY9ykM 7uN/O14+K6EVnEBGRAMv1+4gPZIIS0io2/8VJb0lyjogipEdnysdXfg67vZkHgsxgPohrlXW1pSo WCBFPCqsw26mQZnmbh5F49LbgrLNRfXu6uNnnRuNCZ8thAC5T3J1oKm1cBYoer+2UIWHT9uBWU2k ZoQpqkcGavVRxdLYWMeH0Q6RZEltOVUtenrhck817/ey7t54+feKnwm5ySNZZ5ybzXo3TPXe49J0 S85o/0d/r+/5bcVbAp+/uER1uVC9SAQhIKjTpKokMR+T8haNEgApmoD9uHxhfvvQTo8AQhkjWSYM ZQpqRIQYcLpqWGVZu7BWx3HiIBTQoVCzK5d9iykupgkvJ4JC+Jt8GMRbd+frpxmiNea/awfG3sId Qf2ji/LQhG5jUUr7yuftI6Oqsg4A2d28YYm+79tjcRtV4sYXir8tNhmKz5X++183OnmqhWeclIkp 7RYcJNOxpbfKlD32GMUQ39cC6d4GChVxb35PLGAZVLknilkAMFoecNNF9sZXwrpiX/cKXEbxRDSy RU19Fvh90LfY06CrI2w1w4q02QT469EvIDE9WmP3k+6/KCUxZ/100fntOsGd7kZyXkqoSBtYHMNr rhpOCFyFqfPiShX3Xq8KRaQrsbWRl0Bpbtv9ley7YbpsfoBEdB7t971bqOYbIg1Rl5A8zKKQ4VP7 LN6/9bWk3CEPfbo3it8B4R6KmYbiw4RfT1OZNLrOJTv97Nhmr+BaWOYS6uZHQXI5Nv/F7SpskdcR Xmdt8penr2WGJPqpwOqO+voXkzSL/KnlSOr2gdlVmxAv0Al9mYmnQ+ont1Arbgd/NEBfeEofdVoh t2xARfqd0FN8cQShb+ah40yDn5OFCjX9lHV/v1GIbPajv5jgoqEnHDSTVpks2z/ceNQ+wgnIpX9P kA47dT7bSBTJ+RNydfFxg5ZruFl737Vn2FXTkoy+dPkFObt7TbJEGCyxUj15mBEUlG5B++39QP0g 7GXtUEdqLChZ71Brh0vtztEH135FVWJ1G/NPZv4juaX626pG2Nu5Ukv9wNpl8v6X4NQ+WgBWIalM EKRnHBiK+f6Lmdgf12o/UMfgUNmyCTjCGXGqJIvSyn+ULBwWrMV7XacqC9E+klmM9SG+MAGoxva4 +OY9QSs50ZElLAkZoAVPx+C8X6TkgsiJ8zsk9EXRAF5ecB/1Y/l90UhNrZP5XY+odh03cAw4UjQe E88PPx5fOgboPBKBJy7zEcbcFPp9MgXMIIa90U5XGdtob+BA8WaA9dGcI5MJEauDDw/qG+3FkgbL QqVxrpeGZlzCH6SInbjYxb4aJVtzTCTVSuFvtO4C1W/jcnAz43a9i4hfk+Y+CARmLCKLHJGTptkn i+FBnWPIAS/tBkDQ5SA/WG432P48GlGQEZVjDM6Haoe1cE+b2BZUuDqDGx70ekjG8Y40EfSqm8Of m613BNjJ6hxHmsYxnjYuE3utGz/bY7n1ePtUnT49oGcFy2BS/kdIjK/EUb0tztz5wjZcxtTfHRwB PEWXCss/fRkOS3Kxk7qnOozfpV/SRgVExNCHl8hFLpz3H9zINPbiLpJ4idv0ydDNZLoqiiQqyBdm YG7RJdeTrAZOokcwPBw+RjLaWSeVj0zRUfUIUFXjwhHHc7JvdTkGrIinQfGN0GZ8NB6DojnPHEXO L2mq9JRzTaxHrcvLMBI+PMRfzY/E0kT6HRtuWbthrwiEWmWJ2F2TY2XAA6jo50U+ef9kMT/nzuHX 4f/36z4m11A0F4JATaumXFScOxJxJc8q37/ZCDYlNbb2CA+F1XgcB0PnYaO+9yDeaO/JZhIRC6mz 0/XwbVMbQmaV0Pzu8jpg4V6x7NdlLzZGJsBrv5fVyyltfHfIYkw1CPmfP97fucfe0Y9aBgXl+lt0 +t/ZJ1jS8pN6ZKo9ewW6WG+zOH1YFvoeXzcXvFsIwJ33pIn+Pvog8GsctHwzmigT2dWZLoFcuAQe q4jHL6O1IFx1kghpfLxnhIMkP2KUq57XI5oipKKlRQ59XRit7Dtb5/o+fE96G7sHL55hveCUrN4l 8rUmV3oNgjXMJGN04y9D3BUf8tS3O4HWG0a4/euJSKqoLCEjcpqLIc5fj1ZNPJgQ/4bamI68fHZE drJktS+0sOqKzO2PxGpWkGtdGOfgwQnd/waAx98+Q/acpeanubeJIluUXPQIwDr8yODY9Bd2/lbg 6RT9nPnsr+J1qBE82BH9HHM2ZVKjz9PZMKisJ1rXKa7QxLHLJMRw1nEgdew1wrAFZoWIUFu2AGbq gi1ATS/WJT3yErQXMIYXPxpQ7eFJRRfEnxHrV2modbZ2ZmVUzGX6h6oSNcb8VvPUTRD3q0DFHNGS jYiQ4Uy4hUSnfvod9C9QdSC/u0V/89TCyyIYPZgUF9HnZcQc+zmT/7MTLd+QH96O6GqQ28wZa55W HofSQf6ew/e7VdGGfqjz7WLBKNhw9KNlZGSFkliSEm0A2nJhvrktdcoHqZrsNtH7P20vliwDRRB7 pz4BKqfLMTTv7kpmKmhduup3Mjo5gOCQy1uTQUgtdeuwYJZwuvkoxeAQzkonGrLj3WhajGrwqtnr chkh+rNfHxm5wtYLJX/xzZixCJYcV09Wim9SRBsT3cCkpvFmvcHNfxAwoETIrKnklfqQn2rZaa+E cng/pvhEeTVZpGe6Iv3vRDmxnP9FK+hzq7vschUF3BGEFkCd+4uviSMXNiYQ/PeXfTwL3zbMOylu 1zvNFH5Ro4q7ltlomFHy3a3I5hj6EFWquNrutNsYEgl2dSAHsGUrUB1vkgJDeRhU0XP6jd9dS9gw 71cNlNi1X2fKy7AA+5jhCSTsINwzauTcwCZ+ooqh3jyDjHHk5vjCu+owDsQWuZL8cZnb23uQFwiv NMe1PXIUJHkDOCpqWVUbBnqYpEL6rUEkgrytNcrLdVl/ttXdNVgS7ewcnzgoV9+pY/sJ6ZvhNV6Y qH4ZY522ap8+Lnf1SWVXSqpwpMak7xxRk/n4uroLKloR8iYWwMHcctvZdsWOzoBHe0qtCRAWIyKo GSSylBQDJ5FJE2SSiHB7A+6KcTrioMuVVQr/uEHobq57+B4x5nuR8WxDHZaHOP/OPAjCnujHKWOb M8v0lffdFr6Y5TQ8Z18by7qcLs6MAJP6D5uexW3KxMOsTZlHVQp+yo8p2ouGi8vVk6644BSbCulJ uTbfiKhecpAOz53yv591FOkvCyICi66sORM/SEhWfOvZMR2AhYvAIV7S5nRBGDx34rMNHyjG3ZOU DdXrNPDOeMVi+j7b0u8UXTPjD1KhYepzqMW1/N7NaAn9eA6sFA7PBurTIyAO0K4A7IOVN9feDzG/ ULcfmjB9uOwBMhcdzD4x7ykhnzI3Ik+u3cBwAk10jU4fCp7yneavDgwS3mY/W2TGURiWwwthS1Gq RhF043lV/dY860+8ieKE7Sqq/5ZdX9RZLj9F6PV0Ngn8cbYIvSHDQWFuABjsbzLCmf3HiGfNoc3l /mugBc2cnZn9qZEyngz3DjKvqCGPFq4hYIw+L5Ybaa5LT/9KZt0vxQAAeUi7705s5unMgrjNa0sz tRdLFdgOwS43Nn1Qw9qvXA79t+nR9xCU+pmWbenCvyLpTRtnqhEiYHMgOEF3pDxGO32oWBDi2D71 gpdN0UbomUKup5S0txpQyJlqznYYSUwMGbFKo0P0iPaK5eHnxcriJEXiV4zgNVuKPAU3RJOPK44d /3iqad8tZltpDwQ2rlDAkkAtynTUW+XEPbtGlS1hLpBjG1EVM9KUUYDF2+y2xhL5c6tbn+sKI8zW xE6OFKGjaOS13L4IxttoYAonbBIjyJ48DjBs7KTNYC1Tg8gFlMexwliJOEwKBXcIghb8jUYGfZKi sm5JP3MiYFlHBqzRlKwFSf/k4k5y37ylkXa7rJ8lh9r2Aef4c21Z7fTDcaw7NjxwkkZo9LbFQBtY 1rzTfdOuXTcIXKJXD2MBEPhXwamXP6l6b5RIS5nVmLiMGp5+5cwhCXJUsFmZxMEOuEOLTDnrZvVc hCLPOCwd8a3+rUQs0YxN51+79aiOupqRPAc4LbYAepAGDUWZzub84a2vHRr4QgJMV95yJIHwuMnY a0UzrZCWexHDvUeH97wp5hYFZHdE7xgFN5/BfDXVNAcFajZ0G2ALbDeC2QjmzTqpgmasQARoQbCF GsDvCSFti24VeIpaO5jF5njskKOQYTk+u2Ff8C3cvdFnOCEhdOLBgL6KPn5xg7BHtXUi8pZwiLSy 53rJsgt1jqE/XjjEUGt3PHuiRL3GtViNU21YL46URWvkVnsK6ceTJw5eNRbRgiNfEbFFO5ZsKEvW /NgpTCkk+zpNm6Ozm9ip4Mcf2cpOTgOR0gk08UGDvGCToqS728vBPmipInqWUzil0h1swAIM7fht FIcsYKf6Pf9zO9T4LkEGwH/MxzUHhhMqyxoYNBDRUBu1RBnU31Gc+Q+I0aCDHkOyQyq1q3urLZ2p /f9Eq7QZiBp3p0QpIdgNlQBHzntk1FOChca3mg4rqvAyxJoixZigj0kpSdSv10gJzDrQz7ys1lsb rR3UmG73czoycQg2KaRSHGTtNtCg951ERF1PTqhJlCFiTcFaKreRd/EmhSvM2wn1DyF/v4vN1fxP ZUG/s74ZI67OqNrWd6Laxfux85BxYwDDF0DYHdE/gyafrxqGwUmWblf6R86tre1Wko7YiT9nej28 dbQ0sL7SZBD3pht2xUdN/ZmJBYytUQkIsIna4Nm50PZzeUxBSYNmrVwQbGAXKz3UkOgl0gRDXEBd x9NPDqhKDZAuf9U3n3j8axYVZkwAQjNIqnpMhLDHasZ3Q43EK3mSylSA70tsb7DwolNccBY4NrDo OgxKdrBHe1wtQ0DluJY+eApM6rYjVcij8PDgVDos40T8JSoT3ydbVTAcpfCHF08Buwt8Hvh6GBTg M3dd5UGjO/2vukLvnUi+ZClDNKcrHdR4Z5zR3IoJctWsQUP99B1eWUPS+hgXG/a/Cp6hwcdYJpOL pnh19UMCvFXt+8VU41W3aaA3MNQKYpZ/kTSqEEXPFOS5tEdohnhwzBclGM2MEzKlWW0v9KiD2Pzu 7XocO9aqn2VXAxf3gkXMTXvlmxAhEDfh37PTwY6s69H5Ln+7doGnZM45YJyA4O6rsdIbNM6zZVFY zQx3NLtJyzLgFyx1yeBXZIj6jbxihPQQvhgEEyOjSnwotcZgcbB68clC1GGMRTV0mYXPJBISCKh8 sL+D8x1AUVkvt2hZ2F3unaA6rTYSfp/HXPIePws8RAw8P3otA3CbK2hShfpV8THmqIHYQCJJHILo 9K4cE1HU67SAGT7e3Dt5qBr2g+TYB2WhcXIUfXGJZaX2ODIRyuwkQWCA01DzO7DPfMX8AuZdaxn3 IFCapuYKr7PJmVvhB132/xRM+OIiwc1sBTQo4wN5wBmhk63ddBlBYEelS9JgEJFPmER7D6BXdlEJ C7xCsR3R2FJDrv5XA1fwFGEwIseJKAgeCeSgV5QpUFhcEhwmHRCgaztLbBlpAhIV0xB43ZO8lV/I IMNIHWBbzWZuvfQbWcaoQvWEz6/5JglhX9WmbvhySOMIlp+HyBcy+4H4utt3lEw9GG1k6bFwugMe iyfBeuU+sZj2UAa5jMRJnN7OLuGYDsbJ+NZ/LxiZtQF35VkGu16ZQC05VX6NwUQXRY6O351OmYKM zyrUGVEAqmUQtiuFnBfHg8ree3QOhyocRjJEC12unzUjiHgNSAcjLW+f0Ak1xy1z0PMBmEC4B7zS YGPN3pkadoOYjzZuUFlPCGcoEu+0dqPhqd7Ns2qMMY9bDxVPBFr3Mc6RbM0nRxeG+/qCsLK6gk6l 5YVSFBs3zYVcRcUs3UpV3l4d2CpioriMcrOi354UBZVu8JeZSJ8ZBNC/hY6+Skuu1wUYPCZsolfH u+LBkKDKy7Px55tZW+paobDE6H/n0SaIGXrTVepDwNeZWd+fzfxbO31L0fEUtQpreoavzDSNG3dX VINB9y+ZxirsN1JtAJy0zUlb8t/m0n9QUq3wCFSUdlxhJeNf55X/KYSognf74a3Sr+LAG6nUKJ3A l9Ue95NOGZgLu6qFe5SL4GgZGzUt+cAUx80NByJbgrLIbmiSVE/urSYWk5r8B3gCXZR4c3DSgO4a EQybNuiR0Y6r4XoHdEOXA7oWKYUZHd+wT8NRJH7epdesvw5IxNleYoUIkaw8fvpxlfNHLLlGxRi5 QTKae+rgGShg2tyEcdfwknrEorj41Pr0HBVG/dQ5sUnrjNfUGEta7YDopp3372LvmF60QHjPiO+D UwfEd4c862XXunplalCBTjADB3XeTM/pLDQ76JaNPZk8splkfg22+nDjkoSd+t5HCdnkydZsDXcf pCheMCpEO7n6dNncvyuRH0jnO5wYO2F5/TGsw7dmDFUFNzsVkvnUKF9F0Pl4xqb4sUrUc6+xLPBA NLMVRFq904HPS8fQ1DYkdbGLKKXcFESiOyiXX5KJLOJT/YXuXJUmYOXplx8hH1sqLfZaAkQDSYF8 A6reAkpeS8AZXuyjhvqA7BnS2Bcbtz9Hk3RvKBnhB/xTzd6gh8hMhEn1XmdP+HHXaN0idcrKBzUZ ivYtix5838/qqrAc4c3xSwtGgK6CpZK88kPq3qB6R5k0CAZKhlu/oCY4pm43sk2tw1ywvYPmfbKO gD4F9m/K8UGP/fngF5s2gX7Mha1h5GoNFCgbDuvrzK3+UrhYWIMxYPfNMMggsJqzGSWI8pJcRylf kOxFaIjD9ZLp2L03Tf+IruyhuIINeJgdVinHkhPAZSf0ZTACQHjGk/4YuMjurvI/9HPV0NkNW9eQ K03zRf+cCdP197YXNQdWQWViVTAoqHNMKzriPTnNRFrnnI8qbsudAu+20bksWArMwKBThoUip+w7 WGV8vZ59CDjlqsPy67W/pZmvZx6VYJuWa1oYr7VHVbNeL6DClqfGNqfJ8tTu3QjfQz7xQhi3PrtR vThiaUpfxVPgJ70uyTIN5Isqe7sRTdbgFyAIPh4XsOjU3K3J43AbRVCbneWyHW1voeuQ9QIIhe9j nIHI/TPTTG946JMlzh/f+bThp2rkCdSgDOLIaIKXT+SZcsypoPT1Af0QHUqjWt6i8LFQqJ2hvQOU lJUsqdOdHpJ/bPoDK4Q0CNIoKdLAKjsgwnV9oV3VKDYtF00gFLajUHr6aVjINwEES5pV+9szj7yb eiUaXjTAXkepIlKJfPotA9V30VShxr0EKkaCHiqytbuglGuETBlHSWd3dS93BfSXHSRQWDNix1eY b5QI0gr27f4p/sAfqOdvRvfx3/puNfdki9RygAfaGA1IwkSt+SN57ipmKPcGrBTFrEMucocTACaj 5z3DbF91hxRWea2hXjbzdUODMH6GfEkck70V0Yy8VZxlaiTti7Drq7u1SvbPM2Wr/jqOHYf9UaB5 hQq9YClmO45wA3V5h18dyYqTU1TiA0F3Izlf/zcJlYn3uGiMKdNorgs9U/5ayVA8N/oI50vUMj8s w3w3u5zNc/KvjFcvb1nzp2UWyfqtxyxU8Hp3fdR6kCWZFGjFG2qIY//4jlc0FHlpbz7WrWKUI2GE 856ms+XBzucBFlmVTdUeEl21y6RO7Nud7BZS+ERBe8HyljZCgvXmrIdqKqeiVsvpyCU/IoSF2I/o Qe9gXHgoRS+5m1xRUQqmc9sP+5HPcXaY/0dlo35JNa9j/K5u8nTFyTEZf3/FF9OEUEjS2xhQ0ROF H/xa7qMemKErpYxA01rPCfemVf2/bG/YXOmHvmZuWAbsaIpY11qNMvvxl+ag2SHhw8XR8PQZQiCs TCQrfIMAVF4cG1f/StLGirsV1Q7Cmna56NcPQ1fdq8DGj7xqnyuXLLS8L2PPhKqSjaGMtFUj4cGg trRzDJdpQQ7V+VxrI7Aa5+BFPXb6TVjC8gqr6vSnaIj/b3qbN/hETohlwAqtnr3dAeyR6/Dyr7wJ l4zVchqPXNEC8dBaYS9pSBZ0Dp6oenpac/WFS0uJMGrYdz0/3S3N/Xa6xAaigVj/qbTR6BCsbNVc Nbsga/8ik0DTp2g/1rL40vxX0Zc+VabmhlWAd0sv8zvAHFvQzCSxbLUa3ldUw0rApEz/dKtMkfZJ A+dZvacVRK0v7m3fNo0IjQekis7Yxwd4mEzjalAwfTZgQXiA9ctabgG02sOsAGfdHKr/z3GAhe01 rl+2IXrNpg9USLe1eDyIvfrAVbShq1G3rmT8C5QMzaCrtmhtirAHgQT22K7DwB+iwqagThEkpiCr c9rsDJMFtOp3/As9OhXAmOnMynl894n6aAoFb2XZccR9o9YV2gJWzCUazcjomkE9FMayKOARPuIO 9emKsUSpepvUgPe0XKtR36LBL06d2/uI0zaqfoW+e/MFyRU9oLaengCXbXxtyxEBRRstAj4KbfzF l2kHpTHRDsFikZKiWOrudQG2vUWOZ9df9v1bUD8CoEwWCsrcqw0dr9BFPrVe6dMQWls8bZTjhbZX JZpkoj6FPOqw+tU1vxzYLJ74SRMZBC7h9rIjVZvDRIJGhZD2QVqTRarNg08GONFZpz+90ZNt9jv1 RSxHv1ZNu3BCsO67x00yGHjmZEqNljmmJ3iBqHsljBGktItkQl6lNclfBOmsQmD2upKjwBloCL0e JY/8cQbPhhs00IqHVPtikIXCMeQLbTf6+tg47Kkd406WhsAhrX/KF/Qxbm0QOnbrZov+BdvLmOzR nEr71qf/Awq0dUhuCZEZt1bDyPmtLvCnmd/9Nen7TiyFJ31kmlUWQsJF0FSKso+FnN/orbXFgtRD L9jghf3s3o1sCTMhz9u5X8TUyO5o53jq53jUIIjA/oLVtxDsfRiDOtxHLhQP2onUrCd40zWefoLd GecJVbXp6QMs3o2A8sX8yRBWpDwvZz8jATsOe2xlkD2qGEJA3JK2ar8GipjO1/2xyyVxLKHIamVM N2e5k8aLrz2zsSOA/CpCuO/IJiwRqmv+Ajoy5bN7YlUKYmcKFegFjitFhDgT9wCFWPUX+fHS1h3e ptAVR1a/haxg5LquF2b6BL4JsWTSIQ3JBcGpn9BYvDTrSk8jTkXb6udPGZnv8W463UtyR5E8/zxr KhFz5drc2OypVHLce+cvwGILSECr3/xFJNC4dxhF0KzV1I3n6efs+7hpYmlXhUYSUQ8oynpQ7nPJ jp7kemL1JyBD5PEMO7jqm1zrVFUPC/Jj98dRy/y66Pmuc2Qepm3kLneUgyZ8SpQHxDDqb1TGIvl+ MoKwWX2Apts8Z1Aofo32oZNmpSByMp3uykomn8TzivpDcZpDHy+w+pwKRR0YOKFRXyz2tw1038Fq ociglmYc9U5dAURpyZG1oyRGuI5MPcWojIKcISfLn0UeVe79eRreyZG7f4jc+QzuyCibD3TNny5V TUm60NxVSvovyXA/4BoCOEOksRZmwK/iLi00JYyEHdt4lACki2LhEUWSdW4AakPSapGpcAGwMkZH ZQdn6CHAwJaxkvrbZw36tlvDvHM7exWOC5bztw44RXSnBFIn5sFKNrMmRJnVIBl/yryjyDNKxdkm DCV4RdIRG6VUcOpbFznSwZTg4eJ6CrCJmLFyqrr4CBaVc4fl45o2VmkN+fLP+g5uD2LQQ0PDnlDN 7pcXH2Kdl2+uKCNsczkgF0MXz6FwXxcv8f9GORVUjuBsMAuhVJNEqqAI895/gMrWDU1Rh7eMZ0l6 k0fGRcDxH25MRiDQBJHbCEnSaYjRTM8+X3MkkNZxvJH1uXU9EPBz4Ar2nUzWMmbQJxKzeyGIERrd PnW459C6DA8mItw2JPPedl+/xqJ5uAHQtf+k0g3H/jisPOORMtGmp34BxLLelD5Q3w9nR1SNzQMU 1cc3aXgOwp7vBGy0tr8cvQ4oTLMye5vhTAzv4X7hV3jGx4W0Iynv7IzB+mLimSWRrCv0P2oMrDch F2xoqAl0XRcosNwm5MfDqa8jCOBYHUHFK8ViIB3ztcW6x0qKbk06uYEdbi4KHGOnN539hLG+2LfD YqAF9wP1pbAn5VzXcWDA54SG8O6fCDYyYM7VLhXm52//u4WGlTRRMJLFeYPSnISURqGhM5xWBph3 lzf2vn/B550NgQAyMfjOi+wwHZGuEjS3wyVGeyzdjXTLnnghW7orrbAtlpWSVw628xJa2F/4AL05 biVZnKgZUO763wKXMBs7OMbD3Le7TXACV+B1T5wweBUMd0nIfkmYfyhr3LFmDKcKhQ96wIZUz2wX mKsLFyiB751l0ZXeYF68/RVWUKH+LTdJCvpzezSd8ohUlEapbk+F/6AVbHU0qVR87fMSfov0+7IN ELSQB/VB3kvTSSOvyV1NiRvZ7Xh+thK6VP3dbyatRf3esur8qQEYIwWHfVtns/k0njNHPC7iP+ON rqhIvm09gji1y+HDAVKG2tou25AG4QekNHFxm2Yzqz6iPbKW6ec1aBMPVfYMuSIlKKLNVu9pVEol UdVKOBPCMBKssx8x6+mEBR/vNwbVofj6Etqdxe+BBr1594OIRz9fn2cuk4AxAQdfrwFt/PDQFVj1 PNO2nudWaApgUfVf2OwFRu2rUf4WoEb/iwKlGeOqaIjUVlmTGGs5yEGtG8rVldYFcv0QBh85Q6Ie uKLFLDw255bhEkD/di0KMxuBZwy/oHro2k6T6IAcfCG+nvHcaRARWDTt/DMUpGrVfG4ZKz9ODMJ0 zBg6XLPcOyiFH/ew3IUlrMqWlF2xn2yfBVaC6rjAfmW05CdKxiGH/tNi7FxGFAYsE2MCLBHaPKCV DtQeA81Ba01QaQX2HhGAp1xIWGf6Wva68T/8LjSOFkKV+kQv9lbcF3ocuYjVY4QRIbh6Sl+H9pH3 Q2y33qVnTb69AYY0Y47HMSpuqE9tE9WBRmHp1bCDXLJjJDIPFY2Vbc/APbiYS358tdLCxMk1Bru/ UjcAIGhCXc3ABOgwieCSaNUT00QS2ujxNuPuk/ikBvXFS0TXzwTKwpmai+D9v5MuItzQD4rxpujo J4QpwT7tqyhvfNdvWo5dXUatdTg3Y31srpb7+EJmEdK4m0/yqlLmrQ8t8+4sg0bCw2DKXBHhQRzM +MyBoxH661AfGKFlUdGCCGDhMaUYABfNjOrdQVET/bi7oTJHmd4tgWPUuzp9fEMRivOSrCEwsOH6 OZUGtGfeR7nMkIwqfA9bKZaukV347isTWzPs6PlnFAL6f1fFJrrGl/zRDtwGHeSv/iODbllDsSBx DGjuvmB/cMGE9Xbw58RW/OLwneSrecVVjyeHm++JvLVEEGMvzM2An2RVKUCYI45oXKnxvNTCzFFg ZfMacaZi7I4oKG8/nFZeN1Mxj9xf32RcOh6nh3egmcNOS2JDW9gc+o6iMJ5xNV92LEJN1Ses8Cbo r1YpnxupQFDAjoRAEZktn8HKRyIVNlvY1ek1/2v2p6Nv5TPyd8o6I7OTWeo7NqMoWEEN3ZiyzNj1 rpU9A7FDMu6XN0IT+RSCbMPGvpyQJ5GOVec9quSHF3ti6BezO3tUQzqecm5xC51oCG/n5GArR3C0 eMB+Fkz2DMZ8+coJTJ9m7vK79WjwcLTabfM7Kb6loh3sJDsEiNxTz6zDLRLOdNUi3uCh9ni1G/gS tggreBFJ7P7qxwfzCemSaSGdtPV1VLcqMibMpa4W3HpE3LGOscPCtEpUDCBzID+cIrNc8xcT5SyP rQUJVBQylRfwDWgVQc/ZWMJbntx5rXEGvkhCLFqYThv4oAg036OQ06qyPiLGz/7pc0miC+Qx6RFY JpAhKwAaDVPs199SZjaVwtFS/pahK0Gz31QAGxeOnwUVjUKY7Qy433xuKz5cX8LUWz2xfalnnMnj ZVyDlKy3iXw8eV+zzhi8HdM0qKMMfVaBk/nEY7iqUvtv+4Mfd3CL54f7IKm4LbmwBPGIStApzn8x MWXQqBDKcvbM+Q9jsYyE6svxwQGUCrORGPrzybBTLz+YuCx0gyPhxPcg5WseHLp2cmreh8bivyDu 7Yx2gHqn4YbzVtkE0VGHMunPMgUsIJkT9kQOcbigYLPgkFr8NW6XKAK2NsoJ2Tz+5x763w99bs5W UAGsbgowRIbtxdF7NT/H5+f1UGLNxT9Oqbnz5pJlUerUNFXtglfcydXsPH4fTA/P1QK89dpCIchd n1sMtk/NQju4nDMLqloZuXiTjAqgpHBTYPMAnEK23CIgIEeGxlLuW7Yf3P8sz2SIpU1GmiHufXne yEuJTwJeiMt2Zs4A5lUxV/ezqtYF2Gb0pJRqwjNdJmLV9IUcIfD+REVCJ5hekbrAI0jG0xfGkitz umwRrnSaRfYgyn8yJPq55K5+vtv1nNhH0DJIb634m3qfrSpcoG326si9X86qqMnhLmAjx/gWiKBz dO/3OaVJDf9l3w4y16pZ8FKx42wnfJ0YvvrdP3K0f5k8sKqxp6b/Qk2vJBfy9RMj4wqmHpfKQ2Sd OXV4Kl25UwRhkVtqyNmUhmBB6G+Of0TnBs8N1nC5/BFXOyz9XvGKwLC2QfbYeSyZQ8wdw2ZzLJLo 5blsUQTVPWnZqM+Y7+BRue/0G7k3vKaJ0f/j12ethBJCjYWJs8e5Ek7gpe24hlv66mFBbnKNhAXL cxSOjXq879pRN/3f7Y7/c/0+bw4+LuoVieWoK1t100nWxWmPb7kA0g5jLsz6TF+ETy3MWzKoOTdq 4eofX506eKk5W9ql/c8w4k7ZXgg8TM8GzBOVEB7EHTTL4KRu7oUtiDOGepxDDRa8BifkLBbeOjli 1Qsp6Cqq1f/YjDqIb4lcjcGztf0NBzhxnxNJ5q9IZUhTTnPdIItJnVOyXJE6mm8UjsdrTBzLHw7r /IJBxGx3IJvvZItG+d89Je9AHy0Dd/NQwL81vRXOhOcoqsDv40liVeZVF1U7o45tDKmdB4CEncjM tLhU7eXb0TMfwdcg8zvoGDXcT+Ba3SnH35hgDMvUzI2YthZ95NDZoEUaSIXeGkKP8If6LK+cjv9p zWhAjRbCKjmHVxlU9J/tmbK7bdURe2cokEV4wA9l01wdkHK3nEyV27l028wGwyM9LD4Kznm5dMjO G2Vi1KnYisunej/YfVh9UbxD97nxgQ5740jSFswbP1+Fdgs8dgYmH4DMtawli4mAkzPqd2O1WhLx 4OYMkTPS//U/rfNOQ67I/S0ApaPEpmJWHq+f2dp0t4/CamrPLkEoLB+Rth7KWrmlsl3V2/gpg5I1 Gc5+g08uSC1ffFUej293dGoqKoQsUMtwoDrMoNXEDmaSdiFtVExpOzxQekn4D+vD3+AEGEjaFtiY ow2OLvL0oqkkV5O3yfRmM89m3Cb653U5eFmgkLlNoHvBaQDDjns+A407D8Iaa4mMcPQCu10uVY8z Gsz/YQpDkoW0a+zEYC5zKAfy6YWzzOphjBr4X01KitpKUp2NBxK+KkitQnaNVA712Ozf2xpjAOaM 00An4ZQWG6XWQR+rzQwLXgxMZmsmwHwE2SdMu6pRIN9mRa+66qGED7ziKCbenI154zPxkWWi2G/g MOj0PLJwZJy77bGRk5LXswiaivz142+rvm9gIhnV+R7jXHZwkd/59f3+x/jXC5sZv5hbxRMUuuXY JoDkOTXASpdcgbh5BDzubNOuJ/dKOTkAQRAITjyUPIbN3PFXfIFqaZ/HiSXL8M/Mi28RsZNxCy2U baglVudSvZbSzP7RztQfdd5Hl4nKTbTq7VFx0uRPDlObsNmQkO/LO1Wo1QkC7R7HvTpShbNY8gCs queVh+7R4v2UKHCtxOV1fCEyD8iokONyc1fqY4MH8JvojF7NT2u0D36DiH5IdncWQKtC/5HZyXGN obOvEZWUQ0LYkhKoN61UCUAwUZ4nGVcNv7U42bIR15PxQxxINHQNekWXpzgZt411OLi9hixYPdlP agWK/UUYX2YDbhEYL6LOvDNBKGO50W2hlkrK36Dq4ybpenyRKvjCMfKTHIxbuoAylLmrb5azxMJR UCiWokJpBB3wganZ5BBjYtL3XYr7uVsqXHCUaeBFF6W9Mr6Dh6ZUeLX+vc0xfAhROyD5qAhPbb+Z K2UHBrpPUrNOxGLIrJTehK2SGza3yTWs16WHwyV/MXCI0LnBBAIb+64kytBKnwY+hZ0JhPxniw9s 4XMaifoIyTbecz6d/ukolLrQaVDZAqpFRF2RBioHQmaUKT5dCRkFCIagpRHhysgOpKioV6hj17R4 sdjGHczC6HS9LpPYFmf176YBwe9C7klO+8b/F5k4b4fK/u7Qos7x6unbW3ORjQkVURtfvg7NMwvi VlY8XXcHRQgB/eRlY1mmWBWuy6JDBz2JhCArn52C2qk+FfBL+WfyPSzqG5fRR/bxdX13IOadSedS ZM1a9cokaufom5TZDEtIWGAE/LlVZoDpzaM1ul1TkCh8XRvZY4NxJ38bhoWNqlFmeEav5ogTLHZY feYevLPT2nPD96eTD2vEUKZicmBBWKjuKML/r3LU989GQaYkh2C6uUux8FzyxgnKDU95pK19J2zc UaJmk38jGM6K0XIbldcMaPYNi//xYdH0Yuxei+3qJluNYRT5ObHa9qlKHcScgRnjOt4KmX4PrmNu 0dnGi3bbHlMDYviTjtbJKgk9ycA6HqRxEsbSKr30TAFA6k8Wb7maN5/NSKtBDsI9NHLj/PHbxrJR mt82lmeOUfKNk1fTUYIR9g5r39juLHn7sbo1+aYRltBafQPVgnmb12Q+T7IXVw2VdjMuKskLpgU1 nBodigX5ArtAT5rBt8LM9ndXjEu3z5MV4xgxBP5owZca5BJEn+CNMmL0auPEn17kqS9tOe9Diev3 HbKRpDPiyRa1Bl6jE2SQz7k9/Z2iFMghC30XgVYJwB0Lifrhe8a5+96euLxicrEic7utaeEUWBZn bvisn3o0mDH4Zwr4066tLzLl6kXZ1mIkWRS+ryJLpHD23UIZRhpVjbe+p6HkKXs6k1ukWB0PpfU3 opJOyHhNWwsj5eQss8E6y17yB61grExZJg+AzI4ktGnVMYJU+qzuO+3HBaH6hce36qAFxnhFWCR9 tSeQ/Ay1Zs3ga0ve9Z1ttzMzDmN31preDvRaraP17uIuE5PoczoPDRnmJgaq1heElHXyxr9y7Fmz 4IKUur7RcHnqlAV36Q/x05xT/CI7psXnRcVx/jJ1YB29sGQmI98eXSZahA7Mb3cICWzXFz1iwUVR NzQ5m8hXRgp8shbPzIoiTQySsulJo5+TF+i3CNmM5gSUCW/avRT66rwkdbogG0jWCYfY54qTQtNN lhoo7I2f48coRF77Eu1vOrnxXw0hOF4G/zCEpd1CWGBSqJSs0XUcFWFhTVp565FGk8frstZZeE1d u++xRaTQokAGmHbgDkL/i/wgTbGdH0XoGbtNnPUPfbD+U+ZVnuCIQwnvRFaZvwvG/9qeNdkxt1+b +uhlT/RAngcWEDpMy+QLSok7dcKbLQILJncYzMX8whd0GjauK623m8HcD0sqdaNZGeOjGkTutQ+j yAL8cj+d86oW/dJNHX1EfGBFJjuP08xRBJwcrZBX6lY5d3Srr2BEcsHg/h+9Zj7D/xzZbujdIPao dWwlybf3nPDbdsgE8DooZC1DpG+g57opc+AYHc7sH69cLH/90zyG8EX6yz77i6rRIjVoCXS8fwVT WQz8ZQtQkrcp1VFYFYZuyGcnNmKpt+JUyC4K/uSpfoRSiabcWGVFCfgWS2Bq7seDqUR+bKDau3Px KhlZ4Auq2AiCEu2ibP60zY0n1hnQtbswgmXH2fkQuxm8cCi/3XUWYV3Ras/qECamX7Z893X+35uD fLcLPnzjSLLIf9D0pQ/zzbgYqV3hcMQwwkC1DJXmfienux8J59SgzRRrHcpHQjQbNDigjEyAmYaV jCc1te7kWglc1y/qnIipkkvB+szOLAL7e2lSYZKdCRI23URSthgDHfBDbNbZygtl02i3p9Vd427c 8bip+TRaYDhahpK3JrcKWa5vhvNUSZlO+dKEqax5A0Dnkeb8AzRGkGegzvMq0Pc8qKbVS+2fdLgz 90YZULMHT5U2iRATQNnDe8jOdMvgNEgI4jHdkLcjBqkdKlMBRm/I6T3GxdG1mLI4LSyUe7yl2j30 ZZLems3uRBGe4FgnsHs6VcORNnnYL2FQUayMysbJv4RYFiauzxSHETIcaWgpP1u5NSNp0kWq/nXB Wd2c5s9UYEA3XT+KnFgDAB1sK7ySH+xp/E4HGV/gU2VK/Hd4fOxMTsWc9uxhW5l8KMpuNaftTgbq OvA32d6GEBA43jexiAvzXW8javCGHAFFVWjwAWqgU5s4Sys6Y72QtKtIXSNh09MBsq0jMKoBt5CS jn2yNGu/d0dLYtaEJMlQ5Jg7PO7iga1zfNtaIKgt5c1cLEhc3trIfIxMmoyhIQ0uFQ0F3OQ4XbDs kY8r3R85XmOz0PTd4sfGFIBPk7oKs82nmVmhB27yXxKrKHvz4wWNNZVSOe7lzeV7cv7joHA0ZYvt lEyJw3vDTVXb9MIm4LvJ4v3GkMN+d8AmhE6F415JEWp09I5sGekHwCqMKb+5k+L9Q744Eo2OAvKW CYesQUAfNh9qhcrSqho7dbngg6+hMzyu37EYe3CjTZaoBoFyHfBEqEdGQkDM7310t+KvS9WBFSq/ vaDqg6PrkNNDTf8x/LNq0y/gLU4Ic84YSLQzbHUt53mmY7meeqI/NNHzx3OJOxIxnJTtxypr8IyL rlil7L/cqGPdyrvgkPV/K+1nu3PD6xWHLU4cNxyHZ/LUhPL5VEQhgARUPeCz2bdGExhsAf3KfmX3 9CejsCGM4Njou+mthYafQwvylZ4jZfPVgh/ZRCHhrpiq4Bg7CRLKZyG7BkuH1KHyY3632msVLMZ+ e8y0/f1/8G2qUeZqvwSrtxZcL+YqImbhYHrkoJ8MmbI0ByiO7oNm7XpJLfZGeBD3MWi6GSJ4wjZO FOjOvKfKYbCMTuYfJF5SqC1MEmAODMDdcuWZsoj4loBq4Pt1Y7nYqlgAqfdjGY90DIWOtDjv5FzC ud7E087tvXGte1OPa8FB4qWHyXqrTDOaMmZyXgR+6ki4fNWvNhfcQReQyHR1t7dezxpmLx0/fJOT qXNNswyxP2razxg1gy9o7fhIa/hNvB0x+mC7CigSS1ognN5eOY0bvhGkiuwPctiKdT815az/UUeM SnfH2M4SeUGaqffi9YMWxTRscuOLQ1+QiiE6I1up6VpgULAlXs7b2HtTEzS7Zb+yytK90F7wr1V/ m3LVPNbeWkWPFUBO+73G1Hvp0eVMB83QZEclAYiUOeez5DRhAvP5Fa/N+cJ33JAFctk5VUyARydF DLi2UHMjwr4etbaK2cMKLQBGUouUyISgTk28Jae9B1SfrelsY5mwu6qSJN4HWm+ORrpi07GBg1uF hQU42TFUW1igYrlGnGxeUfla0B2MJAViFrZpvm3t1rHlcGYJoIQFUWPmGtYJFVL6e25qBKjp5FKH GygifK/w4TN7S4jscXS3rkqFwvEFXOoJCAETzMc+wWgVvpgl6yrEYk8ck/fYl6dFLSy+fMFmGEyE RJNPlNaxJUpLyaZKVQUNF44eD1YSk4iuw35RCs0kyZOnqocn6qdxOCIozSjeSggpA8CcikJsH4FB bAedonuA8fDLZZOGHNCanVv8fwhGEhAWlsrlXjXgFrIrJ2RjlkmuwTByI/ffuEiuBrb3XggNNc5G vCf8CzAtZLgcWQJutZEar/QjN/DJ5nY7X4rm6NVMH506vHFuzcK+cxn4hUoChYCZd9lppAMToUMT bSPrZGgSfVL7RLiSK7S8hDE55BANXHo/30HE+hsNHZfdQ3rH+Ev3uSeCesVD/UzpD65NJz52NlTO Wf/59e35D+X4WIXkH/7goWE9iUFLDdjiROPtOnn6nerQG702psLAcnpXc6+3viee+aVU1i3dWgA0 ENXBrdMPTFpjDMjRJwQ3iDbhPiMn2QhtqhjQ+JF/maKu/eyBY29GbWgwBS3p91fOGVqVVUEgwVpJ v2YaLt5CG9+1RAffwosjNgP5dS5Gz+BPJoW4NTbBZ2llHQcdI17C0om0NjiskIL87jeRptkT+j34 c3j6K05ENS+I1lDxnQfakKGPwk08MwIbyTrFDMXaxP8sueVHoW8WE2LKNc/O3ob67w5fszvecM+G oou2q+2wXM+Ymav+FgpdR5/qWxhqtLhiWUF2tQYJvDEmul7AnL94SUImhF4pRBRnFy/yuplGlXl+ 9UCIqLBP/n1fd/Iq/xkl8Cqt9FNlheTIiBzNd7DZcYlP+WoD+LdzFE6WlmI1VW+KVMOqoMdk2C4Z iWD2njcwydsTerFyrjAh6yQgCLGM9cursS7fhIehmatL6W10yf4ABTutG0sPcN+CsNxxRmIh8TQE LVBYdpnbHyY9MIgSu/HzqGgVSCylvqSbKAwuFSIrkdAvx4oqcTM/jdGo7oefOqeBRpE0/1ftEofL Vtt0MuGUDRVJrVN0yeSxSfdhdHx7di4hSXY2cwrLsaO+ngkkielHafaG7Au0QFa7o2iQ/79qZiTC rQ7czNGrndje7I03pddAIRSOH1OPUYwGmlEsRYLaxl262ulh4sDVDqf8j55VwAMzw1WxL0Yncrd2 Ek5YD562yzSAXeEgnP5MMfgkgfGFaB6unr4B1muAOuK3VxYryqofTghovIaC48rqay0jJOsCLSdo SZoLf4ILeqgLUx0WwGsCELCQWbkv8wqaWwYZjmlwafXxcZp4rLpjEjhg6L2fC17u2Qr3oJVhzklY yjD+O3fyfFZ4wIU49+vPXCG3Mx2edUUt9FpGwfhKOpqmoUvu1nFAJYtSEv68DWuUaCN1V8fxcflq urX8l6sJsdX1QTSnyd16Klc4YltIwJV64Mu5ODtwugyTDGnKwIyyZUj8Xq2DYuVV5nW/t64C3nvs w+Z65QiiHoOochvpZ9PD693IND5DhngbXEIb5bhmQT/qi0rT5TfYmAX6P6ydirADbPj4w8nV4uvu nXFYhlSLfwuSKNZiQYfxv+2fm12CSUifAoWfpQH1w/qdN9kKbPw0BXOF/2yvFh0iwFmOLeQyjxFp WIFVjv+qLmJCSfXoqcdQKvWyLEeGOIJVFx0uUggxLC2d9Va5p62VIy43CftElnDOwdSMyIzSeMLc 20z6LtOprAqf2ao8Bx3krNJQTwR6Z3qw+DWz7rggBHO/zBHV9aIY6CvaNJzNk/ME2i/QwGvoo2ja kc8WaaBpehy7Za3+vGdHdtndcjFjPH+iJaVXSGUVrKPaSHlhiSIaQBtAzh3++LWa01Oh6rtekYRw fLbUEe4TnoXU9ksUkyw0d64tkb2cLViH/XZw3q2gs8TYxxnbaUIBwnUZWXa0G8x7IebYlZ43Pvza IUb6UfH6wXIDtA1VCM7jZSH0+YGxGVVN3QZzyQB7u2BJNelBHq0HP8s1EniwDvOyRKf+/NypAKbD +wIQQdwXYVTfrgBnP87SZs7iVemlPT9o2PWBJunxcHnvgIWDlZVSRsYBFbUKkbHH7Vwzi6tW1q4F xSK6SZSYBJW7vBFBxpRVzmzLN7fwoCrksbfyk5wBqlFhH1JV8QIW/LcWf1wxyIwNp4b6xPZg2vl+ BD58xycGA1e75GvrGVf9LGiDYDdITFMTN4lPrnttfgTE2hyO+XgnJLeeJvfG1SlRQTxBNdwfH8Jp Dgy3KC39mTzCCgdnNl2GwSryxTqtq/lwxN6ZVV8mR+EtZeRYvA0JDcbmswMu8hzkGc5CI+LNRccB eMLHBiKuB5WmfQ/GvaJZJqXI5D6AoqU8IzJgpsOwe2dOf59CK/fhebK1oNO7lPt/Kbd1cay3k6p8 vvE+Il4FKA8UIGJlDft/9AlPmVBSypQL1RvyLffw9B8tkGulW3un7W047SSoYKQkCIepMCHPZSk4 bjS4ClQ4cpEmQ+iqxY+/lVHGHno/9c4c8qr/bHRbNi0GHwWn3EHRMxktamq04RWrJUfhASxkGOEV RneuJeSO74UzaP8ZEGkx+TCliUfvVvqBKVJYcnIIIN2uqG8WRbX6hbs1yBysu5Ff7QYjLq5MQlKS 28UMYIbz5kJTqF/GFBzRBbueFiFyGxWBiG+xfKsHBG90aAyvEoYn9isfWHumeYHYHBjE+x1IGdOl smM+bQ8fpVr0P+Ua9xPJ49GY+dng0DmidKtSEXVLJJUdQit19/vRswLlrc+jXqmbNJvzmPhsqSfM 21jcJEPdA4wOtOM1RDwTkYMAQJx1ncDWnUbnb1GQ2ypNkLLJPpCuDnZfEA7+YMLvZ/z2qvyDTN/Y M1ff6skvdHy7Qb31l61NRb9jAxN6nBniGFtsPcQ/ORVh8E3108BGyTIGMTSOseYGCGWs2LtpebJr NxZpPmGoTRSHbeAXvFpqlrnVGm9pbjfldWaAJrIS8nd7U7OmAzANVSMAbs89Vpe/X2Y9KglZbFKe GUhHahd9RJalodUSl1UiPPh/PvV8+GnOjIAEoHw0fFiDOeSQq18THXmyCUBH4VqWim5eB4us8+cT zckACDJbVIkkcHAxJ4oZzZtFYpSs1EOWroc6RqXkIM5PLTsNKZGYI8ODx2edmsv1ZJKMdd/mql0L Ohz4vNVgA7uB0paxIXiHFToozyKEr0WENgfDMwU05scVvQOV2N6CgWeI81/RZG5SZ0TAB6dJksQY jKgEhiEKTtZNTDEF2iVZsM/y2uNPmLTmkB/Pd/iSNBsmJA+eDYauiBjBI243zdtwGzgmoO/3fFuK 17UWIgYMJ4SZbOc4MRlKirxQzTsXHoGC65JpBUy/I0hFEgOK5as5+0JwBoyFayw4YkDNeYmsa/im KIhIRBQyOqN8Ae/6UUiYc1BcduOx6WAsI1URGCgCihvUksZ2iZAaZ1UA9vspD7PHPYxhDldvOsnh xJAL2Ul3MPKuxIPLzarzIEwsTHkTAgGZv9wQAiuzj7nWpwzxWTskOZlLAXxnFK4lyEHSMGM282Kv D8R/itjkJ5Mp75QCihgfmCdyUVTPZ29htYAlO6NpNnWf5hjcgnx+gMF0YmqcdtXz6ZrhrA2GDb4Q UZcStd54LGx/kSTlS7RhUVstSxkYZYrOaF8pN9R7hHF7A8Wc7IvQN9hfCQP8zTDXEiSNTM5dUPlc z5Q5e6lh0svj73pBN5i9EfrARTvqfDscbp7yZ2Q52ds1F0PsLpOIEjmcqM+dS3/XhJLLEX1yOZUj 00U0j0QUNYObZdfHV4b1Z3LXeylFW9yGq05a+a7j2WFxZRGM5LtELKL1P4ejDSRtuS2VeR++xIJ/ Gi4BAEsY5l5+BF+Sy4p288/3wTWEgzFSFNDZzXAXRjI7fKNmF35DaNT8khYLnKNbjJkHHwcaWat5 Uink6bPcCz50Qc6CX7j0R+qt04EHRNoqZfhjTTXuf9cmG5P/xD/9j/WLiRi+YdvqjMhvok6Q/xos FdQw+JTP4zUCjDzLOsszIQ1+Ati9snLgPzfX9DPUoAQYhstgFXnnTeLTjcVafV68ChIUbPkQkgnl MOPP8AJovi9zq+6Jmh2yIJ2wmyHql1QbTVPNT49P1DSyRFpXUF9ug82+/43QOAg/IFuAlK3sPZVG wQSHsP0hnYWmw+f5yQ+mbvSu0xaL+ygPe139IILf5/gcYUy1ce0avr+5UUoQt1+jGgTHXMGT0sZe nH1H5zWtZDVQTdk3n6pzm1om5u+R9wGsLDuE/rwxTCIS2u60bOSIsJ+Bu/JkVn2yoa5O0CQKSNkq BXCYWrAqWN6jqOa2Kw2J30GNvnZCMf2roox8ULYd8xVnvnaCKQN2fD8LBhRspB2+V3kJOf0TOHvr Nh+tPSsuG7wC94HVhjTe9gU8mft98wtYah4ATHqxGN5ge/tRsZpEHk1cqqDxbTc44fWR6sACTaPW Rp/e2eYYq2cOWsAEdNoWrCQNoH+Q+1Kkw6viR2vn3aNXfcK/kfQOi5XLem8ushRHTQbiEIEZH7sK xIn3UNsXd+ff84uYOb8JWN8JwRCQw5RWh2Bf+n/FtDLZ7To8lsEkAbtbcmeyae8R/6sxHaZDG+fB 675/25UKH3Cx/FvjNFNco5ysXPH5uorQnn9K1igWe1yckSJxlLvt03y9fY1+xkflJ7wELTr1/3K+ 8F6u2G4Mr4VIGtR/ZlecfWnrNAVY/RaO1+/gSaKnFOGQJA4Td2PFCk7l+QztlOP0vGSd22COwhhu sKuIh9qvLaYASe6jlKbdYir7WPZLwccMSLEa+Ss6Nxe9hOW7zt68bN7jugkBsZET45NSl/oa0Nrz aeloVPwpQw5cPuE6sKDzqMTKR604mH8/Jd7B4w8/TT7lBZteoHdZCErmyujxy7UKOwm0+3/6Ggic OakCTWHl8L59uGkmmbzb02saumilJw8p4MUkkwEkpV/ryjirev7REKzgwo8v6IPuZg2W0u1nPSUT 3fEV/UxnJ6lsKuytcDXpB5ac19Y4I0X9LSgYixLsolbfD2YwvgC8IJwBKw4CTCtYn8Cc1bZWwa+t 84ObGi+h3KGwMXmL6YiLIDy6Ns6cpktSWrVOyTKKvfF18jYYeiXHwVTfzpsjQgGzZW8nN2kXflGZ eA7TdhrfvUKKPYSxQ2JEzWRR7nfhFpxXXqBm+J1Ovz7E+OA5McgGIzn2uu+LVMgXvgRmPEG4iuTK yGgOUQS+OW2lZM1HjRjd7IHS6uIUaXvf5wAbok6XB/bRIrcHeD3vfJHjNKCz5mO2H4PqymTyialM njC6E+rEPJJdXbXyQpQcnbQ76pTXbO7ZPIN6lQgSZ07gl7KVQetdGklTYD7x16wIGbAcIb1Wj/WD y3Jtqetr6HRVShUY2I3LjwewCIyAUDgyafd5Z8aQXcLZmulP78SlM6n6jxunZ3MzMh3Ig0P3lyjn j8FB/NT8jaoiedkRdYxg0nkjfvMy5pLmH3H6JunbpKeGg+5FfDQ0B8IzUx7PQ4Uyv26X9C2BjBYW am20PolJDAbK3GT64TxaqpCLNcWFzqPgJ7lezcvgSgO4C2K1iqDdAxxZiIN/8/PqigRtQL4UGTb0 vBN4huhN/IyVb0DSwYyxn+E0RKA9n26r9ZJu34DuL0aDoTnixZFkajtk+CjxM1es0P0W9TxW/McC 7mYohxv5aoOdPo8elLwy+UFakL/eZf5E9C8pAT48Pth4dXUHp/5N1nAR008Bz5eF26hVbSBqhlCv fiGCQNKxw0l61BFcQ/Otys6PPs05AW462+mPl08gijpD3TM0pw5DBc74SFxlbIJGQb/N16pXXeAU vAAGuvgfx6Ldvx3foCNLseZEo7ajs8xSG8Dymi8aKlxCa6reqUwsfaUVsvi7AJ9zr6meh0Zc0RXk Ywt5Mmbm7W8THx2cg8E1npMQapvHoUJe12PLvw+QEelw5p4jZ/3SNf1J6Zr9DI9ueGY7EA5gw/8H rjzBlrB6qFXSZd74clZE/g4pDihPJv5in1ycCXn4msRbp2p/C9uZhoRcEDN+NHA3g9gJLkI7pD5o GPGAL79gKd2/0y7hZSwT4yjjRgCPjj11IGGTivO30pTM//ox3MiSYZT8LMHPVWGVRHkTAVJ6jtVa RZeYuuUSc4z+JtQknUmf4bnU93jvZTkVQFLvwAQ6LEq61loaUjBehtKBrjNI3MD/9mSDfd+5EzNt y2mwtMsQwMsMs6qfR2HsdzgH7DdQl8JUQLmOGpIQ/XFOHiH/pqzm++MHlcF6y968MIPkCmqkc91Q qOh9Fx4Q1CSvGYHA8rCT1n4RGonDjwYWGkLUCnJPCiDu3dKmJnTnon3LtORwUYfVtI2nQTf8G48y ceB+Ka8xEu/d5gJAOFjkLSp6F6rV6EkYSemqLnRxO5mZNBknG6s+GP2HiYbbRo0TCyjH2iCtxcET 5qyLw0fHFlS9G2UD7AqQFMw0Bj8tyWXwyO7fuM6T/9w3ZzvhzNcp8s6B9e6jYpUO4/gjwDalMOqJ P1ybhLHA4ncmXGyvvqFtEsvg2lsFQdIVNUPZk2ov/L6wrNeZRX7iJHTvSKFkGDUE4MROLc3nGf8h d2mctnKueLM1rf44UKf6CiYuidp2YJCmBDj6eAzLh+duV3ZenpQHrwa4qTHnQAC5JIXj2teL0JSH olF04C+t85xjM2/0K90pXKZ9+CKKoY084Cq/jgbdeXHiekPZ0niAbaj3fsiL3O/HXhArqpHXKl5F G2lA5CNrOnYy7WlUzW9Ca8lRVg0jhO6juuTtlfTwGZHB3ZQPefZEEsa6hhxdNhAw+JbhpgtRmjT8 W3Wq6MyV/6N6V145Z9XsBMTAUYE1t1d4t6zmAMS4MVMKhC/ybE7pL/Ssi8Ys0L4DykOcpYMO/vKT 24nZXMrWMhcCGsVMJ3aBiFvaVbRsfoUrYeMjYXw9WWigHZMQS+ye8ytmVnnrwxERhJpZMTJvoHNN XlKRMtcBrH1oseNpL26TNgwuXzk6urk1Ic+3U/Qk5nA7f84ji6Hyc91ncLsGHYSFrEkmLr8XS6Mo VfdXfVE3LJRLQEvX2Uwr9Ilfj+aIjVuAlIK0cC/tx2YhjBvSXIQD/N16YeMlnI21EWNb/X1lQ1eJ 3Joc1LnJebuvqxx3ftS3+dP7CCXDDtGK589INgOocGG0XnNmEXrN+zO2YjqC1ov1gYszvaXHfVNI u3iz48RcDMLTSQDdhu/ADBavmRAJasZBlUPeEF42OE4BYdhPZCRQpN2yX5t8b1lrvGroLrKkqS42 aH1a6fuqZdBF4LEgGSd8Kl+8NEq/SIvi2G6GgQdq/B0/LKV2+/tdd9CyNo94bg+DVDtg6E1uD4oQ OvTl2lfZA7aPF6w0PDLytJuAROm6JnmRjyuqGoopZB0uQgyECIrFqEvIXe/irxrFPOLeubuAO2oE TTITQwFUHA3xBpnZUnVbdJQGQJahgjO/GM5mZnW+3IQpbhFqtZOVpfnrtFdo024DZ6eksqma2j7p xBD0sYeY6JDsMZa0Fq+LPHzeeh0yDoQWeEXiK5FCH4I9Hq74B42LC0oXP+QvuHcuGAr6fNZ1t5G4 +4In6eOmjIOJFe59QfDZGOy81C0tCabnYZmBpX/AYGMJ0PggCa20Dunifo4muVQvgkLW3n5s7WOs L4gs+qr26wBFWg9KhOmYF/wA/1iAPVje5gFNf/sTKTJRkGZvdHaEbcSWB3hdYqPInIZpKXWt8jpR 3xT3oGpnCT8I5PWAXNuzJQrnZwF7XsfMVQdjxHUTQyazbMsXdhqAVs5DspFWB4tD8b+pdBeqNzIE rp2NeSUMyezkDxnF15vzVwBUb8nXWTIjadQsDD2Lssqum1O/L0tUMY0isrhGN1kNbV1hscVh488/ vO/Ed0O3uep7ZOYQu4ftHyl8K3hRO1M4xl1Km/lXUnRgpM+FxUylpWmHOxpo5lDrakvN5SHV0Rxc oyxDN/D5CyLY4/I0SmbqDw+qgtW3bVkigoPUDNX9cK7dooipFoOce8KCOTqoHAmiGP3FtcrdyU1L a/1Fs5ZALZSQoqo+E5IUFcRlkqQbhD1cQu7dynrAXFJi5rLU1ctYjgh7exOxbh0b8cFtYRnTGsbf NRO/0caeyC1Qq2k0ZT7DfoHe+f0xqJz+br267IEX+tACzET+tK51PPU1UUp5DRlqmjMy2n7NQB2n NGhG7q92JzKsf02Er0M2ZldwQuEBY4ULx+xIukcbfkCBdQGR2icHssqelQuUT7N5Y+AWFsLglLtx +1VKtr2ZGJVtJ0PzN5weDSCm+srwKjphuzHfCJR6qp6jHtX4g0IdN8dAln3bpJqc44zHlkKlrrfT XlkUBmnnFU552SlMADG/OyJ9QKOjiyeS123yzJOZGSFrB/semPfnPhp8N0pNlNjBEheogc0k+oJz UNt9rQjGr1dtdJMXyJH8uFA994amty1R1PLRudNAfJLpJsfZ8RYkAlZtH0gVI9iI1BoazKQUnsw3 TAkbHVEwoYtXtd5NHsznFLrp/VBdTzfgOEpXZvqV0E+XylUfsi3yWWneK6wEr6fq5HslhzAVqn3G xD69q11I5e6HMCiZeS17y9+HLomzqrBaNrA/weUAYdewCNjFz4XYW5sekiIDMx03K7Dd327ff6tL kfmZr0f9TQ4egZd4mXaBL30VxkXsJJ21dNo48ZSl5zHtECztHbPa8ZX8jxDhw2SlRisDuSseqRWQ RHk0E5rEaiXiwRCXL3msX8ZpnuJITA6hoW91iDin0QQixEr/v2uU1+NUAeaI/AXmoGuwfGavMTy7 JXbSnjh9wg5EA6IIiGQJBccovfC75mD71EnAeFn3jGmwYwbvVx1a3HDMi43RvmCygsScQ/KX7LM3 SXVN1Q6klp+qAKPA5KyGPy7AJ9PryslV6zft9CyV63KO8NTdbdfOGYiceTgPZjiFIcu1ee7FqXi1 uPE2y1rfkfVE9Is5EulAG4Dc6WF4tEWnKId9VkHilMa3WuFedm84AZNsBsBGVImoVVp/LYYkzEaZ 0IUJ6EJHC1hY8596couLW8k6eGQ8NJMUt759eBuwa0BvHBztKq3iMEELYqnhR5A1SGQCSTUmcyKo weNioGczY4fI6E1v0r3MxM7fhPWttrGl0tF8G/43PaF4v4HkX+zIiQbwCfQQ/7U4F2y+Cgr3V5+I i8MFKlWBACpZxv7lQoZGPQN8H65dOggeAa79ngt4ic13WDXS4CM7UsZ+v+c7uMbQDE3P2kkShViK wOS9m2CiGxF7WvhTDzsncpFhMOAw2zRs/TGpeaR3D02f72TT/IHLN4R+XqmMSbhtk5okBXoiwu29 Mk2HcWARJtJ0C8Bp/w0M4td0+Z9szu7VAdjF/3p8UBAO/W3X4KsxS01StyhC7kVC024MJsxtJFRl gjADlbOHyzWaqKOLs46dJ8P82kR0IuKGUMk8wACFp8Q6OuIXLDTL+fjSUKn3Svsm9/jXlwm4Rr+V RupFMaxnuTcXbD+ZEqJe18UrTml3mpjElmz60AaBiaGHO6pLjkbQaFosxtEAI/iQI2aBtN85EVFF +vntNaJGKqz4Y/7aPFH2bZ+N6m657BCQipHb9kvlWdyBjkg+vlP0id7XA5JGdbcgIGKzo1Y6pZMV Brmp1TWsuIs1gT8gqhzc8ys9D3POhsNh4eBuYjWG3yTeLXUOSdmsY9PsD6aNRdqSCMIIxtU2ADfo HQX/mryp1eL5DJWE/YLk7hHDu198ktMshP2egUj/nYN1Kzs7O9USu5hsq/R9p7fjmC4o0vmXWNEW RXCxsW2hhN7rQZ4njTbG6CmVzmaKeA7S8Rs+1GZgccp+ErYKB6JO2gXukrig+U0juy9Vf6/2jDnP jbIQWbs8Z8Y2h0EWJIK/Tt2gKc7X8AHM0Ey6vgKXwhUmAGziauQMKiP6CD44+jtrL1qQIW6q36Lk h/mTuNpBYFD5S6HSMuUqFi/0x8uVRaB/tCeoFeCRBfZTsCrGkCLF1YiYAjAtZjKo4PGUEaWij7bn C93H11buyLjLj73zDwJG29SOXGO/dOiXnCLDHlIVc6oiNhqLTditSYJlE2DsAXJDhGdqFHk8w2Hg 8ozzHABwCGBtZmywV2HjSyLR9jJGaM+T8UahVGoG+dkNASpKu6d0kHCw/B+bbKyAtobuURqknlMV OKIlabbIixQ+K/GvJr8tEvKGqWUDPERIuaU9Y9LLutSnncqSizjT4uK0E4UXqlWu7utJgVGl+PnP coA74B02TShE2Qe8diNyr4zymcTufpHSL/Q+Pq8eAkj95noOnr1CEprOWRJq6lZ1gPUS4a0kROZP X1UzR+BXLLatGY7warprTEgzR24de5LGD66tcoH1cZlppxk5tBQIlMl0ySACO/wBxhcN9wL/P2SO PZ4aw675rrgdr/IuN5Zunxe6Dwz9Iw+T6woV7tqIIeCo34cmv6uF59je6u799Ma8YKioXqIH2Jm8 1H9ctDtxzUiaGlnYIP6rpb0/LZWxdWJTDDn65Vj9BFGbzYNVm86e39qmNv7fV4cO4wGGkBAISlXx gAjJho50KWTqSEXmAuUc/9eL/G5Miyq6MADVHBSRmgPB+2VT6oGd3gOwjOchpJ2U+xCjZLRFjaA6 4GHg/NtL831ivHchIP8s7N+XKjrfz1b6HVccIjkMwHlzMvm0O0asyJAfNQD+G50pUNcMT2to6/Ou 80c3HAj+c3EgmDn1sxcbRgrMD7UT5IBbp850fx3OQDLr3vfoQKtThtqMK/el7WjWgyPyQKnTUd6n iPKwDRSjbVfqSFa/SbR2V9GV2C8irFHcCuguYfowOgrZVoC6jKJHzHQgHn/PQiC9M/4r8Vhso9dN 9oKsKlHzJyijjXYVnFiCsy4V2n5kO0H3BKF8eeSPUoB4zrenur3TzBaLFTBME2ivDODTa113kb0D l7Uq6soh/aod422rdyHsagge+0SYTcHjpnwefR4U6sohOyuM3v+3Tux5rYEJBvqQ+0PbTYemz4oy KjBcNRRS7Mwi1tBBCrJ7RbdGU1Lv/6GloZ4r4WTT4a3NhnVPep9FDBNwtYnZowzHNEBTDFAi6tqH aBmY3XZvegpK944ycksqeCJKZxogiGhrcswXcOB1k3YMYUXQ3+d7MhGXiCmpJuCI+Q6O9jWzR0Xm cht8FlU4YQsaRUtLj4rTNUuvnzKg8wNJA2TQDzqV07jwGXXQ/OZUWKuHQRPLYm0vphr5ss9MtIsR Brot+S9t17ybuwHfxy7G01m0qsQrf2GEFsKYx6NTgtQAwGVmz237F5vH+ukE8LNVcYQIFzXrMo77 UGDcYgLr0NagFwFceCdWw4RVEoyVKOdgXBxAcYkSKCRMB5wLjnSnkEnmReDsERW5VtN1e4sX+vtx /ko5Jnol/JMZmVJvsK8VfIO0qaXyTrlm7UBKxoXHwmnikcZc+sirzBI91pQXkIj1nTvMSWkIpFMI B0O13d2meqC3buBeydAoyprtY7oeRRHvrnh651is49c/VsYR5E7J2/IIQHpHuiBzKid0CsSMG6p3 TOQVL+Ka4Lrva2tWPxyKOeoxl+ldKfeaZ5Rt/z0fAOUfFRraVX/Xo5DYLed+Li0n9mp9/U4ZKSQu i1lEBbQo8b977OvOvKpR2zEts2YyCQo8cRds+BZLc6x/H/InnOg23wYHUmNQvpVh3Tc0Vuzxn/3k GqqUx0JmZKJoKS6lCrGZjkNk5QnC8PkfxTa06peQ/y+d6KQMYYyNCy++8t0ZgyCxyIJTKeuFMaa2 Tc/wHIPz2Heu5PU5N9Sc0z4jfElJUJxMntPBXBjaPJpCVnh2Bkb4BuNbQSyB9KO4Mzv2l1lnXgb1 Gxri4GoL1yoZMwZcTFfk0a701DBUetMvHhJZFfVxlcdqp9gBHPcRP5Btm32c9JAXC5g3aR0TiyPk E8b0gt5OGYGWSHdC016PnZFtU7dMrfgoNV705kHisaEJxxwYTia+uf34+e7BxRetYHVufchTPYyU kXQ3N3PRL/Jezn/jIgNkxskW2chSHApGi+PFnYnvllvWbpQwAyjjSO1mN4EGrU/XHbzQAv+zxK9G WRWjeFKpUo4YpaRpOVUW0X9A7FLPPRsTf9cnbSJNPxk/8EQBKAdlj7UAO7qwpd+7qbzYMxuvoC4a 9rGrn5pDJ8Wxge/fIXx1aeRVsiIdTYQQrrZFG5fWV283gYew52sXUj2cWIdGTPD16l6/skeyuKk/ QeLrN8VLq/Bf2C5h44uNxn/EPYCoP/LCPU6rgRts+9FN0qIntRpoEzlL1vuUNCbIBYtj+tApY4YV //sxmN3pwihNvvhVbOrCCje8w8Ze1wycuvdKVBoHKIijtTGKgA4eDsD41W95Q2kD34+zq6G5pZTf YPsA6uhpH4qvhdHnOegZMgejtpdVH7YKQ7lNDWamyavn18ZB1Sl5fSmXDSlW9bVxEpqj0veUkkOt +7amJZmi4hiQHF/aNkRZLW9p/jhNQeyllsGo/VtB00ChHTBQds64KlLOKHEVv74xrQpNuN/iFSBC kZIDWHPAtTkOhM77xL2ioiWPxug79KaHCFWK9qoFLevXj4e9VMrvat4/RMzW5AptOXRKHMtyv8KZ R4GhmL98urQDFVI1pDufC1wXE6Pl3vM0p4Y2TpJ2lBLEVCppdX8EgpZyxQjuYOUjwc8v6tjbkG0u MpEx5U5esIBNkGoASVrS539+LZL7lWlreOsyhituZigguSKY8FvkQc7WaUZFZVKs/vd2yAgJx5rj Yjo9HDRDXbuum7vwIroqkFX4c5q0997Ulg/Qxdw0MgyBFpxfM4VobcPKGwTzPzO8Q5u1lP6yVncd rmDqei/SuDol1wqWuLn+0EHcZpcicwURFcNpHrN/hm+Ai4MX7JMMOSttvrLvmDajxDFKULPX6PgB lXakXuXN5aK/mV2xkeXqvJPal4X8LJEFk3gHUb6UPqsfqFBJ0PpdYoQi84V4m004xg7kgUY2Ypr6 YIvY/MV8YaYeblbbjadAJKZcwRph3dXUuqfOBN/6Bo8EWWq/GWlkBTy99OOZNfOVH4lWnj3J8+Ds CrxKiMniJLRklX2iPhijhOFAI4UfGig4dutZi0JEAQgXx6/BWpsjoGqp+vofhWbi7zz1rQdYJpfO utywK5pguFjmPRSUyL2aulrceeCrnhLlfwpkHIs/W9d7TA3hgfLgTSL25o+21xLgh4c1GEjS3W0L 1SEel8BBeqQBRVoW6iPkweC6/jOkvvEU92XUB/TME87baUBxHKpYUJvZb0K+2Cyo35hiLDBMBXff YeIkh8MiIZbvEVwlQMHWMS4u6ux0fFhD514EUDSNKZPeriNFIKFK2s8vMy6D04B6nMy1lktB3upn R4E98g4C4Jf3YS66V1T6pHIv6N1u1XtEBM5FiBXWCDIPThin3z2a5ukILqMqKXvVme0f3NikRNyh AVbUTQ2jGMcDKcnWHUgu8ZsUqy3LQd41gso8HtwvtmYdl4KrLfupy5L2wMBmhs3nb60/ZYlkBQfn 9DkRD913feC7/nShI0kWpMe2Tpc9z8YMCuvjdJLBZx+YOSdSchhKR+KzfXhsyfghaCuLV8aY8Urg dBjONAPmKfVHfzmohtAFky1CxA9CFMLHTB3JdKT80G+ExjEe3JHZYerewxBSxrQ/2wIT6AAHl22+ KtIVbJAsDD0ZrvjDfo67jIqv0+x44p4E9sB4lFPWw+eVpc6FySzgYr5P+f4E6YssOhMyinbsEqG4 qbilU6yVQ/l/gtTsrxMrTMkn+M4lhLNNAUYD84hMVRhMti4lzeoZGET+WLRMMGSHWoncx6dtMoxe KSgR9wbJ35kjot7BCcKNAyZMW5G7DOf36oNMCCdTIQL0pcuUoV5/zi8Q2jvi6sNUrfSVhnTAlqf2 7x4Ix3eUeHtKsZ3Iov8HDfUoqXa7KOZfXlgriDoc48+yM0tw0hCv4IZ/lVNKieBYYS4fLXdTY6Fu KjZsaVPYV5wa0KijB7XsMFH263O5hM64Ff2q5hcaKy6EbISZ91FxqKmqoQZyNgdhRkS2Qv9esAY5 TFJ4H2Ws92nWiA3iFRZOgXAt2m3cH+Vcdyc07ffDVuk5oiEmDwMKBYb0ViAumeIjhwK4PjY3nsdA UIfP6cvznbdZxadULvJvHK5u/yfWir7hVQnkTrht16f9NOp/LKYYD1CofjoR2QXC6rYzznPzn1t4 uIPOZkt7zFMTgpmMGn583JSuUpBoFQwc7tRy0fWaodwUvxOrsasTaKzux2EOgKzAWLxDk/+KX2x1 sytGY3AiIMMuRJ8utj3j9Ykv4HeRzLNIeHq3C8O8uxWN+G+efI8IVTyFI6lyfXGtywhAGY6KVqiT +5vHjWAtxucL/SXatx5tUigyLwcGf93it4mTpFdd5kvNn/8+ns3QQ6XGLh8hOPfXsThlbrmbs7ji ZOrKiE8zDQ2tt50cPS9Mjo1N9AmB1rTqu9c4Dcz+XX/YWD13XJFpTsnDJ6jR+nw/GW1GsQTGTfuf KVOLL8cUeRL75UViC0I4fQxpipj0TDksGDE/rAs+EnolRDfaPtAMaaV238m+IrBXHKzWB8XTd2ra fyYhE/XbPVx13/5kr8ZpKPrOAMPyV4cN4Nlf2iGF1vHTleOCxgsvFpVeTk1e0FAi7UccWqklgRU5 ajxBa8HttV73h+ntzI7FIEnehtjVUKW3bE0op7HNR9bGCyIPoUy/tTBcMcu1+3aMNS3Kz9iHaTtz aK+srwXFmT+NqPgRC+fgILVA6E2UfqjRqSMYTUvAw66BrXHUivioLZrIp0NK6g5HYDvCO1uZoAKE n0c1KEedlOJWEnFgQptppF4iwn02RKbXDMaCPXBKCu6T2fov1h5FWRsdPfYYqb3yoOJEpZHZEiZy F4zlqB5m8Xd2d10YBp5oZdE5CSXCvm9BnEBSYzKOTcVsPFnKvmUPhLOfF4l7iZX4mhDO7+bGzBEJ 4jQedOtoWV7fPRuRJFDc93o4J6KXqKJ7GAVjwLXPKV0tj2xfsd+WSM5o3p75CTr82Wr7te97fe5B m7L0XCglQ0mtQIdlNCZs0MVdzsFCLuKBpZs7nMFR5An90S03YryPMbIM3wqOmK1tx9AVzS3SNPWP NcnfodAWsyxtnNTPg6Z3J8EaIYS2NVmAC6sfhTFLKi8L6B3WMpCYj+6fhAw0aVVrj66BzJx6DD58 T2znnEgVlAzgjI5X4ilKkEb4+U873Qi7zvE3tGzZzgth9I7fRuheWy17SkiFqvqG8KAhFQIF5AFU rCyr4BNu33nAqH7dWTbOAHZolyRYGoTHc2qhML7j+WYd9FrYuRnxuVW+A6vbZ0A16+5P3LTnizuE 05lGVPcUety+ZxlkQx3rfbpS+agfY1ug5llk33pyYMH+EjgLDMIm8usld66EhfD5MLcsiOaPsVJL mq2eN1oBvNuNscdQUX+VKL2Y2jhU0hcAOqFhKdQ7aGIzN/HlYGrFEFtCW0Zy2pxCVg3zCAB+9H5o F+JgnMnOxAJHR5AwLpcl8CrteMIRYPoS6ZZHeDoFMI/4GIMCZ6UMv3u2GglbmVGbJPvCfV3flyIJ Ypzyl6Nw3ZJjF/EYJaiyrR/BTS5dq492aNqUKoeskZXGOX7unjHsR6qmVU83OW2ydWJJO1ZkHuG9 rXffeVV5jlidbEKHLPlTM70JjcQF5unGgIhMk/UFBLDsF9ClFsUTrrGfX7Wz32UotEatTIpXywop R5dWG4+yMHpxfjwCxnHpYCdgnxlwj3nbewf9atDpr23wJTGZnz339okzEv2U8wlrwztiWUJgJGqN /gXACEgEwmygWYshjpg7recMdYv2YnNZ6Qis4nxpomW18qwxd9sG6pXTjbcZJioYppzicJwez2bD Vdl8KZtEP4zmLXfEsDm0WtdbXOGj0fj5gAgpDDdpCdQxIYeUdZTwMjcmI+BgQ7PmQwyREAw6VG1F E178dST7NHghFdflQ6yVjvf+eUXScSXBqplHxYOkdA2LjbOmIkHAcrSPPoy2qdKSqPTCu/T3XDYQ N/GNSCY2UVFIDn7X/cxfPklutXxDuTbIl/0P8D7x7KSmi5VL0sFEg+qYIuEHPyVVDXq64/gR2wGU nLxE49T7an2wVKNjNq73Q8BxuaNtq2m2fVg6iKGXqAUMd8pBLiFpc7LcjGhqe4YuVsL6FMB4zltd jx7jnW5LzSZWDuoBTis904wBmwnIpghNYlAIZ/ccercR0tIslNvqR4y61xcFYZXakbvcTqaiQP5S d5XfrPcz6zfnbhR91EsVi1oVyT/TVmWRV8/qnXfZ3+mzLLoA4+uZ9kOTmso+cvrVbmdG2REdRc+s /IRG6Vw/wMpJve/1eAUlKA4CJ9jr4mAUbevQyqK+H/qUiqfSVP8qE8lWnKl2eKb54Lt1BFiTn5bG wE0ltfmBSoQ+bWWYeEP2r9wXAU+MdF2l/Ofhy0n6UkwWy9IIOsTz+/Fl/Gt98XFqTrH5dffNQpIB PFvraU8TiCHoarsVSu1C8JXqrZRfa81EFvQXwqllBj09t8VfuJC5ko5laiMuc6mV1TXCinVR4jtb IAebD92e1IRgMNsfz+1Ycvqa8WbJi2zrbdp0AyplQlstde+/TyeLoU4VWJlAdk3hAHJEZ6kubvGO PbMHSB98UKvI1ScGj2+ULvtqZKOFDwfF2cqA5t6rPXYcWwI+LYEpTLVx1+h7xbLS5XRcyMRrmWxU tIzoXBHBvcvo+3BcSA8kKqcPdPb0z965xW8VpyRv9SaCb09ATyzy9hkRIUBl1ZBrAsSKKm7JoPXr kuUOJgG1Y/ppqufjHWxUgIl3y0qQBB91x+mDHpJlk+r2XVY/X8YMkSdJCV6mZJ1Kz2qJpFekXGF/ MoLC2VlZxPMtwIJcRjcnVhvjTJe+e7aHCisc8KFtx1EZU8tJm6fGd566xcvTYOTjqY+0U3YzT1mg ClBwiwoz4zopbpHPSTQvrUehm4MmP1ryA1EtmxbT9zDAHzNV1cc148r6caVA8DEPqk3FkHpD2yZl a5H3gUqGpjFDRME7C/f25cgprgFPEwFZ47Y7WkEkZFHIW6vxK98uJDEbdh3FoYGDobb17oCE2r9D CwafXdpibVNF5XKaO5jNRGkEhzVMSFpyWB8EHTaQsUQEAhgxe99bNuRFS0Qvma3h1abXdjgD6g1c /VX96in2e0ZaNfJjqT/WkOy46hOgV9J2CQqZvH87EF/vI7l/drYyKb9oViaQwg9OHJJw7edengbZ h5hn0NeI77nN8Su+eJXe6tLIXs9B2wev84blYIL1dfG4lwoKFwF2vc6A6qmTQ9CXUyNcR5yZjKRw hoCxfjS/jh3Jycn5HBjdY2j1irXlaP7ggfZCcRyfBrlByEuWZkN2zK/zAY5LTdOdf7vL6mxOKM4l hGHiPf/E0dcLQFPc8mi4P46wYgLe4RNcIK1z2qgsPq/KLaxTAZt1xi+mqne6Vx06sM96SdYnY+NS Di5ghwotNneJBfgVV1COe5ZOIElC9vaiEDhJFj4ds/p6VKoHZC2fBTlOFmjPMFq3Q+o1UD4lzjfZ 7/S0GmdGNGe9cMZvmYMbEgNldlPXseWMKKFQNP+gZcYT0Jr5yONsm/EvsuS8HRMRMS7tAxFetoqz cgT7eo9Hx0Nzuxk7k+px5WQ+NanzJFRsVu+yIYH++ONiO2LXjspTUmNGUi9YpDy0t1f8bRjqZvEZ aqMsomo8OD9kYgIOKh80eVlVyMFAFuSQyxA5bcFHXdEOczM6wsn3lyumnmPvK8B3Yn3Fsd1co/Si vWOrAzZMe6aavfG5swrrKWzgpCzHAtuc72cSyHpZez2fenhzivXJskwbtiGxp+K5wUShzWSXG6EZ g2UqFlC4ozbjhQ+lT94IaDa7ZpEhrkYhp6dUOOap34OgKWvp2F/MQ1EUDU18mSm2TG+kXOcfnFQE IAuf9/CyisCraoUPFpB7iB/Jk4G258Xh/Wlw8AXj8yfOBilbBOxuhuogByCNYmB17UXGz35C8xwW yB6fNFaYcT9p7OW8xKeyjcdT/ou6pUlm3blQL7OA/9UvytkjG0OuwOfCMM4MWLiQ4TLujQcodVrT wIBMAJds1XN3GPbPL+qm3tpprJbzMLS3KI4qb5Y5GQ/UWNVubvsvTqffZaow6Dc9mjGcMMdXwYSi kK/k45B6213XEtO4MsjyhB9KhjtmBPE7q58vQHl5hCMlmUE+4whHnpMjo+GEtm3BxXDg6CKqDkpI UR7Yo9vmQD92RpJgpx+uZbHR+sngSyddx34/VX8oRbSj8f40lR/bsVeWZ2h5Ow4xQh5fJ1TM75CH frZZuGNPz9sSHM3ZeNxyj6jhfMT7IgehXzE3ii2U5fERBp0nL7CbJmCQ40QlrzO42uT5PtTRNqXv RBoMPQ39Dvsjxmbeie5VQ9blLyUQi/WmrmKlTZEI65HU3Db561L6O+k2926ifRBlqrucg0Etwp4G 1JJ87srnGIeO6X+ucsPoIPtsQeOvF6byNJuE6UL+lqHWPa2FRM+a66/yKM0VJdj4Oe+WGgL+5Zz5 OO2/oIixkSUraSSA1uIpmEPyREv60T+Uw/MbUfy7N0V9WbQ611i+9GNqiS6rhP1SXM2YOiYXN0pk kO9P9ltw1ZrtsGDbjkgsarDHgdP07HY9W9vRtfGr/ZXeC6tr/JGmN6MoDwqN1NOax5BT8qH8EoJV MGsD6iLyAv4zpQXNqkZRk/of6OInhkaiLjx+SELe2aD4PrcBMOZLYKKB3MgDZD0D2MfJ7ayMqYw3 b/MHFg31fTOQr3HuT95B9C8DuY+UmpFl//1ThShYKuEqaQBT5p6gZcLXfqepsKnM+Docn9F5jogW BgepE9s/FM7PYjvKoV7nZArTmJ5boihhyE42JbQZBUyyOl+3p1xa495gjpV2vNpkD8Wg0n8EV8fW mJVlhEc0S+9tmVf9jWLuua4Cyke+0XE9nfMJ4/sIkX3NATaeUePYS70OCQz+5ZpC/VS+qa6ioR5w G6aZXhPTNMxxgXM0KpXZ9R7HJ7GL76Bvx03dNVX+0MSI5Hc7iQDhgECdSICaZiKFBvwJo5fFjZcF cSdcWvI5ZGxyMvPx5P0WcB9fOj6pg6joUgxL/4V27jfdMCKaCw9JW8ceH7oMnmKDGb3EcQt34Uev n3b/pSuh0+pHuaD1+E6wlQYNg88aU/jGhGcwqdOOMgoZvTUDd1TmSpknbLV9h3Qst8LL54DXpKPJ rVIAqmCH/F3HAYJM4Ns5XyLlLGFxiFh7kc2NXCrvWMGNWLatyEeuy2Qp7WMol0MM3H+6wgzGc3iI bt7b9G/1m5zLTlMwmQbJBMF75G1myvUVAofNNisBPfmPouBpcBSCtpObwAoxxHr1yefIcyrzA/CY qd1YvO3hqZgz7JImPZed0OvYT/6zPzodBYTNmq3kHE0wQLRynDb0hL67m4N+KVKOdpspRDbugq5G 5b7hafkMBhHMocxq/gz/iitmb+K9JWekAClh/KiAX3bTzRmz/sgTkreUb674bnywEx0E76mD44kk gvvTmtueNDJ0xp5jk3p53p7gWn/IpbM1PFzx/EyzauLXOyhGKIRUmI+j9Sdwv/r+OCBgQuub8k17 1BQRcTNEz/Gqn4SwfZdMRmh5JvRiDDaRTZoy/thstBPVRoqG8DbKCGxMcvoG8hhGdva0M59XVjtG j6y4MOF78qALsXyo4nzUHg+IeL9QKB4RnLDFimpqHZY2jtxV8gFEgFsRc7ElcN7Hsh61D0D/jwxZ S5Xb4JI+PDKH5cKqyxIrvMg5PSZJ1oVon4QEtpWP9M37k8xvDSYlXbe13/lANwaP5eh+6eYmu1aN UwVST4Mh2ldaP2KWTspmWebFFm+Z/DlrqIsfClq3bnnT9OhCT0KWYuoh2gXu4p/IlzilhrrKTwqr LxUUfrhFu4kruzkDQr84uZuS2TylwEx2ADPO7sufd1qbEVcy0U+zbQOyyhNeR5W+0xtq47j9eUdX vZG1sg8KdiaqOJP3Zb6xi7sS/v5s8rgVBnQIkdqjFcqZ29CQyqnrL37VLipUbMt+8pCXhThTvebs EmpmFJ46ORSqrv34wmIv3RdTEL1WQ0t1bTPalW1Xofhum48n1mCz17dGux3VYMslqq5SHwODoG6Z I/D5tOP/lb55C8yI3sw8zHloZ9+nIs3/C2LYPUCvhAjcodEPX5wAqKoUwYrLdTs26cY8WsTUrV8J 5j1EO2oSdgw434m1PWjH/F9ZPUziUoLnWCuAaI1i9jMLM/QcmK2wcxGUOrq1eO7ZzsfQMISdt6ms J60zgYBQ89LYyDd3rv7ntZbODZrkm2JvkSXio2m3FvKEOxb+TMlezvk6mlldJPXrBzMirDvaRxbY w+2LtkG7d7SRxEIiDtwVnaBNLwqjvborU6O6jLny+Wf7VhUUudh8Lj/Q1Dcw2rn/cn4f3IcbhXTp OWdUGGdy9RejdjM8fpmMREqt1Dh24X1uPhiD50N9uWxTTv1EgdFMYbZqyq3QBTGaC3quuUiFkT+8 8AxHVWPvstdXcBeH/8N/yX4danhlt6B/TdcQuOch/r+x3H/hZ9Ea69A+9zlQ/fkROvDcjhw9dumr UQurTMvbVuiNziUK03FUsUqGmrOi9sAmoZXll5LGgT+5WGimYqE+9uHJjZlKMcGlJ1n9KUvJvPi0 cYjDEaH+D7tGTYrVkUJoeMkBJv7ukVmENXEroUC45mHKu4QtkhnqjK/3BZPBTrLyOZ+ka/9H9Hvr sf86g8A+1QpartiujstIcvHLElaL3UiEALCirS+ntWGZXIBlZGyRLp9fdSF9B1zH85XzddVyxrtF 4lf8i6wRhFKy4nUdLRhImFfOcsrJgiP0J2n0rSF9DniInB52BPfUxl0PsurARMbZ+WZj8acc1Anu yHKh/zwmm7BMCdHAkdyu/0Nl8IbyCLcDIXEj7zfjsmGiI0ypqgc6KG4X3Nm5l7ks36tJkDKQujKX iZJvwdV7kQ6KdltJuLwLWD5Pr4qzpoJXi4YFAOwRbC3gaGOdRmQ1gXmDD1mXtm8vv7dbquSyPhMa 0M1PcB+hFvep2XGUAQUhkLwTxjvK05a1AOnFdTaLHAiecvhHHKWF3zKWS+7e0lrobQcEwvdOWG6X b3E1Q5YclG/Lqqs6Ua0xH0UGL1+RJyqFVh9JTdgXiqE0RPbvbsechNxdhm6I0MDz3gp5rCfo5TOS Z3+kkIkbxCd/CWBAtm2DYGOe/tirQv8ub0a/guu6eOAyOy53CB7cszfGMP+CrA0WiH4zVwgcoFpr DCpzPNEJUunbHkQdiDvMOCq5UoLnNHxY3MkxveepvFuxaKlqLc4ND+1LQzz2Iz0lTj2GxfqQ4THu iuWyquEUYSwWkTR9Q1FXHkiLT7hU8vLV0XIux7kFS+5HNJn5/jQ+q1Fri0s2jHA09hStRYqbHgPI /DWDmjNFmvKh3Zhk8oHGfdMroaRxm6NAMKAoB/dCcQzXfO1aqel8hPabMDfl7B95Mzp9pA23vpkz nCAB95LsgGQrv+jGB4+aMFph8kW4eaJwaVtwKs7AW1m1PvWcCc6IFUlAa7c8PduvSlHbNNhTe/O6 DDxbqxlSRqBnz1h4Lt0oSzrViDC6Xx6wWXrizWYZmTqjg7qgI07bKh0GFK1xZaVb/9ub0M9aGOGz kwger0YYKY4sJZshhv+R9mGTldwMCn9bqTd0qTzR57S9djWUqmGK3VVxwswa1t0nzr7F5gosQc5y J1337XJcQ7OjrTczkV0JGqWUv9eVAQPY3qMFEEzAeqBkTLvUGx2UzQ69SSmdy01H1FDRpX7azsYy M7ii09jy/eAM+fDggw4c8/Y/rVJ8GFXn8fOThnL+mj12JTZ0FxgjEpvxTbz8Qb399SGSIkzRo2SR oekmLlg+rTL8Q4999bpL+WMn4fj3IYdmKyGlR8by1cd9nUJF35zDm75NbQMcbLQDVu7vAmo0V81k jVnbLWzUdDG/8vtIGe6hY2rNWRepW+kuYdbO6JlXqdXVAInl3wpCKJLRNPHDKtUQtVUut68NRL5Z viS14+r/Q52TDI1KARyqr3ACt2d+jJmWOAceHQGjY6N1C4hwHVIcY0rr1f/qb34ecmcur8xRYq10 ie20L6Y6UacyhrKqe9VSvAmE/cv90J+QCvwAwhE1ibcvssJos9zgC5Eu3hcGePsen7dvcnlSbDoQ 8Cy7QxJu0IAD4Zpo89DoBX7rh00xAChGOZTDzt76C/hnw5e+CzUE/pSAaqRCvidzH9TTcGrkFXjf iVOowR+G66clZy2VAnxOK6AxMEkBh5JZUwJC1+DY/7YAYHSW9xxcF+8nzAVoZ7SXje2pJOpel0Ox CUNTocEpmcC5nsiT9ZFIYmZBks61248SR/xqha3q8djW/WCWfGa5WYzg2ksD3UX+mKiyCYFlWELb Rokkzh1rmEQOARXc0Czm71sRVJobDn4Kxyzz3P8Wb5fjq5BR037l9VTcfm7DmmcH0DGqI4sDkYgl vfCYXcCUcCyOapQOmvuEqbFSVfU4tRZ08hY1qWaGxhQyErGF5pbMaQrLbzW48BAIrSg3DhSP4zqU vSmM+YaEHOJdxumfh78Yj6XSds6SbRzM1EQvT9b5nyGK8v0sTkyBmxciVPsKLOsmvBlw5AbzBFEt jfY2ZU9UhEkRxwo5UsRSfURuEPx89C28SXIp9ssBFzlUJxSIKie5vNFMnyyaMgkDT8IC0yR8iT/o dyFE3XuowIwqROI5211Ap8T6iApz0z1Fqehn5/AqXE6m8Z/GmU/fjKcOllopnrqoYeEH2m9MQyx8 n6/LaHv688hvIh4hjqunNw4gWZjftHvmWgung/VBBuE+Sz48ITsuXJdiFdnx+AOYI62x9/spYDfP FSX9jq+zg+neGPFchqbhBgb2KgyXJlaZFBshO+hTBJuThTJK8ZK2YZ29Ykz0JumDSptEiNGONkrY cNElyIH/JaFTzgXlZHphxxQjq03SU1/Qi9BWaIPFp/NTY1X9gtk5RkIxoO66CkHMf+Dn9NNGiqw5 gmHzb3fLv1/BjE/GD4cQurzyxFPazUtQY6O2UxQNx1jrBurOpL0nvNEWMpj9k4CyqfGe5rUDYZBA ypKmYgaJe9ZoTp2k3kFMLNC3HhBfpiKBuxNR+yiuh5tjmCOCaEiIfyTy4vY1D6SdHO2QzBnVtc7l jeOLgQH7ajl+qk7NL7X1OecDVjQEbf4rOu9PaG5DJfzMUQsQKb0fkRH4yX2lRc4qzP71+PjY3mkW 80/R9TXUcoecSBPCvXp8IXzsQ0w9sRpb/PtiAvnTgHHLr6hANV7qYj2Kn3p/T6ego2WDTKHGuunR TTz4chrDVXLyV5XQlYxIcBhwjOtocoquA0V0jvAymK0PFpQ1GgJoeRmQ0rar5+HDPamN1YPtooqm JA8OWXm0vCvjYu0WoYRsRS+r42KSg967NPUELoXwx2kmjUeM1WrXbdtDdRArn0mjJSlBLO0mKOXB +o8Qa2xhTcfoXjSm9TZfdCNIedYXlmPlJINk8uVg3/O1MhHDBlrtHPb3VbfaY6iEQQCvIVL7f+eQ XWaHBSBFBB0N59Tv6CULY7gGElmoC11SYHy8ye9d030EG6aO8feG9xLMNBVSFcHQiv2cMSlhw+xe Ow3imi+yzrxe99QoEhL1yqMz5Mti8F9wlHnvHcZyBCrkEif4cTQd7I+66a6YAbXRb7L03isGlD+i bW36p1X6KlxdwkNg9N5R+ueudx/4tXyeL20s0h68TjYKPdhhHGKM5Z8aMO8Cl9cDlCLI3RH0U5dd qWpwp5o60IL7hko+alA5elHdCLaSY/+AMogaR3nzEKc3Hvvb7ybGcS6LhVQkXZuxy9CYknzelhvI WhTuOO4DGYB2hJ2Clik8FATb8zF+yZ6R0yErFERasL2NZVOFz4EvSzKVpgKsxTvbhL6u27GLnrI+ KxJTBSy7+XTvnqrbcBk8hN7XWKotQTdvYm4irxFWfYJHXLxrO+knytqa67+9TJtE0/U8HfPj7map Z7SWgNQIDzHCMaSS2Ev5Vv99LUepaWGzQesodC0FDuebNv0lqWr9Ai4Mxl2sXRZwB8eHUBUtZ3XK K42DbCiI/2WV1CV+HWdkOxjNggOrF9KjEcJ1FwmJSQGjsvkcIxA5VtNi+hTdhi2fSIbpOPrVmCJv RIowERbk5LCeaDrDAaAYELzGh/2//wQck2NLzqDp0cxL8qOHXI+on5qVcyi8IGQhNLm30oI39Yvl v0dWvfIOQghF5otyIK0CUob9dXGUcVRiwBtIALEQCj0WRH8npxEtjRo+rf081LH3u+MHpxZ3i+pL SGrZf0L5qFmpNLLdyKcx6ksvOASBVLWoxXs0UUskFMxShts4yU6Q+2iqrYOpxVg0vQ/yq78sMh99 NytKNezNloLI3mSsl+Klrjspyo0yebGdSR6Sm3aERiFOJotWN7MuhaUCsqlTqvVP+DX9+1y+DRz+ 4uaQpDJKZZ/z2XO5ZLL6nCLBxExzDJbe+EmKLn0ZeCBoOjGBH5DG8tXjdHsAfjQAF2EDftMmN6Ae qGFFATEBJsNEgcdAOHtEM4gib3TtidDUVMm6lqYJ7oF26Jb3wc66VhChGh7b0kxT0WJkSHwaBuWi K+fCPG6gqjTo/YC5JKkNiLzewauU5GHwAUjunUp2SkChBMEV9qfVG+HIN13Y3FCbEDUdHe8zn6Mk bHhe+Uo/KUc5OMEj8bBlJiWIqjscuetb7H3lX04Jiz1+5RNzF3JrffZJL0ngl8iY/77Pr8BF+G+a SToSqUOC09MYNuxLzwNYqM6XYyknZJKkofYg7R4jJVwwycapsl9+YP3Q/+deGWCSJMfyvAj9W89M 0xcUrXajc7vRUQIf0TddYfNkq1zpEa+5DeN+kwhEecj/2qK8uwtLWYcOiAcg4CrhLG6DP0v/5LGk 8RtDYqtl2nY6HdGCl+akFbNPWFsWqUQczqQEfRdXYucqxIitPZvYUs3kqtGbg84SBDcvMOzrwME2 oFZq5F1ATsmUZY67gbHMJ7sN/pz4uwvLtffXffA8irHE6O5ljir1qPXwef2TZg656aJ4U7LpcILt UnnAF6pMi5SRCe6e9B606Us3NbPhxpQlLamI/bul56xKkkHhPlmpiVJN1xQ7Rj5IYX7HDZUaHHf+ ND7V8mR3vdctAGnym4yxo+Rddz1S8cR6V31lQReS/lRqRb3KqUK3fc4mjgvb1WeEQJpYUrXzEs6k VlaSuqZLGxYyramyO3gjCJmbdzNltPwq13th/frQxWi1secRbCEmNSaUYe/DQl5iZE7p0AvSoecF PNnGat1kse02EAOZmEwQHaHs7tMJ/0f/KR/zPFQAsnSGAwuZt9Xx4EwNZYdwkmZwa6W2jZmKVbw0 qJvPy/LVMTp7ACF+TU6BVwZiYXbqV6Ltf5emYvIC1qjRejwq4ux0tsz3gLfApnJJvqsIg5pZlGCO MNznG83sH02cAKpgarfL+eJ01dKINCbj5EvuF2QWrnfJaC817MOapGD5+GdTu0loe5Huls1s5FJE UVAP4C2R/pRBScemmCnXRT9QeElLMUNIj9spwmRG4BmIjoJ2xV58FKSlgePK05D704c9dyemcrnR 0FyqPtDsfR/Isgg0yB8EUGURBuEBlDvuIMIMhGfEM4U+to/omrnT0l0V1YrRQACHZceV1PpZwNhA OSJkNnr1H40YzVdBqjKgL7oodrizpzy6ixZpdwNOFKgeGZ7yqx3KmijZ91c20vTBm5PH4gLRe1Hd bu2yt5LoOj4C/9eLzl+GlOCCqpZ5YPXyPGtUOA7K08c3mm5Qgb/CkRAf5jnBYIEGf/Gmw7M4GzJ+ jBPVJ7rpqO+Q2VIL4eOs90HTDHr4EQBSHleyBHzO+hi72JMZQXGcaGbtlPcaEPCVZI/1pWmKanbf UjYgwoAMU4BMMB+cBpxliUTWZ8PynOuUklONLJo1YXVFTqb25p8jyqkgbvWU82eb6KfRPMYfRhqe WHR7TtLrDBsQdMBndXmEuWm/Kr462uR+SEbMdUFILleglCO6Irn0P9w0x0q0QTMqJEMKtD2nUk2T PEjCVCB7W7csg5w6VF89RiiAuKE5SIUVpO0NrBlkiqaEBZwg9ldKmiVZN3Tt/xr88uSVNZMuB/zb sqFuIssqTlHolQmiVb8HpHfweC9wIsM4wsiYOBS8VVAGqMKxb1yY8Z4OnZRqe2qP0VsvCnk6Vzpz tHJ5aw8D4wIBWaYzImSu2h7ci/mjoalq+gNkHjxxuNjsJxoDe8VsgBsDkn1O7NXjeolgBXYssbjj Go9wI5f8ZxV219xaTAR9nomrTDO60QQaEzT8xi7DLnp3M9A65YaKARzA+UqfwFPM4JYycyULTSMA IPSQOJccOBYc6KSKb5gOsmzLdstl2+vSj4ddCSOagKznhcz1XOlbqRfb/RDejyQgObnzHU+V4cHK zE6dDEQYWzFZ/ozQ3Fsdi0RlJCEfiV08lSQAKjJzwss+PY9pPgrq6kx8AmGidKrLW2AyqkN9hLXM KZIsn4rwdEnvR8Sn0ZxdSqTYEl/8eIpmwBFCit35R/OzwOg8PHuUdb6UQn+4OQh+R1Q7i0XSUG2z kgCpibHLISGVY5AYL4XYqYMxwH/OeL7XXd1nAr6tRW0+mDVZSFvzQZEJxObC72ZZkKAf4zHOgYqi 4fsUxH14c3+daRYZk2j78PGix+T61vJ5I77ffKHVbvK42FVciODP+1secKEV+srmS53Kik+BL+oI NjI0OrH+3UmHGrxxtC2hU/YoIaG3sFqeTQ090nNjNhHihrlqz9TswEwGzHShpEkotiSzdowAPtfs crZUZo6WBDth6ihuO99EBfWUT/Jm7yxRkDxFBP6xaSZ242T1cUWf/M1/d134/Y1r8nlOYI7xlV3Y uGPFhn2wlekcLXURrr5xkEE2rYixcjki/JpWiV4gssswv1a3txq47kxERZl0sPbZdp6kmTi3/Zi5 oKbq5YHBwAVHdA2VcJiRrL/nzSWOpjXqkglBXFbbQr2NFOdQEf542eZJkt7KnEHGdPGj3Ln8XNkH zWCRcgDKYhM+ckrR4YGtnQoIl1VQLpB5GeK4R5l80RZNeZtQLlY158swkxLf6Pq2K7nH4ynlMDXX jrS3IoEcuBfrX6nDSo5nDMQrQM1EsiFyxggCZ3xtwagcZZ+PqSIaFjbXpU3sp68E1Dgn0hYe5WDM fw1EsALebsZ0G5qACi6Y6T1QgXOjGS9F5So2VS8xG+bAHTJvWkkU74uM4eA8q4F3IZxRDOWUdqTg wbHaXm96WH+JAJ3AUgMMidocPFKNO9If1IlXuZTDbGIvoyPVE1PTsYUXsAZIPh5OUGHhywBp7+im fcQ0/RXTClC58iauy02E8Cpl9g/uZkCkiCkT0uJegumltcvUsnHJ7O7rKRkpFvbwozn6s+96Np6B 6rS3uL7Jcmh0N0NMD7c5xkagewKM0+3Hl1ahznfGVmjB3+zQ6Ei4WIDAin0gDtkNMS8s/KxL7tIE Wm5a2JgpraP5FMPNjYT1nZDOWg3qDRET `protect end_protected
bsd-2-clause
65e137e71228fc161a4399c1f893097c
0.951956
1.81275
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/axi_dma_v7_1/hdl/src/vhdl/axi_dma_s2mm_sts_mngr.vhd
1
11,867
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------ ------------------------------------------------------------------------------- -- Filename: axi_dma_s2mm_sts_mngr.vhd -- Description: This entity mangages 'halt' and 'idle' status for the S2MM -- channel -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library proc_common_v4_0; library axi_dma_v7_1; use axi_dma_v7_1.axi_dma_pkg.all; ------------------------------------------------------------------------------- entity axi_dma_s2mm_sts_mngr is generic ( C_PRMRY_IS_ACLK_ASYNC : integer range 0 to 1 := 0 -- Primary MM2S/S2MM sync/async mode -- 0 = synchronous mode - all clocks are synchronous -- 1 = asynchronous mode - Any one of the 4 clock inputs is not -- synchronous to the other ); port ( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- -- system state -- s2mm_run_stop : in std_logic ; -- s2mm_ftch_idle : in std_logic ; -- s2mm_updt_idle : in std_logic ; -- s2mm_cmnd_idle : in std_logic ; -- s2mm_sts_idle : in std_logic ; -- -- -- stop and halt control/status -- s2mm_stop : in std_logic ; -- s2mm_halt_cmplt : in std_logic ; -- -- -- system control -- s2mm_all_idle : out std_logic ; -- s2mm_halted_clr : out std_logic ; -- s2mm_halted_set : out std_logic ; -- s2mm_idle_set : out std_logic ; -- s2mm_idle_clr : out std_logic -- ); end axi_dma_s2mm_sts_mngr; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_dma_s2mm_sts_mngr is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ATTRIBUTE async_reg : STRING; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- No Constants Declared ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal all_is_idle : std_logic := '0'; signal all_is_idle_d1 : std_logic := '0'; signal all_is_idle_re : std_logic := '0'; signal all_is_idle_fe : std_logic := '0'; signal s2mm_datamover_idle : std_logic := '0'; signal s2mm_halt_cmpt_d1_cdc_tig : std_logic := '0'; signal s2mm_halt_cmpt_cdc_d2 : std_logic := '0'; signal s2mm_halt_cmpt_d2 : std_logic := '0'; --ATTRIBUTE async_reg OF s2mm_halt_cmpt_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF s2mm_halt_cmpt_cdc_d2 : SIGNAL IS "true"; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin -- all is idle when all is idle all_is_idle <= s2mm_ftch_idle and s2mm_updt_idle and s2mm_cmnd_idle and s2mm_sts_idle; s2mm_all_idle <= all_is_idle; ------------------------------------------------------------------------------- -- For data mover halting look at halt complete to determine when halt -- is done and datamover has completly halted. If datamover not being -- halted then can ignore flag thus simply flag as idle. ------------------------------------------------------------------------------- GEN_FOR_ASYNC : if C_PRMRY_IS_ACLK_ASYNC = 1 generate begin -- Double register to secondary clock domain. This is sufficient -- because halt_cmplt will remain asserted until detected in -- reset module in secondary clock domain. REG_TO_SECONDARY : entity proc_common_v4_0.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => s2mm_halt_cmplt, prmry_vect_in => (others => '0'), scndry_aclk => m_axi_sg_aclk, scndry_resetn => '0', scndry_out => s2mm_halt_cmpt_cdc_d2, scndry_vect_out => open ); -- REG_TO_SECONDARY : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then ---- if(m_axi_sg_aresetn = '0')then ---- s2mm_halt_cmpt_d1_cdc_tig <= '0'; ---- s2mm_halt_cmpt_d2 <= '0'; ---- else -- s2mm_halt_cmpt_d1_cdc_tig <= s2mm_halt_cmplt; -- s2mm_halt_cmpt_cdc_d2 <= s2mm_halt_cmpt_d1_cdc_tig; ---- end if; -- end if; -- end process REG_TO_SECONDARY; s2mm_halt_cmpt_d2 <= s2mm_halt_cmpt_cdc_d2; end generate GEN_FOR_ASYNC; GEN_FOR_SYNC : if C_PRMRY_IS_ACLK_ASYNC = 0 generate begin -- No clock crossing required therefore simple pass through s2mm_halt_cmpt_d2 <= s2mm_halt_cmplt; end generate GEN_FOR_SYNC; s2mm_datamover_idle <= '1' when (s2mm_stop = '1' and s2mm_halt_cmpt_d2 = '1') or (s2mm_stop = '0') else '0'; ------------------------------------------------------------------------------- -- Set halt bit if run/stop cleared and all processes are idle ------------------------------------------------------------------------------- HALT_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then s2mm_halted_set <= '0'; elsif(s2mm_run_stop = '0' and all_is_idle = '1' and s2mm_datamover_idle = '1')then s2mm_halted_set <= '1'; else s2mm_halted_set <= '0'; end if; end if; end process HALT_PROCESS; ------------------------------------------------------------------------------- -- Clear halt bit if run/stop is set and SG engine begins to fetch descriptors ------------------------------------------------------------------------------- NOT_HALTED_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then s2mm_halted_clr <= '0'; elsif(s2mm_run_stop = '1')then s2mm_halted_clr <= '1'; else s2mm_halted_clr <= '0'; end if; end if; end process NOT_HALTED_PROCESS; ------------------------------------------------------------------------------- -- Register ALL is Idle to create rising and falling edges on idle flag ------------------------------------------------------------------------------- IDLE_REG_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then all_is_idle_d1 <= '0'; else all_is_idle_d1 <= all_is_idle; end if; end if; end process IDLE_REG_PROCESS; all_is_idle_re <= all_is_idle and not all_is_idle_d1; all_is_idle_fe <= not all_is_idle and all_is_idle_d1; -- Set or Clear IDLE bit in DMASR s2mm_idle_set <= all_is_idle_re and s2mm_run_stop; s2mm_idle_clr <= all_is_idle_fe; end implementation;
bsd-2-clause
3bbb2cf5575831a63659f954a5ced98e
0.448302
4.473049
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/fifo_generator_v11_0/ramfifo/rd_logic.vhd
2
48,062
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block VJSHZrrSAJGQxWILJepTEgNHz61nOVxBoENFY7pzILOyJpa29NYOt0NLBtRGNV9iDyJYlCuKx5VE w32dH4iuBg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block cD6ywyY+8FI3EXf6CpzYjkc9lkkGW9gitD3NI1dsE/zgr2GPMgGIMkZYo+kVjDZOtO6Q0wJhEhay mN0plsuFJ4j2oO0vtGC7l6HDuUPlOt1qjgD2Hksd7SlYP/y4LT4pNO2jCi9RsFRrS7nZyQh4SQZv DGhpRnjBoP/gaIrmiSw= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block v4aFhasWn5h4+qHZADv8o4DXx5p5DYwfQsk2h8mlzobInUoAIgx5TX5b/J73y9Xf7oHPnPYenlOA 2tH3zpTcTNCm2kZdHHrp6z49zX4Sh+H1L/cGEvGSym3A4R88mDC6isp9BHu6wDDa2tmxkWdwoLNs QxWsNNjKReUDhMia7B09b9djUAUtaIJhTXOa/Sd/N0rCk5I2NtlRD6eycfQ55Mehlm73netgsJSo ZFry2NYB2bs2VANbZvvVbA42fbrMQQTEFbbGSeb4LK4NPL2jMpj65UhV8bzGK4z8g8EPmedDGvKn BmwrsM06cY2EYM2rJP0V64tMI9Y/CQVr0uMv7w== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block FBy0KRMX6Kgl7tIihOS+jt3nJcO8k1ylS/kF6+D9AI9EsiKyp4BBMplgsKiJL9dTgEavT3Bxkjeg Rq8C8QxWlTjdeeLAk+/PiddHd5+5fOm3aqjzICh6FSYmkmpdV1L9heNNh2BpqNFF09e2iJflyupW hCo4RTnGHJR1I2zNdUQ= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block oO8MS8J5XnYUCEEHZnK1A6DPbDKYPPqk1NOfK/9Gc//rn4CfpdjX8likPsiD1KID/EpnkvEX9oAQ 5P9wtpukip5HEylT9XcSfX/0K0R5EeOSsHMBN32Z/OR3dDjPiGmr97KGvyYqawiNn25oUM1H3oPQ /N2TTT760diEV0M71xLzN52C4aPISnbNcMBNsP/90yYjYoEILQR3hNajPo2Lx6rjVZHfRsHcxZvD CfE028lAL6N4MjAVTZrUQ/UoNwy/JwDHT8EMQl7FJrArLHxQkEMUaQzdi2CF/KR+xvUnxdPKXafy uQEx/SWi+zrU1Hz4NbGAK9RLoE77aIwHaxiw0Q== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 33840) `protect data_block unpKTw6/orWNWpshpLQJCNUGv5cpiltgLYYVZNdQZv2SMBeXYdD2MV4wsjo/lpINc1J/weEBtng4 FLjFWg2fPQRSOumClAL1Iuu9urGKzLbm07kZdTPmLR1Ty4SJsXSz8siDfT3bz7Fi/qoAzA0vlJF0 F5/lpCVQQOCZMqL/rFrkjeqvxId+dnD9cLYWjwKQ5DtyxjQW5mIHd5fe7KPl/KUxa2w7MSpNmNeY EZzurUZt/1dFguWdMvo9oEU18vIjLyVuCLbY5bYF8ae4O5taTcdkh85vNqOBFVoRi9hE1sdha8AA 9eLKTQJuW4rMaXMrK7drny/GcNiG204GTWX/EByf9i1ZU9RelG+CHOjpriCyh0riwk727jplvLfd QvgIJNhm7vsC89DtAEoR7Q3gpF4xjayBz/uuIzQzTEIC8jLj+SGOgHocBPsFFeTypfmLBg0MjtA1 mPunxVN0+k9UawN2cnYv03WwR4CjNh5MVOPLb5ii0BHnMU7ImOVFcGgOB1aHlXhTOLtrAsMlzOmX 8suCTlmMW7C5qLjd2ccEeETahPdKzGYMl6AR2wJvfR6wzMEYGsiMMWoGa2WJM/PzFNO7uV3gnbfq QJJvSVQ3uQTI4P9aS+emwH8+98KO2hUokv1E2Ecx466pDgjm4AM+yD23SzhuaiavlK2rJM8q/0P2 iQgqC9rvRXSoderHH0ibFnJEMQuphRJxcbkI0QppmBtHlYuPODzkW5vPoxOIRYZit4DBFOhduwd2 jQyJKFa9V4pJaNsgF/YfIwLB8JsnuXepb3CD66c76PHfxuhi4pAwCgDAaKmQ9/HpyHCmJ1bpYgI6 FSYHjY0UgjkidLXp01aRXWWpo52hwWyDq759QMsXlrC+vIk0O6q82MDVpgqUdYHbkUbA0arEZD9u QqzPS4DgkpjIpwqiHNe3f4ptvzo1m729pEd72j2d6PQZk9KX2Z5OsmjgE4YpOeObRbPW8dKcZsNB zJHL/8tDIosAssbgO/Frp2QSnaSWH04aIE/7nSybSt1Wv53d5G1+2N4bWznt9eDbWeylNRiylAb8 qbG2PR/WB4mdV2WKh/NhuhP1qi3yJj/oPZBlihZxQlIjNRLZgnFFS/W9jgytY7jBAy+AjM/QqyNY nMegtGP+wB7NTNsVkw+Td3PyUcUzHbtyySLqE5sblJPhiWlUvLE9ZCb6Rg99FLepygtyI5gtv5yM DC0RCcBOGr8PK61rusaB+aYic7RS14frv39NI6mAtzG5muP6v+61zBtaQa8bY2oYrpWPpPDRX47g OBWL5nMoJCFzhNrRJ7iqVjvAWHuhTSiQSqSkOS/kc9h3IrgwXYC1T1q1vBG9aJEUfBe+QvQF/y1O /1oUK+fsH/2PeZHUvkbYl5dpN+yauXvuhAYuH/JhH9THLEVY9PkWCSv9hfyrDnOWH6D9mKtQt3Oo uqAHOynydKasCWVDX4QeXFpPg7uDElD3TccV9ON4L97q8G8e/ey7CFem9YnkyxO3WjP1TlpML7zG 6uM10GXRUt20rPXyMPlmbAAtwBv+xpeNDM9B/2MF2bK5BAByWelUuvENkuKSAY/Pv4nPbTMLoj1L pIqie/9nVu49ZMunUm8hi+LpBphmoNQrLtkTCvQu9GO2PQfGKrDYZhG45Kcbzhkv+JClY3eZg7M3 akdPzsrCDA2GNnuDZd/SqIJJT4J7IGWTl5UfYnLGPd9W3A6x1Ur5UBZRdAmYlV4ViYMIemwiIATQ gt6Ght+xbsJd7Gwe9V6Q+Kpi2x03s3++qqxeoY1erL0nxnQ3TxqD19W+qbFXfcrf3ZnMo7F17r7l 88hS8tlzZCtcm+H+703hCJuJ+kXjeslP/6+y9V6iWik1FcGvHsVgj8BBl8eHzlzFjkLhArC8i5Pi ubaX0640Zm6y2BWK/yRFaGfpj+tMowDiO5zN9gR31tX+1oobSbgQ0z5Hg0NC5sK/oiPl5vKjAVty rZFSKFvfwOLAHpMUuEcSWQkLjesCsn4/Sx3hUs5dTsfasQzgTzvTy2usfObkw9p3d7wZBuW3UKB9 vrUQ54jc0RwHkYSB9cHkcbKE+qX6KBz2s8jEgbcwMMB/0/ZM3bSPh/nsbZdU5XcVLDWh5K6e6S+B 4MismVRL8+dgesutTjVd/Qs7IP0v7Zle7P3HR+XfCOzL+NWDQI/aibf5xEhVLUb2mVr/t/Q0b2u/ 255A2qoS9cVsvqkLcX1s7euSZU/8/4rqklNK9FHJ4D9z4PBvEw2YiOVtFL/Uup/YOqFatveYbjhj dQcmGOi70YnKPh+Ylmq+mANznkQ85IFcc1bKl3anQbWh8P2TMDCik/Y1WZ1QMRYjicvrVh8W08IO 6+nnnfFDrJxCcjSeCbRE3JEx8Wg3P51/uvxyXz9I9gvy6ZUcaQm5smqqTvohBjgj5CkhBgelpB8/ u0GwGijVwEBFKRPiHozQCFFaSH6brYYxDMTXSRBsuikG+N7oAPEkSZN+mjJxG2IQ7zrxyRIeE23e rezVtP99bW0ZN8+n8jLdyEqOxd8KY/mzM02TEYcqFJl6CjDGqoY2sn6H3rqUnj5YyTcZCno1ixgX APdhR5rLOH2w6H7ipyy6ptyLkR/B0Vnxr2WbWH6HMUTWk9toh1xrXivpx6RgE2a+3UmH+V6abDa8 thSU+wQ6hYGQ/1Kx0gjdZLk+xWTZixEC2gKd9IMfC14BmEsxidwTOmwNC7Xje/oCx9N8hBmfRtGG 7hYxnhYE9Rlu8poCi/ftj6GeO/8TtxDDifOA5ITYtU802JO7mgKxCVzbvTlUawMJ8MmYi83b7LoG sw16IFgeq7IS5kHfUxnYbtvX2qjo+ZFVABLf6F57xefbQtjPnCOVPwbHYTSxv3LNZ/oNsK6jFTPs S1w1saLuflqAtsEUpCFJfePp6o/f2XVFEidXJOb3T2d6U8geOfLuyyybZXOZsdx6BEMBVcFLTso/ VhRhE7EdjHBbe8zCbTblJA+uyn0wM/ss5yPmeWDnaLmVp7XZOulKlAxDYwEZmHzF2J/e1JzcsVxC xMP3BQbTh+nFuLqJqgvRSEVr48xiA4BSoSb20J09uapHWnzLwDkqqH+UNm+ie6hwHwRr7paNmfKL qPPTMuCsl3gFSSJbRjg29MmQ2o7H8LTCqIgTWcjk+jFxKccw/w7p0EZuF21s6h+zHDUtAykjCEsS HpMd96vBuBomi2QAaTR2FYHF4wbHPAw2HLjV5K1aKB9m4uKf8yeP6Yma0+jLZeajBb7a60Ae4c6c OHxiIH5BHAuJ3Gfd//qFPnQd5SEJ5xEyFNIbnDg/VO8IqGh5mtiYnFBo5X1krOb+Iz8kyCpzSVvv EE7nG9KSR2EdW3ul5pvuLFf78kKPMEyoTjFLYG1VpNnDrayivdqBsK6Vp81DFP5wXmf5OFhehZVX eXFyiIY6ZdBIPTGHuMLIhCZLfrsjk35zQsFhfkxY1wwJdrOgf0jUU1ENTHm0BH6E7QN/LW0CRhg/ /e/vTUgNPXnDq96EG6l/FfpKZCgeYHZuVC52yDhLNQQC4Trl55lyBsPUbTVXSCT42vxAjSyBT3yt 0C4CBTbB+X2qGnpeQpE0Bc7OYcwCTY4IWVt/OGaGU2JmqJdllra+2q+OiVuGvDSO+kq9MHi3QO+M ZlIyhtmlqgwYBg+wSLFdgQefATPt4pI3/2MPh4HKnfpJBmtlpUrwirVfSH83JpOWPe4XmH//9b8q cPsX1+zAv9Ffh1LPxbJXtU/gpVJpvAVmx6ZMJwjiQmsjLrlb3pvrJt/gXubg5pEpfi7Pybnu3Pha Bydu8oY3/Ux3ruOD0SBR1xzSvPPqMMbJ7oGvGnZVtgdT6Mw5lAkSZwSsjJgJOptqxqzExgM+ZTTv 1vIW5Y095sgfv9j2jwiRAx81e8w3DvglT3+Pv2hsela4njPwS13B38WKpFlTDcvqd0JFGho1vBBv oO7s4D+VfO60A/CZiq6UJnuTtjVVeplwqsOMr45ahem9OwhJP05u5dMtx/pBitF/HT1gCGcoM4GY EaYnTAH8zB3gVtKDXKNDmoMYuXnslBYDRn494F0yVOzGdgWksjZvG/iqIHICU13TzuP6OJ98qmeY qZGQNFmBD/+Iy7qZQUlMAGVjiggeY8PuzKR50m0OdmTwWJVBLSl6XrswtK7hbgt0IDqe6F3xQXsZ Kl6Vdvn8En7yPun8/WL+REkgvTkz475x/Q5ao5KuUoubEyg3/U4XXMlan7jdp5ywuvaLFxKVbTyH nterfcUOyFf8zRhk2uHe9j7iGIPp5ig1uc3TPmU/sUEkXRSPlHw/dzBYRRuypAOOoc1LGb4GhuoP Jj2kFmJMApyrbf5OBWwVZXy5j0DGIsc7uGrIL4O5T8e0uACnxmqD/j4yZViAkjZlAi+j1R4oXJ6/ 3AXUTfgX5SfGiw2txN2T2jRFog8qVgDbtqatZ70fpoWN/dlzJd9a6PM2yluK4Oh/p4RoIxI+VfMB MonC+AjjdJus69Sgxbx57NyXSjQN0fruEchMpCldn0Yv/oazvdKMV2VIqfLQCVVdWV/AHob67yqp P1RzkkAuCMojB1CyktQ+g9hanuDb/iRlMk+SxDf8CWetwWU6mfyLpCzxfP07GUurQDZPm9mL5cU8 IZ+o7hUZ8L8oYqNMu+XID6adu0vWpIOT1YM6aKNi//t5coSqpKmP6JJR4gTFLrNdjHPLSDhwKd3N iVFrM+6LUv8/Xg00kEA0dP1Kff+GZ9h+kn05GOQZovLJtUgb8UnbxT740M0BgEe00tymSp0N7VHT rg/Emcm+337I01pUTv4+TucLN735P0TGw1vsNhn+TBKRw6JQD8dmBmX+NoIEfFah/uNW983Ytlaw X+RLU/lu3Apk8Sr92RxUZD1LDoiFfE0xVl253/1MgmpOavYVOKAvRbIKZbevaEh6tS5gPlKIdOTd da/xdVYDGCKpq9bO553shSystjkvJQbUK+9Dk/LJyfHkvutaI7T6SchZ//ALRKdk/z/itlpmuPU7 kfBWuowk1ubljckYzg6sm5SbmmK12h18MO0L4HzWyRTWni0iQU5UEqgxhYXk1jTF/s7/jFwJ0H7D kGO8Kynab+c8tB3WfL9mzu9jNbVN6yoci2TdmZc31t7wk5ocfIX5WOXKHFnzCowgvNt2T8AYoXsj Y2suvzwOee08iy54N3+fBchJFzdHfrss5C3KxQApfT4iPkELRVLMfIcl4raUeG6AywXKQFSP43KD kO1jp1nb0F1Vn54NPlHJDgHmJlEMEwsEWdn8B+05oChmFuzZJHZTaqHDe/+lKVRiWrOWdPusOccY IohZQKqDxHG8SAFimMeAbLAbeoxRNxaBgb9XAZJ+vAnyB/kotiblLiU4xSNRpXEhEoRO/6tPi+ol pbMfS3V3f/mD5QisoLqXZFfZBkZYX84VGeDbH8sI7MDbfk/jSuHLZ5RptPWGwXdwIPv123cSku4D N+eAYrWkIJvp14On285XaLp6sGldj2vlcQNOSg5UVUk9kv2rlHk2q/ZmE9sOmDbeiWgL+RkXIYme G5MddQPqd7LA9aMsSmk2iVUZai8fAj4Vm3YmklqBS3mQIGdIXhDo1V3REK1ttz0+W8yARBGIVdnT 28Khjs64YBtCq5Fvk7EqCfJOlLdiChie27QoNHcFw3b/VvolHOWOW1SjEb4zkTD/6HZWHej04Kr+ K/zM6a0JmkLawepv75WHN9iU5jO4iwAS0mXS44T8HMuFokO2AjDbwgZoRnSwSiCp1dtWp1eHxH7s yw9Rl6MrfTEk4VZd74ZK2HYT4+OCBdLWstFw6u1+KRPeyp3V0jc0EhijvlBbapdtEgLy49dluEFD B8HeBVFHZERN5NQw+dPmSipLPyrfX0qf01Nkbip/nr/IVi9pyXvmoaBMLnTL2qJ0w1hP5xMDcMfN Nwbc3TW3/+h08jxS7s8G2FTQBNFuu4wcdKvacYZVnFdXBu3SHqDnIHVybps8OFbLqndKRBSS+sR6 +zeHIeNYZYxwAjAAPJ/vwSpeZuCwvhYsw/3qj7uxEwcgIOBG7THaLl0AQ9X+/t2jHrCnc9Csk2bY MaitF55iNdvJVUKdk+sO5jwPZtulqCplnRMCAclc48yOL5feYtTi6UVTiI1KZww+gTncDeAVCZpl z1BkLlDR9HtKSRaLJz0vgJDSmXZV/4snfXmsSRTT/Lm/HKFyLUR7ubHROJnvM2C1tt4Qgt0UU3D+ bf1jIkHUDTNXyQIk2lAMuPknzDmKghZk5pO2WTZlHYRwGsLFDRlzUeIu+yu3vHt1Id/hRI75rboF Pl3PHZxPGmfe8OoEXy4yVd/gZYXJfOavldRFn5BjDr9QZ+ImKJwE46yRF/N9Ut4yIfKnMxTF2gZ4 8MMkUGE+LRYwsLF1Fvg/iTeLtRie8zdypcOeamVkfXRz0YHWUJ7IIlTOIbeCLkXEmVfpxTpycjCz tngDj8xZPMWhtU2K98yJUzdm+1nWj3E/asBKae8SNZFpYQ69gDVJltQVapNzY7Z2Pjs8gJuzkagP LZEdnKVfSgvHEsp+3jcZlmFdZvizwU+/AgVws6i5V+JAOFTw0DZgSYFi1zUOYgU2DrCFyDFZMsM2 gS/J0cFO5vWLLA7fUZK3qt4JPWEX+sBA2hN0DSStb+9K0HNTcLI4TDsIZDFPc/2xCtMrJrjFU7vp 7y/+bHrdqdJpFYKc1QWwU6mMzKaRnf1WWLTyssTmKMK7n18CCWRBucUlsQsBpI+3q6Zs46bk7FUQ OSl8TnC/ZMSPyq2YGuBPlhMOq+QN8u3fCMR3ynJd24DL4zoMgC6hQk3e4EBNvrkyDRyyxg30Bino hmdCN9rlEjdDmWrlz9v7RJK0XPNOlc18Wl/Zkyc2rldHm/bIU5nnsbwCYAXuGKVa6BZKsOutSTSy P1OVHm6bXaBlTdCVd3vxqxF9geJPa3QGsEn7jNz5evZniI6i7EVVTZlN1o64dcRUOGP1C2l6f4UZ LDdMiR07HvVFhBvR+2qpvo8oNYHiVz8ePudyk+eJeFZexeQjrCx8uEzwzhRZZvxD5NCWgslvRggJ 5sC5San/Te9KTTR1k1McwaVa3YPNqeKHghYYlHDlyF2h/ohH7+1NvwNIIas28cwpVfXiDkJwdvEw wY1A3kjDF41f4pIxAEjS0T+bpPN5vfnHEmLkNOfLopjNda/o79joa3PXy4O3Syc/6yPuTqAiuLgf HtAHmJLwiIIy4B/0bJVP+U4myOI4aIHLd79EqztqBZgQ3JLRq2bSr0Gx0VXB2Npm8rNYB075hu+Y 8kH4uTrtlcnXhzay8by/B6/afn8XyHAnp/oLanx6dwmtlI8rHxHE5clMaist55weyH3uHLspxVl4 tcYyRDUgbe8ZSptLlPVpYeIp/TwMA8vMCJP+PM+rYnHrdgtACa6z1aJIZU/HhZk/qxWPwe91OoJE Py14gHSNOK639oW6XCc8v5+fS/nBpPyxbRTJEIDYnP6ckheEzggwhB34aDFOtSE6/Bkd4JFWnRkD gpOyXBT0GzSAQ7vxOlsSKhJvnB52JMnFY2NNe1gPumLW0stZq4NXhcg5z0yNBIxBXquGBsidv7LK kqhPB4ZfroUcGIQdsj5gi77PeUkGdqI22v6sE7V8i1V6tDOv8jWcLKYzEGXzk2pZ96Y6/YUC6oUR 1gRA5zOlBKmUsG5QM69DvQcBkLVYyRDT5d1+nErxtTAi19W8E5GWLejARb2xaMTLcNgTA5d0p4d8 HMNiPPZF3h4HVQPbqjcki0svRWHH3LH3LFZ/wUNPbyso4WFet4OK58whJ1ruag+LcDMeg4Nbq/Jt 34jD9HjJv4Fkmm9JsfbtVrsah3fmRQ9DjimvF34X6HTvWCwjhkJCGb0/kNuvG1tVYOv46r63eECw PZso5y9iSZnXSOAp6bQiVGKALkTRe+RkB8THKxETQU+XiVZskxuy1UQSlSr8F5FjRPaQa6L9FWYm 1t+GKWqMVfOAQOPTJ8LpQUP7rSwynHbymNcDKFQ26Wmp9OCgLOMcFv8M4c/SIo8OovnXG6+iaQ79 viZW0jTcB5n1dAAKYDfOl/Sr0G2klqvlZ/b0uxBcjD5awJuGO8L6UA7BhuGVAc99ZCmQweJ+XEL3 7hbDVMV0+HBAoi2IKkvMiBKw4K8C99H70dLmLBZuHxpulBOVaRTY0Kn+U0Wk5s7vErd5b7JYy3D/ TIblzUO1sJzW48f/NLvN6/dPMORro9useYNFu+vSj9oN7uy/KDZF57z4TD8kz/8fMQCerocbzw9+ IZbyDKhDkE+LPU4eALgQ6eDIVm+ET45TjjZGmUoaq8J+AFJ0sR6QS2oBGzgG+SZI1GMhm7qURbr2 RVXysmurhpB0uvb2/6qNEbgzKfrOGH7DxbxtkKZw3iWGb0+ZxGBgraV+/rHfuBz90tRriGRbfbJe 4h/dAIiLuXWhcGctuzGOSAfVOj6nDbLwC6Yq8oUPoqjiHEVfo7eep3fxeK5I38Fz6JURc4D1u0az FB9tFu13vLxc62rZ7muaURwG9Nq8EUyaOdwdYTUCAw1US0g4V7d8lpj0EMjyZpL/i5PP75fBPJ+m xr7eT2FGEl0fCq0XIxuxrX/Av8KT0L3Xfnd8+9YU4gsehcPdyjKAAFRXHrZ8bDJ8eggO2WVIweNp ggTYWWZn336sLiQ4KEzE1ztFsAOsEuzZg0xWO9vHWUz9V9IOvn3s7Yg9EuA9OcP6C5jwuWhFgyFv crCfori5HYNTyJVnjg4Z2g8ubFi1Z3jX+wJ6Lf2o05W0CXhcE15mta4QqrDJx9+/H/ueuGDP5u8S l+k5/NFFmUzZimPONS/1hY6Jzx4AAh5KBGlBeRWarA5q+QyaqZlEAyhObc3ZTaVlV4d6KU8YtQxI 58JMAfun66xk4JBFYU22I+PqXOwvO0F7N7Z74dWQK+d0dn4h1FLue8h2duuOXEmdRYZCrtcgg6i4 +HxQd6SgvMNZW6KG2KcJ03j1S1aLEV68eB5Xj2ogGfkw1hq/ZeVOka2vHDyxRBkcZJ0H0UpSdVaV TPGaHbK9dpzbyatNUJDVX9hkXc072VmOw9kCff4aruz37ZThb+Dn5rRmIGhFuedYqTQ8nPkVvESG aorISDgmU4w0Ljcact1mgurLa2ahCXk0u0vdTW91pL7yKXvscWseaJLfS5upXAigpPDOh1VHv5oJ X3TINMwWixFdrC2+N2MkSNBmrz7GB/OMwMzECPH9N0FPRIxMFZfyioEMGB2dJAYBRkA9c/akr7AL /+nDW828tptAi4ys5IvyUiEbxu40zgxbkU2ped5osbktg1jfzJ8CL0LBPGNXabf7YA4kP80TafXU Zof6VReHmpFcIZALLjp8kEENga4GyGtkECrbmqJR/ku/rV8TFdk5YllU8GjJ6YxP1DLVHUSKJZwu wZU9Hur8xbKHVdp2lB/Xg5hQuJFLcUio0Ma+tHmLxDEQEkCWQUCMXMRfks6Qq7oycjYLRdqafulw U0gVBIZgCSC5RIIXHKlmmSHTwcilFIZHFrHj2bjK/JLien1tUg+J7VlWc8xGTm0BVxF2WLDoYCt1 mYMmXQhrGYMDnIdUUHIN4OoVhVtZNm+CoK/HTZDaxtYuToGWMrZmE2Z/gbL9vwRP9RzvLwWbbamL /EZNTZkkVDUlVXCbTdEGay1u9bMl/nI3vvkQWNwgnTr6AgK7qR5Bs0dZqJx2kVp1YAjhU59TyDEc ffTjZvUPal6d1NPpgM6cVBRSQuPA8rhC44RWTEZmlDVoXBIt1jcTSXysPhISnAe3prLVzOIlnCj1 p58YvnXDF/ZZ4rrDE/bIx5Pwgb2wnzq4n6iH6lIul0H4LoYySwbN9DFVDIJOj+9n0Aaif8hoSQPL 91qNyRfYc0B/G5T1ad3IFzSm56rLoK+6atpHYF6ynlWLg9M2AeL9FuoeT0uG2KCo7I1R5GHD9AK2 gp90OQiLiRbtbkv1l83Plfi3bDaCPKTpW8PWp6SrwvBvKrPwatVPmhUaGBr3GVrSZFW3EXALBSgN MP0eh27n0hPYx9DVLaeukj387m6WQc8u0eBy0VWQ+GNVUd76R1clWAVfc1FmB3/ZNjUzUswUNeMs 7Br3bNHPlnV88bZaYtIJJHPLhrrrMHI6BGKpgwGu3zBsbAWV6VUFXo/tEBsat5+78W3nja37JE+r dPeDWDYkvlFpobyaPXJ380drEakQm7y4HK0ryUOOvywlyt6dSy+bYQeqpxVPllSy/vQhOwgFoUpC jGoYtTQjt0mJ321guapBJI8kLkiNKf0dYDsnuZTOa22skp/9qXzSCtJtRDuXOM7tjsZnNEZrjtLx v2VyvV4iIVRA2VZk4ckaC5gNDRlsfq8fKP0SbcIB0K87nko58p80qSHnqMKLVmaEZaMfLhza86HY 2FUDksD/DGwhIscqdOhjrvhnWg32UeWhHtlOpXeAYm/iHnUdNK2SwpbRoJ5KaDFmpWjB9dD9GMYf 8UwSlWmpu3G/bZmLyNTNfqnrcrHPndXV3IgLHMfnGYqjtVwjABXCzgmRi07SmnGG6lXhAzblsBZT mZuN4J5fCbuDklS50sV1wlpUTOK0UYZA8KU3IhRjddvyKR9sjpKtxP0Z71nzJUs7SBMRrisbMi5x INBUO0uirhLZxl2jJS4IMuMF0M+xo4yrfgOzwFCHKxMvkQUCBs5EL/TXWp/Yi/kGtZqDmkFjrTfJ 5SLoApTCbG8pZW4x2zmyxsv6UBwTskj1FUNrGWTqIK/qUeTaF0ufMzYYejTrgw+ArxC9ApTbOblI djWTQXtoS9/4AcI+pHsOb8gVtT4XD7z46IRXw3zDF3W0g8AGpmySAjgYT+Hj8Y7D/kd1eiBrQKg2 5WKliP50uQYOUVDtH3f0F/mm4TSaDEcnjxayHSxqK4vMKeyudMp2Tk6rMMj5+XC/FyAGCCWA/uSK ZcAMBy4n9bh2H31M6H74dhXJCKnjmMSXl0veG/RpQklaoGhotQyJucumic85DmevWTq3usqm2VHx u5uLFT/MRur3nl4yjE1yrlaZgBdBzViAwEy0nzy9DHfBYJYZB4UCgDV8DG6n4K+E2lUglGrTQK0o 9bONbT2XXP6wVJgeSr/JK4slm8gbYAAdvUkk5y/Ne9pL97Qy5XVCMwSPYM+uLU3S7iJnc+u61r7V 7+w5pSDq66M2hxn3zP4mIZUrWqQPT+08LQNA7t67h4veDxkl83mwQCK0kZykrxpJsODzMalG10Mw PpuLcwtDxflHyzCBMr3EC7Swy8yhs/NqCf+mk/qktvs5v6AEx8e/98fkqLeUTDTZBvz1PyfW4/uK +fw/m1Rdni5r0X/6/1cPs4YDiHebSfbgu5skIAwYmImf8NK8sCHMLn1dPV+D6PwRPc/2bSv+9E7b QQ4WeP25g4J+5lkizxxm3ODKr/UAQ2w4m7LWR/0uB7TzH8lBHe+4hpJ4GVJbHZv5zxn+azsopPfd n3gHumZCgUonb1q/8q1LkjqioClPBOod8u0LnmGC1PS5lO2ZxrUNtimq0c9vhTvyBc7VuRJ+StEI Cz5PRdJvMLh4rZhjy+WAsbva0goocOSbkBpSKAwbTB9gAfWLiCaPaKv8C94w7d8CpyaI90V8uHEI VbuhY4Fea9xzyseHVH7RJ4iUunEV/roqazYl6ZwZuGsS5kBGJ34P2pJkIAujH7N2KrtzaNbL3iVe Nal9GjonL4aFKPHEvmaQhLF0OhNUO1BEk5jGw/kaDWAwT8BYauNGaMCC6a7HWwmqNYyBl80BrPE/ 9o5LZ9bldRs/wxIfO+/pSIi/eilk3Hc64p/g/YgaTidxrTsaXI95+WzL4G2pwB80NDOnb0kXNLye q2V7n/mfK3Wrqt8ep0/B1MxvCJKOjqwtun8gl3LN2DhLZKvsU7zpeDQMBBypAsNDYYT1trPjc9UB J+zY7vXESFJ29ykRuLsNJRpGBirpgJuaOCRb0r/FD5nPQS95U8siTVZlLkN3u+IiNgALv5N3xbYg n2EKNe9DlKwlswY6YKXs9p71Bo0bjWenK1W4pOupXlxwIaNzhhvRGmctZt6PBtzvL51xu1kGKxFG J+MHvd02Xs4ghECl0deGaIYBgCBevbfbBM99oxjtYTUB8GGPP4Rki21K1hf2jbT5FSYV5OYO9V8V 3gm7Vpw/iE3XMMWkb23njkRSMpD0eXSGbar2tJrJeBZXu0aU5Wi41ah4pJhg6neeFt1TlbVokm9n qvncyiIhMezTVUABdKKICzoytQQ1+7iD4Vk8/TQHlLLcqABj/CksqmYGf7CGlXilucaW7DSvkdSX B3y2FaGp7ikNMyoX7tNAJlCW59Xpp3600FLDMjplsCVLL4gyNFHpGGTPyZUb0SiL9HeLnbyINxKj tHfKBJ47E+VXiy+NVTXXbIjKa4UikxfOlmseE1sFj7959Ti7ZgfNNiikwWcxcfhKRYMJyfMlKPco jkBgwrLa+Qvx9Bhb8YhPhb5fqcsDYSxWnSTX17mlJoeByEhG+gTkKfB1tULZol1ucSf9a36tsHtz eOf4TgHWos/Cffvduye+nJ0HNQVNza8ClNctaIdx04wLWuy7drwmbPHPo0EJwB8Udnzz6Ip5Hbu+ VNK5xTjPUTsPsPO90Kw0m8yuCVmgStdu2PSU/cd5i4S+ZUmGV6FSYfDSvPbteOblCDYXhfGeIvNB kAlz7TRevAinLsEjkbJm30JqehcAA+aS609EJyvoauRE1e4xhej7CuDJnee+x8FHVvJd/QlkO6RE zmW0UEnmH6/lo5fQnVVyxW34YUOhjbspjG3m9uAkLc8maDiNCdLnqluRqA3Dh/A4uAjCJbXwn72G EAOTMZ/N91wUr5ccdlRlu9U/gt1/ruVEadl9gENKYaTx0KeuEMtLpbwfbTU1x9U7LdW/vFbiTICp 6oDsZQYU46rNu/J/8TI2h/tu4n9N/qqK6jaVLcxlVsIU28j+lEnT4O1U1b+PY+9rhcPoiY2QgZ7+ zEJOZSX0H47MiFDeq3ImayFRrYovxDR6BuoYBsRmbN9WZhSWj8kANI4+fcTQ4a0xrtts42WBpzac PikvjW7XwgOPmp6fLeAbLSREncYk74p1JgHN0FSC/a2y4CMN89UWBWUTDCoUJFht+4JvzLKGj3Dl e4AeM30TPeAwo2dhlg3DKe+EkCtOepZgrFTh/hjhyO1IPDAgTeySYDAM7nYNnim4GFTuTiQ1UcJ5 /+VT+XTggmcFom02jWSDJzV3UrUDq6FyxkZQLYV3HLo7axPcbID5mvowgyCAump4QRdAAaBLvf5W Jo13tCDqj5S++Z2XbhilydDmJ2D6tDxGCigQsizTTCjktvq2fk8TwOQgCdDG9KtbB7lp/hdYuFl/ vUCCbCRpAvko2IvZc9gsPuXCjjuXztLvSxm3vXIEJrgCt064pJFPqmui4STyhnJo9Z5BtRrpy9x4 eKNe+D0pS4uiS8p5CFW34sBWbmlpsMTfBT2dtd/Es7ZOWnq10FNwS7hxRugXBMkWlZQTk9eA484m W4JRVLGAXOh0Di84wP3tsePVc837sgoYfGOQNt6wRi0laD+cLlqbooW96y60KN7oZVn5gG4SFsVf /2ltAy00n+mYjwRM7xTDwEEOtOoBVvHvIPkWo9g6Z+M404WC7Ahy2fGk6qY0MCOrsAbY4zy9aZHo RxwknAmAZEXraLRBrK0GhhwyNLiOpCX+Haj5CdoHJ2uwB8MZ06zq7J3SgFm+DeBrq6uom6SZVfQS dVkf52mmggPBWt+Ul3/gdeQaNXw/bNjC5OMZEp3hA2hYBFlpGm28txqxtk8dqIYEJp2cSluha5sQ ++RWYKsSUf/zsK6wNm4Fql4Jl5aCkRZ965TmoFx6anjtQ/xScl/Nct47oO+TKHGnMToGwwD9AipW KWWB4Yu9YNXFHltyUsEpvYA4wTszNR8cgqkTEAHs4sDdFou2EA9Q8fclrPFj7NP033U1mYz+Uqu7 77oUi/+OwnVYvIlva77TqXCWUmfZzIaDU58mHEVUW3uGaj7ncbF6RPKivP6akhDY6iFeY83MvDZY qDdC82fpCmyUH+W28v7iUvTgX86fA4jJYz5a/3TYLNu9zYjkUKaPL0Y6xVZpCSKrokjJvq6XlOJu uJGObJQVnZiEaSuzy0Bmy56OfsQs7E+UTUuZ05CiKT7kNaOY33AfIUtVZAYOcipRdTKwjl7oIkt6 YzUJQJPuYTQcy9v3N3chjU9zisAjZ60uhNdHl+9v4PmevQbCmutKIE93pUlDm1qTKuwpQvTBzxSV M/XWZeZ55N32Fo4HAzBMrTAlOODGv15PTn0FXjej2rXQwrD6MLKJmscGOsPvhAsKfnKz0SwgXLQE ynSU+/0Bpcn5zU2tIM6sdfKgt1PzLUiFp/6vbm9SdRsmd/pZ+WXHdV5dnWpdajGRdxPzyjCF6cIH 0c4psGCUrTdx8dMZ8gZyPHzQCJbekizjWQu672FRoHc2Ed0YhwWg2nqrJGRxg1BE1iijle5sFDUS kgi0s3/us3iBV3+GwwwyrJP1wsCcjiMCyRiULB1oy+nPACRBr8ZWAxfydAr9boPPkUakRwf22iuH 1UvYWFDZFMjhNSLy6gE10Jlvkf9zRNVFdZZtKQKKIY7nUGP8V8wbbebehyG53F6sdRdMFbMokZdM pTQCQg/U1mBqqZjIbrMWFpqFPZFEKorkgrc7r+ZCxSi5lZRgaSQXdPfNofZtm7bsCmhg+y0XAeQV TiwV9iHorigGKPKLomOngWj5yecUyKKDJ0cys38VYxjj8O4Jac7wnEs/VNnJc6cmbRFc+l1O5pz9 1m6rtbIS3Al8Ptc4Pigc4dkyNYoFwWIinkInnyOcXWFle4O2kEYDBk9akxNuCAI+0ss98FEeUgr/ djFaCE0lwixW4RsJ1s6raDfiYSsTYm/vH2G3N7X0EMtIf3T+rucMYOJgvs+CQvzuZLO5afyNpBeM JrRpZlYKc8kn+s3oP9JtSum2fDUlwzke6fERFS0Gvhq7wFZnh9oDI4tPSG61G41gre3UnmL9nvMk TOrbFKWBhdauvNdK/JwnEZyc6M3keO0vpTD9YzKYIw4dfdeFsk2q7Wlvr/kwaa45SgV70uZhnCtO Iwe5ue+0cm6CJrrHijB6uI8r+OBKVet5nEVK+bppAl2aNO2p/UPTdDmEZYCPz//o4F7k853Gf+LG 8+N/r713xccuk2ufbyyomVSWAlT2KS/drax9MSGJSSKYuwlTUn1y2Nx46A8bZL+Jp+Yk5EkcotaF egHA81bQO+CEAe6KCeF8d6yAEtk+7qXWa6FQ9ucxqKHifERTiIgWNWZeesrrB8k0dmbNh8u2VLzP J7nEA8AKSfTcYsiZLP7Qpozoa3O1DjrZ0llNWOALYiuUYV1GSfeFPOO4NgC6CQqRxrUesTb4PofB qaldxppd4UchymtL0ZCC+ilOrJLJwEhOWEJbOSFzbZmfnGaFpVY9BIypX51KDslTYGXa+kXqOrkw scVFlKeQE8pMKv99FejdXRnboOY3b691HRzF4bPNqnrm02dFeM89MA5fP7Vy2y+w4TbBrjoOSf0s DtbWDGj2aJe7VfscI8AxtIxOxPIf8E3kL3+goJsZhoyjyhE0IhE3LY3zs8xjkYxa2DKQtvkLF/HI xkrw5CPKX1+G4y0d/VksZtcLILUe/vbfGXuItHoPnFPtZpzT4HMTxWnqrtlY+JKftQSOcq7Q8enh igQ81Guk2X3el+JcfwCkwxaLjOYY+kI+tvxnGaKrFU682pA5+pjQieRLfUtsYl6FWzR5S1MiZpL9 RjCWElbCeI5dejNZ64KGbQdlE5IBbGxdR0JZKy12j+AArQmvF+UFmTB9YXm7suvcgtB63ui2hk8J xjiO9i7Crk/jl42xgnRWxF4vGLnRmmeP9VnoxufAOJa2JKGSEOlWR5XRZtzrhcP0kaJKZZCudYV5 DlhzKpwsr3m9zL445Df+OoVBVMJSL208ai4sNC0z4x2Pevby6VUiIWC7Wx/K8lrPl3XMf/Dd5N5a 6GlCOYFx9VD+B6owm2Gct4Za2fagZBjyPelD1dzfzjpH83m20/cPlKHHy2F/kUSPMs0/6CvqHQYS mosWwLj/9+THY18teB0h/CdwcFrTPRYdMwM0VPb1z8BgfO60xIwfGniAEW6QI0CAd8BrVoAMOv9L wSQz6yIkydE+mhtvjWBnmcBrY0Fg222arYsVtANGUvNRepq3veZKblOchVjmbPH/bt+34cb2iQqD bl2ZncH95+80ZHbluR6i2Ht6F/cMRvryOaiaOhYieUdOF9PiFOPohyJfGe3YjqDLshKrQoWpJrmm SlypdxAUgMf9KmhlO/+kMFdAmQgY6/4e8e2RzREx8l4BA/Y/Jt5aOoxqSWp2CjZF1Y9Qbf6Rdsla vdfdy5gFjWVjtkWwcI2Vq+l4G7VmWPwPduey6PrUqMp7w8I0PKBuzWGugJCtceVurrRA/W/QaK5m eaPDRE2wvkGxmdiyWI/QAS6x6lzH83Vfzp9/3YXrfB7tPVCM3XML2OhLG1yRtdWotUWe/R5YgHrE XUfDIse2EZ4VOM9aNARgXwujCltMOKdSR6Kn4a2y7j9aoKhWRP9f00lpykVKb5SQ2tbVsK2ofhsl V7jws71/BZBz/cnRVNHd/J0ORbyyjprSw/VJiSTYFHG8cSiFSP4OxL3/yTiqoQSJe98shabelp0p G/BDvOrpYjXnnT2/6iDN1sv9O8TDz4Eh8P2Ojjt0T/4ckZurojYfMP9LQ4tJl/yqg3Ug/csHjnd3 PQXv4mUKsyf5pIWyaQTGpS3k+MXQZZgJ1y3W1Z9cw1CppHwe31ByZw53Pl8TPBTarcWjkQu/ECQe rvCG5qfLY288IyoSBbNUWaiHrcvsXWY4D9jettvXrRy4zULxA6SGFxDHPU4syPFla2NOadWwUduc NdSh0GMGIQFOZdffBbxg2aQYdPLYFdvZX5xEERlDwGlQVTB2Qg3x535nCuTp4aAP+8cCNHbS071n bR6eXjF0SRiYKBRVdXBdRbgeW8B7yoQIl6EoNeFdm1hERWauwFVI+VddGte8UoIi9SmHyOK9pHeD 2t0YIpHIYvCA3z33bRryLEfYppGNjv2wmYY6KOgU86UkQJwPnh+HUOFmm/c+4Uuj5gXpBDkBtZLV fcDss6DJhX2GPSUIdViY+5adGWONihj0gZlCnmqwt+B7/511FREbMiK5tKMxWPCxNDuvH0kh3rJy DnXeQT4lyjPJJuxaxUybJWmUwn/gBE2/XZ+ZQ5/DBQy4lkWvfhuPgJvvTRj9h9aXl1ajEQ99y1KU Puh+MBnuluWyShpIGu0l7n7e/NBEeoR0gyeRsutP/DOd0jACmabbu4c3gpBTL0FSryKV6E1BwGnC 3rblI33zTfAbPUetqvLbo3+TVjslz35DFeqLQFHkiKzstvaZerJyTGfmgRTgleshZeIJGOXUSW/a C7+toYdSpQHTkos1DMo5L27KB0g3z/jREuUhMNxoxjXRzJGtgSvUlKXnVYM9v/CPzWP99TA2bEc1 MvNH4RccYKfwE8eYJLgY3PzmEynAoJStR2VoqrC1sUA3MEs0Rx67qU1VfYrB/dVBi7sewv1i52IA oC70A6O8+aiYhVA37cer8QEvHKapoOpaCKrcPbB6kTZTdfHuEV1euAn9CIjpkFfSwgTlaWlsi77W q/MtNH0poEBUCYxddy6egoEbSlFGubcEzyGXpk9NMRfatBg7Y54aRvnIeo1fpTQTMFT1W7akb26k BAAyVbe7pnIP+WOECVTybk9jPNEy9gVHRKmb71VSswfIdWNgtgjmp5yu5pm/ESLkcDe5NpPhpDTt z9sFxmWTLJVluxTxqUOyTnt6/4VjVPqIulOL+rrcszOnnit5KS8pcmdLBCEky3ef8zNcNs4oMdjR 0PHNqQvv1L0C0PiNecbdDUTjCIOSdP3zaxRj7lzilpupovy/wj2Y+HPR3mgC4cmp0UUGlGgyINGG bEbYR22t0CROw/b79GzX8YXh7J8lIyLGz7VYrdCotpoH5VeT/8yRqvE2qZCIp3nwvs6bQKGwjzLq xJAJHtGZRWZPmh3ri5YySNROdEpB+FUNli1wQvjE6vZsqdcIGdUxDaQoISGuaxlyfwFWiWxnxYoh 42UrgB+nkTYAtFH2VzsDElj+jzZXEMkEYHtQ0e1Dons9nJkjwZO5ZNCLSOFrSbHVTfIbL8omq/D/ /0S8Ci8zCiPB1en9LJhPfpCkwrqkrqBxnKXyQuEUx1Ho1/ftow43lz7AWS2lExy3RLpqaQc/uXIT rI7fJcFSkm848TnoL6D2BoqAQJttC0VKTPHBHyEAh/1tSZFsXhDIK6gbx1p+Tk34MQWMCIGgkuPt WctfG1fVb81L50sfUqQ7uLS0IqgOgW1ILb+RnFuOq/vDwPrABHXuh1sXeM+cJTiNfdxRTwgP0H8m TYDD3qDdWY2oEJbdeaipBdkUeAf/UzX33zjSXwTzA/XhYKbnMxatgTe2vEMXZKmhg3eX1oAINhT4 7kpMZcyR+VvYndTbMap+6YE9m10tQqYSo7UDPOju02QQctK349oQs0uzULXifG0uVltRypNoM4R3 /HgZwOrQgqoNJe2PXx3HXG5z2i9RCH3SoLuXBGKpJ6V6zrojZUql8Qgmxh2DtbIHnwUu+xFNSq92 5LxLV7ewXT1SgR9AEecOUVnmhxxZaz/jZKQe4cYj1bFMI5Vt5Jtyhe+ZRmYaROXE+RgxtHsGvdP9 2LaDr0I19ltHhNHHKkadzKKVSFthipL/d+4+0OP9+pWRBF8sCpHoV/f/JMfk/8S9KsZoXW5pH/G4 eN9X/1s5J6YPUBzUnpz2hnvTokDBZUG+cogt3cPjzHKT63BmDAGKEyqnKcyVbgq0XT/ijvwi5qkr cXqiPc9IzCyVCRrO0tXZ0Rg+fhnSatYZeRiy36qCiHKb64+Ipe8dicUTtwXpG3WqXagQbho/poLw KXiYF7oq3BtgwOdatEs9iOZEmJkcvJP6kwhfsUSv27dL+TocYoXbWittwoz0Eg/u7kpFX9weIeg2 i6oSyeeg7NZxqV/zR2epqpa7D/AiB10LXdfBhgCs6Ke1mSEsF/0VNZpejj1YWsxEtY7YfEoxnMG3 5PqdPSWhvLPfevWqPYYuVLKtxSvBiSPzJ529aoZkymONp3AxNiWSqNSZwNMwYAoZXQ7mS5W9Ks46 BbEQ+k3HTGZdEBjvB5654vpAzDkJj3ybG+VDyNuQh8Kq8HRjY8v1xNcsWDVdZ2dyldBCOcU4GoCC 9HmhJhP3HUoyopp5Srl3aVmHzt5FTdyVdTVtP0vkIkbwfd/5HVcl7GK+a7uJMIUTNx81bTROGDM8 51uRwrc7XRjj7q1e2VU79KS9oxRJgZIDxO7JBieGxk92dZ4HY19eEhAnvrNEVDfsAPoKnaOEBpQi aY0VZZg13wlYoZUm8uFxwC8mlLhU2Pqn1K7GZNV4QgeaSJ+UZemwUkE66rBLjffKM9TWDhO3dXoF CvKjA5OK8pw80oiqzDWuBB634zjMZsPyLwTq7FN+tBH807S8lC159wPecf08VyBbg+0ezuJZFpGF +reaRq7E+UOEOfDIpnIksu9HrMKG9VreHmvTrudl54fJz1FP8czsBFRGhCacCcovG4+CJE10u1Td 2n0jybVLK74RLtE/VG2v0cQvTRAi0NW53qEExSnuGFbXFgdF364TvbqQdXmo7oMt0b+ZfGC0h8uV SzrRAg+gqFs+Cva5rIDGt3NHmqOeEW7iFeEggipF6om3xE3HW3X+f7pGu+NNq1oJ/XHX2eEKK4a+ kYR1yTG96POI82qvdT2Jofr3AZm6gtQZhH60JDiKnMzb4kYmKmXiwpexoohIfisPp3Gqgu5WAvqD VsN9tlfw9+c0ueXfCdme6HqRflP2agyIxdqbWZBeRe0Nfle51dZKkdpoRy5LNbGo6Fur1LZM63vk xWdyCwSShYYEWpXb46HisezytgVxa/3NWgNqFV9+7sSp5goGCadRKVv2/HmnYgYG00/6W0v7TfHd TPcVGVHa1cxgHwfGLmUbwK3cMOnMhqEsQ/mfReKu9t7QSkd5mDssdjwJKN/Zc1TnukLeW8CU8Z5o X/PBSBt1jvJ2XwzfQQ542iXlHj8ZzVumiGVxnzZmEi5/0uyi0QaMvF+OKlxiPb8z7QZmv9K/dOY1 m+bgWCF2TXZ0L9nIHpS+NHmi3AUiy9WIBsZpTU4MGx9SYckGf0UlRIbzRGIIawO6YtwDfzOuVN0V 2QL8s9ikCIrXE4OSqeenqOcY0UdFXGZ3msm2iXkkj2P6qcHCtwDhaOj9rU1sDkh4muA7SK4uUbak vGPTZgfKko8aFbTELG7fN3lwrYKo9LaIYW9yTTcHZukMOskkVGHzcGfBp2AgRHDTzWdIDVuet3hO vfzSSFDYvdvSQpQ1Jxgx1n1oYe0jrps6WGTJnAngg5Es8BOCavBrb5TarusEWsbiORgQQOYE+ZEK E7kO0lJXdaD0LCepdfXGHYGmW/YfX6Fz0Hlm6I88m0yPvNQKAza1zSC2/gjoiT0pavIUnP0oQHyn vQr+EFX05laj9hQ4z45HedEb2Q3k1wfjC0VJSHMVuPn9v4yoJpZdYA3DdV4AIGSPN4boLQ9OeHlm EHLKN9GyHKQd0RgVQMz42cR4xrtWpjapp0fRbWH3wj3UXM/JW2QEAmMJePohst2RuGgY4n5WKlrK qm3u/9o1/Y1ZECsuukrnPyO/diUYh7F0JWsCVPmfsl5K/4xedT9SuRYx3MDaNSCSr8JuOPNa5FLT ZmGdCY77GpOOQcCBApIKYluK01rNS9tI1Hx5QPd0cAeDxt6U5grd8eg1uJZKcdvEKpkgF4asb3Ri Rkx6cZi96+cmw24GRZwWCtudy4G3lj2NVV0dm6dtCZWnKHFB4QrfhZXY+vXG1v6n1ic6YJL+UB4n mKW1h7CAvRTjBmLPk4o8AXDcON7hQJ/T0zu5Xm9VV36xdzlXvJ0gQHJnqXpn6B6NQzXPpiOBC+1e zGl28AskRaKRTOUj27Zaf+yWqoISJDGvC9fUqSyO1dw8vqerZoo0bpUBcOiNzSd0DxIhJ/kp8NRM B2rWBI0eF8gf06Ga6baQtPJyS2RptgBbm50qwDqBGkhg5oFnd+I2UVYJ7lux8euGnwlR0FT2v64i R2MvMG/3PSLyTr1hVD1OAdxXOMwF0k32rNWim1yuGEBLV6Fyy14G90+zXuhGdRaXG1Ugb+kQzrWn A+8QzSn0UvC1HuV9ZKw8ukw5iq2dMk7GbeHf0oVzbriIjO/62rRW+gSScNjDXkyEE8TkAPm9ro1i 7C62vXQixwsH/aI6iRKVWlBhSaWnw+gfvR/9sFjgb+sLfFuyfUlN8RQckF3kM/GHPLzXeB4uM/gw jd0POmu2wHlpq+qNMLRxvF51tx6yN79MdJ9d6mkWMYO6N0KMKd3Q6P2hhBQwB3RoBdMJkgbCd/KD a7EDmzXu5Xz0pRRirTEixLqAztCVr/49P9xGc/zRoMTI4/Qp7/slPI7H4yad4imHCqDyXdTo5Ib0 qnI4xL9tb/tjoun92dJLtugUNxXj34bNopPpegZasOArlpPHW6eII7Y/kxGWS/Lb0lFHgHXJBET0 yfpgf95lV9xXd4kDQ+INkrsNYW+WFtJyla72YRgzjYHOUAqzeMGy8Km6CVy20h/TTZVpsMmDBwyE SmQ21n1mdMGbfBGXZmrALWVFSoVzl/CXqydYvB8Ecs6WFzu8wRKuAlqXrGqIGiZpYJyum56I0Lsl arpeHB+dWRJZEXe6U+6l3Alt95WaaS7D1ZbbWTZuB/mehITN17Y8+WLJpdGhY4PLwPRntq/sCBxS rZmPJXkPPkPzW7gBvshGcmLceAfcz0oHcP2ZUWNZ3Vl6rMW08mUUOEhWQsWlpaP8MKwstsJ6tHdX mvo/BEf0td3cwbFyGb0r1aWK4rljf50CUpBkYtKpaujPO/8hVbQLMhbHdwqTbp0NW7f3O52yOe4/ ZAn+d+xXvYu1yqmnosFqVKHvQZ6rh+GOyt423RgAGRK6TCTtcM48XAMA62zeVQsC0hylhfII4H5Z /Y6AQXhIOJQE8fW50iv/qqFq2Jl0Aczvh8aYwCi+aPKGa4edY5IjhQjnbV8MNkTsueUQlrNA7K23 dGkj063cuHwhz93OOXtBKhD3DTkmFryshDlQa7Hs4RtzuiuUtKP5NPzjypQAf29Oq3Wg0bwOHW+w 9i8Yf8zG6BMVV9wlUc+BG5drja+kxb8neVkAo4fMDlVIxbi6EH+IYKcobL5xfkydoMmLap1G6qw5 lc2BacZKe8ORqXrJA3oucbPWZTI/RwP0mtuK6UvRtQUwYXCxzDqE1xJFAo0A/nrqyvcK8Mpjab14 1MUL2F8BwRMob2qKzBP9ZdxN4SKxx2312V8T75XpAn2oyy7rq37qkkOz1L+IlsldG7yGseBiaALI GMi3fuqKZD8zxr/ScttIHyc/uaQd8NzK3zKyMd+xv4jQ/qx8zSRms1zPHVao3Z9mjHgzvO3cEMuH kC3+NTfHfPg5gthWaBf2eYnEd234ocT0k9f69z9rEsps2GT8NaUOQ68fWlzS4NJplGKvaYvbH1xY YXK0DMXanfTHxKasFJgLmtY5OaUDbk5VnooUDIxRz1Xj3Io6vmSg9DAYjEma6tFYE0fBVemHZGPl FP/ovHSRVmCsAcEdDuCLC+h5nlcsVS5yzGjRh/z6breZGyyJ31u7sO6X7sGHyCJYAZqMTda85Wpa H3etZ3rFq6wb4uITjUd+B6WLlFVWQsGNFbSACobVNsBDenKv9/4aCiJQS3cN7+M6KO87Ny1tn12B M9IS9N+COqBibgQaAzBVE8/BBxKaFMCPdikFVS84kezqnsdBiw7xkqQ1FQUKfFwvQoHXVnskxsBY QObU7L0Xq2EZ9Mqjb4wDdFUvN5AFYhgNZwBIhwnuCfsnFdFa/7Zurpt15iAaaPECFzcDBQdw6Sa9 RgqszLk5yz6QTYQi1HGTb2/VWyhNtGY9LHnLDuxQCG9wvn6/p3cuZiM2Z2nufPR3z6zvmQ7JyyRM dJbwa1Fi3b3dfxU6E+7U5OTN3k8rsoURzik95nJubJSuU9JQOQbh8tyq1dKlfWG7XzG3gyx0gtVx Onv6JpzFb2kZh34P7SJAlrij0exsR4ir+cBcL5q2XoatxxuWXXBVMHIdak50tWhwvg2haRViu8ox 3fSVDj9r56hSDoNtU/sFf9bco9Y66F8iY6Zcd6vSy8lu8sS9gjqR24xn9vnkCIsmM1WGes5vUBKg 8OWABQ9PTeXWtt+DbLJ770ehFyTRK6Q233/HNLSNg/YYGhGPonkY25ZSFY1j/gYbKqiSChacgh0Q FGjcacrSNroPDxN533fu9o59jZdoj4vSNgJxkw6LF9hU/aZFpGu/fABc1eiKm7CFXcipubqnl0EG jTRRgYGnPdVj1yEoPDj5/2wL1y+TEws1aCof87q2jPi/qjr6qTLv7fFcDQXsD5gaqlr6RwJVitqg N2c2+bq7xx5/u4Gt8z3QPUZou4xVEdl5v8CgRG8TcGF/J7bgDpvxuy2MGB16X7H5g1JZxIRrI6RU j+82+hratNCAwAJiSX0O7GEKu9IXNlb2AOYoMmfsUynuz4eXQ3Efn6Q82uatqe+GQNKxHlX3iq8+ 5COrsrAsfTpSm44b6WZn0ZWyuzgJc8X8LHuSSpaI92gAPHZ8uA6sCNBgNromqJO50dtl8nzMth3s 3QnRy2S3jU/XVfTO3zYAqZ46ectatcookFfDUYOw+KsJ6zDRqdADb49QZuh6FzRGrqJRxxf2igRw klNlFJcstwbfhkDWLFSqsOsplG3k2gjklbT6lfEDI4+m9qNM2nJQOROBszJE1PjIBc2RmLSpzwl9 Cb+wmn5imMOK+cLKDNB5viKl84Zbk3yN1w5jXYDrocXLYZhqIF0w70OqNwaIMWropp56+GUhByAN jDUV1aZT5BgYelcjFFYJZthagc2KviG6dMfsXU65luVVVkECWe2BT0xFMwyPUoQkiB2b+t+Zl7WH deyPW9aYIaag//GiBv2KVfu6lrMnmZiJntTm9yAR24pTRU0zfFcsSEGHJM0DR6n13vMRKiOtKJQj 1tks6+oXOJfVZfouuCZmAyImPNmVCG57xP5cVeuBZuOgJHe7lK1jT3aFv/AZjkBR8Bjyi+Aekxdh SfzPvEvTCHbCVzw4ne3JK4sf93tmqiogywygQj9GTzNAAIFWr4gRg4ywS6gvTnzfb0zsR6g66S9I F4m81xLQuRe57YY6xPRBsmoj+BEQlJUPtz/rL4PhSEFMMUxp8M3VD1f2+wUoMlTczIG982D7MXor 3a+NttKpIAveqexKi/5vb9nF9R5MXYaGjgrwUJX914jLxzBnvAL0MTBnfVZ7K+bWLhPL1PvSBiE8 Y1wFzq4vMvmSbDVi64Pj7EoHlCsCAVj2UF6nKlDboxo2cNvQ+UxE/ttrjrUvUehGETpWXLiTLFD8 n4l7KuJTaGZeIt5Wap74tU6rifVGjgyhiyGtYX/FD2Hd81Dit3ON2TRlFmdnrllmmd9DQhk+oMNo GSVEv/oOkzq+4y8m6sXgyXLph4zhW6B/r5yIJnlDH2CT5LpAkuvel55DmygcEOS+sDBUyAwRXQJL c34HTvFpcrIQjnllfj9R/uuxzE6VtHqzcYSrNpkSjPBfAGc9nCa/vruXirlfN8xKA4q5noLWlE4P xUXu1KzJt3mlTUyLJJB47fdmw7+v2CcNJJLF5dhc9qzrLS5goTUD7URxbmtInTdpi3WSD7n8oytd 9LfwM9gMXIIs67NtGfuFigDbn9VZSvhnHcTwzTfbQQ9+U9OUobbtAy5+bdnokOSdy5z3XmWMtGBp Gv2wSs43JNY8ocSa2LWsCuhmxB5ijhGpVSLTtTkOt1HiCdys+1ykXNQTnCVEpicaOlsjziuNg+IK d7/DKhgMSL8v0jpq/1+QUzW+EgPToCgHPhzZbUnv5Ziv2O6IPjXDfeHbVx2TSQ1hrcj4kgZB0U55 awLrXf7tFtIinTlG+JXJq1TAw0d71og7gySZiQ3YjzAGVIJ9Vi9cCdG34v/M0dwiV9rCCWaO1zky WoS7Y8Fe+BffU9KgGY9mrKYGxDAQQPfSXSaonfubkAKezPRkpu94ylR98HGI2WhhmRko7vd8BLqV /6It+zE9pEYVjRSlT8Lz1PAuSDi4e89FK1tK9q8Vv1Q3iKlPE85hH4BSxLcckK4qzz0TiqB1iAeZ 2TSk06m5WJYqXXxyzPPNdUXNtoLuGu0pG2rUK/VFKDmnmNv06/D4g7U09e0N8ldNGvnyZ1W5GxRr danMTu179T+jMXTWMrzgLhStwUjZcyguAkA/ki8d/7lullQKhkBvBdi+iu+MxFIvBrEZCZrG1TjV hn1hk5BizBpNPMFmGcHovhid4x5dtDS1n4ZBz1iXC4Gy/dc6FUqVYVAlrNj2cKoG+ZOpowmIQHot akr7Ld4uX6GbUfODx/saZTBpnuwda2eWEV84yKUwUWNjMybLN+fltp0nIjeIreVX+SLUAn47gTcq wSbWOBdCVDSAsjN1Asy/1DVKDQ61VvegBzjTzM6up3+27yYG6jEe0s64ezxWfBKWT2C0NCvkZ/9E LiyVCJbUchXcicLauRxA6qqgG1G6LsrKspqBQto70PRVZQgkgzajsxqV0/XWCuR6f41pjyvOGioV S6lHjF/ay0hJofChmdCIpeKn5L8NiVcVuUF8K+ApkLhVW3s59k1P1QwXk+0MMYWqknaPmqpicuuP 7oPrBBG57dDWi41SSAk+w8ToBzhvhC60wge3ti7/F/cy7MpkiBz62SW0dllqouVvwNvMLLVb9e41 zJ06AzbSbEuzYpZiqFyXhj4zdk3SEBkeOsHTIZWfnUPAO3s47nv77/ntGFrnVh9KGrb/C5peotqQ jLekNDO8KfFiUz8qp0Jy0K8MfwEb+jWdcu4JEZlvfYhc6mOLKi7EhnUYm0qzm77q42IQFhsDzDCE /s7p6Urpj2UNmzkvYMcq6kCbZWZPdDGPYWiHtAmREkaTuBZgzMdVGx7KEcFRIzJeSOhu43JP0jZH Fngw8rk8VFSzGUfHISO5FbUdJzT1J1oK2n7cLUVcaHKpwDnNZuicU2J++dyTEvMnFvIzfTibhFew 9u/DkTht3RDGgaFiYnhUsQ54t9JrruNgdcHNwMEtf+lygdgrelyjuDNERCZ2QfV2vNyCJlUb3+dg sB1FLdw8ArMtKLsT6YS/bxLThGG6Z8xeITP6ipOmBx21bcu+PJFxz6fJAxIagVHgdtqatiGStuAt zx6QpvW6HNGAhx7mMxQ/3N03Ed/OhYV55269DKUK5LXjvkpLnHHrKaIekJ/ytYVcKNunmmXZfziY gitjOoEmrymQfeOqiH+6sRcgrxD3SLi/MIqpQKX+y8PLoTNASRZRlJ/JsCnN+bAKv5J8zUkG/iUd CH5vfmLOMZbGWTc5evuYviJuX7Ec1hKdJjfqbSdzEpwIwuQSN7KWWulHuRd2nsYHl2Tgwy7WM34P kLomabX4cT1FdjgoSOOAogOAX05jeAQJGaQjNvwjNhnfxywD/ZnqLsd//KkiBeXjcdS1aHqYQYMk JHpVAUckiaw6k/l7s+6RmRJsQIKmmsltB8PFmqUvr6A/uHtqZm6BEMJBmHdDy4++KmtmeIycCLIK wjGVBeJM/mDpsUzxdWs6S6GtgXAr0X9sDY+o8hX9XgcYf28VuFngyhGS0tFm0qcVfG8pAbo1iZlz Rplq1AY3afMFzgB0Q57U6PHsP35IYiqS4u4V1mHJDpeaPA30q6q8MBwTdQi45Gv5GBHtz44sjJx3 nKYt4d9fwSxp0E6A5lEr57d7Ywaodd+JS4Kam1kpdSsN44g/Vi0udJNCEjSKjeW9YuiXP/Fu7e14 jyS1xtO4kbV3XgncIgUmFm6n8+5yraM6ouQBxf0hDx3JWAMiO5CJJMY+hgyjjB5Wcxig4B1Ic1B7 p4SJYYMt50ZXTWEnzLwoLYWGZMMyE4mhkVQ6FrhB5N/6QDjvhRQFUWwqNkUUW68bAkXf3MqbbhBc RpXJGru1KIbpWIf2TmuHumYrcp3DFiGeX228KXlQl7mHGEenMvMbR2fjRIbWcFmjO0AWUMBqRdaF SpTAklkkQlxxyLBAlmKqJ1lmw1tvn3RQarmyETdI/sllPvuy3IQB7ulCqymJSAt1G7BOJ3VDen/r 5zawOv1NRNNPmZTqQ1pwvHZAFsTffIXOh8V5yaaSzdYsBlgRYM0ADmhR88FYHpCb9VY2VOzRrQEK hmwuReBxvxfyrPZhghq9+UECbC65nX8vUMMk+s/3yMg2E8zr90aZ0jS0KgkmfEtQNvC2QPL9kQCG APF+n0xHJIdIHgNNw1E+WjRDdYhU0v09XdDhjBOBOXMvf4j+nViiw0kQv6Nl6Zw9vCgFvwO8v0Cp ZzW1EQ0ZUTaiIcd4FcyldKpJSQtL3dqXnRxpp/mnWe3YkQVFRXffhm6tuFjlSllPwjxW6rFowbUT L0weQu+PhDHvssQZBJg/HNWEuZDwSQcGUYT3r+Op6ZQBjaiEB/tTf3KzMHkj8DTOoQde2RsLp52J 7KhlRv7pnPsR1jc3gRDeEpr2nWRWTqnUer7CrOU+fZYOSThS46mQjVN7womZ+qxxWcmxw4ttTsRW zPTwfJ2XQhkSwoabMJVpI8szFZ1qYGRkcjI20j/q/15OytIFAcyUaghcTfwimZ9Gt9PNUgnxZTjb wUDYLXRNjybIEn3mwnMYVnzRmjYC9cCjFpnV4EP4VemFuLH3KcjCv/+RTBp7TIYDj3U3wiGxKzC7 eYEpyCMdPQCRF1GDKvUk1UK0XRayUDwMeBMbqRn9gUDO9IRq4EoysEmXxM4dnV3ucoaBTNTaeV/8 wLaEVjClWhozIj7lsIxicQNfVHsI3cPmZIyyGhxg2oIRs7wv3H4QBnncZN/Cw5Q2aJbaGszO/+Ji gw7jWo0Is6kWYk2TzQEwksQEn4BGXh7wbarfGNKxA+691PWNmuAxtHlRvB2Nnu/aS5xGIQygDkMD 1yJcSdYXvSCWFZ3U3uvsn2wDGn7aXZ2whnaKumdakqLFBAz3fvchvy2g835Fw5vRGSAsF/evyKWd K8/tC77o1QAdAmIXH7wshRdMIZswAi5Hq1BJPiE/H9Jyxfs01mMGr/rgZTp8yfOIGJ44FVtwx0lT Q2wage9xZzWkbXX2eQMzIu6TbSO9ufmtYehDwWjSPldoUUnfVk034dcBUFKKA5B4fXPxAf9L7QRO MBpdpUQHlRNkMzl8ZeZkfVTX2PU61bHVoTr5KAN7TAyvoin1fDEH3rAKfB7bLakG0HVqPzsSaoTs AUWEjkO9lDW6cu8w2bopCFXWSyl7rPsCJN9tirs0uc647eTp0xiVXDo2gS2vj+RHzyiIH/SaRXwz m/iouV2Kezrz+9vHqiFoYJegfft5zkS6MYJTZ6McvaFkjDrGzUPwwySfaTZb/bQnPQO6OB/oYTKE hpjpO+GkVikSVhOg8TKDggRF+l9imr4/YQw9L2eB4n9Y0rMwBDjuARdyqeboPIkoqDP0PXMIx46B TxD7mRUTHPAAZ83TM2J8IwDkRM4kje/uI6KofHrmkbkR6FlAnfVkfff+nKF5bjeu81cXsBdiCs9j ONs3T9IN8PkPeOyXfxRvS+s6A/4/vjwaMLGoXir/08tbm+w9R+AgYoehjiO3cw/iTPd0ZqeAnu2Q 4nk1XCPncsAFUKe+U+m9vpaLXbgo7HhmmE/ysX6DXE2tijELyJKExPYm0mgyZVpj5Nrdu+72nWCa xvpZlL4WQIXK/+S82IdAahVn8AyJ9e5k1KAAcDwcnuPXS9pLkbgeFQpz3jcPkXNGqsmXzLXRkY+N yFzm1AnYm787bo4cd9nZCHQo/egn40+I1AGYJpEerRA+kDmQgPO2zn8yZlYEyjtSWNeKhlG+uIQr PVz9eNLfI9FaUyNjjd52o7f5VKXdrE5Qa+e6YhVl5Iupvhp8aQf3F6cqoKT7ghyuPaoyO4SEOoLk oJ59KK709lmOK6+PQt/yL09zt2ryhQUWH3s7W6x/K9wFHMVuzhIBVukeBCrxncEOqFj6PX7Lmu4E wsU8qUQ7TCa0Iv8v4lDHXnG5vgPC3Xmk2pL7P6SHte3eO2NyHrK+NT586aF42BJZ/AfU7NlfZzSS DTJoY5hskiEDpK0mZcCZLdeaudtVW/vX8MIgYJQcQzFidi+Q+84QfLvcWNfYWkB9VYuBaZ0TqQEN oozs2Nxn3ouND1hbAHgw37MFoGnRQrK7MN6AdLyEqTjSIoLx/0FCSvSkJsJAzvOmuZn0AsHRQ63L 1+K1FLlh6uQ71mADRcNuMeSo3QKARXYtAvIfJa+G3Rz7/17Had9J9oUE8+yDFHpJs2FZagBfqqQG p7vTZZX29qPBg9DRvovi1Q0DkruPNhyhuYgfSyTiwRYygYBV3ac0ngpJsXTHXRXZJsyqSdsA8Ueu FJiMMIBrbgMYdLvxNixxw3Yt07tMQ18bL8SyTTCGd7zMEMuUAhhUifv84mWDbjmD5frx5m5GeasF /Unt+zwgCzA+SCXdDeAFk9NpnEJP0oLpHq4ed+QH2Qm9xZkbCigOSWqgTW1lT/5dkNEiRNtu2KTY T08KxpXcOShSFr9TmHMWuVNF4/cD+avQaDkl4mGhw45nyTwWMy1CjSYKWdwDbmxpJvKhTmjH3i4h BmvXZY81HaRKY9HJ86ja1R1GmmjLUtJfBiOgEiP6ngabVfCzNoiJTmfi8EF4omqxn5Mj+9AvCya4 KIFWm8dvMbJQNvTbn6vtWmHc0RKfuyUsfyi7z0v57d85WyFyFNyBrG0r9oV0aJ7cJcbswWy6/HSi O+RSh8GqFENyMtd3y/hGyVw1a/Vtpy95SwYQGHuurRxMBPbzUOLnB8Eh4LVe98MOYDWNiFuQSO+D /if4wi4d5mCkOR7tOf/wO+wOdcDrzVOLhJBZWnrCor5ddFnqpAQAt6vy2vrQV9RxTdqi22Tf5ae5 fQO2oSMED3o8p/rHyql0X0njuMRI0ME3gqO4Sj7lU9OrckME1/3cHiF7Oi0n9PAYyGxnAgKNsR/l v7l5lbmzLFFCd0XDm7c3C3i9wmsCpnfVTqTMxHuYBzJTe0LiC5frEg1UUqqz8IONRj0iiTuB6gtN uniFshcQ9k+ZmKtHmkVXfvMROz+IuGM3LA64BR4C1suuCrgHTZC0tAIfUgbyRvoTnZsOpwesqHvq dhzKZHBw443c91+aNENrSCZISLeEBpma/q2KTU42b9PJNru5NmhqNUQq/KZ2HqhnRTLH4DBOzsp/ BUJKwGICIbPFni/oLN84EwsTMvSl9nngK813OVONnXQe8g9VjmohEvZF/fDoB6xg11dvopS73Q+b HJwUahswVMpeMndpplY0KvdrNjnuVsuh+NcCUi/s1t15+J+Q7EiONTsxg1UYnenPOySk60t8o1Gb zi9K0MpHWbOpnkwbAfqYAJW1QRhnelsMvtGrO+V42MxsGzV5qQDTIR6Z99X6pZiVnKA70WEurZkW 3AgeNIBtacfuu8zSZGT1G9TUalWJZ0fYYXQB0vHxFPtz83RGmMEJsysX9CNVZvDcEzjkoo0AJvm7 mNEL6gfeyGNuihoF2YAE2OQH/V0EWLXdFDbJq2s3Kr3KjGTa9KZE3fEcBQDRV/nM1ugMuWBcp6Fp YH/0K0TgNIorvB6T9FZxZt0TALViaOSABQBPFJFRw2xhiokjCf+dk3IOFl942WMV0ibvF/3MPv9h ci0agJAJkB+rZmx3y5t1zyJrPv2t40C0lw86m8MV0/1FSY2g8T/o2dwFOGUbP2pChnexp9boGr04 c4Y8f0J7ot6AJQNDI+iwBHU0gPxHhYmZmA+3A6pFphJVvFx3YrO4dKTN3pWa+Bx6smhxwzWI/Nsh YYx6+CjGFBUpDHGzCC5K7C3mSL0yn6ySObzRuEfXjmwMsw3w9W3BoYchF16b0YnqcD29scSP9M+N wem+azZLTQ1z1+PqS3KUD7YxgQ0Z5WSRlPCMdWDrTKwW/NqmRt9i9g0A/K0X0cNXKUppSzvbu2G/ 3ls/udhZ6QYlWvP5IJJCNYqnhx7U6HYfHKmOgditS8txY4BHw3XiJG6vZIozLXRqLr8a1IS4PJlO 952wPYAmRS73ENnDe2Dsti9HeR6oqZ7DVuyTs+DspYqmb+neJKldPnD/JiBvrKTrqsBZ7evl0mmB Mr6fgaSHwQ0tYjO+jgQmC+i/TDK7Y7sASMzAX3cuspfQqzd5lGt5KzQ2T9WguUCkVooRsTZATK51 VeeNwVPz8rUBwGAp9vXfJ4I6M3oM0oxGNm+ciEUlHu0svb/1WVwsZTV2tWGBMv9CEILLglY2Q6jS kuQwfva8wL+6TArlkwVvTG6DeBOZNIqZ1w59TrGGaBqeflSJFSjan49kFsfVJ8upl/rkK7FmLdU7 8IlmZyIph+cIz2IriYlFc1BQvZ84MbwJnj5wpWRWWJPcxuA0hi1PyQS1Y6b9ADJWwmrHEfMU2IqP RytDpTIYDfyE1jIY5sH83BvqW7CGbwGHgcFnmiTHN2qPefhY5sVXDd/oUJWKWM8EjhmqUblB1nO2 y+JA0XDw7DeTBZHOGZJTMsgfocRE6ffKt/V6ogKPiQ3hOxIr9ww7NTe0CzLP3bWuv/4DNhfRRkLn ETGjjo6zbCLYk2aY4sNDWNygcHP6XfkzufzKvEGsLpwt8Pr4gP2SJu86jqLs8aug6bYAt0sv74KQ TZ7Kj/9kEsMSmEh6xN7ZTFM323Zv1RhGSJpp71znbU7Sja8qJBkLjr5Z5G6KatjDF50sRAjCsJ1y wg1JBJFQx/qKXRQlAYhj3yMutQ43UqSvqbuMzPvCy+oUCcBiR0C8E+VdNWa2mRpL5BJUYOZ38e1/ GQl3dqqKR4VJ8FAUhK9DedaL96L+D9QTkKVcG/+CApv6+/V6Q2B+dDIqEXOdpTSXisk+qB0YBvfC GfmD8ZOutft1rkvXfwBbbIuOLNyablkllvm/Rh9B9gsR4yCjyoYz++hAkO2laA8sU9yAdoNKdnC3 qkkn0I1Hp6FrUipYpfp1UsSmYCg1XaXhbIoJS+omEhTTzGo+zH6xmhbfzuFpC4Z13BOV/vqYZbRO OdtjNOLPu1qI8p5+2WO8gg+DVPltUIn/aKjiw78cndN/B8FsWD3BmmTdwrhjzTZZ01rDBjwkCIfK 67XDN4jKa/nKrkd5h28TnjBvbxghVzssMOjeJSuTxud9JIyKgg8IOYU/SaB89nBdZYgVt2cRVyq5 KFgkyVIWO/oiTW0bysbqlGs3g+0Xo40zp9a6wHUrG9LMTXI2JkzGFffwBL3oNXGhxas9K9aZzR0t tNXovMB4xrQNNGkaXH1qBr8D8pVd0gjIRcqr56IDmOOakVUwTnShzSatlpWW4vnBsZCfYHJSbYqx mPYtkVHGSPzR5khv7e01fB0xBn4f3egHkMBoBerORYL51oN4AuFnBWXmSUSCMrgdGm/fVngwX4tu 4tuR65EtKQKEGKsZOwdwinHMOUcsegcjWk2flhWOowuSDu+vdps57NUu6UGqjbZV8EMANPZfe0rP Bs1Vlsx+6V1oygwQfBF00b8Ix9uHaso10yZtF+79AN+EED1Y0cAkeoGlS/hXZ3s+rJ/bL9XhHZj+ oVZAlIwq/XEMu07ohv1GcoKakQdxAipFCij8QKFmYPVRmqslfosABAsUKs7sCi+SQakbIkoKoakm 39K8VGC0/myfBrxF/vY1p9BxLZQrvlkzZ1tqsKyP3h24i/2TY8gyxdn32JkNWNWaymaRrejLSlm+ 4q3g5ycfystBqfHOSQ1qbwN+eDDqRlFbDRVNQhW0Q8ahQY4W74mNvIDtO/Kgtl1wYIEFtxUKmjJe 1WFwf0vt3whG96FHgGnxRxyt1uHMcyCnipr0XZuRya+CLC0BZkXz7IJHctugcT124ucquQNSyXzF 5hBkwg6NoyVOBvWYCoCAD0njkt+pmvCrwM3bwrcT5lW2pS+9aoQCMXhPrxSYdpFzzDOUOKQQU/Rk Y1bh9c23DrsX8slk4GVLN51wPvHQVzvBBnk3xtsaI0FUy0ea+aIo9MbbI+mSWDaHTn18kRgMfFFB iI5Zu2FqD4fp4I6ATt8NlG/Xt09TSMswttvbVAK8DBzz9+bzNJI3ptPZh4QSPenOejnMZ9lIHHPz jsyjxV/roBmMqZeyER1/cn+asqJK2IeT8vu7+vWnPOYXY3lhBO1BU4KT+s0Ftjl06CdhMwomeTCJ 3x+PpSy2xTj9wjHny3OkrDBf1P6q6VX6wLCyuvi2zXLa6aUTZY6UnLR2/fIJLcXz+0n8NxqCCDP4 0ljQAIN2HjEo0tFe+EWkJyAKv+DaVEIGc7/5TCznYIwemnSzLx1VFfAuI07mJdwxXP0DW663Ftkq MD2KHLIIv7Dsx5YrltmNwp1mrJveOzYCc4eEeeAfgxgjGZfD2D1q9ksaJa/F2M+aiZV+U8r3BJ2U mQgPlk5GvtybkF6+PiFFLNrauJBkDQygYg6VMN7o9ftKB7GY/Il6ZQUvE+hK86Ee7Oo41v6gt7M3 B9qXEIrC0zfUI8Yts+av/pyH4KQ8Ca7rVUdvCHq1U4N207yB4IkF4lUupeRbSOh5gq3tXXc8sBHW cWs9n0Igw5THe0wUKGHWiFsU7IOOEqIgoSQ6o8yaRV3Nc6G/Me5ASMudyKAztDsdv0EIT4fGW2rX aYvwEtdcophahhZToD+C+xx8jhRx05b+bALmPJPbmaxHLEfwdBImzdErPVTeVVOT7x5N9xVAoScL 0jIRq+scuDe+FSOY5FL0aML1fLX1e1s/BHKUlPEg3OQuimPcC7h6EM9vRxGvVYO74sRRYAeyXXEe BRXO5ShbKwQZF2rUhmmb7aXviVfUyF7RvK/xee28zyq+PmTX5s1PJfsy0QnykRoNeS3Ol6p+W02H ercXMjdQwAHJaQAqeFK+KFvAB8CnLvz0sLu6HQ/Bc16OST7IL7h9H6r/RMIJK2FzyxVohM935kl0 9Cq+3hWaJ1XTuxCO+rFe5XtiTd8s+jnww6sA7vu4sOxsWx1GqV7a4+bNQMxAKyUK1KB51zuqFf6V 3rlmO0F6ciquB/b9qwA2BRdq5gqrkjYQKlqh02OtgyorGKfsUO7HtUxAVOZMzFS2uQO53bHTAYso ISKjtg5XWFwXzGJSC3/9n5BJaSKcYVIE2PmMhlL2H6lSPUWv+sYPJfcTOvu/hp1fY8PE1lUtpVR5 pXaOv6KyUyCIXfumQvctCsTmwqHeClp/k7aH5u2384sEzv/fH20I14sC8QUSV4M3SxNiaol6cftk lDD51kQ4ir6078qeE+tkL4L6MOzgmBaXSsDRz4Az7oc4kfyQaoxun3E5eqULjak9dTDcHaL+2O2A LiR/JtFESBnP+qjCCZhosSYeD5kctYOBwBDQl4tI2w1ZWAABfZsMxx48qD1YbJLVuHxVzaVpSq6N GQ/D1IMIRCiLXiury1VJdCkYt6KdGccFW/C6dOp8j6WB1CYVm1iSaGPq11Feuti3HUVb+/JF1DYp vx6YL+HtSz7R2N8RupkPJejoWuKs9duNJtbNMiGwA1CMiPhjLauJ2o5NFmJ2NzldzK9oz+WZClfU iYibY1xIGd7ihK8UqXiWZpAiFBF6OKoQjVSt7/epA4pJd3EDaaeh+B+wuumia0YBAoXH1DJgk7T/ NsfkmKAMaoxGdtNQEYHZX+aP6FIrYuKfsxyTG3XEFXVFG7oGk7bXDyTbTvJo98M9QgNerjQ00jTN NJsjxTzgt0g0OOVOLnplf/4T3bi+eaDjULw7QGe2acKKbbUxSVuLfRo0k2CZpPKDTdEW/tNB152I w4JnJlyEkFxpMm4Ah6L1kMIOvGGdanThPDp3uk/6gHlQ6KK9nWk83yYb/FRd+nYuaje0VCYbto3p nO+VnnHDIrJIAKeauZwvs2R66sDrIl6x3CEfWJLnzDUW4MYWn55zePhLt0SoVpVAN2oJIk6EFdGZ /fszQnclaAL0u3q7jlNuxcLMK8TCj6LgHg7V1cYzUAeXPuksmIa2gVcUVckCCgeskqW8Vs6E1Chz nSX7GvPSMqpMRPT4XgIXDnB50LHGfldRLQIvFr/UYZbqK07Hg8c1m7kK6QBfx5N8cBzJc8oIDp5T fGNVGpPhX3OZM3F+ktS5lAMgPJH5QbEc7bZUGF/E8jD/oStYeFgLtsIjpm1pIyba5hS0HKJTnfqM OZnWNloBbNIt4XRKoTsyK4f3ifMvMknIYuGLPXH0oNUUkMJq1XMBgoHaxIFyesPrMs3gvKZ2172n Ao3GXQCCwYZTFGmeTNPgYJuVoqCILLCCE6wnVgaansiUAGJmamzPpTaDdjbRyJ/FrBphIM+f1G1u P1/K0L6QJfjwDwM5upNgeZ/PLKlSCnKAxu3kiEeqTMrA+F6//o3lR9Uc0mkhOP3v7eAHb+9Zu8NG 8PAnFmVBr5CBnQq3NspxMjqd3yf1ScQiUx/Po3Gwydn/mZz1SWe6gOCmKVKaL7A7vxlu8JLoho8f xo0ABmd99xlplHuwvEnF6yJkgFdKgB7cDeGL9pscq5cSHNx3kUtXq5SmtQEvRtgtIJf8Ihf8dzBb xeOl7FHtrcBJ584OqCZ3lG6lM/komr33mQltBcQnrmF5RAIPrl6HbOCfKmSK1VfwizDOZ6qgaiTp HeWC738v/PY8PHCEZ8/f7AkGvGOR1d24q5anX0uPJ1bqIf/ZD7GobFI9rkXHvAGe/EU7YfHbTcs3 eDsOHfkF0kBLEje7O2gQWri1Wv8lNmLY8ywMtXm4OWJ6NvstPQefUbzCId3/EZhy+pV7IGerXXOM irguo8vtKocjTf7vlhOE9vVJX/FH/3l4OS2hFJTtHrgJnFzo8m4589sviMeSzpWV/Jk9Ae8JOCMP TGXc+8KD4iWsfEiRNX/1zeLEuBM6tx2Aa2oMTz0gDwcfg4g/Cd2eMhhCpQmjfIrIUZt6yQ/ElGMq khzWwekThMtPqjufAFuUOzTVWJe+b/yn9E3FoeLFHzJgUVVjkRLd7FOXLC2XrU9B/yBUoUQXDLa+ MlHUKGdPA52hS1NJLbXfjXHM0VGfkPCsOUTi1ucQ5/34cJYU7NxNj60XxZLMKqJ10W3c15Ie1jLV cUg6RGPaVgR3qA0oivy/OvP6tGbuL89+BNGppbhgOQUaMo/weAAfeHzVRL/4zmeDCKdhNPsmhSZD cjOAiBOtJJCuzleW/cbTgc1+TNRyHdDxpD2rLA+qxEkKXp3IziDBUdPVRXxTqLDxKFnmtWP/98M7 hMANDXrJ+guDt/mRD2AsF4VUAjGK/KtnGWFfM4SMM3DhD4ulkZhkuLzTohMuD/D+LBPxLkI7FfhW /kE78ti/e0buE6CYwZbMTV2I2bJpCYmklXbjY+4ZkobYJaBejkjFOJMjh2KhCA+7b7MEmU6CDFqu /1cU2DrrXv0OSGqNloWdR8RS1yHmRsi64+ztR7bUZrn9hLaGq98iN3QRnDj3X6cbJb5r8G6G+gtM XnwdXKm/m+fBoHkDgmlN7xr9C7eX1L8ZV6UqyzyiH/yMDXjuId0gHL6jIApwvyJNtCdpD5PkVxJ4 /lM84RGB2fQy5KgFKhYhU94tLOJyAovDt+p1eH4JzFP8fDeotRKy41cCl8i+2SkHLRSgPgZBaDWW WzGb9LJmA9JqG3S4mkSNGSuCDeb5ttUbHL2q0oY3X/GXWiEV+zhLkjWthcoSFOO1pd5+qAnc9vCt 3LWWITzTDNTKwgMSF83TfMTe+EIp5q0BEcAmXfMe/a5nU0MpNSp8dX71825lAJm/y5WbzMxg1NY7 qzW6y/zb8txGpkeZuzymyfiVRPEcnvckzRTZmziv8mlG+rCoY8D4awXupRAl9RTAUIHvy4wuaa1y 3N41Jh6JCM26b75SjpVmEGnl5HCKQIMscubw0rvT742tNZReXgI//epCH2d5pV7iyFuNyJbi2zsn QmOf9D52v2j/tYydJROHHfCf6DgyeXJCe3B9FIyfFTOoyYIdJpX74sYsTYPPYxoGMevaieMjWYus tYhBx/OiXQPWrgbX4yB4x/UJEx8qEFwLXv7yau833iV5jrBu3/1BZy5Xc10Hy/wa77v6DGwaQJfe 2Q/rOGGZlBPr6kvIYYkyDXYlXRS4QMyitjNwDLOamn2bx1oeIlwkgwfw1rj9V5NIDO1n1w/oKDmk wdReHo9duLwrAFNP28e3UqexrthWNhJYlMhwFaFm/OSg8OP2xgV0RrXgTipYDlY1/fVPMQQf3F+H fFsTnNUI7r44sXVnWBAW5JdtxKplnzyRORmCrzEBK6UO+n1H2GM8uAKzt2KpkrQFl2k6ZrUAtTgC Y+B59HLwwzVqDM8F98d6sN7madXboO8nIgznxRrxQ+Pq/cSUqM0csq562y0y0rYiKsv8M3O4WJDa pHGfJ+4ZZnOFfU6xmSLWf2UzNaBdcExdSyaSZY9MLJXlqlDPJNuw8QjSrt7eMzA2ktyme9WMuSQ8 Jg6qmPrq5mKgiAfBazHG6nLJI36MXBE326dpLB4OgasTMpuJ+DTADlmcQYdPJg5KXZzj1hGY91zz suNqvarwLRd+pSEs0z2IIU++idcvGBgoGZ4qzyYi/rYZSCAc7/XSRncQMTYJvQjP838AtN9CeqK5 vMT5gIJMfwXUvQchZ8qKof+gLNNPcMlVh9ihbryLn47osUsObCDrdCjGwJnyJNlA/CjCLA0zvpOz LBWZqmuLvNrgLdRQoPP8lOPJkKNStFutCD2B0dzkF5d8NDRcYzQKHZG3ns+XKw81/KkuUASwkah+ cI3QfdGfSK6oMFcg3e7jpxIE4cebBAjPEnQ1Wdh9kMp1vNKbbFDthmE4E29nZ2od4fGl+C940sfN NKKkNIiyDprdfbhLe1uiX30oqmPHNYLN0l/D85kkMP37hI+WA036sjG4JFJhJ/h2gDq6QSFcR5A/ 1jhpS5UOg8bOxWwuPSeGrLZons5txmbZswwEkfIFMiz6dcayGI+1KLAVw9Ae8noXHv36wm+gj2nO EOYjhEaeEIQwfPlQCUm3XNc0oqzb2jkDsViNe6vVSLTMzj8ocZZUJy2bXDT0ySxoquVuuKuwLqfd SONyWmsSUNt2VUx8LPzDH+DGYRDuldVuMpBL9ojgLvuh+ttJGin/t0ek0CjvlBeIFQkjxh/d2nMI T/eow2ul/tpUCqVGGgsy7g8epOQWuE0wJ66oi1G6hbiyZmDGGrz1ldVW0tIi0g2jAOm5aDkVsr04 rDle/weqPGVfaVrJoJ1HxGPPSklPpsvSE79gpv57Lkkha14Ya0kZ//cyKMjdxuE5XbDkzbVLIRMl IXcco1ZE5TdQs1UwoKsBKVf63agCp15LRrP+2sCSAWT1pPpZjT8eRgTLejMq8qemkAIA0gAx+Zob BcZEOSnbWCUG9lNU/N0jJxkTOLGlcy+FeOnIe8pgiElbhawtS/fQyWumXrt0qTGqVjWdrLPkwhX7 uL9PWmyJFlQk2lwOOHzKdxtSD+9yin0DqVTUGGntva0ezs/HiqXDZyxAVGDfdedmsM0ErGe9oitm Pf58JHTbqjfnxFE3jYSXet7xZTyQwCH8ezSZSBknIfeZnrhm/1GPjYoMWDPlKXx+ALqkwbO9scKf MPdbxY4fGiiSsiPq4qdUMEq9/gloEg3vVv69gFubx+p8+gEk18YjoxJwUgyPIKlat/Vd0M8ARcTI eiWS/Dbzr1WmmwgH8zInY+np0sOGtxxvUMWQ+S21rDqRJbkO4+qF+7voqgRpUZjc+kU4AgzdiIsz ZegayMSEN790XDj0/v5V1bjU0RGvgWEk9/WFlQohQq2hSDdjnpD7NPEeieZld9f+KlCmVAJdzLhv R+uPd4jFlA8PUlQJAUnsIPCu00/UlcJOZbuNJ1Dwz6r3sUWrRsTXoNpeN6Ns5sF6p/CrOm4EoQSr WgGBBCz/DOabyVeGnn6zfIOi3WyTbk88pHpZUiSm7rzUEBOLnVg4vlSjSylYdKTg78RUYcV9r4Hf +YuhbMmz73iN9pqltVsc5Do6I9KXEMzdU45uGnhKAzyGVenQ23y/K1JFO0Shl65bZkQDlMgTom1I PwpncWavGcB67NKKN/5uiRMpNSnJbU5VYHj8B6WRAL3N4Xp71Wu6lcWar3OekPCEnlBbdRh1c95I LN4Q6D8KtviuogWw6Li8TUFL2PuyUjLehnxMfUD7KKuasHdR4iuo/NTmRLStHj8D6F+U1JEifh1S 3TGLwQWlpGX2NHADk+pmPD8LBN69XX82GK3mXZ/bgmXOj1Kpkvg48VplUYXE/rTNokq1LTiXjgU6 6AfV0vaz03efBshPuxlBxfXdcvqcikkVb4sbBGqrDv1Wx0W6O9iGCprFxN7Nft9RdDeKFKp4Dg6q mHOj0Y7FphyMQ9ScZPh1fx2vP5aMmUprdU0WE3TdPV3Wm6o9HijehNXT5yNTtEgeUzugaGxYiwoX 72AWPtXQlEz79M7H7QFaYPogEKb++qHSuB6CnBjqpvaTVqnqByl+zf8lB0K3+VB0UkG/13ISUn37 WawDpAr7zjRehDPzu3ubULtX0DcgnimIShM/S0o/KTOVxAlMrmS7JuCEZ56/wqNKHy2BHjSeSWs7 MFwXZndkc/9iIT9GOBE0ZTc4w1dS7r2hgC3qX49cTy2RySLYxs/gmvCe3riQ1p/vh2JY3llXaZ8/ 5PWJwj2MDKCweId2OfYcsgyaZjomGU1kavzUMaRyi6L25rPUEqH/nuw5ZUaMFu7CEOJWnzFiw5Kw O0GGVznWhuUAgKvLSAf2yzF8NQy7Ke3k38Tu/bhQY+uouL3KAPjICkvuTeGqDT9QWpXeS9OMfQ5G 20IA8otn/wbi9PJNx4XreSHWwYlZHpRUase2WCMorhz7bomlTTiFRmDxI0s11dPDRqoyBQpuhiAa yeLBBldxFwyPZlMSpbjckxX4B8v5TU4GV+SpSqSeID1J/QPoaDGoEFn051zyes/YtZAGSvLwvmRO Kk9liFy/xqlnLnBONEXxQbQNLb3pu1YnyGbkzvO6RR1xA/Qrsh2E/JPFlaa2ztyd45ZKD1prPp74 ferx+97uLlHg3zpLbFLfyCzbRU/HdtzdT8VGjomv6LNpg6kmASqHyGtYUIzpoW/l2UVm3pMnopeY 7fE8CwE6uhQRoUsdxGKZIWdWoAczNy5Ws4FQ7gF+fpF3EnIObVN3Y8+FrRzXIfOdh63ncrAIENrK cTeMbARBPpDlVZlZB8YjA6mryrukZOc8xa+9Usu3VQziyZ2IHAi5W/oODdXvrf6y6nSrd5BBJO0z +Ihx1RusNnRkr8GbSl0lvtK1wsRvxY2SBf37typdCVqgF1Lu7BZDcysNiycZZup1nImnEuptkCLl C7zU0muaOpW/zRmQfelkqYU5ePwFPIzExaxVo5Q4ne/uOOdjRC9xdJsCfH/fRoaOb26v6cSIaMOb ex/RV1rHyCqxEGq+XETFsmp/aCTw7Cq/LBCnaza0QkAkwlfili+QhYXTYZ+WatvtcGUWMsvWw34C Y4D+vm1XqnlHsSOWazE9y1QLi0ZA1upyHQdmU8+ck034TX33NOGb2kRN9+CTy7Yx6MVimghFTpDZ WBMdB8UnlwN579Auky3pZkuOf5Nxx/WzhLRVi79TKpOfEjYpx9aSrkosy5ZnkM7/424Dx1sMcnh4 RTwmggtrA3lVxuiB31If3CE8AqDOMPgJkb3qCuKa7S7plr8mNXg3Yx02VJYR+12fq2KdPZs3ssuj fbrgr3ost2/Oj39YHr4TVJpHL6tIebpysg759o0KUgosDMDiEhVuMuBqLkUpgC4ccFKeeUzD5Ilx SFN+O6DqElUrWGFZ9NBE+W0UxfzOtOqpLDY/W0fEeBvvgZ88dLqOiQ7UO4mSIiz4mDLSlg2+a6+w 1ShoYkFDCyd87g+8C04T8CO7qlwXGu4HIJ0OLQmB0KHeuU7z5AF14109Rcas1HiZb9g6CnZKqvhY KwDeTtM7I04tkHESfhh8IDSPmqMU0tyAxzm7am9kVlH3ANxM1sySCSu8e1jzn+HtOPOFYH3F0DMe MRYm9G9QD6uN+VZtXw2NEhTzZMj5vzkYRiXy5kCxkKdS8odXVOrAzUJFNnuAT+0VvDyjEuX7K49+ 3Zl/jFU7EeohKN4fSGuuqHD6UGN2sc4HIIt3VfTDhJ9MAtQZg+VNijcRrxBmXfi2jTyeim64Gc3H 0uNhDJqKEyJyNXIQxkTckqja8LnowgMsbL3vHAsppWOg0SJwwksoZFgvsEE7y44DJjcjYien9Cdh KhHBIcEjXv3z60ywMdY8eu1TvLHTvKOI9E6OlZ7dbATuml02JZwkii/rhPFZ2bGN3NE9JSsbiZzg YOoUBBhVbF4bHtkB4J4XlNzvc8mddbGWJ/BqVl2Xax808XV9l/QSR5AVHaY7lj/pDvYbkTbMmr+Q NQhG+tJ74IeMrTEiTHLeMsxWMENnYLcpPm9SlHjPuO5gApCUEUJBzd7zklYxqQa74rGpt5clkvkg BfdmDcOSIX4w7A99KIh7U60d4opDHAxlFog5pyevtYLy1wiAlTej7kVazWcGniCyB6A8vK4+g8uj N55LcwhRs+o4QOwG/TW9tDxSjVg1hNnCEdc6PwIkZ/P3t7MOhp7vpANbah/R7vceqQZyvK1d+cst 4Sh1NyZTLyzQ8pK21YYJWsr9cLLX8ldA1uEUaq8qtaTedx3+VmEE0/tYhrBOMWo7bJHZEGskH5Rv 9tfMSFerA8H+Mr7GYWwQqhUZqnIYT19+iiCAOIgkGG9P7OfO8n50t3u+rOPqGmnYKbahLlm5MaiJ bQf1jFzIJmWeY94QGHYTMUyJ+dTmjmwkIMhgci/SWT4f0C3As0XZpxN/kVHMxZaKUY0bR4zlSXg+ c08o6joHybl4eYFBtDe2lBwG8wiYCUJ/YnU7w3WjIPNy0zlhN8CMUbwwDo+IOkII4A8uuCXun96P E/43eE7ztorZdRnlCTfandUxdtPwyVrH39HfgiycHHfYQRHWqcw6kd/PwIVY/kRD/s4t39LcfCQF kJ6Xju0WM5IRWRNd3XHfTdBxoZZM3JJENE/s4oqyfjB+QRzjcycOrvIai9WBmgUi17gLvi/DEf+a 8AYjYGbf2kecK4cBlX/epHErsVE48LFn5rKe9GmIUW8wy4SLV80ImRLmnYCDQ1c8vRRSxd59WBk0 6YxoWQ3SjGISwEKgTI3Gux847vfq9AzJXABaujWT7z1xvbAuzmKui0oXFhLL3pCLMQRWjKgERIkb 7meReZmKWNSJFApBVAmOJZSsAk/+Alli4CtAY9YpAMzr/OJDy6otiU9tCQUiORbUf3igaMiam6PJ hTF7dPVHBM8D/ZflxN11KUtsg3IgCGEr9Eclcp6/bjv2CFRbEm2y+lTyjDTupBJRMEHC5DFKpsEi Z7G9R7rSsRf9fQMj3/q17pbTrJfhhwA3yP3XbvKDVFaV3Ikl0N8MDP7seT9JNrpdvxE8BGVt10bv gDnY3tmAgazahqPjzREu1qACh4jW7XgLWiH7UIOtMxWKODmsqNmKEUTOnqt1SDFkNpJCGJgknJPw rZWwGDmC0ncXS4pdOHBLnrAkC7qaX3MwfbuLFeaNEx65eSz9J1YrahjeAzvmVMt7iWNirKe4yYtj xZD2DSgxUejtUcQnGvLulI2YYnn6vcmowfWvxq/CYnPD7QOReNhtthe9kQJhGjWGO5dzQMyExN0a u1bvMPmPUbR9FwACMMoxSkNK1T39woe1Y3NN4TNSjAhO2yKtHWiRPBikBR8vECSIFaqFCzaVvwK+ OX4OvaSiDzyo0AHVV6Cs+/f6sXJlIkG+j/OxY6a4vGpYuBTvMr3yn7w38rus6DtsEVVe2hBdvO1Y h5APPdSbTzBsTHE9yjC99rKHLVNytqSV9tXHbNPNkBjpSCq8EAdqor/s78N4ZIIGOsqSJNPhz5I5 X8h+bN65J1XF3E9FbiAK8bB0h6gcdEIzFCfrcxRAKHxSaM7E/CLIZ/PKPC04tX3JfeFq2Ok3QNiD SFi9r3450tD/J7fhdJYlKUBBFIG1vhaiqmEnhE3VyhI5u1Co0cW02b6AIB0dORXmyV1K4w4Y4ri+ 6Z2qbsAMbYyQK5MwcCJ7Ltw5NdZ3OG7NJ66YpO4zXKbQlSWgjgxVNppf5lqhQGK9yz3iH2ToAMXf E6KXo5LP0tNw88YuzoYStuimNCZmkxVZZj34rqAOzQiyFnyLzNF1ftoL4wC3eAlcStfiRq5FX/IX wji8iTW2PFX5TrU2jxyn3PJ6Rnc7ztKuhjsf2rxp3rCpjdFqNXYv2mbMgGhhIKMUD+2g0NiHcuTt c8vn3njTP2kMEhddKvTqPrcDqNMT31LIId/h+6N/qRtDM3SYrvjxS/43JDB6MAbTMAIBCH1ofE1g KQ4yRzMfPzYKys2iRmKCRoo2wFn5cRuKm3U05jbiRunSblDaX/NzuApEvfsad4szm4w7NYWoFdps BdR/6A91BmzzH6fnsaeL5Bfk+koZglqOrNUUpBTi/ROVKLaY3fwLUe4DR/vkBSoaLvn8RrOqHHTF Uk/xr1ov7k+g05m2f8dSGoFroCFV0WlQp0d2LLK0w6W1I24/N9St78eWNrBpP1IbgrebTSmHmdxD 9ExY2NED1QLxkuFWiDRU5tS+JMl8rYqC+kri2Ts1mMJ8uyy3+RTMXDTRll6VwbCXR7oH82cOp9kC 0sI3x/3Zc3kArI/GEcT5oQTVoTn3QGxcd4Uhj06xYn27Vq8nJkz5VBQ8qFRMhcZ+0jmq7i27OfnF 4iOEjbrLq6/oSO4cwrxe13qaKJuCtwKBOUDR6DvNsO5XcZztgNPEOKbUQvtcTlD3ILCHLAJC+M3M yIsZngjKQF+ImpDzAlC0hUU+WP5U3m5VK43bVlnxbySLxEXZMKWhPJTmWk43H3GzD3iPePTGgpk9 Y1WKUDeoHsWJP8A9tlDVFG91MZLKE4TImG8jEcgRfGJehJTQGiI2/jnSVojrAx8ZyKikUIPSNzZq wK50m+1spaiH2CpPAD8aNccvQBKtEICYkHCF8UJjxhs0i93Gp8RAdbwIMRDWyfMFdUUOKPzq8qXn GvfSpzwcEHArrVx/SiWjpOehnGmwY9n0OgSfpzJQlOkKtdhxmCobikq7HrGGGmyWjQMlpd32/jDu WSEaIHyHmLxIT2Yn+wEdkbwDVbrg7eNNUmJP4V5geslSuDYlBhYDUGd5BLyGybEHpi/e2wdzMKO3 IRImAQHq5TXClEjaSHq5yziZH7P1O3qNJueIMzmNSz30cMdJetz4YeDLYl3IU9b4wkwUnQIQjurq f4dIfo+GpgJ85VfVqx564ZDm5RETIDplGWEjhcWGiRtY13j/1g6Zbj6B36y+474vUCPIe1DNpoNt t5tszFGPebsQ7DNb/JNSMjc8s/kjvUcY13EtfM+1FK95Q4dDcp1ajq6o17ZjwS0zy3ninPcVrlnx Y46ot0qacE14ihpf4VrFVdiwTD2sLgxnOGASd/Zhi3a/UPXhJ0Q5Xn43HjHLwEGJowPocNuM1W16 jX6oljLKnFanzX00qlBmUNA/fEnZgrfoEKkFaFsyKcTj0dXNDLtOK8a0cQVwSgCRQOMG59rlLrHm 2F9pWadxL5Wk2ULFFduQuKGUI09JCd94d2OfIvPzsMvUOL3IfGpgUUN+r8Xb3uiWJWmMZeWlkiWG 3BQ5Cwvwo+283rUTiixUaZ+Ztv/vJEMQDMo+/UkFesABZbxT66Jh0ppRHjEZIK87lh5PugOMA0Ij LwHO6vSv7mmFg42rReRp+g3eYTImJ5Wu2+390H/2SJ7jcNwfZpsgWVhI4uCihO8YSMHcMa7lBU/1 ajiZmsaV9fft3kJJq41tR3I2pLBIuSeC1KpebX2eOZm3ah0Wv0ZbQ4VVcnX4yvQSqK9TFMiAVB3u HyhJ1HolwWEgbpJzC+uWBkjJqa3FzBAiYxQ4ZeIod0OPg5t/DF6V `protect end_protected
bsd-2-clause
8793038df1c19b5d5e61fb6f8bb16e25
0.949128
1.823085
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/vhdlFile/block_header/classification_test_input.vhd
1
1,713
architecture RTL of BLOCK_EXAMPLE is begin -- correct block format BLK : block is generic ( A : std_logic; B : integer; E, F : positive ); generic map ( A => B, B => C, E => X, F => Z ); port ( A : std_logic; B : integer; E, F : positive ); port map ( A => B, B => C, E => X, F => Z ); begin end block BLK; -- correct block format BLK : block is generic map ( A => B, B => C, E => X, F => Z ); port ( A : std_logic; B : integer; E, F : positive ); port map ( A => B, B => C, E => X, F => Z ); begin end block BLK; -- correct block format BLK : block is generic ( A : std_logic; B : integer; E, F : positive ); port ( A : std_logic; B : integer; E, F : positive ); port map ( A => B, B => C, E => X, F => Z ); begin end block BLK; -- correct block format BLK : block is generic ( A : std_logic; B : integer; E, F : positive ); generic map ( A => B, B => C, E => X, F => Z ); port map ( A => B, B => C, E => X, F => Z ); begin end block BLK; -- correct block format BLK : block is generic ( A : std_logic; B : integer; E, F : positive ); generic map ( A => B, B => C, E => X, F => Z ); port ( A : std_logic; B : integer; E, F : positive ); begin end block BLK; end architecture RTL;
gpl-3.0
df7290661fabc6c27f30fddb906ecc62
0.388792
3.510246
false
false
false
false
siavooshpayandehazad/TTU_CPU_Project
pico_CPU_pipelined/DPU.vhd
1
5,186
library IEEE; use IEEE.std_logic_1164.all; USE ieee.std_logic_unsigned.ALL; USE ieee.std_logic_misc.all; use IEEE.Numeric_Std.all; use work.pico_cpu.all; --DPU entity entity DPU is generic (BitWidth: integer); port ( rst: in std_logic; clk: in std_logic; Data_in_mem: in std_logic_vector (BitWidth-1 downto 0); Data_in_RegFile: in std_logic_vector (BitWidth-1 downto 0); Data_in_control: in std_logic_vector (BitWidth-1 downto 0); Command: in std_logic_vector (10 downto 0); DPU_Flags: out std_logic_vector (3 downto 0); DPU_Flags_FF: out std_logic_vector (3 downto 0); Result_bypass: out std_logic_vector (BitWidth-1 downto 0); Result: out std_logic_vector (BitWidth-1 downto 0) ); end DPU; --Architecture of the DPU architecture RTL of DPU is --------------------------------------------- -- Signals --------------------------------------------- signal ACC_in,ACC_out: std_logic_vector (BitWidth-1 downto 0); signal Mux_Out: std_logic_vector (BitWidth-1 downto 0):= (others=>'0'); --------------------------------------------- -- Flags --------------------------------------------- signal EQ_Flag_out, EQ_Flag_in: std_logic := '0'; signal OV_Flag_out, OV_Flag_in: std_logic := '0'; signal Z_Flag_out, Z_Flag_in: std_logic := '0'; signal C_flag_in, C_flag_out, Cout:std_logic := '0'; signal OV_Flag_Value :std_logic := '0'; --------------------------------------------- -- Opcode Aliases --------------------------------------------- alias Mux_Cont : std_logic_vector (1 downto 0) is Command (1 downto 0); alias SetFlag : std_logic_vector (2 downto 0) is Command (8 downto 6); alias ALUCommand : std_logic_vector (3 downto 0) is Command (5 downto 2); begin ALU_comp: ALU generic map (BitWidth => BitWidth) port map (ACC_out, Mux_Out, ALUCommand, C_flag_out, Cout, ACC_in); --------------------------------------------- -- Registers and Flags --------------------------------------------- process (clk,rst) begin if rst = '1' then ACC_out<=(others =>'0'); OV_Flag_out <= '0'; Z_Flag_out <= '0'; EQ_Flag_out <= '0'; C_flag_out <= '0'; elsif clk'event and clk= '1' then ACC_out <= ACC_in; OV_Flag_out <= OV_Flag_in; Z_Flag_out <= Z_Flag_in; EQ_Flag_out <= EQ_Flag_in; C_flag_out <= C_flag_in; end if; end process; --------------------------------------------- -- ALU 2nd Input multiplexer --------------------------------------------- process (Data_in_mem, Data_in_control, Data_in_RegFile, Mux_Cont) begin case Mux_Cont is when "00" => Mux_Out <= Data_in_mem; when "01" => Mux_Out <= Data_in_control; when "10" => Mux_Out <= Data_in_RegFile; when "11" => Mux_Out <= std_logic_vector(to_unsigned(1, BitWidth)); when others => Mux_Out <= std_logic_vector(to_unsigned(0, BitWidth)); end case; end process; OV_Flag_Value <= (ACC_in(BitWidth-1 ) and Mux_Out(BitWidth-1 ) and (not ACC_out(BitWidth-1 ))) or ((not ACC_in(BitWidth-1 )) and (not Mux_Out(BitWidth-1)) and ACC_out(BitWidth-1)); --------------------------------------------- -- Flag controls --------------------------------------------- process(SetFlag, ALUCommand, ACC_in, OV_Flag_Value, Data_in_control, Cout, ACC_out, EQ_Flag_out, Z_Flag_out, C_flag_out, OV_Flag_out) begin ---------------------------------- if SetFlag = "011" then EQ_Flag_in <= '0'; else if ALUCommand /= "0010" then if (ACC_out = Data_in_control) then EQ_Flag_in <= '1'; else EQ_Flag_in <= '0'; end if; else EQ_Flag_in <= EQ_Flag_out; end if; end if; ---------------------------------- if SetFlag = "001" then Z_Flag_in <= '0'; else if ALUCommand /= "0010" then Z_Flag_in <= not (or_reduce(ACC_in)); else Z_Flag_in <= Z_Flag_out; end if; end if; ---------------------------------- if SetFlag = "100" then C_flag_in <= '0'; else if ALUCommand /= "0010" and ALUCommand /= "1110" and ALUCommand /= "1111" then C_flag_in <= Cout; elsif ALUCommand = "1110" then --RRC C_flag_in <= ACC_out(0); elsif ALUCommand = "1111" then --RLC C_flag_in <= ACC_out(BitWidth-1); else C_flag_in <= C_flag_out; END IF; end if; ---------------------------------- if SetFlag = "010" then OV_Flag_in <= '0'; else if (ALUCommand = "0000" or ALUCommand = "0001") then OV_Flag_in <= OV_Flag_Value; else OV_Flag_in <= OV_Flag_out; end if; end if; ---------------------------------- end process; Result_bypass <= ACC_in; Result <= ACC_out; DPU_Flags <= C_flag_in & EQ_Flag_in & Z_Flag_in & OV_Flag_in; DPU_Flags_FF <= C_flag_out & EQ_Flag_out & Z_Flag_out & OV_Flag_out; end RTL;
gpl-2.0
51235e0727c909897bbae1026ab3965d
0.476668
3.576552
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/fifo_generator_v11_0/ramfifo/rd_pe_sshft.vhd
2
17,676
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block SPb3cQ7D8AdF3V6RJ+2zF3nHNeO986BnStbWQ/LDnV7dyKLPiV1Pxf0zFy3tjg8V24NhUTEdPTbv SuOfOtN/BQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Cxyfxjo827s0Tf+ieEIAdle0Z0zmQo4/g1YLgEVSefTHH9RGd2+ST/H5iP3xjH3qIpJaq94PI5P4 X1tPKaKpUoyp59GZt4UBiVTRPeXj1wJ4b9roulzgix2x24Ok2niQ3lNlu8GAAmxfCFLcxsBt54E6 5XRcTSW1ilAuYv7bL7Y= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block MYI+pjMm9sgOHP8lTQLzHRWv5jNifS29uSle9i7Ao7mEdyVmZbmwtbwgPNyP2aqHTB1u1GMAqlH3 QnzKumQ/Bkq9Y9o0F0H5gEDXNRyj7LC6+/9L2S5WmFA3tUoTHmFoytOIOQeXBXFvLL+EwxjW9wlz u9TD6cYsbUPgZjQeOhQnyBZOknj6dMr6suOro1i+yAcwJ2lFUjG9s23HWYtGZdoyV24lwuvqf4Tl txpqwTqPLxo4jyLwOxzAFD5S6taIllwnAnf8ihuOcZ77yUOj3Wxf5K/NtumwrG7/2ZDjbJB/T88E A9LI8RZR08MwwllOxOYGZcNn9iwvfC4+jhfK7w== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Zn3yAD0F7vl0jlUD+3Kf0qMwOwMrptmwemf6QegVaABxRzvUYJ97gPNGb647L1sxvJUShR22Lca4 MjqGefQW6BK73bHyj1hWV+WtHrpkP9BvENZal5vAwkMrPjoljff93MjRhviQzQOXKSwUvHCat5wA nbt4W7LuY7sjcwuI0yw= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block DKR0MXhurGGcFqsaGAWeEEtbkpfpwtE3ZemZAGSlzDUfYhM4+mJbJGMRLOkXMceAL/zaU4FY/BHU ScNMg5Q/NLUEYgllhll1XDJL9Kr2CFULQioyt3JeIgl0fbdh3ngwzlwHlQFB+7WuxVKG8mDC/H3s E5JAmbEmaGdauK5F8N8SN6a0cZUFDkrGC9xoQOATVGcd+Hq2+6yB4yd+4L+uhkDJAyaGLJVkGymc IClL8tT9qAnwDVSHz9Sc7V/T37PU24zDWHbJhZY2EXxJDCNV+2rWidPUebb5eF94k51X4vn3Cd+z AO7+lXW9BnQJpjcVJgZijhqM4IDzTUsCn6r2Og== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11344) `protect data_block d6EPpdjBNVwCIdMQrooJcnfTrBicF9wc2WMUznExJvlYahtVm1DfUNGufb+ZMx7K47ISJLQ7qCQW 7jeyPG0nNZmdAOBCZ1MtBP66pHzE1j9JY+7ssfzNCTE5E9O/adkS8v4SB1xUvvuxGbnjxBMekazJ dLsbvyS2A2G9NvW4kzLuTxW6F8lxBjgJko5qO0XsdoT8TNjVIyCnfDHHRg2ZCWioCy98h0F2hcCp GhHVcPp/KtbzJkkHVZrCNulplXzRr6wm4lMhhFWjB8wt7TRrdOMm9ZNE1lmmgYfU41w9IPjUC304 cj9ZMERHWWSJzojX5MZeHRlHLGNjqOJLywPH1y4sC71TW1ihPTYvxbkyo+LvVywSS8uOmXiCTW3i 9JC7t5NkHpvt9IN0s8JOYU+HjyY7pAnBX4rEsZCvsD4Y/EfZH07tq+/A69vIJ4pvsa7iJzJVjv5O XIZcIJqQs0/2lnOBUL1UJ/VUNWKpWA8zyB33RP7xKLrcr7Yn1F08IDtXXyXTbMihQteOh9AIwX88 DwIIyO3krmMBdE6p/ome1WTlyZPqhkPRKzxf6GJ5w9+wYitGBhgHalQkYnV+UvIjBLN3lohtSOAp F3In3hYdOisv7ekCsiV7mK29Jc/mGDOsmqE1CoXGckFDIWXo30Tsjfo/YWjW77N8waLeh5s3YLZB XLnndh0lPJpQkstGHGXY3irHZYTTNCJ9fNmvokMI/LkzjGYm+Y9Yt5aUwlI61a/qvOitR8952qu5 HJf+2uSJAMYQL/yiZZAiH3lT7wIP+qHNWv3H0pEn8UV+7wcdVaaJqfDgUNjbHeLoUgyaJ6kNL9zp xoclI4ApKfubVFSGjYHP1sXhXZWB6iDV9nBfRqM92xUZuqddgOUlqjJXaYdFOUuyl+ezL8mER8b8 iH2VnGOcOhC59OxED4AKvPenajdYobm/4+ONEA1jTjHs9QZvvAiZhWzGJDWKWVbjB7H0nv5lVAYy iKvQ/wQKy3Tcq72B1DAV8dKqxV+ZAzIS7vJsAlKOp9VhNf+E4s8osRt7TTlmYNr7p6TDNqjy+fYw iZX/FziusPvGTbsD+4BAFj78hXwjVC47LBOl4V0kQzQp6pWaT3f7O14BzEVujOR5Y+1wApDt1CTf UsBOZVvmbtwrioYfMnJyC4tfvUWgW3s8R7ck1yWZrAw3XVQatc25nr4e2PKceKyK/OS2SbWuL978 gPAaF5ihai3mOKh6fCAoTbdCzI5ph1Xk2jnYxZep9N924OKPsjkWlJuv+GBj9YQV8XxfiakBM9z4 txwn7bNoOFIfx5ovSuZL/waA/Jho3RfArgU5HwLC9WjkFsNkufm+vloj/gb64FkbbnoPILT5GUbS QDdnmXe612f9vutTVxTj9OREcZiy+1ogNFm9jU3B+LByDkFbJJ3GcCIL013/javBvsBS17LC92xL +LXBUt2MU56/TCtdhEsNzvqAtmWuxvkdO/zgaXJ3FNCqDNEimTZ4Mv1hcz3bZDj7hzfvppqytFyh PKqO/DpEnLECpkY/L9fCPBn+zS1sKHpiT3wKxmSHVEbV9IAsBUFb/ZDDeKYzHt+15WB02P9PGh1J st4MmL6oEh6KKJywGuIAiP7ZNTLD3/P7ldhtzMLTquCsZLqNyOWe98ftQzenJa4Oft0oeNwezreM od/zhi3jhW6JU1qXEN5qH+oQ7vPue3j1T0ZtJ65MKcBnOPb6Qv7k+u7FSld8/e5v2Ey5q32HYbgS w5yuqiNkN4fZGfmc8sCxnJMAJijinyQ36w/FcDStnLbj1jyUtlT00P2b8wsT2tlfcHzx7PqI4MUO eQxYXhjRqOZZpnkGFW5B048UmnyGUIXU4EyDV3QxoGANI53ywgepG6+uDn/FCWGYJ/ZwFGxkCqcz T56Xm72QAMG828HycPHaxSAR82hhgt4pyGVGiS5YKj+ESokS3Qa++z76xyDk1uvak4GfKW/jJqVC sfQbpyFC6DbWQtMHrYRLuZSvoy5j7xAey+leYanRt/e0JLM3BCjVr4OoBNCUIznyD0eoJOzJ8W4Y 2ihxJJjCdPByMZ8GGJAlM/0En8TszvdpV/RY4ngX11sgtcmZPz+akqgzuBLr/ZZrJNP2W9GFYIxF 5Z6qm0SFWk6VFbiCQ3hcuRCZc14Y7PjNvdYk01ChCmOv9+eBUSKScaGHXfjNktNhn4lX8jEfc6Ek /yIEFLGV2aiWZoUiQ/fgh90a5R0LErOI2gzn+Xtzr+bfjrMuE5z8raleVmdjGXO3Rt+xbqTtG+3Y oARf8xSZmoo4s7wph6y9/zKns7O0+uGeKxUhw0r39jNVCLpVO4JNPMsUEM4rxgtKzcQSjakzJH92 X61FuH9L22CbEfJMJYiwxb65XXZff+t5lNmU42lATVjCQuAgTZvNcVuH5TnwVRalYRNkq9HcvyFj 9yTraqwxKSLBltsfJlhBKqd1YOooscBch2ihd+O6HyVihdr6XWXqaVV5T1wQJXIkLDIVylSEPMej yTdcMtURNj3iXj4EmlAtByq/fVC8hsv8bpP1AmlDinqJbG6tWfzWsEe2dRcfBzayDyP1jcbokPDK lV0fJevNLXYn+oUNoWO6ulH6nREhlwGbBC8tlTPy3Tkrqt2t5FuLGXj4+eej+2m9ojOti/X9DI8A TNeVGTs2wI8+YlxteO2mQWmIN0hGTtQbfbt74f0iiWywH9GZBHyQuz9dQEs1reds8m42mGuR9LuO z8ZcTnE6fQFtaIrj+HbIpZSGhWmBJY1UxuRqLD2sBPkc1Uu2c+TsgvhB6bGOYlq18eonRzjZllSC GEOO2oFV5t6KETFNAmu0NVGi/eclDOvbcp/Hk261QkWbC7szNQ3xF7w722srAS18oT0rYYbTBbwF aF3iNbOU4RJVjTvdK6lnkG1vJ8KWMLhZUQ5reK09rL0x2ko7m9hbnx7bdcOGbOK7+OK9zYF28h6u voktnD4vIlFePHMOkSQw+5dasIcV8TzmBKcrIOQF8f/hEz+mPFyh4VL/0l7b83R3DJ7zipleRjOz hbmOBN1nse4Ui/wQmJPclQAhFhINEjj0rEtynl87TcFSYxUe/uvVE/wF56K8Z3we5A5+L+bGMGGc lFUoezzP0QHTx24Pn5ucPrus3y3YzkBKjCPhMQS4kEoevjY6cdYVUxNEOgzuMPwPv7gp2aTuDElR uXf9pzC6FwsIVFRgEHKZ9jADlyLmP1dZ3IICsV019TqwBbwiYNnG/MVOcbNZWBKY3PyYW6ZK0QQY 0c7jveP+sSPmoDNJNcBzXRu/jDj6qbSH52b/wNGbIleJoKoW1/3G8gneKOPsHggU3I56ruAzL+f+ Ioo7UPF47ffaTCF2HFSdPUPAgQBVTazmTiJLds3FMyGsSwoSwaQqgILU2nlxdEoZIpxFEJ+vXBHw SY2vOKM79TDFr3CQnfINgTaqn/TKX+ACJCnOzygI+7lapkgI/TATnfffrfYqCrSveWcpCLEYWGx9 4oPrCLyRM2ZS60nvtedJmFyKad2qN+fi9HxVoZzSU/AUjv5BO2c41XRY6A/2M5Jnuga9D3Wi4jgS 0nDewjur1g3+9T6fIVPc6TWVJfqnRvY+HyP8PjVLNFh9zD2KQszZ5Ez3iyxkOvrgBMTy8sr2SnTc 32OiW4cC+0kJaSTzce4FwM2/18f0cWy/m196NvigceC6wrVqmM6Cvtdp13bGZQjV1PKDmJvIyv/H cFFwEXIsqCulKdlWhrx7AxQA1R0HmS0tG51kbh5LaFHPYJcD3ijnw9NjRClyvXIcwGN/oFStrTwL V1cnvuvLSOp2sDlZgZphsqQ5fv2sTmUx+H81vz5b6kxdiA2ZwpzX/SYYXLUsirwT/muovZm3W35l LMqOtM2hrUnJYwUXcw96jVQkxSpcUi8tQITGdQfyYnvE/vJWgGaf+SS4gcKcn34aPRNR5RAt7PdS wIzLlMuUa/YcTY/6pKNfYYcTph5hXgnLWrcwVKTpd8/p7TaxmMhgJBGq56NJ9JilrAEm+hxkI9xX KFHY+NHbo3wN5wd36GX2lJqEsk9ayqpbFot+Gx3DjzcO4XwrMc8zMsd7mK8BIFSE6jEHvpn8mxMu PObQCq60PgO/mBt6y/VeX7A7CvqvXDumonYJUnz01qImn9bYIYNPUuBKTwx/vuS9mOdhGQ1NAdQo Qt+5V2sSHCMYPH8amr0zEEv5RFag9P9JcA1uygz15YhrXnx+A76DL6JSqX7LAzmP07V6NPb0AZ3+ dTtlOhW80aDB/AWgiSjhGpJo2huzNzj6rp+XQAoEprGUc7NDYK/J+lRs6yZYa4R2sSJBtacvNx8b YDryRgKsvg+4gAzjHAwXWHwy1czpN3jO64j1ymL19uBiuRSJxhLgWKGL/0lNOuNyu8WkdzWiftuu BNO4BJW7l/Blmoqm+MSInTaPFZQdyo+QYkNkmVidKeN8GJbgkpYOzR96uRYtcWx9KiScKZV3A1PV w1Q3bubrFZgkPFciN9+Cx6oo2Il3feDYZqH5ALE33uyr4aXJWVXgSIQcPbYPNdaA4LuPAEPt5G4t O5u+leyHfPXKPZDHgtZRiZn9ge8fxvGbyiXGtwykq9qSHj8W10+0qMXRSzw4wqrmck64pELcfdlV a3QsrfM0UNoXo7vfUe5aAF1i66iOc+/sREgN3HBrBk74U2442AV7nD0ay9fYXT2lMiqBY16h4keZ Wem4nT/SCXjORB7t6KMEVmfasTyXEDQNgzUHvXzhvDHCNIXnticTuWSXmJbYPbGRL6qPfuYk0YTn vbCqxmzpqYLAGQls9DPzjyIkWZSMHj3DPlyk+H7QQDvuf379f31htdwrWufzcohr4lNHec/+0fCq h+FcSZ4Q2VtgJ/66PCa6SLxkRkkznph9rGn6QCmx9cMow9Q3k++yuNX2Onj9mJk5X/HZnqCt/V6p NPnA3onYO49eDU/Bt0dmTlxfByx+wFeubJ84gFVWI6S+qaFiSLwSwKTJ62W4hVc9s3Cd5y5d+HUx ssMUussKpH+Xqq7qOXvIq2bJRa/nQAev7vDgrhNhB+y8iZAwL8r4N3sKIl2zwPD4ZG1ct0kZGm1M L5K476GGfMZDp9ji2RGQysxja/Fa8lJYw+Sy4T8aJnOseagzR1QQLwM+rlqwiBs6ud4IjGb6ZnTS axlEjrZlNfhhJmwjZnihhAUv0TC8+E+wUBptyRorsde1gIRmg0nH3g/jtOCq+W7vi5AelHGwDvO5 cQU4STDs5tbppFEnRxZ+5iIw+rEZL9euDagb3TaG5Dlx0PBqn1ccEOGGbmvg0kZD6P8aAcMNuyfH TGqw8OIwM43PnjNsGRDKfPk/pxCA5LhvuwqN69p8sIQvoMcUh0k0Q+FrWJondisnxb5iTy2frwtO 5+audmJmx4or41Iie1HAC7GCMZCyotIrp2k3Vj7k4wMPQkNx4ogcA0GjKruP0MEMQGSliChUOeAT z9jUbVSZs0ofjweottJJ9hpe1N5wiAOpFegOpPtIEHzb+m8KMx19pJpvuLwyA1BMZihM44OrDQ+/ e1uxG/1Z6GCJBFUEFWCgo/1maobTVhUtum09RoEK5OlSgKxBekIcDUFTUXelGfNGRVAlFSBUdG0z uMzZeFEhEw0+OU5DvwF3UCg9FocTXCqifs4pcn8ftYQJ6dsLm48v5M6vDk6Vt6UfHvXGdKkgkPPh nBAPXpbn1JfGh4iTvBfJ8bnNGiQbOpsXolnzLODrQ7ULgzZLZm3okzD2pjDi8bb0ei8Et5yoc0ZK ae2I4b7720HT63m1xNSVSMStYnae/eQlYFqNNUbMBJTk4+1Bdm3kK0VZHfTyS/ySs5LgvTDamlsG aOhYh7kfdJ2vrA/joHdUKXEkLODZsZi0DQxPI2vrAqQlPq2/M7aFXS26ioFUFVS5uQt+Es2ZzDzV kUQfUU+LZf+k+3gz/90ARPGF1Fv81Oq1vH4IWVWZCt2hQLzLpVXfiAzKxKzgYvOunF74ZAcWB04Z IKwL+6ENpAkQCmAxLSGo586ahyr8Bl7omWATjEYtt2nKXSlz6vOB+E3FcaNdDYpMGMibRWAUA6Yi swOPW5ucYMhDOec5rKBZ9lTG/pyPrXydK14xSMNK3MKg1M5SBihYU3ilf1Pu5dxQA3YbGWrIIZ5H O49/RutpZwdhV14pqYfqGzzW2164lslgVJwqBlsgXIsYqz3IHCU0E3zCDbigKvHPWYjaqdwpOIpk BkCKj5uoG9sf4gNDASuSnz08hBrqatgri079dPQoDwZdP5Z8IkXmDm5Yy0PBNfOdI0N7XnCnZitL 6f+Alw7K5VX3OJOr3IK2Osx5x3IJq74IZct6kcuubsmUtpdUZ9g+jZvvzNB4d20VyT2pGPZK3Fbz QskMOC24b2ZnHUzZfkM8j+BoWZFPkN8B+/CwavoDoKHItMl4SL0xQSfdhNXc5Bptb5YbPLv6ebCY XFIDYLblV8zjTco2s6kGXAuyFP1G+trfz0xWbJUOK/ky7sN6H8Ve8uQctO9S7J0yBTG+wHPAV4wf aldd2D/U2ySM2BYwYLB5LbodEA9A3aYJQcMtehQqNTcgoSZ8KQpX9M58oFXW/qrcGqxefyGlytcm /Ym5m9DqhORxbmQXzovHQyTp3aDWnkmZFtVtHs8PhGTGx3z/iDjiprvagspxG6qrC3yMlPdgqP+I B/3Qy7JYJDHCDf+DEhk71Sl6GRQxO2dGwbquizU+6SkfhyrH2C0tuoR5x4+C80iRlQY1eF5yjud3 VmqR71jP7lOdVPZy43wXoTLUzChgTBH6t92rJTwbuZlEXJqJwEnI2GDXr8UICx/gtkFFREMwrUeF azdgU12GpEzJpV5TY9f6UFXEJFMsj8nOTDtzh1x/HCVgZ/4Ibvzr3939VyYuRMVUClQM77X6+zoa aTFs7qda1s9U2ZAaENzvKprXrYu31+x7EjbDPOkd6cWccJdPp4HRbJ8I/dnuBnM7PtGwauhI5RZf xSEvl2u3sbxe3rdTPQRWlCgGOR2K3BJW+A6Oo+4o+xTfuU+mN8+b7yrpV2dPthai269VzkO5hx49 +JJsH1O0mfuSpxmQWrBawoNqSlNY6LGqB+llkMtuFxxgm1NnwwpWaMhItlyQoW4x2ibATPPps2Fj 72WkobRqrDZNDvx5yKZyaBF/sYgDBH3P7Tag8rUR+P/GlittllzvoqygS2fAV+OyCUK8KWZ7yyfB YiYpcROId84S6BkGxbpR6KkCq27I3cQFROxQE12q4MqPYDFKRQwF/XJgbd9k74+ZkA8dn2XvYiTM Q+kEmEpyI7TNRW2jjIzAeXuc7uAFEQDbXSEFCELtiWV/Q+AUEOeipH2z1YDVIwhEo+Pog98pOwqv hTupCo5pU4WG+yr+bunvyzSvDRT+uQSuZ0f+6Kl89ST+pfuoIHja4sH8AxU4Y1TzI+qSg9k4Bg3l dUm4MGPdZ5CgRp+kcBpuBv811p56+eWXdX4HZJPA/iZiisNUmSWjEucYvzuzAqlAjQByhnxmUo7i KF8PuX6nMPd1PjCmcaYAkhlUIipjAVNWPc6pdXstSZeBCplHOtVp/DUmMT0hrrEjEAcJjHTaaBQ+ Mggg02vtP7UVbkpKCMGYS9kX93KVqLtfRb2SK04tMY8vaOQ61ygYnS4UKAxXqQoKJLYmRPAALcD8 8s2wSVXCp2Kjg72IBEw1s5SbRLKt06qaSmzdmZFGatuU11YjoBHnr+vCncrf+MoerPf/96KSuM89 ybuFLf9RUiTQekEPfogw6TQPBv5pdJQaXbZYsYM6bfSswCu8TQUuuSPf5XB7PxSouOz7ElbW4w8X GcRRbfJX+WfniRv8j0RL2qQHdmJ6F+FfFrpHyL2hvbPiEtF7mUJDQDIjcgJBYslJADnf0UVX23TM IRDaP/sAtRuqNncPzL+31o5/zOl0gs686kZ922PRKqMFROaqkrG3rt+JSp3CqS+8GfURG8ClASfv Sb/nmD7UwraoYChPqFPMFiGKTOdaRGgVV3d5tTD+Cfvhurh4IzNMfDc/ZJ+ptAPDwV394cW8fjRF ATaEE3S2o3MOBlaXT1I8cZ5WbE8X5odjni7FPQIe0MJypYQ1bsDnH5uw+lB8vaRdsn7xl/CPDXK4 pNufLIajWkkfUBH1H5fb1jz3VCrAwCkBJrvnfs4xX2xCLRNiQuZUIfegVTFVYYxGS4zPKQPATeHj FyrNa60yR7a3kKtTn1dpA4A1izYanY1alHSGCKLipBf2IspTz340QFdFjBD6Zut2utBgoPx751sy rldE/mUpR8/vMoitu0G+4yerhJ+z3YyBeOg46XOuHy2rab70xZW+Lj25MKaDDzd50+KUcKpHoBIa +F66ld/6FACXqtPFmpKAwQYy7iFD1nXatkPqIIEvljnUzC25W98C9lIAcLRtHeFqytV0bQNaOOAA A4ySq6zzjxO1Cj4r3mFt454rCXeXUcKBitkBGHYchQiKiqISIdP2P6QZI2479HbuiekYYVeaIm8i PxrIZkjJCc66Q1R3xHcLvNLTq/qgVcjJ4t9CjoGeIVRHcUNd954t7feeqczJ+50RXqtuvu+PTndo W8VVPbFzGEttUMykd6oR4sWUHm7fbz9GGyu5EaFPyVJlSEkTabPCADzcAMuoK03bz66HKeeP4cpb 7qZLvA0canMWzbbbhAwxAMXMbv5CflROH4hW3kufJSgq7YJwo2WY0YiGTYQUu7EX6QNbCGwzHFhF WeQe15bwmQr4BrQAWJ8vOeUE3D1rb+SbDgBzn0tt5HkYqlq2Msb6t7Ep2kvLaoRHrnRLHrmB8PrK n8dybcsxvFzO6xLAdXtqUiibHhRMNkGORw0BpGUI+CfWZFObzKWxCFf4LEsawYsqeRmE/ED4mXWP kVy1GG32nLoQ/oiwK3KkZya6OIkffM4+YgE2V2GJUbfQppOnhS1RzeDI9up8TI/pcwiH5y6InzCu QzxczZGhWphqoFpRWcrthfFpYtS+mdaXosseafgsDcDbQw1DQ0zdB9waW3vfYGe0DxubsWtmaAVx luUUe36BEqBK2udNEtsWHbok2iLnVrgAMYuF2xf5I8IDxbMir0i5Gq7DFZNNnF0PzXZHHYuf1JHg wxpqp+muh1iQ6eqZZNAiO1OdLqMJ+yh7UoSmaGkhZAxPBx5m4HLk7hDb2XHCAjI6+qrHeX8y/0tP G4RwMS3F2JC/JMk5ozJg25D6d6xKFE9wurUM1aWABcu3AAE4xfMK8WKZ54NOkdhHGBGVimh/dM94 mfWXPq+KWeWgJqRrKvtnq+2yR8xtXSxvhalbCjkfIbgnoXZbvJ6uuYz69ltYjY56PY3vgFq6QHpw 4h8ojKMQZoHan4STGx7wyXJYGIaPHAVJWRuqNzjsI9vWLFOx0dSCMAO0KJRx8Mu0P6QBsLn0seOm SWds6bJl3VHAMFscH75wIi2jZL0E3zq3VuJBpLIB0rSzav1nlMSoqBkA7vlLacVTm88zR0ZRE5BB +jYqK4Dq3fDLFEgh/+h0ipkW9QOdy0qkUiDUTyTMBMJGUXFR4xVSHngDyf2+AkJBcbCh13/3Nr73 G248djod1U0fH2uljGv6KPRoBLLcNEgajgsKyxl3Mn2tUwyTLoIWeV4cfBWEMo51PCdp8cP7YtTn fZVcq+itXmyl4oY5qgkEMlaC4lswc3p5vUAh64ML9tJk4rwbq6hoTyyjW15TN5cIqwMEncgPcbSS tRRwBBfdw/pbGoEefeJ4YzYPgM41HokgdsOo8IUZezIg3hck5X+7ogpP/CZG9s1BDfWsM4HXkRx/ I0XwcplgvnFNqxit4v/IpILeUnEfeoV5vhK1ShggO8ck7mnQw9gzeHE9r68715AXwmAeglj8Y2il BVd+u1JeIUtameF+bAIJxzE2Wii1Mpd9dKZVnm1pm7CLQHfIOpeL35sOzzFekexUkdjL7yheytxN U9jAp128B5yq2Mw/zXSNpDaCEdasX0tj7oC2jY8yWuIKO2ZhCuN25xKjhnLmboQuRxgY51+DJQ7p uAYqa1IlA8p7mTFp1JgSKhGgZ9k6zZb1Uxk++lsyJygNw6MUCHlc77TI0nwxcS/S3otEoYHyL1fi Adx9nc8Rd6LrwzsT11/P028rFzIMYUyn/lVew6+U1s79ymC+yKgqZoe/3VG3/U3ZzBIj06YGpUoM 5OZ9wGzwDSKzm4BS7r3R0h4eKzlo76fYJf4JTgIyGDuQFFnlkEe6VbKOQyGnof3VjGUfwT0NEclL Ru5eWraSo5aeYvGRDiDbsS23b7JlmVZ+7IyIaPaDvDf0Ulv4Wz/SYtsYYw4bKj5IUEdobiKZMDxI ChGW13g+DuTq1YruxtXgOKbqatDtsLw9XwxyVDlNS/X0RhLuQMwRXsDzjHi9+thhuWMGatDECFwZ 4CUf1of+QpDzvxdFcO0i+xCPv/lU3fdhihCwhUVsBEvk/4q70+pyOvAUgKzkz8cOq2ppAzZuC3p9 UN3pOaKDEHuWH7uLQe5Qk95o4StU6BSJlXJvh43Yn5Qj9eR3Wj78l62FfMGEeP02pcFyywGKn8AD g7gD45cXhv/OPbuCerx9fVM7jpJtXBf8k9C5/w0IXSlwo7Q7/B/28CcYLzwsiqJad2yKxtgP81FD RevQFUV97u8YD4T+r08y+Xiokd4KUCCgZ1eqin9m5AuVElGB+hLlJvbTDvzw362Yw6NO5Bn3+15u iJdi666iGOa0RIsgkSTgH2tZ3oGiZOJfhPoJl9sBxBhEx3hmoSS74pcJO2P7mhQCm+WQXfprpi1D E+9iQOR4IF1hu/cDxUAGefF1ZoGEruUuQrVZ1J95AYxrxZOxilV14FRZppZpQsHfzuQhBNeF0WT+ e3UeSiK4PV1LbfRYfs20lUyXAxgQUj156AFYm3WiUNEmIfeI4EtckD8OOa+Yfqwqlyj8BliiTUH8 c9B63GRsBoOqe8QZgpcO+zEdWVU1gQcmSCaWe89PWb7mpdXSdLIGBF8k8QxY8quqqYCZ9HRGzKj/ 464t9vGzkhUedx490l2zjyrc4cAvmtoeFKD93B2lEb4ANJ2/+3Nwl7fgXkRpW7rqf2DHdJt96wQc uxU3wzCVs2xyciiK1fUH2+COafWWHOCvD+0tIgQoV0l8s7D5rWPWV/4LwvBnNAFHeucSG1ukbdNn mqWhZ+rmfu+3twJfPDWOrbYLIBKpgQgB9Czcj4Q5vMf4hf1EZyk/Co1CfJgu2lEo7XT5WzYmnbcZ KTXI7RkLDQMXk66QiYKOq9AOiXO2xwDgcPJMFkpFrPNnHP44Nzf1T3QvrIXwCGMOeRIsB7ndE6o/ rK9HtDtskpegRkcqNsCqQA8fnV4zrwM3NkP5FOJd5ks5lT4D7TcLGq+muyXxbFdTeaCLGSVFmua5 PbL5O8R58N4l9vi0yn6FrBEtZ2HgtSDc58QHtTRR5aeAhxN+mqHBc6h7KDvrn+iq2biyGaELqD8D vwhJUmVHx3QGS6TPkw2p25SF89gnNeJDUU03JHd6t9OyjsGL65lNIYBWxruvYF/wFuIdlZCmkbYj rtxvINv+5Wv+B9eYfGwFQ0f/wsukTvJqyuexMKKX6kbUKgX4hTcXxyPOmHRywAcpXqVXdV5S3BAO dXRkDV9ZJ/UMTp0ChkI1trofxVQEDKB/AnO5GiMT/9fGTdFnohvJpWapKkSqtmcsr6/ts+0l9VPu ivAMdkVqAi2W9OpEhth1RvsdzVZeQEeFjkrh1KhWtgKJKNvdxaj/peTDsCWOiKiADHnsvEayrisZ BfPnhMK0hKWOTpjnx7iRsbFR332ZntEWHGzeyv7qdeqeDctb5i/yA5/HEprDrU7Rl6URwQpAYRAA ffyZ87GT9ULr6ur837DpL9RnmDQZpSJ6csB1BKfh41ZffC5xpo8fdPyCyrpVwCgm96pb+nR/0YG1 ydQ46c49uxY/LyLVtEssdt3Dh6IJIymal09OHbYhreLuFEPMf0uCkNkji7fO3oigWAwH1WrTxHgy 3cj2rN3V9ZVQD5GXSIAic6KvlpIU+BZHNom70zTi0QVXFSMhcK75U+CcMqBTd8LSrtddMBnGZPET zh0MQhF6MMaVkgZZtVVE8lYmgTlv6M/I/Ur/6r8FYzzoKod7r34b76ni05zNL5HU35Tt26CPWI// gH6bPdJ27pZrIs4VbMlD4g/6R5rehs18j1kpPvn75poHw8CwsA5hmrRXLPEjX5V8XNxTUHYZwXjP h1l32jYBVEPhiksCH5q1NU7MoGfWlLbttQnDWJ3FfQD4y9YYL24UEzunBUZaUeDggff0jCcRFrsW EZiCEciTPT8nEm2t6Pn3o/7IIUojmbjifJN2rkre0ZW+pZU1jUNMJd/AJxTT00zTwJRx2zxNdszK t317eaYafPl5dK8+WrOsgxBaM5nI7Z/yoMaUCuQExCqx8N8pV73Q0+4QyPDICyuY+abtPAjOI4As 4HYHaZ6smomqQIgdYpkiFxkgoNQTnHrNmpM2CjbjLWmZ/lb4VtCr1LVSEUEeLXnf7rWMW28qCiiQ oPZETZGkGnlqSXcHd6G9nCgs8MkOTMitnNsNK2W2LaIAP7ZQpMyqZls/G/xil2gjsY2GdL579ITv 6lIR2XZe3xQf3Gfd703el1FH0whdvxeS8OYOC3jgUfPWhD23kHQ7c1XPkDQlfgLDOx27b1QGQM9y +5lKKXmjKJ0JZLtYVIehRj61vL4Egq32Bxjuz2OYtelAID1B2/kXuCFWmsmW2Fj3oRour6/oAiX6 UprYuEfKP0G0Uy2fVbjGOyz5mPxpe3RWqTDJSNJEpBPueif8+7wc0IvbF3aYrKItbfZ+y8SvM3ng MD/Gm/GQ3mblpQbs47nF0GBKKKhnjQWGaAIDPwI9C8LxQ5jv1v7mPPOExE2jDw0i9TtEUbeqwP6a jPsMqZ21YpoBrajW6MV9CsGzCEtLS84fH+rNQ88d0Nbo6iLX6Ll6bo8Yf/QfFS3oLdDmKkuyCh4o 6ZflgdPGDgGzd4PF+AJ6pN/TZPdxIoP8vi5h+Jdrb7AZOyDNPm2HSD8rnAwWKc9TThKFl9cKzqj7 sS7IwzSJWKG9KJhtRL5+JqWRu/9GJJtprAqRU1f9R/EAVC4dVu4B+N66lZgxjPCGwGFIBjU1uxM6 15TYffxIZCkZZUEkFaU4tXdeLRU6Vn6dJZIlJ1uJyGJGeBEjD0kZEdhXnM7hSxcwjE9Y7wW1fTQV zrfEC22TkjiqRBx+VRaMZdHkdn88uCmGqLZDSO41GsUlB5jC0IkXCri8emiE69geNFDyD6yuyhE5 siXL5mZfQlCBOhISiGUyJh5RLAGVouVntFqSE58Xt7+4M4RxYaxb9ZcnDbQ0k2PiUWPkNUueKMZ2 VSY97ke5ykNXWuQ1Sy5FnCahYB/SLR/Kc98btdgfrNjJpeHr4vlzd02GXuOWVEsReqHBPQCsD+wf kdw4YyScNqWW27Z5mDg/+Z15FwYzHvEFxKtDBtxlKZpBfqdZ51UQMJY/UlZmtKlUQ2m2eRQgPv7V 0fheDiWKI1uGMQ3GXdhIUeOaVgqi3NVH/8jXqR6qPEIb8sH9sZZLsCYkuwDPRuHlhdde/cHKRgQh 2pgMPcX5xr8ewqtEv/9+Az0z01ZM7n5Gqpgj+EJlG+8LUwiZ0M/K+XBY9AZcNcIZ1b9VYeZXDR2q Wd+PzRwHxctyanwXyJ0xyUKdHvoz9u0MNCtaXR0l1xiOToJH1ulvn66DAyvIqI/bDE3RVV8/5ezQ HuMBAa4yozDJpS6HpBnFqFCY3r7/etHzGxx1Wd9J74ebIwEJl4YCXM1sW17cLIhh65xtFMQzJFpz /SZ4N2tHJ/1CM6teq0EtrIyrPOQHOb1Rbf9FFoP1h3kAoTfZ/8Tl/ZgHCGuwTeNdIS0vLNDxs8+r TTeK1KFX0kjbt07MzlaY3jDHuoP/L/LHYrTb3QKaFiWxZa1GNZ3pSbxKsojgwem7nZdmrZVxJ/BE XYwG3FaG9v0htDIo5jzOAP/4mDqJWFTlnf53aTbp0ZqgGygPuk9aTC+cLCGTVUF1trcLRMWW+v1Q 2Dv6k4rrSFLIE8qccZO45xYVOF0ALinCu4x90E8CFl0zBFJbGE3EJTo4jhiuiddgakgbFRNWQy/i 4XlVDCerIW8sZg8CWb8PWEBft+TjbH7gSEaSGsrTo/EeefocTVfGnzqBjtLG6Lt76kV7FutXRr7p 43vRnzu6LrZdxYZx/laOtr2HqWeboCJZO7a4MlFVGQlmw5vp/uvJ+ToEjKrMYd1FxL9bvQowjdye Z35U6owouUVfphF765v+Y9OSrQG0LTI/I6NDJduuwGk7vWHZlj9wwsoiPVvVILsCoi/5PAF7hmE4 rRinTCo4Zpc2kr5159lXCosezt6tqj4RQnLSE7glgrNtowloWgGYS8uZ82cQ5G5OayFcY6pqkU5S 6ngPh/rHV8BPj9wOQtNgwDm27dwBpw960HfbgTmdNIRuQNNVj7s4qc+WtC6Q3h6BJ0esn8KTd6EP bMMprCSARzvrCHL798UsMa5hTgK5b0X9gDCUL4EDQWY5P1M5Bta4if8DV3hpCDCw1QTUUJKzOApx L7PmkYYS7XlZpctn+Szp8uzbWqir95L3bNuQ7xOZrysEQYnUCI9oqRlUVK/Xq8BnWB/H4fhIb5w2 S4gdlpwvlTWiZdtw8AEKr+58YfIU52gd4jvClHJwgbTVmRcWCzmdSZ2wl7iW5CaWY26gMsED/Ay+ UBKCo8S3WP4hRvTqbcFYE4hNFpKUueznZbIF0hQdRbLgqZFh9f8ILTq/r61BwhwwhmHt1FlQV1ji r3+oDJfo4rlRV+B9rcrom7gn5+qS22fz4d3R/zWKbQHuBxtGU4ASzogh9zf64oHd5mbEGwvW26Gn z8q/iKh9wCl+P1KPcHkX1ZrDaZVqBj8H5xh8WJwbqlfwJMBvqdQUn13xToXg/DmIbkrAJ2IFREdp tv1uiXDWD2dYnbU2yF5GeUaJxw+zSTmd+lUEY6j9X7+jzpnZpX/wQ0tJ5Q2isPiBj8mU+cSn2lF1 2I2+XUyobWTRY5OJmhd/rEt+qB+O/nUoMlEQGzlB2lrybi2SqI+h9c6ydJ9vzI3EgL2p9cjcG2rX Cg== `protect end_protected
bsd-2-clause
4e1d0f09f66e69c5626616bec9f703d3
0.938391
1.853607
false
false
false
false
Logistic1994/CPU
module_CLK.vhd
1
1,957
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 14:22:45 05/10/2015 -- Design Name: -- Module Name: MyClk - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity module_CLK is port ( clk: in std_logic; nreset: in std_logic; clk1, nclk1: out std_logic; clk2, nclk2: out std_logic; w0, w1, w2, w3: out std_logic); end module_CLK; architecture Behavioral of module_CLK is signal count1: integer range 0 to 3; signal count2: integer range 0 to 3; signal count3: std_logic; begin clk1 <= clk; nclk1 <= not clk; clk2 <= count3; nclk2 <= not count3; process(nreset, clk) begin if nreset = '0' then count1 <= 0; count2 <= 0; count3 <= '0'; w0 <= '0';w1 <= '0';w2 <= '0';w3 <= '0'; elsif rising_edge(clk) then count3 <= not count3; if count1 = 0 then if count2 = 0 then w0 <= '1';w1 <= '0';w2 <= '0';w3 <= '0'; elsif count2 = 1 then w0 <= '0';w1 <= '1';w2 <= '0';w3 <= '0'; elsif count2 = 2 then w0 <= '0';w1 <= '0';w2 <= '1';w3 <= '0'; else w0 <= '0';w1 <= '0';w2 <= '0';w3 <= '1'; end if; if count2 = 3 then count2 <= 0; else count2 <= count2 + 1; end if; end if; if count1 = 1 then count1 <= 0; else count1 <= count1 + 1; end if; end if; end process; end Behavioral;
gpl-2.0
4444db066a9c59ddc116e8b1e02d1093
0.541134
2.974164
false
false
false
false
kb3gtn/mojo_dactest
src/mojo_top.vhd
1
14,375
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; Library UNISIM; use UNISIM.vcomponents.all; entity mojo_top is Port ( clk50m : in STD_LOGIC; rst_n : in STD_LOGIC; --cclk : in STD_LOGIC; -- spi/fpga programming clock (not used). led : out STD_LOGIC_VECTOR (7 downto 0); -- board LEDs -- spi interface shared with AVR and SPI flash chip (not used here) --spi_mosi : in STD_LOGIC; --spi_miso : out STD_LOGIC; --spi_ss : in STD_LOGIC; --spi_sck : in STD_LOGIC; --spi_channel : in STD_LOGIC_VECTOR (3 downto 0); ( not used here) -- avr rs232 interface (ttl levels) ( not used here ) -- avr_tx : in STD_LOGIC; -- avr_rx : in STD_LOGIC; -- avr_rx_busy : in STD_LOGIC -- RS232 serial_tx : out STD_LOGIC; -- 3rd pin up from uC outside. serial_rx : in STD_LOGIC; -- 4th pin up from uC outside. -- Dac Interface dac_reset : out STD_LOGIC; dac_sleep : out STD_LOGIC; dac_mode : out STD_LOGIC; dac_cmode : out STD_LOGIC; dac_clk_p : out STD_LOGIC; dac_clk_n : out STD_LOGIC; dac_DB : out signed( 11 downto 0 ) ); end mojo_top; architecture Behavioral of mojo_top is --######################################################### --# Component Definitions --######################################################### component uart is port ( i_clk : in std_logic; -- system clock i_srst : in std_logic; -- synchronious reset, 1 - active i_baud_div : in std_logic_vector(15 downto 0); -- clk divider to get to baud rate -- uart interface o_uart_tx : out std_logic; -- tx bit stream i_uart_rx : in std_logic; -- uart rx bit stream input -- fpga side i_tx_send : in std_logic_vector(7 downto 0); -- data byte in i_tx_send_we : in std_logic; -- write enable o_tx_send_busy : out std_logic; -- tx is busy, writes are ignored. o_rx_read : out std_logic_vector(7 downto 0); -- data byte out o_rx_read_valid : out std_logic; -- read data valid this clock cycle i_rx_read_rd : in std_logic -- read request, get next byte.. ); end component uart; component uart_db_interface is port ( i_clk : in std_logic; -- input system clock i_srst : in std_logic; -- sync reset to system clock -- uart interface i_rx_data : in std_logic_vector( 7 downto 0); -- data from uart i_rx_data_valid : in std_logic; -- valid data from uart o_rx_read_ack : out std_logic; -- tell uart we have read byte. o_tx_send : out std_logic_vector( 7 downto 0); -- tx_send data o_tx_send_wstrb : out std_logic; -- write data strobe i_tx_send_busy : in std_logic; -- uart is busy tx, don't write anything.. (stall) -- databus master interface o_db_cmd_wstrb : out std_logic; -- write command strobe o_db_cmd_out : out std_logic_vector( 7 downto 0); -- cmd to databus master o_db_cmd_data_out : out std_logic_vector( 7 downto 0); -- write data to databus master i_db_cmd_data_in : in std_logic_vector( 7 downto 0); -- read data from databus master i_db_cmd_rdy : in std_logic -- db is ready to process a cmd / previous cmd is complete. ); end component; component databus_master is generic ( slave_latency_max : integer := 3 -- latency from read/write strb to when the -- operation is complete in number of i_clk cycles. -- 3 would give a slave 3 clock cycles to perform -- the needed operation. ); port ( -- clock and resets i_clk : in std_logic; -- input system clock i_srst : in std_logic; -- sync reset to system clock -- db master cmd interface i_db_cmd_in : in std_logic_vector( 7 downto 0); -- input cmd byte i_db_cmd_wstrb : in std_logic; -- write strobe for cmd byte o_db_cmd_rdy : out std_logic; -- '1' rdy to process next cmd, '0' busy i_db_cmd_data_in : in std_logic_vector( 7 downto 0); -- input byte if cmd is a write (with wstrb) o_db_cmd_data_out : out std_logic_vector( 7 downto 0); -- output byte if cmd was a read -- data bus interface o_db_addr : out std_logic_vector( 6 downto 0); -- 6 -> 0 bit address bus (7 bits) o_db_write_data : out std_logic_vector( 7 downto 0); -- write data i_db_read_data : in std_logic_vector( 7 downto 0); -- read data o_db_read_strb : out std_logic; -- db_read_strobe o_db_write_strb : out std_logic -- db_write_strobe ); end component; component dac_test is port ( i_clk : in std_logic; i_rst : in std_logic; ------------------------------------------ o_dac_cmode : out std_logic; o_dac_mode : out std_logic; o_dac_reset : out std_logic; o_dac_sleep : out std_logic; o_dac_clk : out std_logic; o_dac_db : out signed(11 downto 0); ------------------------------------------ i_nco_ftw : in unsigned(31 downto 0) ); end component dac_test; --########################################################### --# Signal Definitions --########################################################### -- uart signals signal baud_div : std_logic_vector( 15 downto 0); signal tx_byte : std_logic_vector( 7 downto 0); signal tx_byte_we : std_logic; signal tx_byte_busy : std_logic; signal rx_byte : std_logic_vector( 7 downto 0); signal rx_byte_valid : std_logic; signal rx_byte_rd : std_logic; -- data bus master signals signal db_cmd : std_logic_vector( 7 downto 0 ); signal db_cmd_wstrb : std_logic; signal db_cmd_rdy : std_logic; signal db_cmd_wr_data : std_logic_vector( 7 downto 0 ); signal db_cmd_rd_data : std_logic_vector( 7 downto 0 ); -- data bus interface to slaves signal db_addr : std_logic_vector(6 downto 0); signal db_wr_data : std_logic_vector(7 downto 0); signal db_rd_data : std_logic_vector(7 downto 0); signal db_wr_strb : std_logic; signal db_rd_strb : std_logic; -- output register for driving the LEDs signal led_reg : std_logic_vector(7 downto 0); -- nco registers signal nco_reg0 : unsigned( 7 downto 0 ); signal nco_reg1 : unsigned( 7 downto 0 ); signal nco_reg2 : unsigned( 7 downto 0 ); signal nco_reg3 : unsigned( 7 downto 0 ); signal nco_update_state : std_logic_vector( 3 downto 0 ); signal nco_ftw : unsigned( 31 downto 0 ); signal dac_clk : std_logic; signal dac_sample : signed(11 downto 0); -- sync reset signal to 50 MHz clk signal srst : std_logic; begin led <= led_reg; -- led <= rx_byte; baud_div <= x"01B2"; -- 115200 uart_1 : uart port map ( i_clk => clk50m, i_srst => srst, i_baud_div => baud_div, -- uart interface o_uart_tx => serial_tx, i_uart_rx => serial_rx, -- fpga side i_tx_send => tx_byte, i_tx_send_we => tx_byte_we, o_tx_send_busy => tx_byte_busy, o_rx_read => rx_byte, o_rx_read_valid => rx_byte_valid, i_rx_read_rd => rx_byte_rd ); udbi_1 : uart_db_interface port map ( i_clk => clk50m, i_srst => srst, -- uart interface i_rx_data => rx_byte, i_rx_data_valid => rx_byte_valid, o_rx_read_ack => rx_byte_rd, o_tx_send => tx_byte, o_tx_send_wstrb => tx_byte_we, i_tx_send_busy => tx_byte_busy, -- databus master interface o_db_cmd_wstrb => db_cmd_wstrb, o_db_cmd_out => db_cmd, o_db_cmd_data_out => db_cmd_wr_data, i_db_cmd_data_in => db_cmd_rd_data, i_db_cmd_rdy => db_cmd_rdy ); db_master_1 : databus_master generic map ( slave_latency_max => 3 -- latency from read/write strb to when the -- operation is complete in number of i_clk cycles. -- 3 would give a slave 3 clock cycles to perform -- the needed operation. ) port map ( -- clock and resets i_clk => clk50m, i_srst => srst, -- db master cmd interface i_db_cmd_in => db_cmd, i_db_cmd_wstrb => db_cmd_wstrb, o_db_cmd_rdy => db_cmd_rdy, i_db_cmd_data_in => db_cmd_wr_data, o_db_cmd_data_out => db_cmd_rd_data, -- data bus interface o_db_addr => db_addr, o_db_write_data => db_wr_data, i_db_read_data => db_rd_data, o_db_read_strb => db_rd_strb, o_db_write_strb => db_wr_strb ); -- generate synchronious reset signal for -- synchronious blocks rst_sync : process( clk50m ) begin if ( rising_edge(clk50m) ) then if ( rst_n = '0' ) then -- reset active srst <= '1'; -- for now, just hardcode the nco rate at startup -- 0x1AE ~= 10 Hz rate.. (10.0117176818 Hz) -- freq = (nco_ftw / 2^31-1)*50e6 -- nco_ftw = ( Freq / 50e6 ) * (2^31-1) -- nco_ftw <= x"000001AE"; else srst <= '0'; end if; end if; end process; -- simple data bus slave to control LEDs on address 3 led_ctrl : process( clk50m ) begin if ( rising_edge( clk50m ) ) then if ( srst = '1' ) then led_reg <= (others=>'0'); else if ( db_wr_strb = '1' ) then -- if address 0x03 if ( db_addr = "0000011" ) then led_reg <= db_wr_data; end if; end if; if ( db_rd_strb = '1' ) then if ( db_addr = "0000011" ) then db_rd_data <= led_reg; end if; else db_rd_data <= (others=>'Z'); end if; end if; end if; end process; -- memory map register for DAC, write only registers. -- have to write to all 4 to update the nco_ftw. order dosn't mater. DAC_REGS : process( clk50m ) begin if ( rising_edge(clk50m) ) then if ( srst = '1' ) then nco_ftw <= x"051eb852"; -- ~ 1 MHz cycle rate.. else if ( db_wr_strb = '1' ) then if ( db_addr = "0000100" ) then -- addr 4 nco_reg0 <= unsigned(db_wr_data); nco_update_state(0) <= '1'; end if; if ( db_addr = "0000101" ) then -- addr 5 nco_reg1 <= unsigned(db_wr_data); nco_update_state(1) <= '1'; end if; if ( db_addr = "0000110" ) then -- addr 6 nco_reg2 <= unsigned(db_wr_data); nco_update_state(2) <= '1'; end if; if ( db_addr = "0000111" ) then -- addr 7 nco_reg3 <= unsigned(db_wr_data); nco_update_state(3) <= '1'; end if; if ( nco_update_state <= "1111" ) then nco_update_state <= (others=>'0'); nco_ftw <= nco_reg0 & nco_reg1 & nco_reg2 & nco_reg3; end if; end if; end if; end if; end process; -- single ended to differental IO driver block.. (Xilinx) OBUFDS_inst : OBUFDS generic map ( IOSTANDARD => "DEFAULT" ) port map ( O => dac_clk_p, -- P clk pin OB => dac_clk_n, -- N clk pin I => dac_clk -- input clock ); u_dac_test : dac_test port map ( i_clk => clk50m, i_rst => srst, ------------------------------------------ o_dac_cmode => dac_cmode, o_dac_mode => dac_mode, o_dac_reset => dac_reset, o_dac_sleep => dac_sleep, o_dac_clk => dac_clk, o_dac_db => dac_sample, ------------------------------------------ i_nco_ftw => nco_ftw ); dac_sample_latch : process( dac_clk ) begin if ( rising_edge(dac_clk) ) then dac_DB <= dac_sample; end if; end process; end architecture;
apache-2.0
23cd7cb44e2d235fd3e911a42f525164
0.442365
3.911565
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_skid_buf.vhd
1
18,843
------------------------------------------------------------------------------- -- axi_datamover_skid_buf.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_skid_buf.vhd -- -- Description: -- Implements the AXi Skid Buffer in the Option 2 (Registerd outputs) mode. -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_datamover_skid_buf.vhd -- ------------------------------------------------------------------------------- -- Revision History: -- -- -- Author: DET -- -- History: -- DET 04/19/2011 Initial Version for EDK 13.3 -- -- DET 6/20/2011 Initial Version for EDK 13.3 -- ~~~~~~ -- - Added 512 and 1024 data width support -- ^^^^^^ -- -- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; ------------------------------------------------------------------------------- entity axi_datamover_skid_buf is generic ( C_WDATA_WIDTH : INTEGER := 32 -- Width of the Stream Data bus (in bits) ); port ( -- Clock and Reset Inputs --------------------------------------------- aclk : In std_logic ; -- arst : In std_logic ; -- ----------------------------------------------------------------------- -- Shutdown control (assert for 1 clk pulse) -------------------------- -- skid_stop : In std_logic ; -- ----------------------------------------------------------------------- -- Slave Side (Stream Data Input) ------------------------------------- s_valid : In std_logic ; -- s_ready : Out std_logic ; -- s_data : In std_logic_vector(C_WDATA_WIDTH-1 downto 0); -- s_strb : In std_logic_vector((C_WDATA_WIDTH/8)-1 downto 0); -- s_last : In std_logic ; -- ----------------------------------------------------------------------- -- Master Side (Stream Data Output ------------------------------------ m_valid : Out std_logic ; -- m_ready : In std_logic ; -- m_data : Out std_logic_vector(C_WDATA_WIDTH-1 downto 0); -- m_strb : Out std_logic_vector((C_WDATA_WIDTH/8)-1 downto 0); -- m_last : Out std_logic -- ----------------------------------------------------------------------- ); end entity axi_datamover_skid_buf; architecture implementation of axi_datamover_skid_buf is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Signals decalrations ------------------------- Signal sig_reset_reg : std_logic := '0'; signal sig_spcl_s_ready_set : std_logic := '0'; signal sig_data_skid_reg : std_logic_vector(C_WDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_skid_reg : std_logic_vector((C_WDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_last_skid_reg : std_logic := '0'; signal sig_skid_reg_en : std_logic := '0'; signal sig_data_skid_mux_out : std_logic_vector(C_WDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_skid_mux_out : std_logic_vector((C_WDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_last_skid_mux_out : std_logic := '0'; signal sig_skid_mux_sel : std_logic := '0'; signal sig_data_reg_out : std_logic_vector(C_WDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_reg_out : std_logic_vector((C_WDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_last_reg_out : std_logic := '0'; signal sig_data_reg_out_en : std_logic := '0'; signal sig_m_valid_out : std_logic := '0'; signal sig_m_valid_dup : std_logic := '0'; signal sig_m_valid_comb : std_logic := '0'; signal sig_s_ready_out : std_logic := '0'; signal sig_s_ready_dup : std_logic := '0'; signal sig_s_ready_comb : std_logic := '0'; signal sig_stop_request : std_logic := '0'; signal sig_stopped : std_logic := '0'; signal sig_sready_stop : std_logic := '0'; signal sig_sready_early_stop : std_logic := '0'; signal sig_sready_stop_set : std_logic := '0'; signal sig_sready_stop_reg : std_logic := '0'; signal sig_mvalid_stop_reg : std_logic := '0'; signal sig_mvalid_stop : std_logic := '0'; signal sig_mvalid_early_stop : std_logic := '0'; signal sig_mvalid_stop_set : std_logic := '0'; signal sig_slast_with_stop : std_logic := '0'; signal sig_sstrb_stop_mask : std_logic_vector((C_WDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_sstrb_with_stop : std_logic_vector((C_WDATA_WIDTH/8)-1 downto 0) := (others => '0'); -- Register duplication attribute assignments to control fanout -- on handshake output signals Attribute KEEP : string; -- declaration Attribute EQUIVALENT_REGISTER_REMOVAL : string; -- declaration Attribute KEEP of sig_m_valid_out : signal is "TRUE"; -- definition Attribute KEEP of sig_m_valid_dup : signal is "TRUE"; -- definition Attribute KEEP of sig_s_ready_out : signal is "TRUE"; -- definition Attribute KEEP of sig_s_ready_dup : signal is "TRUE"; -- definition Attribute EQUIVALENT_REGISTER_REMOVAL of sig_m_valid_out : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_m_valid_dup : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_s_ready_out : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_s_ready_dup : signal is "no"; begin --(architecture implementation) m_valid <= sig_m_valid_out; s_ready <= sig_s_ready_out; m_strb <= sig_strb_reg_out; m_last <= sig_last_reg_out; m_data <= sig_data_reg_out; -- Special shutdown logic version od Slast. -- A halt request forces a tlast through the skig buffer sig_slast_with_stop <= s_last or sig_stop_request; sig_sstrb_with_stop <= s_strb or sig_sstrb_stop_mask; -- Assign the special s_ready FLOP set signal sig_spcl_s_ready_set <= sig_reset_reg; -- Generate the ouput register load enable control sig_data_reg_out_en <= m_ready or not(sig_m_valid_dup); -- Generate the skid input register load enable control sig_skid_reg_en <= sig_s_ready_dup; -- Generate the skid mux select control sig_skid_mux_sel <= not(sig_s_ready_dup); -- Skid Mux sig_data_skid_mux_out <= sig_data_skid_reg When (sig_skid_mux_sel = '1') Else s_data; sig_strb_skid_mux_out <= sig_strb_skid_reg When (sig_skid_mux_sel = '1') Else sig_sstrb_with_stop; sig_last_skid_mux_out <= sig_last_skid_reg When (sig_skid_mux_sel = '1') Else sig_slast_with_stop; -- m_valid combinational logic sig_m_valid_comb <= s_valid or (sig_m_valid_dup and (not(sig_s_ready_dup) or not(m_ready))); -- s_ready combinational logic sig_s_ready_comb <= m_ready or (sig_s_ready_dup and (not(sig_m_valid_dup) or not(s_valid))); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_THE_RST -- -- Process Description: -- Register input reset -- ------------------------------------------------------------- REG_THE_RST : process (ACLK) begin if (ACLK'event and ACLK = '1') then sig_reset_reg <= ARST; end if; end process REG_THE_RST; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: S_READY_FLOP -- -- Process Description: -- Registers s_ready handshake signals per Skid Buffer -- Option 2 scheme -- ------------------------------------------------------------- S_READY_FLOP : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARST = '1' or sig_sready_stop = '1' or sig_sready_early_stop = '1') then -- Special stop condition sig_s_ready_out <= '0'; sig_s_ready_dup <= '0'; Elsif (sig_spcl_s_ready_set = '1') Then sig_s_ready_out <= '1'; sig_s_ready_dup <= '1'; else sig_s_ready_out <= sig_s_ready_comb; sig_s_ready_dup <= sig_s_ready_comb; end if; end if; end process S_READY_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: M_VALID_FLOP -- -- Process Description: -- Registers m_valid handshake signals per Skid Buffer -- Option 2 scheme -- ------------------------------------------------------------- M_VALID_FLOP : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARST = '1' or sig_spcl_s_ready_set = '1' or -- Fix from AXI DMA sig_mvalid_stop = '1' or sig_mvalid_stop_set = '1') then -- Special stop condition sig_m_valid_out <= '0'; sig_m_valid_dup <= '0'; else sig_m_valid_out <= sig_m_valid_comb; sig_m_valid_dup <= sig_m_valid_comb; end if; end if; end process M_VALID_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: SKID_REG -- -- Process Description: -- This process implements the output registers for the -- Skid Buffer Data signals -- ------------------------------------------------------------- SKID_REG : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARST = '1') then sig_data_skid_reg <= (others => '0'); sig_strb_skid_reg <= (others => '0'); sig_last_skid_reg <= '0'; elsif (sig_skid_reg_en = '1') then sig_data_skid_reg <= s_data; sig_strb_skid_reg <= sig_sstrb_with_stop; sig_last_skid_reg <= sig_slast_with_stop; else null; -- hold current state end if; end if; end process SKID_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: OUTPUT_REG -- -- Process Description: -- This process implements the output registers for the -- Skid Buffer Data signals -- ------------------------------------------------------------- OUTPUT_REG : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARST = '1' or sig_mvalid_stop_reg = '1') then sig_data_reg_out <= (others => '0'); sig_strb_reg_out <= (others => '0'); sig_last_reg_out <= '0'; elsif (sig_data_reg_out_en = '1') then sig_data_reg_out <= sig_data_skid_mux_out; sig_strb_reg_out <= sig_strb_skid_mux_out; sig_last_reg_out <= sig_last_skid_mux_out; else null; -- hold current state end if; end if; end process OUTPUT_REG; -------- Special Stop Logic -------------------------------------- sig_sready_stop <= sig_sready_stop_reg; sig_sready_early_stop <= skid_stop; -- deassert S_READY immediately sig_sready_stop_set <= sig_sready_early_stop; sig_mvalid_stop <= sig_mvalid_stop_reg; sig_mvalid_early_stop <= sig_m_valid_dup and m_ready and skid_stop; sig_mvalid_stop_set <= sig_mvalid_early_stop or (sig_stop_request and not(sig_m_valid_dup)) or (sig_m_valid_dup and m_ready and sig_stop_request); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_STOP_REQ_FLOP -- -- Process Description: -- This process implements the Stop request flop. It is a -- sample and hold register that can only be cleared by reset. -- ------------------------------------------------------------- IMP_STOP_REQ_FLOP : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARST = '1') then sig_stop_request <= '0'; sig_sstrb_stop_mask <= (others => '0'); elsif (skid_stop = '1') then sig_stop_request <= '1'; sig_sstrb_stop_mask <= (others => '1'); else null; -- hold current state end if; end if; end process IMP_STOP_REQ_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_CLR_SREADY_FLOP -- -- Process Description: -- This process implements the flag to clear the s_ready -- flop at a stop condition. -- ------------------------------------------------------------- IMP_CLR_SREADY_FLOP : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARST = '1') then sig_sready_stop_reg <= '0'; elsif (sig_sready_stop_set = '1') then sig_sready_stop_reg <= '1'; else null; -- hold current state end if; end if; end process IMP_CLR_SREADY_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_CLR_MVALID_FLOP -- -- Process Description: -- This process implements the flag to clear the m_valid -- flop at a stop condition. -- ------------------------------------------------------------- IMP_CLR_MVALID_FLOP : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARST = '1') then sig_mvalid_stop_reg <= '0'; elsif (sig_mvalid_stop_set = '1') then sig_mvalid_stop_reg <= '1'; else null; -- hold current state end if; end if; end process IMP_CLR_MVALID_FLOP; end implementation;
bsd-2-clause
a038587759eb16f6cc2e9c42886e5819
0.456084
4.49499
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/axi_dma_v7_1/hdl/src/vhdl/axi_dma_mm2s_mngr.vhd
1
49,915
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------ ------------------------------------------------------------------------------- -- Filename: axi_dma_mm2s_mngr.vhd -- Description: This entity is the top level entity for the AXI DMA MM2S -- manager. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_dma_v7_1; use axi_dma_v7_1.axi_dma_pkg.all; ------------------------------------------------------------------------------- entity axi_dma_mm2s_mngr is generic( C_PRMRY_IS_ACLK_ASYNC : integer range 0 to 1 := 0; -- Primary MM2S/S2MM sync/async mode -- 0 = synchronous mode - all clocks are synchronous -- 1 = asynchronous mode - Primary data path channels (MM2S and S2MM) -- run asynchronous to AXI Lite, DMA Control, -- and SG. C_PRMY_CMDFIFO_DEPTH : integer range 1 to 16 := 1; -- Depth of DataMover command FIFO ----------------------------------------------------------------------- -- Scatter Gather Parameters ----------------------------------------------------------------------- C_INCLUDE_SG : integer range 0 to 1 := 1; -- Include or Exclude the Scatter Gather Engine -- 0 = Exclude SG Engine - Enables Simple DMA Mode -- 1 = Include SG Engine - Enables Scatter Gather Mode C_SG_INCLUDE_STSCNTRL_STRM : integer range 0 to 1 := 1; -- Include or Exclude AXI Status and AXI Control Streams -- 0 = Exclude Status and Control Streams -- 1 = Include Status and Control Streams C_SG_INCLUDE_DESC_QUEUE : integer range 0 to 1 := 0; -- Include or Exclude Scatter Gather Descriptor Queuing -- 0 = Exclude SG Descriptor Queuing -- 1 = Include SG Descriptor Queuing C_SG_LENGTH_WIDTH : integer range 8 to 23 := 14; -- Descriptor Buffer Length, Transferred Bytes, and Status Stream -- Rx Length Width. Indicates the least significant valid bits of -- descriptor buffer length, transferred bytes, or Rx Length value -- in the status word coincident with tlast. C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width for Scatter Gather R/W Port C_M_AXIS_SG_TDATA_WIDTH : integer range 32 to 32 := 32; -- AXI Master Stream in for descriptor fetch C_S_AXIS_UPDPTR_TDATA_WIDTH : integer range 32 to 32 := 32; -- 32 Update Status Bits C_S_AXIS_UPDSTS_TDATA_WIDTH : integer range 33 to 33 := 33; -- 1 IOC bit + 32 Update Status Bits C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH : integer range 32 to 32 := 32; -- Master AXI Control Stream Data Width ----------------------------------------------------------------------- -- Memory Map to Stream (MM2S) Parameters ----------------------------------------------------------------------- C_INCLUDE_MM2S : integer range 0 to 1 := 1; -- Include or exclude MM2S primary data path -- 0 = Exclude MM2S primary data path -- 1 = Include MM2S primary data path C_M_AXI_MM2S_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width for MM2S Read Port C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0; C_MICRO_DMA : integer range 0 to 1 := 0; C_FAMILY : string := "virtex7" -- Target FPGA Device Family ); port ( -- Secondary Clock and Reset m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- -- Primary Clock and Reset -- axi_prmry_aclk : in std_logic ; -- p_reset_n : in std_logic ; -- -- soft_reset : in std_logic ; -- -- -- MM2S Control and Status -- mm2s_run_stop : in std_logic ; -- mm2s_keyhole : in std_logic ; mm2s_halted : in std_logic ; -- mm2s_ftch_idle : in std_logic ; -- mm2s_updt_idle : in std_logic ; -- mm2s_ftch_err_early : in std_logic ; -- mm2s_ftch_stale_desc : in std_logic ; -- mm2s_tailpntr_enble : in std_logic ; -- mm2s_halt : in std_logic ; -- mm2s_halt_cmplt : in std_logic ; -- mm2s_halted_clr : out std_logic ; -- mm2s_halted_set : out std_logic ; -- mm2s_idle_set : out std_logic ; -- mm2s_idle_clr : out std_logic ; -- mm2s_new_curdesc : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0); -- mm2s_new_curdesc_wren : out std_logic ; -- mm2s_stop : out std_logic ; -- mm2s_desc_flush : out std_logic ; -- cntrl_strm_stop : out std_logic ; mm2s_all_idle : out std_logic ; -- -- mm2s_error : out std_logic ; -- s2mm_error : in std_logic ; -- -- Simple DMA Mode Signals mm2s_sa : in std_logic_vector -- (C_M_AXI_MM2S_ADDR_WIDTH-1 downto 0); -- mm2s_length_wren : in std_logic ; -- mm2s_length : in std_logic_vector -- (C_SG_LENGTH_WIDTH-1 downto 0) ; -- mm2s_smple_done : out std_logic ; -- mm2s_interr_set : out std_logic ; -- mm2s_slverr_set : out std_logic ; -- mm2s_decerr_set : out std_logic ; -- m_axis_mm2s_aclk : in std_logic; mm2s_strm_tlast : in std_logic; mm2s_strm_tready : in std_logic; mm2s_axis_info : out std_logic_vector (13 downto 0); -- -- SG MM2S Descriptor Fetch AXI Stream In -- m_axis_mm2s_ftch_tdata : in std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0); -- m_axis_mm2s_ftch_tvalid : in std_logic ; -- m_axis_mm2s_ftch_tready : out std_logic ; -- m_axis_mm2s_ftch_tlast : in std_logic ; -- m_axis_mm2s_ftch_tdata_new : in std_logic_vector -- (96 downto 0); -- m_axis_mm2s_ftch_tdata_mcdma_new : in std_logic_vector -- (63 downto 0); -- m_axis_mm2s_ftch_tvalid_new : in std_logic ; -- m_axis_ftch1_desc_available : in std_logic; -- -- SG MM2S Descriptor Update AXI Stream Out -- s_axis_mm2s_updtptr_tdata : out std_logic_vector -- (C_S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0); -- s_axis_mm2s_updtptr_tvalid : out std_logic ; -- s_axis_mm2s_updtptr_tready : in std_logic ; -- s_axis_mm2s_updtptr_tlast : out std_logic ; -- -- s_axis_mm2s_updtsts_tdata : out std_logic_vector -- (C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); -- s_axis_mm2s_updtsts_tvalid : out std_logic ; -- s_axis_mm2s_updtsts_tready : in std_logic ; -- s_axis_mm2s_updtsts_tlast : out std_logic ; -- -- -- User Command Interface Ports (AXI Stream) -- s_axis_mm2s_cmd_tvalid : out std_logic ; -- s_axis_mm2s_cmd_tready : in std_logic ; -- s_axis_mm2s_cmd_tdata : out std_logic_vector -- ((2*C_M_AXI_MM2S_ADDR_WIDTH+CMD_BASE_WIDTH+46)-1 downto 0);-- -- -- User Status Interface Ports (AXI Stream) -- m_axis_mm2s_sts_tvalid : in std_logic ; -- m_axis_mm2s_sts_tready : out std_logic ; -- m_axis_mm2s_sts_tdata : in std_logic_vector(7 downto 0) ; -- m_axis_mm2s_sts_tkeep : in std_logic_vector(0 downto 0) ; -- mm2s_err : in std_logic ; -- -- ftch_error : in std_logic ; -- updt_error : in std_logic ; -- -- -- Memory Map to Stream Control Stream Interface -- m_axis_mm2s_cntrl_tdata : out std_logic_vector -- (C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH-1 downto 0); -- m_axis_mm2s_cntrl_tkeep : out std_logic_vector -- ((C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH/8)-1 downto 0); -- m_axis_mm2s_cntrl_tvalid : out std_logic ; -- m_axis_mm2s_cntrl_tready : in std_logic ; -- m_axis_mm2s_cntrl_tlast : out std_logic -- ); end axi_dma_mm2s_mngr; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_dma_mm2s_mngr is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; attribute mark_debug : string; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- No Constants Declared ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- -- Primary DataMover Command signals signal mm2s_cmnd_wr : std_logic := '0'; signal mm2s_cmnd_data : std_logic_vector ((2*C_M_AXI_MM2S_ADDR_WIDTH+CMD_BASE_WIDTH+46)-1 downto 0) := (others => '0'); signal mm2s_cmnd_pending : std_logic := '0'; attribute mark_debug of mm2s_cmnd_data : signal is "true"; -- Primary DataMover Status signals signal mm2s_done : std_logic := '0'; signal mm2s_stop_i : std_logic := '0'; signal mm2s_interr : std_logic := '0'; signal mm2s_slverr : std_logic := '0'; signal mm2s_decerr : std_logic := '0'; attribute mark_debug of mm2s_interr : signal is "true"; attribute mark_debug of mm2s_slverr : signal is "true"; attribute mark_debug of mm2s_decerr : signal is "true"; signal mm2s_tag : std_logic_vector(3 downto 0) := (others => '0'); signal dma_mm2s_error : std_logic := '0'; signal soft_reset_d1 : std_logic := '0'; signal soft_reset_d2 : std_logic := '0'; signal soft_reset_re : std_logic := '0'; signal mm2s_error_i : std_logic := '0'; --signal cntrl_strm_stop : std_logic := '0'; signal mm2s_halted_set_i : std_logic := '0'; signal mm2s_sts_received_clr : std_logic := '0'; signal mm2s_sts_received : std_logic := '0'; signal mm2s_cmnd_idle : std_logic := '0'; signal mm2s_sts_idle : std_logic := '0'; -- Scatter Gather Interface signals signal desc_fetch_req : std_logic := '0'; signal desc_fetch_done : std_logic := '0'; signal desc_fetch_done_del : std_logic := '0'; signal desc_update_req : std_logic := '0'; signal desc_update_done : std_logic := '0'; signal desc_available : std_logic := '0'; signal packet_in_progress : std_logic := '0'; signal mm2s_desc_baddress : std_logic_vector(C_M_AXI_MM2S_ADDR_WIDTH-1 downto 0) := (others => '0'); signal mm2s_desc_blength : std_logic_vector(BUFFER_LENGTH_WIDTH-1 downto 0) := (others => '0'); signal mm2s_desc_blength_v : std_logic_vector(BUFFER_LENGTH_WIDTH-1 downto 0) := (others => '0'); signal mm2s_desc_blength_s : std_logic_vector(BUFFER_LENGTH_WIDTH-1 downto 0) := (others => '0'); signal mm2s_desc_eof : std_logic := '0'; signal mm2s_desc_sof : std_logic := '0'; signal mm2s_desc_cmplt : std_logic := '0'; signal mm2s_desc_info : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0'); signal mm2s_desc_app0 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0'); signal mm2s_desc_app1 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0'); signal mm2s_desc_app2 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0'); signal mm2s_desc_app3 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0'); signal mm2s_desc_app4 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0'); signal mm2s_desc_info_int : std_logic_vector(13 downto 0) := (others => '0'); signal mm2s_strm_tlast_int : std_logic; signal rd_en_hold, rd_en_hold_int : std_logic; -- Control Stream Fifo write signals signal cntrlstrm_fifo_wren : std_logic := '0'; signal cntrlstrm_fifo_full : std_logic := '0'; signal cntrlstrm_fifo_din : std_logic_vector(C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH downto 0) := (others => '0'); signal info_fifo_full : std_logic; signal info_fifo_empty : std_logic; signal updt_pending : std_logic := '0'; signal mm2s_cmnd_wr_1 : std_logic := '0'; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin ------------------------------------------------------------------------------- -- Include MM2S State Machine and support logic ------------------------------------------------------------------------------- GEN_MM2S_DMA_CONTROL : if C_INCLUDE_MM2S = 1 generate begin -- Pass out to register module mm2s_halted_set <= mm2s_halted_set_i; ------------------------------------------------------------------------------- -- Graceful shut down logic ------------------------------------------------------------------------------- -- Error from DataMover (DMAIntErr, DMADecErr, or DMASlvErr) or SG Update error -- or SG Fetch error, or Stale Descriptor Error mm2s_error_i <= dma_mm2s_error -- Primary data mover reports error or updt_error -- SG Update engine reports error or ftch_error -- SG Fetch engine reports error or mm2s_ftch_err_early -- SG Fetch engine reports early error on mm2s or mm2s_ftch_stale_desc; -- SG Fetch stale descriptor error -- pass out to shut down s2mm mm2s_error <= mm2s_error_i; -- Clear run/stop and stop state machines due to errors or soft reset -- Error based on datamover error report or sg update error or sg fetch error -- SG update error and fetch error included because need to shut down, no way -- to update descriptors on sg update error and on fetch error descriptor -- data is corrupt therefor do not want to issue the xfer command to primary datamover --CR#566306 status for both mm2s and s2mm datamover are masked during shutdown therefore -- need to stop all processes regardless of the source of the error. -- mm2s_stop_i <= mm2s_error -- Error -- or soft_reset; -- Soft Reset issued mm2s_stop_i <= mm2s_error_i -- Error on MM2S or s2mm_error -- Error on S2MM or soft_reset; -- Soft Reset issued -- Reg stop out REG_STOP_OUT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then mm2s_stop <= '0'; else mm2s_stop <= mm2s_stop_i; end if; end if; end process REG_STOP_OUT; -- Generate DMA Controller For Scatter Gather Mode GEN_SCATTER_GATHER_MODE : if C_INCLUDE_SG = 1 generate begin -- Not Used in SG Mode (Errors are imbedded in updated descriptor and -- generate error after descriptor update is complete) mm2s_interr_set <= '0'; mm2s_slverr_set <= '0'; mm2s_decerr_set <= '0'; mm2s_smple_done <= '0'; mm2s_cmnd_wr_1 <= m_axis_mm2s_ftch_tvalid_new; --------------------------------------------------------------------------- -- MM2S Primary DMA Controller State Machine --------------------------------------------------------------------------- I_MM2S_SM : entity axi_dma_v7_1.axi_dma_mm2s_sm generic map( C_M_AXI_MM2S_ADDR_WIDTH => C_M_AXI_MM2S_ADDR_WIDTH , C_SG_LENGTH_WIDTH => C_SG_LENGTH_WIDTH , C_SG_INCLUDE_DESC_QUEUE => C_SG_INCLUDE_DESC_QUEUE , C_PRMY_CMDFIFO_DEPTH => C_PRMY_CMDFIFO_DEPTH , C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL ) port map( m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , -- Channel 1 Control and Status mm2s_run_stop => mm2s_run_stop , mm2s_keyhole => mm2s_keyhole , mm2s_ftch_idle => mm2s_ftch_idle , mm2s_cmnd_idle => mm2s_cmnd_idle , mm2s_sts_idle => mm2s_sts_idle , mm2s_stop => mm2s_stop_i , mm2s_desc_flush => mm2s_desc_flush , -- MM2S Descriptor Fetch Request (from mm2s_sm) desc_available => desc_available , desc_fetch_req => desc_fetch_req , desc_fetch_done => desc_fetch_done , desc_update_done => desc_update_done , updt_pending => updt_pending , packet_in_progress => packet_in_progress , -- DataMover Command mm2s_cmnd_wr => open, --mm2s_cmnd_wr_1 , mm2s_cmnd_data => mm2s_cmnd_data , mm2s_cmnd_pending => mm2s_cmnd_pending , -- Descriptor Fields mm2s_cache_info => mm2s_desc_info , mm2s_desc_baddress => mm2s_desc_baddress , mm2s_desc_blength => mm2s_desc_blength , mm2s_desc_blength_v => mm2s_desc_blength_v , mm2s_desc_blength_s => mm2s_desc_blength_s , mm2s_desc_eof => mm2s_desc_eof , mm2s_desc_sof => mm2s_desc_sof ); --------------------------------------------------------------------------- -- MM2S Scatter Gather State Machine --------------------------------------------------------------------------- I_MM2S_SG_IF : entity axi_dma_v7_1.axi_dma_mm2s_sg_if generic map( ------------------------------------------------------------------- -- Scatter Gather Parameters ------------------------------------------------------------------- C_PRMRY_IS_ACLK_ASYNC => C_PRMRY_IS_ACLK_ASYNC , C_SG_INCLUDE_DESC_QUEUE => C_SG_INCLUDE_DESC_QUEUE , C_SG_INCLUDE_STSCNTRL_STRM => C_SG_INCLUDE_STSCNTRL_STRM , C_M_AXIS_SG_TDATA_WIDTH => C_M_AXIS_SG_TDATA_WIDTH , C_S_AXIS_UPDPTR_TDATA_WIDTH => C_S_AXIS_UPDPTR_TDATA_WIDTH , C_S_AXIS_UPDSTS_TDATA_WIDTH => C_S_AXIS_UPDSTS_TDATA_WIDTH , C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_M_AXI_MM2S_ADDR_WIDTH => C_M_AXI_MM2S_ADDR_WIDTH , C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH => C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH, C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL , C_MICRO_DMA => C_MICRO_DMA, C_FAMILY => C_FAMILY ) port map( m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , -- SG MM2S Descriptor Fetch AXI Stream In m_axis_mm2s_ftch_tdata => m_axis_mm2s_ftch_tdata , m_axis_mm2s_ftch_tvalid => m_axis_mm2s_ftch_tvalid , m_axis_mm2s_ftch_tready => m_axis_mm2s_ftch_tready , m_axis_mm2s_ftch_tlast => m_axis_mm2s_ftch_tlast , m_axis_mm2s_ftch_tdata_new => m_axis_mm2s_ftch_tdata_new , m_axis_mm2s_ftch_tdata_mcdma_new => m_axis_mm2s_ftch_tdata_mcdma_new , m_axis_mm2s_ftch_tvalid_new => m_axis_mm2s_ftch_tvalid_new , m_axis_ftch1_desc_available => m_axis_ftch1_desc_available , -- SG MM2S Descriptor Update AXI Stream Out s_axis_mm2s_updtptr_tdata => s_axis_mm2s_updtptr_tdata , s_axis_mm2s_updtptr_tvalid => s_axis_mm2s_updtptr_tvalid , s_axis_mm2s_updtptr_tready => s_axis_mm2s_updtptr_tready , s_axis_mm2s_updtptr_tlast => s_axis_mm2s_updtptr_tlast , s_axis_mm2s_updtsts_tdata => s_axis_mm2s_updtsts_tdata , s_axis_mm2s_updtsts_tvalid => s_axis_mm2s_updtsts_tvalid , s_axis_mm2s_updtsts_tready => s_axis_mm2s_updtsts_tready , s_axis_mm2s_updtsts_tlast => s_axis_mm2s_updtsts_tlast , -- MM2S Descriptor Fetch Request (from mm2s_sm) desc_available => desc_available , desc_fetch_req => desc_fetch_req , desc_fetch_done => desc_fetch_done , updt_pending => updt_pending , packet_in_progress => packet_in_progress , -- MM2S Descriptor Update Request desc_update_done => desc_update_done , mm2s_ftch_stale_desc => mm2s_ftch_stale_desc , mm2s_sts_received_clr => mm2s_sts_received_clr , mm2s_sts_received => mm2s_sts_received , mm2s_desc_cmplt => mm2s_desc_cmplt , mm2s_done => mm2s_done , mm2s_interr => mm2s_interr , mm2s_slverr => mm2s_slverr , mm2s_decerr => mm2s_decerr , mm2s_tag => mm2s_tag , mm2s_halt => mm2s_halt , -- CR566306 -- Control Stream Output cntrlstrm_fifo_wren => cntrlstrm_fifo_wren , cntrlstrm_fifo_full => cntrlstrm_fifo_full , cntrlstrm_fifo_din => cntrlstrm_fifo_din , -- MM2S Descriptor Field Output mm2s_new_curdesc => mm2s_new_curdesc , mm2s_new_curdesc_wren => mm2s_new_curdesc_wren , mm2s_desc_baddress => mm2s_desc_baddress , mm2s_desc_blength => mm2s_desc_blength , mm2s_desc_blength_v => mm2s_desc_blength_v , mm2s_desc_blength_s => mm2s_desc_blength_s , mm2s_desc_info => mm2s_desc_info , mm2s_desc_eof => mm2s_desc_eof , mm2s_desc_sof => mm2s_desc_sof , mm2s_desc_app0 => mm2s_desc_app0 , mm2s_desc_app1 => mm2s_desc_app1 , mm2s_desc_app2 => mm2s_desc_app2 , mm2s_desc_app3 => mm2s_desc_app3 , mm2s_desc_app4 => mm2s_desc_app4 ); cntrlstrm_fifo_full <= '0'; end generate GEN_SCATTER_GATHER_MODE; -- Generate DMA Controller for Simple DMA Mode GEN_SIMPLE_DMA_MODE : if C_INCLUDE_SG = 0 generate begin -- Scatter Gather signals not used in Simple DMA Mode m_axis_mm2s_ftch_tready <= '0'; s_axis_mm2s_updtptr_tdata <= (others => '0'); s_axis_mm2s_updtptr_tvalid <= '0'; s_axis_mm2s_updtptr_tlast <= '0'; s_axis_mm2s_updtsts_tdata <= (others => '0'); s_axis_mm2s_updtsts_tvalid <= '0'; s_axis_mm2s_updtsts_tlast <= '0'; desc_available <= '0'; desc_fetch_done <= '0'; packet_in_progress <= '0'; desc_update_done <= '0'; cntrlstrm_fifo_wren <= '0'; cntrlstrm_fifo_din <= (others => '0'); mm2s_new_curdesc <= (others => '0'); mm2s_new_curdesc_wren <= '0'; mm2s_desc_baddress <= (others => '0'); mm2s_desc_blength <= (others => '0'); mm2s_desc_blength_v <= (others => '0'); mm2s_desc_blength_s <= (others => '0'); mm2s_desc_eof <= '0'; mm2s_desc_sof <= '0'; mm2s_desc_cmplt <= '0'; mm2s_desc_app0 <= (others => '0'); mm2s_desc_app1 <= (others => '0'); mm2s_desc_app2 <= (others => '0'); mm2s_desc_app3 <= (others => '0'); mm2s_desc_app4 <= (others => '0'); desc_fetch_req <= '0'; -- Simple DMA State Machine I_MM2S_SMPL_SM : entity axi_dma_v7_1.axi_dma_smple_sm generic map( C_M_AXI_ADDR_WIDTH => C_M_AXI_MM2S_ADDR_WIDTH , C_SG_LENGTH_WIDTH => C_SG_LENGTH_WIDTH, C_MICRO_DMA => C_MICRO_DMA ) port map( m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , -- Channel 1 Control and Status run_stop => mm2s_run_stop , keyhole => mm2s_keyhole , stop => mm2s_stop_i , cmnd_idle => mm2s_cmnd_idle , sts_idle => mm2s_sts_idle , -- DataMover Status sts_received => mm2s_sts_received , sts_received_clr => mm2s_sts_received_clr , -- DataMover Command cmnd_wr => mm2s_cmnd_wr_1 , cmnd_data => mm2s_cmnd_data , cmnd_pending => mm2s_cmnd_pending , -- Trasnfer Qualifiers xfer_length_wren => mm2s_length_wren , xfer_address => mm2s_sa , xfer_length => mm2s_length ); -- Pass Done/Error Status out to DMASR mm2s_interr_set <= mm2s_interr; mm2s_slverr_set <= mm2s_slverr; mm2s_decerr_set <= mm2s_decerr; -- S2MM Simple DMA Transfer Done - used to assert IOC bit in DMASR. -- Receive clear when not shutting down mm2s_smple_done <= mm2s_sts_received_clr when mm2s_stop_i = '0' -- Else halt set prior to halted being set else mm2s_halted_set_i when mm2s_halted = '0' else '0'; end generate GEN_SIMPLE_DMA_MODE; ------------------------------------------------------------------------------- -- MM2S Primary DataMover command status interface ------------------------------------------------------------------------------- I_MM2S_CMDSTS : entity axi_dma_v7_1.axi_dma_mm2s_cmdsts_if generic map( C_M_AXI_MM2S_ADDR_WIDTH => C_M_AXI_MM2S_ADDR_WIDTH, C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL, C_ENABLE_QUEUE => C_SG_INCLUDE_DESC_QUEUE ) port map( m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , -- Fetch command write interface from mm2s sm mm2s_cmnd_wr => mm2s_cmnd_wr_1 , mm2s_cmnd_data => mm2s_cmnd_data , mm2s_cmnd_pending => mm2s_cmnd_pending , mm2s_sts_received_clr => mm2s_sts_received_clr , mm2s_sts_received => mm2s_sts_received , mm2s_tailpntr_enble => mm2s_tailpntr_enble , mm2s_desc_cmplt => mm2s_desc_cmplt , -- User Command Interface Ports (AXI Stream) s_axis_mm2s_cmd_tvalid => s_axis_mm2s_cmd_tvalid , s_axis_mm2s_cmd_tready => s_axis_mm2s_cmd_tready , s_axis_mm2s_cmd_tdata => s_axis_mm2s_cmd_tdata , -- User Status Interface Ports (AXI Stream) m_axis_mm2s_sts_tvalid => m_axis_mm2s_sts_tvalid , m_axis_mm2s_sts_tready => m_axis_mm2s_sts_tready , m_axis_mm2s_sts_tdata => m_axis_mm2s_sts_tdata , m_axis_mm2s_sts_tkeep => m_axis_mm2s_sts_tkeep , -- MM2S Primary DataMover Status mm2s_err => mm2s_err , mm2s_done => mm2s_done , mm2s_error => dma_mm2s_error , mm2s_interr => mm2s_interr , mm2s_slverr => mm2s_slverr , mm2s_decerr => mm2s_decerr , mm2s_tag => mm2s_tag ); --------------------------------------------------------------------------- -- Halt / Idle Status Manager --------------------------------------------------------------------------- I_MM2S_STS_MNGR : entity axi_dma_v7_1.axi_dma_mm2s_sts_mngr generic map( C_PRMRY_IS_ACLK_ASYNC => C_PRMRY_IS_ACLK_ASYNC ) port map( m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , -- dma control and sg engine status signals mm2s_run_stop => mm2s_run_stop , mm2s_ftch_idle => mm2s_ftch_idle , mm2s_updt_idle => mm2s_updt_idle , mm2s_cmnd_idle => mm2s_cmnd_idle , mm2s_sts_idle => mm2s_sts_idle , -- stop and halt control/status mm2s_stop => mm2s_stop_i , mm2s_halt_cmplt => mm2s_halt_cmplt , -- system state and control mm2s_all_idle => mm2s_all_idle , mm2s_halted_clr => mm2s_halted_clr , mm2s_halted_set => mm2s_halted_set_i , mm2s_idle_set => mm2s_idle_set , mm2s_idle_clr => mm2s_idle_clr ); -- MM2S Control Stream Included GEN_CNTRL_STREAM : if C_SG_INCLUDE_STSCNTRL_STRM = 1 and C_INCLUDE_SG = 1 generate begin -- Register soft reset to create rising edge pulse to use for shut down. -- soft_reset from DMACR does not clear until after all reset processes -- are done. This causes stop to assert too long causing issue with -- status stream skid buffer. REG_SFT_RST : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then soft_reset_d1 <= '0'; soft_reset_d2 <= '0'; else soft_reset_d1 <= soft_reset; soft_reset_d2 <= soft_reset_d1; end if; end if; end process REG_SFT_RST; -- Rising edge soft reset pulse soft_reset_re <= soft_reset_d1 and not soft_reset_d2; -- Control Stream module stop requires rising edge of soft reset to -- shut down due to DMACR.SoftReset does not deassert on internal hard reset -- It clears after therefore do not want to issue another stop to cntrl strm -- skid buffer. cntrl_strm_stop <= mm2s_error_i -- Error or soft_reset_re; -- Soft Reset issued -- Control stream interface -- I_MM2S_CNTRL_STREAM : entity axi_dma_v7_1.axi_dma_mm2s_cntrl_strm -- generic map( -- C_PRMRY_IS_ACLK_ASYNC => C_PRMRY_IS_ACLK_ASYNC , -- C_PRMY_CMDFIFO_DEPTH => C_PRMY_CMDFIFO_DEPTH , -- C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH => C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH , -- C_FAMILY => C_FAMILY -- ) -- port map( -- -- Secondary clock / reset -- m_axi_sg_aclk => m_axi_sg_aclk , -- m_axi_sg_aresetn => m_axi_sg_aresetn , -- -- -- Primary clock / reset -- axi_prmry_aclk => axi_prmry_aclk , -- p_reset_n => p_reset_n , -- -- -- MM2S Error -- mm2s_stop => cntrl_strm_stop , -- -- -- Control Stream input ---- cntrlstrm_fifo_wren => cntrlstrm_fifo_wren , -- cntrlstrm_fifo_full => cntrlstrm_fifo_full , -- cntrlstrm_fifo_din => cntrlstrm_fifo_din , -- -- -- Memory Map to Stream Control Stream Interface -- m_axis_mm2s_cntrl_tdata => m_axis_mm2s_cntrl_tdata , -- m_axis_mm2s_cntrl_tkeep => m_axis_mm2s_cntrl_tkeep , -- m_axis_mm2s_cntrl_tvalid => m_axis_mm2s_cntrl_tvalid , -- m_axis_mm2s_cntrl_tready => m_axis_mm2s_cntrl_tready , -- m_axis_mm2s_cntrl_tlast => m_axis_mm2s_cntrl_tlast -- -- ); end generate GEN_CNTRL_STREAM; -- MM2S Control Stream Excluded GEN_NO_CNTRL_STREAM : if C_SG_INCLUDE_STSCNTRL_STRM = 0 or C_INCLUDE_SG = 0 generate begin soft_reset_d1 <= '0'; soft_reset_d2 <= '0'; soft_reset_re <= '0'; cntrl_strm_stop <= '0'; cntrlstrm_fifo_full <= '1'; end generate GEN_NO_CNTRL_STREAM; m_axis_mm2s_cntrl_tdata <= (others => '0'); m_axis_mm2s_cntrl_tkeep <= (others => '0'); m_axis_mm2s_cntrl_tvalid <= '0'; m_axis_mm2s_cntrl_tlast <= '0'; end generate GEN_MM2S_DMA_CONTROL; ------------------------------------------------------------------------------- -- Exclude MM2S State Machine and support logic ------------------------------------------------------------------------------- GEN_NO_MM2S_DMA_CONTROL : if C_INCLUDE_MM2S = 0 generate begin m_axis_mm2s_ftch_tready <= '0'; s_axis_mm2s_updtptr_tdata <= (others =>'0'); s_axis_mm2s_updtptr_tvalid <= '0'; s_axis_mm2s_updtptr_tlast <= '0'; s_axis_mm2s_updtsts_tdata <= (others =>'0'); s_axis_mm2s_updtsts_tvalid <= '0'; s_axis_mm2s_updtsts_tlast <= '0'; mm2s_new_curdesc <= (others =>'0'); mm2s_new_curdesc_wren <= '0'; s_axis_mm2s_cmd_tvalid <= '0'; s_axis_mm2s_cmd_tdata <= (others =>'0'); m_axis_mm2s_sts_tready <= '0'; mm2s_halted_clr <= '0'; mm2s_halted_set <= '0'; mm2s_idle_set <= '0'; mm2s_idle_clr <= '0'; m_axis_mm2s_cntrl_tdata <= (others => '0'); m_axis_mm2s_cntrl_tkeep <= (others => '0'); m_axis_mm2s_cntrl_tvalid <= '0'; m_axis_mm2s_cntrl_tlast <= '0'; mm2s_stop <= '0'; mm2s_desc_flush <= '0'; mm2s_all_idle <= '1'; mm2s_error <= '0'; -- CR#570587 mm2s_interr_set <= '0'; mm2s_slverr_set <= '0'; mm2s_decerr_set <= '0'; mm2s_smple_done <= '0'; cntrl_strm_stop <= '0'; end generate GEN_NO_MM2S_DMA_CONTROL; TDEST_FIFO : if (C_ENABLE_MULTI_CHANNEL = 1) generate process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (m_axi_sg_aresetn = '0') then desc_fetch_done_del <= '0'; else --if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then desc_fetch_done_del <= desc_fetch_done; end if; end if; end process; mm2s_desc_info_int <= mm2s_desc_info (19 downto 16) & mm2s_desc_info (12 downto 8) & mm2s_desc_info (4 downto 0); -- mm2s_strm_tlast_int <= mm2s_strm_tlast and (not info_fifo_empty); process (m_axis_mm2s_aclk) begin if (m_axis_mm2s_aclk'event and m_axis_mm2s_aclk = '1') then if (p_reset_n = '0') then rd_en_hold <= '0'; rd_en_hold_int <= '0'; else if (info_fifo_empty = '1' and mm2s_strm_tlast = '1' and mm2s_strm_tready = '1') then rd_en_hold <= '1'; rd_en_hold_int <= '0'; elsif (info_fifo_empty = '0') then rd_en_hold <= mm2s_strm_tlast and mm2s_strm_tready; rd_en_hold_int <= rd_en_hold; else rd_en_hold <= rd_en_hold; rd_en_hold_int <= rd_en_hold_int; end if; end if; end if; end process; -- Following FIFO is used to store the Tuser, Tid and xCache info I_INFO_FIFO : entity axi_dma_v7_1.axi_dma_afifo_autord generic map( C_DWIDTH => 14, C_DEPTH => 31 , C_CNT_WIDTH => 5 , C_USE_BLKMEM => 0, C_USE_AUTORD => 0, C_FAMILY => C_FAMILY ) port map( -- Inputs AFIFO_Ainit => m_axi_sg_aresetn , AFIFO_Wr_clk => m_axi_sg_aclk , AFIFO_Wr_en => desc_fetch_done_del , AFIFO_Din => mm2s_desc_info_int , AFIFO_Rd_clk => m_axis_mm2s_aclk , AFIFO_Rd_en => rd_en_hold_int, --mm2s_strm_tlast_int , AFIFO_Clr_Rd_Data_Valid => '0' , -- Outputs AFIFO_DValid => open , AFIFO_Dout => mm2s_axis_info , AFIFO_Full => info_fifo_full , AFIFO_Empty => info_fifo_empty , AFIFO_Almost_full => open , AFIFO_Almost_empty => open , AFIFO_Wr_count => open , AFIFO_Rd_count => open , AFIFO_Corr_Rd_count => open , AFIFO_Corr_Rd_count_minus1 => open , AFIFO_Rd_ack => open ); end generate TDEST_FIFO; NO_TDEST_FIFO : if (C_ENABLE_MULTI_CHANNEL = 0) generate mm2s_axis_info <= (others => '0'); end generate NO_TDEST_FIFO; end implementation;
bsd-2-clause
5042bf9a2237cb24c587790095cdc7fc
0.404908
4.292287
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/procedure/rule_005_test_input.fixed.vhd
1
968
architecture RTL of FIFO is procedure proc_name ( constant a : in integer; signal b : in std_logic; variable c : in std_logic_vector(3 downto 0); signal d : out std_logic) is constant con1 : integer := 0; variable var1 : integer := 12; use ieee.std_logic_1164.all; begin end procedure proc_name; procedure proc_name ( constant a : in integer; signal b : in std_logic; variable c : in std_logic_vector(3 downto 0); signal d : out std_logic) is constant con1 : integer := 0; variable var1 : integer := 12; use ieee.std_logic_1164.all; begin end procedure proc_name; procedure proc_name ( constant a : in integer; signal b : in std_logic; variable c : in std_logic_vector(3 downto 0); signal d : out std_logic) is constant con1 : integer := 0; variable var1 : integer := 12; use ieee.std_logic_1164.all; begin end procedure proc_name; begin end architecture RTL;
gpl-3.0
cca0fa21dfcb539aa55b3607c83876df
0.645661
3.532847
false
false
false
false
cwilkens/ecen4024-microphone-array
microphone-array/microphone-array.srcs/sources_1/ip/cascaded_integrator_comb/cic_compiler_v4_0/hdl/counter.vhd
1
25,043
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block ON8TTIhTwII3lp0reMu8HDmBYqa3VVsykKhFEzeRFrfuHxt08bEpETVQ8s2hlkb/6CQob1dumf5P YeMfOeGMMg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block HXVCS+siZCytMuSrqj0CjRq8hOW67335nCR0bkkthpqYBbn4lV/HYzLsvvtlNm7k6iFKEDEvCF9G Tgz+/1ddWljUpPgT0gaDoHKPImb4KhZM4wapbJ3+lhfxYVqLbAvbA+yoZXhpvRKfLuUjpUU7IfKl Mbtgdvsi9tPem7KnraQ= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block TITNy5yS+Yn3zwtMrSzx7dKDywhTEbyVyp2zrZt8M8DrXBdGEesd2Jlx/E6vv05YCur9CE4ys7Ws V/pJyqHxcoRoKfWwgt21TP1H4P9zUEdMgXHFNydWFD0YcYTtUUs2Cp9raEYbazr1iDs4YX7VZ4fn jMd7PVeEYldSUuX294ZNZSxCv0eMBnLK/pYEElWx6C4bgG9BdId5eEvnD+rLV9YAj1dVmeNNONVQ igxwkA8+HoRo+mh8HpNbiPqEu+WSb8b9xzaBq9nnVK2IcQSRaWbIx1xwxq0kzgWCKW2xMiuN0lsX MH9DwV1vm5QYVs1q2J93DcNTcp2g1HboyaeQ3w== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block bvaQ7Yo2N4x7vka7zWtNEgw7LCBlDSvLpKVOXe/ZYZ8ns/NEWBlx9xhc286PFHyY4qQgxTf5LXsA 7eOHI+UjeOXxLU6dXjyquedYd0hRWLB2La1P3YWy/c18f5YKgzVKQb3cADQMyID2bRTS/2WRd9TG xcAYkPAmm9SPcbZt6RU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block bV1BujwStnn+r2XHc47B7hu/YrxMFpyHla9vrHjydsZ7MCWb36RSRICNRuhxD8j6OmuSLjIg0xAA qFgA0np4cO3d7dl10skmtcrxi0GboYp3pMdUqvFqpw1T4/qG5En6KsBksSzaIWZB15joWg888kaw OJS6I6QgtW0bUm/WW7FutYT6bSDZz9b7I3ZgpC/SkmwYPd/ylI7ioMDLYQIuN6arjV0Rwe2SYraY YDySzxfroPRCIbqGPaE3iZCXMESkAxCQgTUg+6h/BHdNOi1F9XSNPIDur4hzBtvCE4/CzCxRKPAj hQmKDMmKw9Rht9HhXv78Aj4zR8+I45kaFH/nLw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16800) `protect data_block TAB3sWWuMlVcHahi+NnC8CB9KT/Zt8E4zmd0lgCZ36xujt6xbS22JwTO+homaxY1kEx2VCu1q3KR lTW+Q4NkjMirQr7k5QOLoJ/zuRfsCSmCl7wGOkfdOUZypb+BfXtTGO0ey8F8G7un6muSljcqP06u u+e+TfxQPG8Omfk8RqI6E8tSJu5RrrL2b3mes3uSYxDUDXI/4ps/k0l4qdwubaas39eJqcnO3DbN 6B9PpbI1Mb52wtSswhj+829pMICVjvTnT18bOe5y6knjMB4+0h5bFpxgN6jO0dxwC05IbJj7ELmX xHDRDJsKbydt1jIni56Lb/J41PqcT8Ifd4c7SvU7XQEDViwt/UJkyhUqVPjtq9/jJ4O/KiZQSw5J Q3rkT8nphesCGtq+O26zEbZgPl8dlSDjSrS7Pqu1z1Q8ffRy6bb8lJZhZ5A6A7qNu+XrXopBvg6D 2Vwp5xvUoa1FhFUsZvXo+JvAN3sKYm9OHPDgwu9SWCk8IeexbUbi6CvYHtOrccGk2O5hTyqV2+a7 AeXa+cBQafFxwkk6cR8NcrMOqgOB/gUJg3LMhIJkAI/HzvnbqVnO2rlhAl0PA293yLdTGKVS123G kzvNBEiSRhHGgmyVvzfQn8Uz3731wnDY/hO0jTG3KYi3IcFK7YITNCdIYtFwokBqhLST8/wlzaUs V5Fr8d/6jmVawqEdmBI6c3ZlgbcqAb3YA5PZ7OnHVKRN0tX2IaFnPUsJEz0xgENxovNip0IQ7hqO +H0i9rCgwlO+h+amQrvYDTOfSoQdos9wA8DKL6rJrvpVgQlw0OpqzkhrjLVLoQ6ygm3GFjeJBAYh 4/4mly1iwblXf68Q1gYjllnPUfXEqYXc0+znfFdIzgzE5HGiBNeLZJqy2kvvDr5fHmEw/1gU/WS+ bEGEhqxVKyzzqDJvBKrel4blIBfBKPFJ1wO2dFDiOc71WkPVlq4pW/9t42elc3OghvW96GDsjgzb KzZGe07vGf0wOx5XEUYdTk0Om7vXjISGYeApPBlg68wUUedoZpIH9MAXbjLyogiFkjVGW+eLp5qH mi9ExMhKrZn7rbMJUq73epFQnOfRyUhTv/c6wpIvshKTah2tSRXC9p9ajee5RcdZ6J8T+Avik5BW l8LpPkTfroxPKhv3ILvABqK7NaB0fUkIMvEBNTzswbm23HtdvoczQcXWLdYyIJGG35QtJC3ollUy BS8mMuOV23vVzWyG08GOoQNLQgu9cuq0zR6XbQVOUbckrIjobcWzPxZH8mT8d7984IQO2ep7yIbJ viRT/kwQqdPsD0M3FT3fEJefbq7hUa6WDJNb9aSAhRklQAQWpxEGRmo69wNprUYDmT7LjnwP27Hl z7gukY1c8glvDdIOejXa45ppfMTA5jhS2YdICENnBk9ULWVmfwC8s/gFTSUlgIJaCVnFVzTw96If xkI2Q2BkQBBb8CLTpM7HDmhryrDHrvHigOy5t4HY7rwuecmvOBe0lWhDKhA08PFGgMtu+kNmTjso qJB1miVhvkCuLyZkSp3qv2Wj4dE2v7LlO3YM5iSLwXzCxReXcLJIzK4fbie5RhNbwYnKp43K/cWL iHoKU4bbTBeTM0pP6PUUM2syZkCZoQ9scg5n0nZOqYBU5ssdEXwduVcISxO+seW/1ISYcvFhK0zc vhFeNCyfZJ24Fvm+Z0IJlXkoRXKYp9rvtaVxv8om/JeHblP5hn5DVxUaZJJljZxD2Y0Fnf/V86KK XE6pUI+S66JPkOk9IhciilCm9KlcGwkQEn8eHO4XESlCNETytBtTiUuJ8r2G0ZZMs5SnACx8JCj9 tMkcd5Set3lRZuDdT2IoKvYRjBDLByMlLmtOdpUZzyEI4qhFYuLm45zg6XDPFQ749CdlO9OnO6Wv DEmFvHcDVMR1pc9YR4ARwPe0WgRLcws9ugNfC0Exc+eZbTRUB7l4nJae31E1Ri8B5DBO69yWZoEc K9T71YMXAl87ts7Q67tyzalMTdsc6NCbPQcgicTPZK9OwZBmpgOdBCpKDwSY4UvaHbabcmZB6lKv FJaDmnEQa6gV6gcML3fGMh1G3dqRBuSMuqZAVfNN4+K7hu3SIXEK8txYwTHyuvsjNrtslVpu8Y6h ChPU0p8fb9osgD2CqQkY8VaM4VrduBh/36wDF0yEoTJNRvOitfBOM52cO9Ft816HEste0EfQNdIq eOmN6fJukCbqGESKGqmcs85A5DsZZfvDeFWH7pLVpTPCXagLSXo5hajSiCW2gTOBVZaXfde+iI8r 6nc0LFPjKI+bBF0cQgkCrEgnWun7q14I3SEm1S9p4oieO6yvaRO+DUGfPB/HiiGasNuP4vHhk8i/ 58k2KzbCHja3MvCJtBhP+rTSspKV15CzRM702B64I6NnS6C08Lb+GQFnoK0E4/PNeR2FYMvV+nwF b6FCwFbtpyR9o38kVCOrztWu7TdSauxm0qS/oc1dawdwCVp5A3jTEUxBhAsnpJHW6yFvPZCBxAnN 5sbx3BjTgqdPJqnT0wtXTic8RSFLvULqRb83j3LKJm+ptNNIn+VrnLDTsE84vNWN4PoOVKn2lC9D KTpKXnBgMIvwo99Y50DqyRO+iudIypFExL+FsepPjEvpj6983sdPfdxPvYCgEMqEox0gKZ0DfvZh X72F+afADz38VPAOiX4MARqxKDxrYzNybJpPrK4MTZKtqWstZpLggMv2QxixCMbXRjoZ3Cc0DRDT GlgQF7ERlTGF/Zf3nt5k01RqGV6zkvkBgNK++H9q/jivOU2xzC5YXeK3Wlh91/HCn9BGN+Y5rqZX 8GCLUZ92nyWpOIpOV+uKAF9XF7phAbYrynXD1AfEnxR9+GxOLf2tELjS4TE9y2t/6q0AYoC5gQMR vRi+g3rB+0RHUoUvmEjKqwlWOl6Ko900lyQm8Y1BuInUmGFQr+vnm1+KqfzTPwyhfMkhAauwFfjc /B8O4sE+2yOWwpLyShfCkNdvwd4vvP6NdaFezXKyI57jsJRMtEBDIEB6BU7UfOySqKma7wQKEanc IXEYAbhi+PLfnADdHoNvJRyRGlH/5JXNlGFXO7ooDwPqAwja0uzjgAHHJgny7jV9UmympZSoPAP3 TnOyLfxZY9rdEmN7h12p4B/y+vVuEwIMIxESXN6BZRy93EEvsOpvIHiP6G8llQ9fvqvHh9ynInXX GaZlTZE7W4vNI1moi9n/D8R+hDq6MFeiPPK1flWCXdRO/qWLP68zouCSf5iEna/wAYuZYMPdO9dz pcFw5bZ3fb4M69rOTvhItoLqZkiIVuiyB/GlsJY896L6ii2374M/hNGW3wewTVUaa/MLSvq0g5Kb hoF/Y138P1Gl6X2iCkgyriOv5+zJ8hGbnb0+YqGxJjzK2Wb484W0f5NN32pcHkI56n7FSy7wrov8 PxiDkQqosOpAhFVQ97YizwOYjPdqJWKCnBH96LX6eQkGD796B2DsJIBqw85iiYAWBm0uUbKjqOp0 9SKpele6/SjLn7MmEkgKxg2wffmic0RDv0PjF6DlcGLjm8BvBvM39ZHdplHTETUNwVn1om9X6UBX 72soyJ/c5ZZoBaMHANjKt2uRXWvpC7vPR8BORcbeBq7olBifSJ1jdNM3JRnXbdEag/Mb/nakrB0r 97hQAkkb8tkVdDl5UYLUwjdZ2rgelDQT4KPzdEI/mwr4CyqZyel3OODkHQRdG7QlOrWq3OrJeWN9 k9F2v2HIPBuEEcuPF/6ebWNWiR6IlPn2BVFXT42sRs5+YKu2pBo1B1T5YHAKFiG3NATz4qFfPSmo cJORtxBVasdUWAB/UCvhI3l78L/c5AUYHpFX8cK1ZWyvMi4c9Aj5LnqlfaBNITFt1hAa83Amjuc0 T8KdUsi1tsBJNF1hBB/7srZ4KIqaX6W+X33okvdAkZ44gj/FOtfoi70p6xhBiz8d31tPaGDGM047 OqjLw4132Cn2h9pXn08uhBVgcU4VrBjt8CRNG22azvCMW9ULX4jlMz2v/zSMCDmhL8A4BrkztBvi TLf1MtD46ljrNbv1vq51OQRoDJmef8Nra10xbeX7SKpw/x1PlNH+uICQiVRars9QMmxavjd7yhua pW+zykLDjxwwfxlXOAu+K/+GvhMrO3Am+HKK2tzg/icwbuDLvbsYmmpk+jf0JETsKG1bJDp7OlF0 RUqn0t1OUiU+208L/JdqJE2bm0luLtLo4xg0/KEAdfAMXHK5gt9EM5lyXNoNG1BWOMpa7lXvLIKr ea6xaId91BKwU5PueKiVE8fl86Y6XKdNyr+tWWNYuotGHx4LrkSHbxYpJZVn1iazxcd0UWG7uktp xEzEEbmvJOKgyisaMtOTh/+9jMcr9yoSbvXlli8yrVakMaUbfDnHJOLb5a3/ifpm8v4Ug1YdcvfY PRwHdOI/LHoM7QgDHmq2RahOfGR1cxaRD+XlQMrahM0tS/1dVetUvCWKX2xkMHldt8LfPb2pipmr Uun+xtJueMZQJ3oMPTa7jKQn882YaEaBLnsb3Zw4BsLwLmtoRv6AM1AuEpNW+keBElUB5Z4TLt77 dKdjv9L6ypYPDrtm9wzNK+t+tjOwMexOHxV4Z8QoRTXh32O+Coi+h9vlPpu5FBd2KpiGFR52luqx qaEbguq0eGLPqvKXdeALXSbsql+v145f+CDxF0MavkmRYiyAG1DV5Cp1sDLOihyWPdqv0IG+8ojQ WIxajL9GgL+L5Z8nG3Z6DGs0T5wTdy826wHT/mCUhSl+lxhWdFV/Z+gVX6M8Tf5l7rOGmT+pWqpb 5IRoliuRx7elEfrr7ReViJikOjyu2kbo8R33tX8C4CAJVGAXDqRE7c3EVg2m2q3HhqX4/FXptEvr jNSBS6NZq2OpZ/SjtLkRiVvLZbkhT1ydbP/kvDpxlKZ5pJlSU8Ig6TIxatFQ2kXw9RiJz8Woxere gvzxRPpB06szuwMUxI9n4YOzArIUMzwtGXPwv1yBC6djYbw3Te3ex+x6dU/AjAMJtfuGPZKn4mYz qKQyZ2lC21P7CVnu0uZozAAC8giKbCjwtadsaCtQrfBKRORNudj7h4nokQunUImO1tVOjJlSCx4i xSaCmJvP8u8RAGd1aujLvCh2b6gTj8hrC1PRMxZykudQeaKCT/Ip+6cBA/EF/DBpyzRSctrPYTZn zxe07cue+lmPtYVlegsxAn8DStYPyGO++o4Txv+9Syu4GmzQ6vXqSgvWuS6R96onGqLCWR9d13IX TVzJtjSHyrvK4KvZY42nUuiFQjC2zqnGLKW44DBTA9Kc3KMj8PZL/+aceLGLrhFUgCUtb4GQcDa2 0R97yTgelvIqBMFa2ULeEyYJDDgep0Id4AnatMWg7AU8nB6hzOijyiG6K1sPr4tPKoc1mDkyP2I5 +JxYeTN65j/FFb4Fe+X/j7l9MJhGaRi82ffDLQzQewGb4+M2bGz2DkOWOAZ5+lLmcGSemJLrM2aZ 6WNHPLldjs8QLK633N+ltxVcXLVjaNDvKkc02plP/uNPjuokDv2LHHkl6t8Sh67M7Vt2cpMoZmgT QcnLON/ESE6CHKfCbggqy1v6MnGNMAQJ24CfIMYoxtavWDnrD8cR0ujMUTHbasW3rkfyw23wfWZo /stHXLlBgTDbN5hB3foK4th8gBG0cLln+LiVees/trGrXplWNiyyeHrOmuzj6y99IzX2QQEbZOhQ UUW/GUAiUD1OnWZhc0Ix/vCo0Kz8AUBcVQ0HD7w7O+wG1KU9kPEHP65lYQupT7HfpNl5nXeyUOTX jQlCY1J4KKh7kQdkFX4RdLvDLFfkMCQOMgNzjMgQ7mk3BqmZXq3cJobHS2wgrq0vGHIXV3eOSrcN ln/CI+88xe4hbHxHzKQ4BWxqDga+BgSRk6qz4Ymm/rXT0grcn9d2CrgiJGn+MdvcbeqPd9bBCyDL SRQmrV4OgUm9EQyI2cBa2rRHyfa7RzJIo3Tfz3dy17vwHe5jgp5EpU5de9dksle06DQo7QmF+Fzh l+XoQ+ydWETJviUdfheraoYVhpIyg7gFWmqGzx3k36x91TV2eg7Edq3xu276ojidS2QS5sluSm6T sziCEFrRffx/jeWdpuy8X1HQxjLViLaOEG9l5RhdSoRCC3whY6UeWB1KI/N2Qp5jAPDk4z4I02Y2 pm7yHWcFOxlXMXuWQ4JFvVt9w/GPHO0xtTF4tQBkcnWQJfQrmPdaFsJ3TuX/523KcOCbNDDlXn8j zRKERfiasOJthjuX5dhPOUJb+0uwAnWXOzCdRZUmmaEeus0RezkMDPXS7Vffm8Py4zZRgZigdo2t 2REDePtHZTUnE6oFzCHyzhxN+8B+so4/vzEH5x6qoQwdbvHeicitGjiQbea0LY/GcEcb/oI1Biqc iduOiJur+wUjugFHh6Ck0zjgE8ouMQhrsBl19m++L3+Rc/Wf+0/Wv7Xjl4Nm+Jxgh0T7Ix1rv+ky amaCeHXcpGtxgfCLdgKHkzBbPx5NLdmeAQj1BgPg/wIobumbJiIm/q5Vrg8MUf7AvCdlhXXUxQSv Sc9ehe5JDrENGccmkZBDXF/7VJ5mWfKqIpjVdUnQUn+M1E+jkjxPu54rYemyz392TfwRMh8vjcEp QLsLOM9KG10MVWbHk8UAQQ7dBFsaKqVy31Ley2QeAUKZuRi6WGI6pFKJuWywcDNcblehaAGJ9Ntb kKvaB5TKcpdbbJSvkdt1PtHKZ/umZIo/QAklyBhd54lDw7gQWjW/oftR8FrSm2Sniu60Wvmfo0/i C7LDKm4BtlMK7UnfuzmnAXGarjabek1Wsvao/K9X7AUIxiQjbONRtNdVTfqchEmioXZYE1DpwllJ 6P577MwfEbIfTuCizd/wIOE6elaVs2APlPzRKxN/eZWP5zc3UdHOcG5Fz4Hk0QTvmV3TGbm/PwJV UPKabA0O1Kx4em6iphyt14F9DCbaGdFkB1I82y3OInCwazG8ndNPwVCnu8C9OzvFU0Sg8VrKUhXk nUqXm0tnnxC1KbrZtjFkKkbuGCqVPu529hycEptYZ4bivI2hzteXQpOzrHhIMEPmNldZXWLL/EkN Y4h8CWTBpxtMe8GyprMGzxr+d2oWzCwJapaEMMVk9Gh6TuRNKkMs8jfOH62vLrtm/pA2H6dRbqCF WU1xEakxk56WVay7lXPx3dkaprhu8cUACVZ7teLR9gIYr6+cgLbaDP1fWR2Mfs149wepRGESFtSu XzvfSIGIBcsqG6fE67hf5gnAJdGU/y1LiLee+eV6idf48F29/j57XeM71bUxp5vPrQidJe3Ci3Hk AeQ5HADJtMJNwvLMIVdETuf1+pxoEAJfnGp45aFVw2OtTFLkFtZ5wJjILLwvfN0BLeaKYDgw9/ng 3tFWn+BKd8inrhC3sStwv/u/IK5SxF90Xykkx5hnXry0Ge20Xz8geKfjaqrpuEUR7K1kCX6U9hP0 6v1AtR3KY+jrmyJAiwOzgvEwQ5i/0RFypkzWcW+z9mBfJn6kMGgUaYcyyQn4ShY3iaL6nG4wVu1e wcGqjYekxVgL9hKUIE+oAl7zfYtS+0zgRyN0jLawMP1P3gy4SxrIXyhEpn2Nx1MvJoEn+3CT4NLD FgyTWjvW3T1t/y7ALEnbWFh3YmeOO09JAvUMMgSOGGM1THzEyPlHnAYSXX56QnckfZKXIhz6AQDM s9p5Y9Hbf0a4/0YIuGwoNkMATh8QRw2mDdcbFZUIJApKxbxjYeRTeDMh1b2v6C4LdgU9xQv+EMq7 gfUkYPNBzogYh5c9evbmmrDFvnyISCoJ3t1W1bp2TnXHKhgMOmFtfKUn0DXTVC9WSKOvB7eeCgfI 6PNclRRBgrY3U+DMg93eO2AUOjsGNEtA+VGUZ0SinKT9qwxlCedfpISxlQM0cMprbGJJ9lVsvO6w B2vOBW/B6cVFHd0TAsJbTcYqOvvpiOHhh5h1VBRy9gIdf4/nO9HlOgl7U42YTGjU34UyspFncmIK vGhJpeT4xRWTmitkf0LkHROY1UpOiHmiJ/z6PIdfcxbYp/oTK/gKEBCQsrzUh0lufDZ1rw8V3fOa EcDxe51Emg6qI68w8Y9vFSSbt61JLMCtatQnXoce/CKrrNcouyP9rKyZzToti4ongaFokZJ7K/vb +kg1a3bo1oicH6ylQxmY8BBM33ux1CcjBE5G1TY4F3rJV94wd39JfRcspa8MlkRoUts430AGxQT9 ie0V7vY5OXT0kfv7DB9TnPZD0CKJw3ASwpgZpXyN+jNpV/yZpOhAW9QLjuC9qXGGNjZofysWZDAy dQdbqfYtqoZiNNC6w8iozFMaeHlZqP7N5POvbom/52SU8Y/grKA6nm8337kZrjyIMevh792VqOXI JQhpfY6II4/Ocl5sOOXBYlyaC7krQCjBkv20OPgtLwm1rFJxtLLQeMEvk8jECQe8S1gvXGW2ExD/ S9i9ybiTL/564Isq/hGyc7SeLZMQh9R7T/S7q383wIaNu+UChaBl9uyqC4xwolBCKGDcgnJHRswc qcr2LK3QTvn/Dyamxpzhz9OMslQUqf4YKYehXLeI8wuelDb0EEAoQDx75AXC4mGpa9nDNrEmXOSg +4fRu/THKkbUAc6BxEqIXnOzGhPEEyEEeVG9oj2u9kPtXYZmH5JThwiHp9mS23IoPWyTwNTE2/av qttBLgIIjY7y4IOOYh4vJFArq1J5BWcitrVkAypwNhI22T/OmCCywintUR5QGNtQxdNIBVVttCr9 ur4gUfKB6A+md5tyymCQnPeiqW5SM/hB+JXutw9T1H27HyhPkKvV8BvUHvCCsTVzaDwHAGJnV98E NjBVPYYdFpOwt+3xS2p6+n7Lx+0pKNg21CZoKQI9s2bi5To9NlnB6zZAIzQ9cTnJ7vjkEnwpMll2 gwcMeE8Bo/mUkQcvLgD2JgiNCzcwKnZ0pulxP+ZL/pJQxUDYhxPkXDAfbCgIUbYli3MBV3X10C7X OxkLZbkpu2x46Rh4mifFZaq6D7BpfJGsRzVqLAQhw497VknRU9mFPGAGOY9N4yAEHW33wuFUZ2LG vr7j8skxfbdw5JkLTps/cb10WQwFIHnHQewo+DoCuwiHHGwiedLbWVMoiQb78+dwBu1CDg7JNH6E oMEBU5yQOxloHGSR3nvXHVcscv+LDY6RNjDoJ8C3uhnoA8LlljUIzlnorYzOPLsqwy+5GLgVx9a0 eDktV3c3REGvU3RQmegqEnyBbthMxONw3nEhjjMbNFnV3RqOoGr+U4u7eCNFeuqfOb/7H0x9Yr5+ jwvu/RZy1bEXBBIhHIsOo1CYCi1spTzeradPjeThJedDhLhWWeN1O0ydMsuijNvATbxy2hPAIsH+ BJ/mNUrWOY7GYwGbNaXhxZ2XRAK/zoonH95rqj+ra9Os+Nz3VVB9LUGa1bDkxaTmHu/lDB6ZtQww SYUz+iFYq2zIoYHubZiHxpuHWoDl9A3yX/1X0MnH1gARkL82/bpkd+G/XOCOfApIgVsHWJkj++1m k8/WHH7IPAGb9XBpLKYXlf+ZI5RhOAAbtEPYF5vDLEJ/Wae4EyyKtpJqaSS7sMlX/QaA1H26pRrA oq9VE7PyLlniLh40PD1by2lCwYoxptq0VHVJlBEfLucgHAakVQJ4DKShqTwmgrWFU0EWfCfVmHql w3vcrWH+sJkTFum4pxqOe7tgZYN8rNrHegAaefu4burPxsaZ+ReiRQS2btcuuszOPFKyvD5JB4fQ VvgITi/kQGh/j4EnpI+T2W9hE6cjWbiAgWDxypIvoZ+qRYrhK0yC9jR/S0LkzXlfYdBDeIpN+v84 sgsbsxmC1d3dAGMIGyO57bvkASwKbe3tsmnFDw+gbyXQQsoV7p2kRl4w5Oe5HIt4cqnTducZhRrw 2SMVPVL1P7UcQ960M6hYctdQZw5HKqgzSHHYcO+NExuLKjBK9m+syaGGfzYbdevxIgdr6QFrCc9x /+LHUTtY01nuwtfKShKLGmyHS4XUJUetSp8g0xnIbr4ThgMC4ypFZz5XbDe+KMtpjYlOEuXMG4eA cWa4mDkPUAG3mnkK8zvcTY64lKoFTjdsLLpoeHYB5v0Zmzccq7tYR9Cd2j4XR8cFgli7Gl9+GpXS VMV+jjgB7nU7N5zY7YndJxlAyQ/rjuFSZTiL6SqW1A0N2xb6JKe92Ob0JaT4AsJ5Zf5odb2tCwtA 0UTGrh4hbYsuRY2r9xPeVsT1XsIpfffzAMK7ylEtyr23hQoPodWOo5o+jWKkxw+TvfXlgy5MyIIB 8D33SXFQ56PexCWOZh12qVKk0yXQq4jVRpydMeoDb2vc9lHVoCO6/BTKyYKE04NaavlFHqSGNlpm R3XNF4q7jUt29t/M8RRsGIPLA4L08bD6HuqIBkCSmiKRuqMDbtYWlpIzmtWv5RAMz5Yf+jXE4KLU E1br/JSUW4U9s9TIiIF3xPZSw/4clIUMO1fLLbcDz+/G2BA/Yq6y0mpIq+euJmaiWPfPoosW60j3 9mgCuo1oTxxPidcs69mN8el3H/XZxebIwx2/x4sQE785IuMr4piBQUDPsVCeHY6E5WDvp/PS1Dzy 8IadHuYXTeKdVLDZ9gNF1CYs5crxXjHrE/pQFuxpmsYA3QAMDlm6oUTBrI1LcPWPAxzah/18Or+4 p7RGZLl6kcgxgHwCYPfTUpZo5dbpwCpOAxgY61jYbOcqTk3oqAZAkLDcPIbHou9wgnvs54dAh7pq FYpFaok7z+Dj6i51Rz4ZywXANLRXJgtsiZgXKqFZsvntm7tXc8ksUU8BpEcoteI4CfCqstmEtXKP 0LhW8h2jwgtfBpmygE7jbyISyGz0KIG9P7K8OBpsMMGT/NRlX42R0XBQYtCqA1l7hfu0Mw3b1Gvs V1aFDCxIAWvQtc6KezA06dYdIPz3d2deQKQQTUewvK6mVzqDELYjQUk09Q9voNc0KlPh6SUJgko2 kI2P1S+bzxFYMqCk0JW4608hezuf5f+BdlBVs7MzmaoRYoOXJhV6RfQo7zwxNGtzoGqIDpQyQ4YQ cR3z8OV0pFDyfkrFIa+2i8QCgHPO/S6GyunculiuhMYsxEjbddzxnQE7tpbkyREBxpkvL+ol6z7T R8gNRnFVOjwhp08rPqp/uGpW3pnc9Q69WzS6XUvPUT4p4xlacokzg7UMsxEy64oYW+TI0qcpy+1O 1kliUuIuw1BmfiZbU9cQyxGBjrpiyOHM/Yg3ILgW9AmemNlc+EESHN3VfmA3SqbTjVxTM14UJ6r/ eJGjWfJ7TBPgsQI/hU42oqIWqHEKSKpf5rn5TCxXPFooCd89Kp2+zCirTZAknOGWRcnr3RSI3z8C 8CdiOLoMrZgLMkzd6bjZDkdhjh6kEjHWSWAnZ7jJe3J20hr5FVK6rOVkuB+I+A32eZ7C4VfpsEbn I0HOoPs1DNvahQvsLw74Lj5E3RoqzXfDVPTxksreJQkijZlwbUtBisw1eMufrsx9rZ15PYd3tXfr GxC9vMKTN94RMnJPF/VD5zfUFCA72uj2Enr/zgSey31/Odr18eA3mwOC4rxmfx4zifDC8po4FGjA ySPvT3cPB8+eJFKrQGJ2fxpUynBXQ2KFnVDThvxKsuv+LXRx15w77YASSxJmo30/6WO04hsWKMKn hlDmwoqGYk10ZvmwAexY92H8+v6fxMlgYsD3ED3If07MuIUswhRXI7fCnfB7HkY4sOEg63n3+guR NgAISvhEFhRPEa3QxIVmxu+RegDOWXlEKPRUWHD+KwlZjPs0ol7iLJNYiI3tN38YIR41r/ScBI0G 4LrW3sY2J3DdWcj3+Mf2VyKHN8WceX4WOEwVOT1bo5zzwEcDGJ7+dIzycK5n58nnxnym+UzKiIG7 fBhDa47UF8U4WKvfw9ml3zir6QYnhQheEDutsOJGXSzc6dWInpgymIkBciz+hMk0Gxf9fqXkI1ct WVquzWMefWScbTq9wrvx8e9EQbOU4d8OVopBE+KC6dC5nsmjkixqXo+xp9ZoCVaz8i2K9mdWhDR1 iTVp3GEuhd//ry/8lfIlfw6kudBGaJ9+uYbAhzzeMVqTJOWNlYgpiyYsbcsDnvEEqryhFKeaJ4qN L3nuvispBHGCfHr9lmZladqIf074SFwUsasvn92IDJOxtk69ed/3QUlohYa2oGVvE3+xboZOUKiA YSn9l5lwE3MG+7AuP1KFALQadJaa79wFCfpLp6zS3KzhvX7W7Arbca+KkJeFkStuapc2sXX37+L0 uUcn7npKWK7YUbo2yTu+X72P1Ps0DY7t03Wcvg68TA4PBzmkyiJoC0YqIpvjNwcu3QN98kjeC+YV JqDxQc7+VFx8F45ruhV6JDG5W8iR6b+tE0CxztbZrO5x13qUkW3GgS7T5rSAyd0orO+FUovcGyvm ZtHKm/frvyvhtJzBLyZ/CI8ozMV+p0bWmxf/p0IVMKbZRc3DqmG+pKGhF7bke9KKSWq8yvOYW3E0 sLKLsS4nNRMPapJx8RRTa8ERjraW5FlqT3KCYoNoVi0tmwhmILzfLHjxGfNV7RydaZWYJPDFWvsH tdOMvrHZQWeKilmt1CKHQ0F/I4rXTo8P+jZzSwloujhfWVVqT+GEmzrG9I8LnxniwzjXoQkypLDX g56A3jAPs/T+S3vc1MoDx++2kYbhbJ2Z8z3TiI7mttluBlWv7eU6tm71I7SXxBVTaxiZo3zwmTCU OBc+sHZdmXPESQ+7s7ma3b45zX2uKpv9VIb2/Wk0aKSGOgmj07fDo+gCGyqJzPsaLGTPwf0Tsz5y C+u6ZuagCuDV+RGiKlfFVxE/bHk9YQtbF562bjFkD72GkOCEPjQEANbXS/Xjx26lPnmg9NOx+BH0 uW86gG0Zsb0i9Db5g0TkUNN6zn1mPnTiDFyzG3G+zuI2lpDoo4hOcQK5TYoNwVP682YzalLNi2Xu I51jJdhMizNOcUbqpTYPr+ozJKA+iY38rMIFiG1HipbpNwv6jxYMLArGZjZs/4iJDnaY3gm1tOYG ZMCgas3HoqSyrg8BnbLMiOaqR/rKh49Pi5hHUF8rhyBoSy9ogA4XBg1/cb/5FZrAW8+sjYaEboEs tQDJZfH0IBvnLNBi3jDK0jdSgAx+6gAizMCA+UzcYlSOsoyPlUwrFL7+M+w6AmY+ToLqouCKuwxA sGGq5g/l6rMr+/Dl3PvHyGIDMw0WYSFD9HYnsz5y+KkYkxRYETK/rUcreqL0/zPAzm1U9GtvmMAA +NaSpcCy9RfxG8vaIxGbuWCIScXlaezHAKbgMNCby5fHmw0lFWXHqeTIOKuy0oSvREST1NKL+eum XA7k3xnL2cThbO5RA/7pQHad+RyOBjCkd8qe7bN8K2EX3dNv3tTFXBAa17xk451yvAqSugPNEQbm V/RreAFRRcpKgLsRNQg8X7a/oLI+pAz0O+I3vOTc3W4Km0G2HTzEeJoJVtkxdsQTtiCBzQCQ8kdz Ng7Pa5JV/nugjduwOrxZ5CfVL8vYbHi1bq5L3TZyMjhWxfa6gZWuS8cV81OFENYqGusubO4xjRNV wCXnwvUTpnX1qEdg4edJB0xSHfvQe767wTwnfVIFmltjRznZUqk9iejRVkZvrwIb3zfjPHuTTl4E RR0NjvQgertTGQWFQwsuAoso5sITRgPnWG6XvAp4tsitBcTkAw8B0ArhHH8F6CYSZ4N1Fdflj4Jh AWiLZYoTnS8FcRHusP9d/lHHTU+swhMtgg/nFelHhL0pP0kijAj7+Igm3HfyXtXwceV02lEjaFZv oHC2TFu7yhn7qLpDkcte5JqbfkEA7Ybj8UXi8wIo5YOcC+erI5CcV71xrxfr4kpT4cIidZ328xOG F2SuUTv+uAsaICad+P8suo0iwWcegOhwlUFpgskLX5iDT3t2M+S065j+NjCY6Uf/zwsX+6oHqHk/ chmTAVxDOMfh2huVaEz2qbxMdXSxVSG7lwJr3SUT5MLkvOo004Dgmqb74+/DMioyWrDFBRDgcmqq /o6mX3NgXh/JnleOiBxWEYrVH67ND5WCvs0HnJWZfOEP4emTbtQqj/tcy9fnq2FP+0ks1jiDAdtR g+Ki2eiiRlQ2CuOWv8jttCynqf39Jckn0gGWoa1RwgblfpMm4p/OjGdpaN5/C1dBfTdjN1y6JJsy ZaLURMlZi5CZ+22ugUafd6FRbVwYffqI+PSDath32znaEJLoNNzPG6gggXhQjUYEEpg4TOQAcKCp GSZmh6Td3U5R1J/tOdilnTpWMzxhEI5s7oSn8fMDCmlUKQwFt10UidWjlH4Dy6bSJFOCB1NJkItI 7s+kCFb4Q4FGl/48pIVpDJ5daekrjOzTzIGNd1trxTY9LSYIV3RTdfAfYJNlNbP37d32jyky+kbh VkSCwmYO5xheVy4dehRhCUvQzY/FbaW8RLuczKGdhpx4oES9jIWEUyT2BURtoRaalAwX3ySA4/9b snsL7haKFIBOdP8NLc2Et8rHbixLgsujmFOVrzoOffI/zO3xSU51DOua0S8pc7vLMt0l8xbMWjn7 Nkt3sMGDQW76ue2loV4YhP8oSaBN53I9gx8YkseoL6jNFudOqkHhPoo9/dOaFpc697E14hfwgAOe ibpEyHWRMyUbhd8GvJ6dEnWp3hrNRB8zlceoXR4yLogmoI4jgyAJPB6DklbpQaoMLVHR50DukWoG qo36E+NWH9T5lq2JI4feLvXMpcMUVFHK8JoRBROQgZXA2Pg5ro2Jd0yaVPIFE84sBX+df80jaBG/ IgLCqJxUrRWgPjbGNtpihPQi4eOjsVho1LmN7OKMqPGNKNqljb3ZgSRh21G9mEWkIt+INuKfGyL3 sqzxi4GVb0xW9U9XKegEZV+Ub/MEwKfsZpXUYCP5LoclOgGRjmSRtqGmtk7sW51QDI0P88uM/gLZ DzaRcywl/TDb5U4KsGu8LBv5UqcsFuRy3mD+oU+gB9VvRcnmmlkHoFqmtNqWveg8F1tAqW4fCx6I 5jZT40ZumaU3srj2sDhjZAyDtJCGXj4C4EkJQAoPMFVnucUtKgYk+h0FjOL8if+x/pXektrR4j5z q3NA9REg1seLmHJV0B3qE2sLrhm79DJkv6KJuustWC6ZSLLD3d26hqHVjgp2q5Iih5fl5Vn/1Xta bhLzH8JLt15+Y36AnMuYeIDx2T1JfNfNf7oi52iFgXgi8qMbm4o9Ug9QWpyUGt2t4krdM8YDITJy bGEOn+TC/PCv23zTfEW9BCjUNnbOpP6CzZYZcPFvpRCcnsWktxHQBdlHsx8jtHsSAud3Kcumvr8a ahOnPhLyuxafbDsodEEVGLYNVciNFW8m6Cx3HO2AIEpjXZmZbgM3gVcHFXgwuRWIwceNC2BgpdNg ESjR23HiU67eZhwgLT/8G0q35RKTplLoioIjah5+xL+M/Mu1P7zlr9hNvDd3NB+WWBqFZGEAjmbV jYwEU8l/u1URD4JJpmwJBwEbB3vI0mcw9Wq19/9c9myAxU5Ok2Ckn9krOB3+nAMNIKJdCPQVr5Bt YsHMykp+czj66yc+FnUdLWybSuDB6BS2CnXrqmEZbYrfZacuWz7wsYsFtS3vuCipznUVQJMDu4c5 lFEa28XEVB0A8gYyMIIafy9tQnJIrTJbmluUWrD0DGxrX0Hq6L5T7cZPU0SelrGT2MBFJJnigS75 pK237KUeldYgfQYoKLujFyI6Z4xq7rSDrKgacHuZ3nkBuClUkb3NKj4Mfd5FtHucuvDHDLfQ/Z8t tlpaKVoG8E6O6Ta6McytUV5h3BPOHWQniylgPHRe+kHjUjgUDUCcRb1dt9PqeYkZ/odArHu5/SC+ sUmzpax5Rx8v7JP6WairtexBgBRJMAxTUt2SVAjmqrUDgdyd64Cu/VqDOULo3QebMnFNWpotLqih tWrXRxXqTMNstLw7evHI4cyErK1Ga1rV/ey+gT/hzpNJecpj1OW//+hky2mVw+qZ0N+boJfewNLs zCV1c7Ku3UTo1e2L64flnb8242GEKKeCz2HdlKMly/6xSEsjbaqriqcbu4imrj6QpllEhK4U9Lq3 L2l35nu/4DW+V06HGf1uJHH+tfBtY4jF0lfOnYm+kuMX3vZkOyrA0zdyFlPsWe0f+pL7rwiyIHGJ /HBnQfT+POspmGPfFJq+Ts6kzh0TJAOdH2GtQ0DEFj75+Dd9zzJKEr/DD9ynCi6hmZXim+fZ+RP3 kYJ3ncoAQFYWuUYxrZdvmXB+aRkfFzsF9Tr6LZtyMIuOp5bUpdkRAt0EiN07RZE2DGhPAvpMoC1j ivYvU12VF1nVAXFTGorwoX+iz9qr/3Uc2M19i+sA1tjpiG7r2cRbLQh+0yYtbUaxcO9waTIwHbyu akc/wjUtGOgELB3Vua64r+NmfcXBMysXU/UzqtfgNeKwhbec4iy0OEIqQBIkOWVpcipueFb2sxpy 1XrYIq8wPkPIjZ+APRI0Od99yR0w8OjbBQN2Qr0DH8+lUppkvVLdpznsDs2tGLlRRdvQY7K/3smr vYKi53yf3+QylCr7vp2GC5H5QmK/wnebpftJpq03QbYVB7wWP702hKG00hFswD5QQAnSfKMdZ5Sj eA4rp78p5W0UYMungsrJunZ5Y8Uk4Ura88vLs7fRUywQMZsNG5h2xX3Z/KdSjFRv+l/ZmHnc8cK6 HaiTqeed0CXnq6Ir5akXHo+b1+K8CyyYhimIPC/8XbyZvdKDDvVK6Tsx2lYvDHpg0I0v+PvL5K4g uu+fQBXey8rEIpTmO4QGkDud+iZsE6EsJTo5nEbAqDH2+GEyr0sv5r5/mICjUIxrez9gitUR6nFh ylpsDVvMnVYroHpNQPTy6QAXK7LoEnc+x6E4t2kIxONXJu5smJ7/ZXYF6jlxEBM3W8G1Q/PJ1Bt5 2b1iiJS9fZAZ9a02C4qdb0+Jz1kJV9ChQRdvZz6fSmWI6FB1I+xBLE78UlyF/8j/MJObpYrE77Ww 63mJcrzDA5jV8RQHMBWhYu9+I2lkx6R2MyMDkQ/F/9cHNGs6ucWM5awuAb4UM0+hDiNfqBmTfFzn dVuvek4zyapc7uAeWqV2xp5Lndrrm3KGO5eFx366S4iSN9xrVQGspB19k6ILn9b9xD1v4UnY+aSY N5yf4lwmxl6VTVMHMKH6/FB5CQoDxTYshloBjKshUzNAbYO/Liks9e2+iX/6gn2KuLRXtwuu3s2M vls9oCea3/QMNs7dFdTdJBVxtuD05d8OdrE/WawLKGtQ+9r9CXp8xGqxRNg/UWsZoEi0lptZS1UT ThhDQ7LEIaqRn6dC67inalNguGZDw0rnE+YqiCaQxuWa+X2PypmAoPz8tzKKJJAHfLgWVw/YOMu5 5oy+4bRxyj6paq4FTx+r/fandVblWh7YMkweYo7wL4nHdjlkP56a70fHmHvPNaZfRqh4S0bThn1l e+GBu9fvySJDL+WE/PsdD1Iir9R1yXQXkTzZJn6uuAky+szPxALKxWIU8EM4KweV4EzKICifFJWN p/2Xi591fiRpFsiJnb3JXaQuk2PYwoiUlSllnNAY91RQSLhOKY6NlEaLcFnv0LSaEm7WSRquE7Bv HfIOynmMwzxAR7o3ktQXPwjc59xJtyULCd+wJYDdORKVKnHO21e3SlQEe/u3aGWcZKVpTJAWKY6h EuiIROJHlEc0EJH2Rv42t+r9wufb5rVjC3ka3ImSfRQmWtgIYvyLYRTpdRZnZOGip/jkH8rdtrYn ceOaFRQj7FcTLiIqMl+W8EFqZD8gP5DH806EiUve5fOXfjYH7iY4CKJJz8KlKXagwNnH+3ebvAP8 jszOdCNmP/2CAQDaqKFQtmiZJru2sg/ygyLPBp5zFHsULqPNZDLqxaHW3outPJUDJMQb17DkGilV Pu1xLA4HuwwMDflugpYI64EAuK7i4j2aRThHm/THfAffeRwujD2NTkWux6sn/I2weAwarCDK55v5 O50HBp3IytYXAx7G8IEBJV8qqz1EWxpIKEKw9hG1PCliqQHTAAD+Fa7Q5RGpm4y1tM+WRm95891Z bGjt3vEZY31Wv2CTkI6kwyysV0aYiwHDts15Y5JGiY9frEsGSGpUi0fuJuxdXS48Q459uoNq/XZe BOat3q2kae3fidxGZ/3fs2ESWiEfncI0kkzb1MBCF0GcCISPg1FdxAmTXvY+Sw4LHxIJD/ZM1wwj V73LkqZjKir5B3xET/PiBZ+bnkyr1plTce7sWh50WXfOgr2VBR6gyFxj8YgHXxnAyQL9e5xFxx2A nlu7G0zkCc+X2MvanxsU5Txw24uA9WW0rN4sCNYYJGQelxr5gt3uXPVM1sJFXk7UAaOOD2qw8HUz 6i2Me2314S1LB44p7y/VX11p46pttKm844NGSlJMOmJGLt5w39zzIfXwFllvpL3Wv/3cuBtdV4mO AsiRsIewqeIckDSKMvDbRzPcrIUkLlcs+MJ3+WDeHZHpiBHV/8ADCsN6qAp4v9npVnXKWyZimVLV lxH59FASy8YpwCsasg+WCifruxth6rRG2jB/5yS34b+H0pUz0D4XJ1tqLh+rw15BPv4G2nubzTpK Vago00/ea/L4YU0H3YSPxLxJs+VxCAQiukXgESMW1IGecNpq5foqTGGVmGhpyd7AZav0GAIt1i2O TxxraygAeuwJLC9U6Sk1wD2wc+fgQQYMgZICF4MSFqWzJBm6vXLI2Qp7kS3h5bohw6SY0RmiCSct x+nTXAcxFuL3leYpz6u0pwo3wovvWWxgGlXpL/3YyqFZb3RlqoERRDt8IkYzcxaDexqChC39E/DE ti1pWP28eYlBzJKn3ygSjb0GH8KYT2rR7G0Vg+RJq3s9yfxe9pCpwsbh+BtheIoAqyKhAApt3t+n N/VAeNK5S49+oX9cgwfUk3skIoyKji3hAJmhLHDO3fe17ASUqd4BFAL2CzHUVxZEa4RtkHzE2pEl wsJPyMFQJQH140AxGmnFxCf92mtHNzuhjFx4MRHFlf36hcxUbHQJCDVu290MzkWtlR8P51eoW7th 9smWpvPj/izxvaI7b9NOeYAbDwX57klp9fYEx9UAHNrGOTGJd4U4XxV2trNf8B3YrfdwXYRVJHzT L3Rjd6YmGYLJQHr0vH0ikkoh+HzBy929Gyzve5BdyuZSQqOdqTD1afWmAZTY2/Obtqd6Ks08hCeo d+oRANRX9U/XApba1X5cwrAs+9V3F/RtAeqlwk0JNsZ6x6OoEHwEUDrE43MNiml+P5yl4UYIzlQn LStl30koL73gRmLsEZkjtCDqJSULzm+MqTTJoUmwBDu1NnlZK4y4ZVIr2Aardl9HaSCSbtJtLEYx lIo4KDAsY8VRrv89rDtIRd0fy8Df3m+gKUb+P1fZFYjh+EvjBaL/YiOgW+XdUJy0zVGDCOWSoXMI /hUqqH91ELPm3ox6TlC6bhSQxuudhtUfAUxGJD6UW1vOE8Eo7TkC4vPOlLWCVBvpkZdbxnOQhhZo 4ztcBs9HvFsXExfrD8mDMzityPGMwdPTsUNgZZhjkJ5z1o/u5Sc9Ro3/m43MffVc56iPl7UCzaWl BCvMQM4bAQkBCPBb8NtQ8GWejgpVUzoiRlVgswc9ePTS+wx/F4+3Xj6ZIuwld8hJaDeHuI8aLVzz +J9hRkInlk08p2fY3c7v4WgOOMfbAJdstkysFF6oXmWAwYIrb0kCDLYB8zpv6dWrqKqViU5JmFJl 5GtMEwPVFExIbTJWkS54N74TMJN+FGuYKuuJCVkrfAgNw0FbikHc6KTivuTeyxZ94Ru5vWLutp2I 1V5sSI9Aijls6mIUz3oiKkOKkI2BW5KkWgSThdQVmZknlRtZF/GzmI1qKTBKsk+1MW26xV4mXLk9 1Dz05UP5wWqdNAanM6KyAk+lzl5lmCMjNDCtIe5SIIoYW81N5TuZ2rmBjJV0giHPb4FYrQjE6LVa cznmJzoSVzDtg0qhKGfKHY7VASQBS1HHrof8ksteOWyByEBzqYyK+6V/j1WoAAxzSc3EHGU70xoi iNf3wXfzyQf8yh5DiQRhJGZz7AZfhHEkna44g6JAcSC048qFgmzh1yo6ZNd775EKShwqvkxDCrVy 2/UC4OWLNBR4bJsjD0zfF8Xie4hiaNwl5BBi14hqDNttvqVzbYnYcRnk39YzH08wjIybQYHvmqNI hxQQAA5FFcHlv0Y8fV7hSFIBFyKZqyHlRYs8bX8SLmLVBdCPKBVzkmTyyM1xDSlOp+DdTSSaFJbI p4GWSkDYAfrzWxULbRiYvolC/wVR4HIwzjWpu8ib+04K4kEz4l3JCheOWPJf+TRRy3arkpNzxEqm eHk9OGdpqeB4ej0GgDrhYQEyB69UDedL+JE/raPtvBnCsqDfPXC8GUEV9i80vRMvjqSqJNb57v35 oI8nWZ0UTBdlNRw56+HgIrM1YGSlfUqNbnCFfEH/Sl77PkQHau/YfrlwpRmXHUzyhHdbuAsPSsCX REQOhJkllFxcFFsKEcCUQzp/9mWXBTX7yg9B4OuHJCOuF13QpsPBKQmUYvz1dquqPVIDzzSVx4Cj RbpMPI13muvKq4Yp20E42t+zYdgJhgjEV2GK+8jd9GpKlAf2TObIu6rmHeHUnGfAX+RT5GZhRGRP tRnD+cUmQdub8NhvDeUm1t0cD9LvNmdv2fcz1fU8blO+O1DQgPxqlMBSmPAoYd3n7ZNfNdRxkWEX umN62CwKnDTa/5hw9IsDcORwgPoLbKtLw/kYGw+mCIIXiHoQHade01XYVRph5rYnDvwaKvUBe8up hu5oHF/UGfcAMEWwyipFKlxsxS4dkpHxulK5TDTEQise+vNAOIQUbfGaIEzR4tSiatjs8L2evhdM 1smH1SCe7SjeXj/5MoRqwz+3vksbA1KQP4k+zcWs0GlUg7bmHs6fj6JKGUiHpwqWOMLbDC1q8GW2 N/0L+LlDYeMHctAahwVIJP7xjuoxYKacPPoHDTS5NtjY54aZxkOuxUSjGAb4ZB/xgoj6dHPxmJaM aAE11e5TWp1c1AWBPI2Qy1lzk8poztRelimfGr7R8Ud3VOPuFhQD6cX8dfS3FhN/43O8A9KJuo7T 26ecWRfhPx/wfHDe//3Rdk2rw6ovHqTvN8O6ggA01iW0gpNrnntNjUOxnzDsj8V9K7iyJLxfZIkR +bkTi68YyFKRID7bl1VcNxi8VovDodnyYdIcbAdPmdAvGmEJpuJUC+nZIzqpoeUu/PWbsmnTcEhD UQau3N2Ry1e0y89n6TiKVtYIZLCf8JciUu7tvaEuv8mM70Uk8j87zw22DF1lgM99Cz3FyJJxvA8/ whw0mabOKoEtflcHZkD2EzcLMSO6nLwZqi6M6Y52lRAhLo3xN8HXdCxZSyN12yZ2YCd3q+a0jLzJ 3nr8daXq06qRYZ1lduROes69OVFy5xPAq8YlWE6mqym/yzTcTlfG27ak9Fvf8RyOxBA1og933FDP RQEAdit5XVAQxoYaJTz/Uefs/B5jslm7m5D6IpErN9oR24LJVS5F16cqQKr/rkrUCAZ6Sat5muMh VFTvKibXudohGXK9GwvVJI0JIYGs5zvJ4ZJk0eq1fTcy7O8tmQuwPxZPbnl3XkbGy2cm3/vtwPtG LX6MUYBb/rzQrOlZFDhUjNMEOUs4K3fg8z++AvvYp9o/vZLB6MnsNcNFputGdOnl/dIKKqFkuf+7 D7P+xemhpttH/JRUIfNihd5avPimK2gUd1U01pzP2Y3R8iFOKldkjWnAqVCA/qb37NpsbTDDzPoE SrLasQ4ibpWEJ13I39Xqp7unSpHPm5f/SnVgZNLzVX3i2k1l8WOEeu27V+qBFZst+ltVEBTSGXYO NYxxIMvNjEXNH8tv8GZ+L9ala+mexsqkNODKOOzzuCtJnEvPKSM6OMmietw8GBnU0+i2BBz/QMAp otgYdT9aKiAeNFqYBd/LaSr9igVYjTyJFqm/P1WlyZBbefS+IX58tZ60hxOY9nSKWR033FwEoWUs 9ND2zK3Ds8I9rMjOPb2rAMnq7JBgUTODwdx7SYrTUYeDPzGq4XSSULe04Rgct3uUbrZsRNiYgLsJ Bz3+HGSyjYCMSYOUxQ6xgXAxQL0QEWx9fb6fmCO4e98LHkCHvR0ORMn0jxliO8azhgByjlMZJ8QE Zzx0uQPNNDDk6K1M00V04yLMy/sm5iIf1e2vR/K6tF1AGniO9z9/8TjWDzP4j7Wo5zXNw5PfTi1d shSxtP8BjFdBA7vxH4I3Zzr3NWc95WwNxCPo41OF+FKBTzCFA2XmcSoZWxOPs1cQ+JXCyMAF1HEX ldC9vAVq+R1oKXRMYseVe0NPQaUnTtyXPfjRN/jyT5i/+W6E1O/G3OZl0allLnKbWcuvjxwFrUu9 PN41MsBH3aZAGIYXlTkAUqwBLiJd4lFI6tYetjHNs7UPpDEhJqSDs4+W `protect end_protected
mit
626062bdd86acec648172bf75ae4ccec
0.943657
1.841262
false
false
false
false
NicoLedwith/Dr.AluOpysel
RAT_MCU/StackPointer.vhd
1
885
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.all; entity SP is port ( RST,CLK,LD,INCR,DECR: in std_logic; DATA_IN : in std_logic_vector (7 downto 0); DATA_OUT : out std_logic_vector(7 downto 0)); end SP; architecture my_count of SP is signal s_cnt : std_logic_vector(7 downto 0); begin process (CLK, RST, LD, INCR, DECR) begin if (RST = '1') then s_cnt <= "00000000"; -- asynchronous clear elsif (rising_edge(CLK)) then if (LD = '1') then s_cnt <= DATA_IN; -- Load Condition else if (INCR = '1') then s_cnt <= s_cnt + 1; -- increment else if (DECR = '1') then s_cnt <= s_cnt - 1; -- Decrement else s_cnt <= S_cnt; -- Hold end if; end if; end if; end if; end process; DATA_OUT <= s_cnt; end my_count;
mit
3d3cb6e7e2fe01cf8e2a2214af48cc20
0.544633
2.940199
false
false
false
false
cwilkens/ecen4024-microphone-array
microphone-array/microphone-array.srcs/sources_1/ip/lp_FIR/fir_compiler_v7_1/hdl/fir_compiler_v7_1.vhd
2
19,272
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block XmUjSm10iboJCH04v2Zu56/P6GrO7oqgi2x9T7C+mv2xWoTU9sSsrCn/32yg02ffc6g9cuygRezb j8d9/zMAig== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block iYM8xcCenBLg4PhYUMpG6LidrcJgVf7t/jK2D42SkLzH/VJNi1k5w6oxJ8aHP4hO6RH+DB0fbm8z 7lv9iT/eraBOkajCp2YgMh9XMoxGkxgYKVonGMPuqigce8MOVtS+0FUdjx8EKbmIODM7rPw1Tdgw orVraf5KLwA0Nhcm4jc= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block OxEzWMoqsN6a0HTP45HMl1AM7MuXmyqBEINAUD4LYnt9dEJOEPnhcP3IELuPVA+LzO3d7STBMZ8n bdt0iE4pnzhHC6OZt7iMOuhcBfBZoJYETbMMg2QfNSWCENgTftUhDWF962yxpmQ8UaAr0hqv+GPE MfaXQ+2G7UaVUQPo9kHoxiXtmzXu45Nhc0dVRzmZp1oZKW1NWFSeXsP9rFDHyS081xluOODCJFsk B5oESIz0GYpw4pP9nzBjsunHLTxEJ5bxaC7TJsmepK8CWUU5URaa2p8ARA3tSO0rPba+oAXKKFcP 3/ZFqsR2EcAs3issktPAWqO5wzKrwGr6IMqWNA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block jtXYJuZHFnfP8LjIgxUMK28y3GfJVG1XdIJovb1Z1MoMRFSuTRgdjVH2fsC2Qs6/s+v70Bw4MW4g hrVIiV3hOJNNOXQc0X3IGjmRwPZU+ipFPlm+ltR82Ux1ZCMtuuDPpT6mLiFI21NoOM3UJyXbJSW2 vzsWofB/onkBHdgheD0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block GvI3iC8YBlWDH4WI9b0GRLUxtvJvjJosJ40jQ1TOAVfaVdu/Os/eIi1OmlgfmaY0Y3Qna4ClJlNO d2JTqCQ+lyYfWOjzaO73SjObAd6d1ZMTZ2qybGMpeWK4SlL78tY9Qx7Wj2v3bqFxRnifHeZq2hPY JonyiVMrhq1jV2C3VfRRl5eNO2O49226lnW8+FZkmo2JCHyhq8PnnqTNUCcIKxjb9iyfj4GzRsdK JPQqTc4aAUm95LZh8uvFNyT45ijIWCHcZXIL9gCMUDQSzqoHKBbuoLDNF+vbnZfCRCTbyVS0iTaP tPWqpy03Oym1AtPnMkMyX6va4nYe95gxPE451A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12528) `protect data_block gmEXwYAkXiKugaIRiaHbh/xABX3Ngr8ZqwHiMaeglW0Opdt/+E3NF5ZCv+LQNtjkSxpJlzzjGH2i zY1owxWzjHSH3snqd5MUpOl40Fr5lAYT6YwDxICUvft6LoCG2Xnpwmsp8l/Ini9MAcRp3b+vZWOa HSEigKo3oSahvzew+YB3+uTTQc7KUIX4DpU5M991iNW2o4wEpoLgbXR7A6OJ7So63D3bXXmitlhg qv9hBDYfmZepx+CKFDFj+8r9nFaMjSjQLQvj6t1YDH1WGLmiWo0roEGC8nmdnTNe7b7v0oThphxg 77APWuPkNqxv59+1iTQe1Qv3CWqaGtb3IxA0/fI/dVVNu2jmz6wJil3T3/T6chpj/jMBGRii0SyC rw4HcImDc3gg8kM6aC58Gb2RpLMRNv2o6eMomNxj24paqRFFUaNytQF5WWwPmnVwiphK73/AFbs0 veEyt7wpehrwkfV22Ns95M3+AwShgVXT0M1QdmeJxvV2qJEi3bpDo3UzFd6LE49JElnSuYiTqNsk QPEQK+tj2J9SbkeSG2KQHrF/8054NqjaXUntbr2klPe1FNugumFv932/BREFQ9aP4PPmtMo4RUnw UBejisper+l62eOx8XR9NGn/G52nCdV9pJEeF3RE5sC2a4b1z6JibX5NzVsnTqKTPfNhJqKipzWe TIc1i10EQLUMCu+8hzpj1DAf0AsTdq8JMc4dRHG7Z6MQliZWozHsrzysJVqIKycH2444/wWYs/Yx mLZJC+7sGovNtaUkz1TdrYp7PcBqCUNJTQuBY0J2OdRDpizokqV8mrJ7lRhIZEgVmZgZdY9LroQV ro1fP3h7XOdoeJ2JKPzr79+NXupBhds64abXIaBrRa1HdPm0ijnSz2xIxA8wfF3eA76QaYAGckIJ KSOjUebQSZtWHIF94Wg9k2U8VG3RiA8bYJjJWOe3CtInQVVfApiVbNosN0ieMMQNCwrS1YSAmeeh gYmPlwyfeiMNt9/0Ss1xoaNt7j3J0g4J/S8tBgbi7fIO/P2saeOA8+R1xs3LJiHXLxW1P9hY5Squ 0mLrJuxTryXi6vfOelyN4GSTnE1sp3bgbjxfcyzXy30bJM78/L9M3m/Ue3/LbjBaC4ei5UwxMbAI 9zYNzjhESia1PaSPSgfpyvJ2Z2U9d+phiqPWXCYqGAIt0QAqLXqUQVxa3FeWbqa6QEpMh+9ftrsv JneCQpjV5i2Xj5EJZvz0xRjQniKLOIngNeCyvCGqSOd/ZD6geGMMP2FmCDupHJ4ZAy5zPFWkvJSa gdTbTLZ77/i3mZ9sRIDJKocF/WWssKhxiczeh3ZbI+WhSNsPDmKQYrpaKFGWK77peLDkBQGQdjgO nb2j95QeBjlAqH+FmKWTRLeILlAwiHUPoMy8UEcRBhfF3KwAOXX1GlJrrvKSvaJqa6o8MGBMLD9Q oZ+hebGBQMR/wtw5qqKtwuqYMvWaxsY+JF/f66MCt+zkUJn3mdB+sZO/pejtxL8l8dAPt1aeGwc6 /fGmu0AT7q2NuVdq/vpaYgEEDBIv7x+GYpmfEWy/oCSrNE4ah4kfwFAOqbCbZqlTSFGf1045TSoY IrXIv4C3TP8qi/h/cllCf9ICW/NHvoNCP3fSkciO0FT5ol5QmZj7i0WY/FTfltP8oAatPhaMturO aw1YGkuh3XaaHm+Rq3oQ9Hf64/7x4bfA5dgzXCu9mmc+y93RwSPnfC/AzIKi81M0o0AIgH1ugPX7 l2BA3gdlbewnXkL3uowgSXOufayZF3W3lEwxxm6HYemYyYW/FBCnPej35FekRGbutMHNMtAw0UHn f+xIHV8EvpZN/S/Srrs7gWYXwTKYGJWlJOgeh6FSsqIYZTy00w0XUjzW9pSVaBLZL8O2clhCgIfy 89RjTjHMckzd+wdd8tIJpSCGmkTQkRkBoPqW9/h/OXs1Gl0P1/te9XYWKhYn2KGiPCR3SBueJ+Ag RBsDrxylJbCSLx1fgR2UFKoEKA1KLZViUMShnFJtcKckzH5N5zHQtfi0pkwra52JAxYJFHZzhvMQ elestnA9u/k0UKMp7Q+0mIyP4ES6oDak0KLDxJpkkEvibozEwRcyFtepaUWWoc+2WQ/2TlGC5cv/ QUvfkw0m8WCra2uBZy+b7Tx8Ya81by9WSMxjkrG1nJlNxJPL5OB6SSrlduNTlf/Pk8UUvNa0iTKn eTo9UymxnnGCzh7bKtePPdha/+vPDGwhYqIhYZkuZf84NA3fMRRS7yYVQmM+XfQ+/dVcP97AfUmj ViRvT1ZSJgkQDpSL/bGPasm5jdSCWka3qsY3BRgUvyrgFWKnoPi0zKalN0KY+k++8UJ0/piumA3n Sa/RB1h3pGZpPRG4IWeIBip9fFCy5bAxIGHfLjIe8ZmdeqKRSeYfbWeeQbCv1EPuhGJFGNcV8GSh iQ7X/cdn9aeBI8LSOZL5EjPlIX/S/8ggM1icHWythr96Cn3SU7CRWOJ+T35ZJUjfWR9tpFZ9c1ue +EeTOzxbcaGbcdC9nrIWeVv9ZGBSGqELK6c3NC6Sbp8h7hzruxE0N9Hlbjr2l2iY87RVNf6zTk1i LcYAiUDOi+CJ197DVJtnqrVThFKxcphvUO491bo9fgmo5MTalv9gRS+CXekXFsBLnURXGeIqJH4B cfzC+TQ8qxjvQlgrnd7GYTHdu31S+0u0Ug46AperW1qAGFqd7xHM8dlNf8paoHc/etPWr6sQrR+e 3JM0thLfFJzeWcYCYQzNJL6W9kWBuqSm8WnHVB8P2LFdLO+T7elQo56ZZxgaLVtpacBFkWjoX8// grzr1khkHBjRIRtTUKodfWvg5OwUoSt3380n3eRVzHGIxO1ym5teKe42at6y/GLcplEdPHkIam8Q iXFQEYp7E355xit+qO7RX9mrC5aKMBPSkZS2JcpyjIo8vVDXp6EN190brNg6ylAYdYupKv+31Ykq fJKhcv6UCWcXeOV5iIt6IydWc/qiN+vPTb9eXn3ImaeJC/pfM0sYzqAeA8A88DiHfeEmHL+Zp/Ta zbqssOieuQmQ8yZo91c3HndYI6nwvRY2PCZyMZ7MP43r6UqqNT8Cm8m5CQ3jkS2gGM8h0omWUR1g jejZXVQQtJjmjIgaBo0yqyPtn6KVY72oFruZK2+BxlU5yE/AZEYQx2Q82UvNsp7DMvVMIJbnQVW4 vQVsE9hSRCBElbMvCb7KCc7IxixgdwCqfSI7FycHa5CA27Unl73OWFtfNM3fec1S727AKRCWDcl3 7yUF3bn+GNmdvjRRxWWgraK/5xn3UtaUHvPPTdj2qadBmm17pJct6QJEkpD2Y/VtHmwtPyGCu1JW NMU/mH9d3S/pT0agqUTYeA0EBZ9L9eYXNGPVTHvWfx+8KTQuasmzIUrx3iRFCgo0BJIjn0Pb7oZz jDG830jlVsmCr6eZsTBrpPM3Wnx6UTmXmIzMRPFNHUmyvTICUISZV7D3Bw6+C/AStf6zOI4NCNuk KgJ0AN5shC1DGZjp1Q1c3PO49mtOTTmyRRRJmL8Gvy2YAwu7zPGjdYaOLRhipCLiNfCXLmIFau++ M+s0tg0N6Osof2G0d4LTxhIpc2nPUs4Nl4leapW/HsF1wlr6n/SqWYTO5udmjtfL0Y/k2q5GkiJr L0W7LPGg80wkzRYhVSTT7cNs/3SQwN2UEfKQderbcFBR0YmFJ2Veufw5shx2Ci/8uN1JU7nkDvbZ KN93S47aWW4Kg4AgWxzmSfwtRROC5xQpZwxnsR6Hq5pDo7NIew/xDUnfy8y904tZT8J4k3Z/P6q3 UO1VTLHFhU8siCqArUuSjXPeFckZ8/IOlOfK4vSviitECMZiAbM/qsATS4E3Dz3F/eqnhKir3vkQ g8A2o4nGF81TftPDafDlTREjS9ZtJ8kzgjt6tzdSAMtTGJKr292AvJibs0/6+IobH2RUlZgHv1fz CTLFTTh9knD6PyJjzk7iZOo+N738yR4Imqz2ixILfXdKsmgbnAa9Wep/UyvDqqq5Q3bFNqtZJVoM IkKqLCzX51wvODt/oqcLexZeCrpbBHDTw9B93pPjaSLCiWd+Y3tzcpmnhrTf81sEYuRtClXXtq88 3+7VU8U6KJrP9sH4K3O7/JD+wy3oLmptUmdK7Dbcclg57/QuC6+u2+pUDjUTV7gd1c8byTi7BHSw UeM0cQQ/OKob9T+05V+HJn1y3VRdVG6cyjHcp1W+d1vb7AQonH60zihtvirMtNa9F70WopPQDy21 8GvJNiNKWgFtdajR58MLHldFGnyWNvh4M7igwXeD8x4gZRrFMIP7SzmOM83DAWapJPZaqfBPvX6A WZNTyiYzUIBR1medsUkQCxwiwAjpXSh9r75QIDz3nWVwaiDjnapOX39Cn4GV/Ql8AMNaYespUJms 7qdrriGx6c73PVg/KsIUCFt9po4Bn9iJTAwuCHQK2YNKyhML7ZJJI/VwFDM89e5XdCh6eXMKfU0T 5AdIT+pP/1L2vfsKx+yKtgL/ttFq8uTUP7fv5Gw7Fy7iQBqOhkc4pZAHnHrGaC9TdF9VJXudhzpV l5mLKqBY3NwE90PgHFJVsEC9AWSZFQGBwZcpsZbMj+xYETEkt/4ietpIQ0H9hQMnOWa+QQ7gs1YO wgAw6Od/AXg/ErDhdLCS48mQDs3LY43H51M/+wtNokTmyQYepqlxnur0xMYiEOmVLEuZ536Ewbz4 qzypB/59KNG3GCKvn3PXj7VE7Puv4GM8qEZH8llTmvzjioYmOjwdXATcjo2IpgXxyBICyHIbUpvy dXBTKZXwlBvWjQ2zV8qFrzwy312aLjVjeseYTqAyFN3qeS/yqo0CGSQxdpenedF5Oqy/gWoVMxtQ VYvYL0YVjcIXp3goVpb0eog24jEwDY1+z+U0WMJimfZnh8XACib9QTxrhs2ubXMGH1exOgVuUfR0 X3jTk7s/9t4Zlh+QxBjFUR2pAo8cB7etDyTQi4vR0lI7Ab01fPWh503bZp4MiJQNbtmEUgWxX9uP IcZwnKLxcWpWphUk4ph2aaZLfNDJmSdJ6im5VjjGw4cE0PJSoRGmelh/sA9CmB6MN7hrg8bzClVJ HRzqPSMCDzINmUjagERvdqFCN0+AUn9Fd/L44+gTSNa7feO3XxvJBERjDV3QmehCEUi8FYEiMlDX 2NVUHHcu/U1GqH9rQ2Y3DdzntC8MSbdyOEbxcIEx/hgMIa6hhMWFYlMf7irKExQSrmzOaI2E3HZu ieG9r1hHKHti9qpN6Wratt9v7CMOdM2K5tQZgfxvnBAeo6kCSwchx+/t4kkJY8vt4iNfpd8j+Xd4 juhU2+Dy23fjuEDuQxdl8OlGcrojbwcfHV4o+572OEurrVBAX+8ZJDTsdf0WQSyqqPNMP8etPXpj 82KYqOwxvpq0NeoYebQSXa7RrXj7JEmjVjnKgOLH9HSZ6NKfNy4GsHRiycPi+Vs16Tmo5gCYyCVH lwqhQY5ekTLdSamsVvp2ZRcU7UnAOSDW/dCfjjcUUIA4spV+pUt4CWWuuR7tTYHnlOrQLbGjkD0B XoDRIguBkK+/OjQrUYQlH4JOu5h7an7aIFuO1FTjNPrXRbjZwjqNE5nYrtbmLi0FOk8tC5C8/JNy oE4CpX1UoCoTl0vuBFW5+fQft2fby8/NAe3SKaO9gxOjkY1cbxC4L2+zcqQYkg7M5sbPtXaaC439 p+34xDmbkwOkq6d/5PXHjakDL2J9g07AirYUq5nd5RVA8sT+7YuFXZhFeHqZC1/yyknzFq9UaWeV CkACLoKi/TOQC9DQfY4uQdX+tsp3ZVaAwxd68BWqmXn+ssp9VUc3VgzpC3q395K0I43lNYzd7TH6 m40Nlsf4n/ABgOFKLuowXEvaxyXghrVNaCilythugyfb2fVfbElzChSernxPXqP6uqO4Uw1GJ8jS Kn5UBWO1tXWvhMdBT7h9c7fOf4YIfTnJ0FtqmrDzRHp1kDjdPdEJ/MBUpc7XW2KHQ6wCR59GMR3i R+nsEhGB1ifqSQ/5oSdBmbFnyCascWlSBni8So7nK34SIkkMURG01KgJYZHCRAL8wt14tRDpG428 rLBrPRd0Z1gM1B/2BIz8rss/NmgTsagpJmSLvfWyNqpsMox/cXfanUsC27U10elbEmOCCLIYvMys ttGQrpB7IMrSX28a1L6wlmtKuHO5rR3QuOOBwaJ3zO2q1oJr77Fy+GfLc0XNKBQb0eAoYt1ZuQsD pC+SK26P8QNQS7+geTc96qWh8LZ6Lncln8kaAv85qc7g0wPL2nB2gs0v2I3k0A2gNuSquaRtJi8+ tq0dY/Ykdw3tfnDiQmop7mAzaask25UGePByLOFIt9v/UEOFsJ7C8hJiQ/9o4ERHK6VviGnfYKD+ BDX51r+PcNXhGttLcmjdXWGUArrjRcGUWqViDu0UlLnWL2ZztnaOMZVI1Yvzt0QZ+dpVWbfVbHIn x5p8VttUKwfulNVCOLBG3TKNGIEY7ZUyQjawmBt5Hh4p48OTaQzl6oawAVGBX5RUz4Ef+UHAB/y1 wHoHAiuii6SUxrBPkL570sME7GR6vrRJR5qtx2RavYjT2t9X3ZQRQdXKRHidmvM+jVEbwXMgeYoT vAwPs7nkp5XLPWk4tLVP1/6y0G/qlqw7Fse4ri1jl+sX2ncTsaCLNhKMG8vWktDqmFcTqsGwOSLr c8cPHSCQd7jlp6BAdiSGv2Z7YRbNtvSLIGN3drOn4tAox0Ag4ND2+AiLyJx1MjO5BXwLcnl+WdI+ EEBQSR37hxn6fR/bJ8PXXoG5it6BLtn+UOIp+dy8QUdi9swSXsieSh1U/mammLaQXiakZDYFyRfj jC15pvlvrxDkwnJoi6Tt2fGMzfAB2n15SxgZu5BgnygXlEcIxGSz5U+BoX6eh97vfD58WAAnQD/u xBmVfd32xqYEjCBi1gCnoTeaL3CLyj+JzGd3ofxyzX8VTcB5Mzanza5+GZaRsTwuaMRXBbTcOS4x 32qo2FC8B7+mQ4tL0StMxgejogBs4EG8mJX7sXaRUp76pNkJSPlc3dMFIGCHuF8uvhbevIzZZIy3 0inNa0Gf2IinaoZgYVBNLb8XDd4MdEm0Q8OgJRLuBPNOTO7BLUFnCAmV2eBeCTNViuAbfR91CRyJ HPQbOIZVBs0+vaX6LdN0d3R7d+TKl3N1RGEZWqqBfpk2XBSlC7G10acaThfhYdRLqTZap8hOTTRn lohsMu5wsgzI2pPbVcrTslWAJ2EuW2mljDvr6KdKzWkUn/PnY8GgKzKHSJNlDjMG60lCP5e5t+mQ OK7VLP7vV6O+Anou3BJrwmjag/C7arXaPW8BzAWMSsg2R3qPsSh/4d58uzVojr8+ssUh4NeBA9HZ fClhz+mF1fE5rpjKQJKm0a4KQDPhbnFtHqNE5Q2jvT7w+Y6EcbyvWndXtzoBF8KgylXGtjLQIVDT KBfMHbm/0DjWDtrRPty7BhXypSZQOpMCgrHcLFZh4xkeUuCj2P1Ib/tBxR6+CWcg6bWjRFtWK0X7 lQ1D44zv9ucDKnk8gkBWlRpKWCFvvEjDyOPgR5Q8l5gv4+xRvE++VzxQPH1UFJdEmSFv663Hdb8h 22PFmcuBw/vDxo3dAfHk6ydMYnUm8+dQRDlgVHl/smPB/Lug3hYeIWNutsj5IMbnGdPvC7fGQ8Dv d8AFJji+FMz/RvsmGEWQBQaZeBLFbHJXisHY0kbIwXTOtH5zsgTXS70G4OiYCx6hhTlrix/VJefa BMsj77r2ibHeGVJGQKXvsNy9zZ3hAuSNtbdA/xgmxYyC6+IFatBkyc0Y8gnr6P77wjy5ZAofEplk aHf5ygaw2bq4EsLVGNq1HoAOkAjAeHT6QVx6AvgIEypwez+K1Hv+kVVlOlza+tpycrnTg3c7kO3m dAfQwp24uuRueWILv+FfjhdyBuwVXbNyMZKH0ITnykVv/TFIdZG3gZ7gpnSnCWN6FXplio1/meEQ Zst3FvZ6fEoDf63/JLfsPvBiwDkAqwqBQNhzhoYluYGOPnmJZ384snxMB1e8ngjSjiPYTUt+OmuH VmF/Gn5miOqooQEiAT5WnE/yxDKyx/arkCiL0ruKL20yr+cefwjIFsrKKRa32rKLpeQ8mW4+uDCb WkbN0tEbswEJ18Fs9tbtu/FjUlmGbUCeG4dlA+GkhO1UQARTpAIcBalheD1hMxII4hmejay1G6eL HHqJVf/xmLRT829ZxAcQv62nLKJZR0NRYJ6xqq4UZVpu6Zf/qhM0+anXrWqex+b3VIVabiHfy953 cAUb7WaQ82G1haTqlFODQhM5movWczggznjIoYyR+G+q01Nz4MUwoK+LWuO2pOW8pPj/00rcY/fP 7D8g6ZwOtjWPhM45vhfDD1k+qTimmn4IQ+9bHloxFfrIivBL7i0nAAlzdHyAXl77YwXFcXGjDz3g ZNWrE2MT5BWOekx3vUS+8WWUAs64mHvh9xVGif5hEDSj6tIsiR0Z0zSnmvx6JwpKHePPfZY6YQp0 7gN+oRhb9cKJlFOIVzFPLwtuJLdbwVPR1VZiY3Md5u0UO2XfZqo/wty+hl0aRWHRke5n6E8IZ19p It4O896WYs+BbYVGaOsoYsj9cltOVH60VhrGz1YqPqdDhWFc0WSCqTFW9NdRZfEL5ZLsW9wD9SWa 3qPLMWNSuG+QjjirdVtfnFMvqGMC8ORMkTde7T010mcULcCb3Bo1P2NjXmJ7kyDdj+IJNr7rr9eB OpZjFJst0vzyKNs3D1qaeH2iHCu9/S68rMGivmqgjrEAIFMN+ki/tUWknMXtcsIjq5mXcLGuAfPq D3eDDXcdtP46zWrn2Uq9siiw/5Lp1ET+HM24DqEjIKs7/I9P1lVUXVi4kNx5Z1xrS1PNxSnC6H69 n0HpMbJSNUtd0ZyfJeApiTPmUnKZkprTWXmPtD1D9DRijrUTooTUYx28fNAo27Q0nb6bq8kf4Pc2 NCFcDorGS8dLuLsroVzlnzdY4ovINkgn4+ouwpvVRzOsJ+NLOxAW7meeSZLjHwVkOkiej9YPlj3D emUlAap1RgihRrbxsRx/MygRFW+S3T45wHDyHJA/WbifMxevRTFDI6o9YHZ6KDCrvrPkIg7Y+tQe t6GM6rkEUrbWpu6APHqF1mh+KLccckuGG0T3AmLXlKamnKU84pNbprlkV9w3JyOM3MtB4CkPHZyf zXcSXs1aS+ZwxIeoyH0KZbCIBaSU3p77h+FQLdpOxfvlUCte6WPGMEHsxCEj+dCB6LHmW49Fop5M Im0iqNvchxtDetoPNapfIxBWNZU7lM7CV5irBTHItZlDchl1hkORjyXlhkxIXOkADOesNoumOcu+ L1eZi5xVZCMcIzGBMJSFnnFI6QcnE4rsOWu6bPbw8Jp4fpGPw1IBepQ0jz9EJ0GVPiMMFI6Dv/BF Z76BdonPIj918gyHg4GGx+GIvmFkYxUuTYKKZtjmZX1XpsRN7AeZqi21sPZQF0KqyZA0vHgkmhzJ z/x7UbG43kLMwuOPDX2XjF1Yfg5WXtO/kfmNRv7Kz1tQPp1mDi3vRpG3gcsWuMP54Mo2MPGF6AyZ Thzm68fBogMVDQBOuIPkT9XrWKQQw9sdcPVj9hFglRZWqX6TAKVmYB1BfrBIEE+Yk1fmjkP1iQxZ tSAt6ZTY6II0l4aWMw9t7bfgn7MyM2k+sFfLY9PO5YRY1SyzDnZGnW15JKREfJwuPpKiJJKYfgsz rPoEOBHo5Ufcx3sn4aofPHdEOq1T5oneDuKR6QCEiWq2uoz8aWpTWL2UDNde9dS13sqxmW8G1CPw YfKS7dn8dYHQCcLezkBswoibhu5ET2zWwWU/LpptArpu22zDLKa/JwZP5znLept4z6VyV5EEH1/p HcUyUCkxUbEFTrPZ6PF2sjpggQWzaUC6K54+ZPlx9Xz+TrD7oEi+kteHbxJRgIJso+sFXhjoob84 0G3lXXq/FtmdoALBpB+7OnjXvAVp/7kBwHZmVzN+jpDwzWptKnyuD1jMKBfp8wzFjALgSrgFzXKm k5alCyY7MlZY3y3O7CqxcZ/dLFbuSqW7ITnrY3BiA43gCMEdtf4xlV9DOaerapkxQdp/n2GFEaTu zvTOgYcSYsT5mA0Lnbmg3H/btJ8OoSndwCrEpFjS1m9SvoHcLpPkdECOQKGmB3sIYvQp2p5vYRx5 YZVVXzvNGqMfVoZakRQ/UZafuYqFMAbkCvj4c3dsMKQopuV6NrwzPEhZexiPx0Tn3xnqZ5nO6tY+ jr2bF0omVa3zkbWo9qu0c6wBwKJJ/UBf9mscAK6MavLsvdIOo5qYOalVPEZ6KJ3ktFVWt8LIhpCr V3pcwaGfMv7KVkrNp+Q5gzQflE+iaUFxhxEE9MelgbjZmO/dWgqVwXQl4gDMMg0RO8cyjs/fObUv SwpFysp4dBWBmW2w2t7p+9CFyYUC70PaNDJ1wHLdk+ZG7QF9eWPMNBdZr1Fs2vvRwwRvzzde3eNP rJ7uuITfvImBuCqSWcxqXrG8HkTKBlFwK2gx17v8aegB1TTCnnD8KuwhEdS5ouglw7sxsYT3b7wO Fr/BC/ZPo3k+76IcDoe303zlTmbOlVov+6STsjjS02RasEWiB2kgodaeX4WfR4Tl1QGNI1Quke+u OrTi6WwJvAfpX6d0FQKB+WsLmbVuSEoo5o9uFrp+mjC1hl1xVjXxtmpOaEnYmKFY1GSk/jD7FATC S4oFPmcP0W2nP5miy5lRLKyqk2t9k08WHJyMtLubJ3I/oCQEABwwaMTs/H9Z+rUW+pjuq5Wi95a7 XiePNj5DBEvVmDsFt10A/+o6HYLzl7fa0TPVVLa1IkaDJzsXewwaktPwKR+CqKaCG2kLxK5niD57 l4sFFZBF0IWhHQjEbIcGt9bizGlkNqHAqC1bMTHZzurbDEHTOjI1AsEogXxqKIhzMvrM52gPwbFn YSd0isQzh+j+HyAOSNaMhIuS2/CDVwJM90gyQNQl9k0H31HnssbM38UUcO9NRG6RTc8KG+sI6Z06 QDMhNRu5xR3kj8sNYwwMwovPapDFmAoP4SbJ0MQtdwJF18RmnA0x3My++q8ESbdnUHhz2ItkM5c7 2ojlQc005D6RQk5aBCSAnnEUj3rTj/p0zgm9CHND+cLXA1dJWFxhGJ4p24f8ZDqgVKVXYHZAv0X1 PG+pX6dF1wvsKp6WSOonv5oPXGPyECRsXM1RSC2jmZLiQEg65zdsOfsvpRb5ylDRaZhl4o96YO2i T6EdVTm3rFWCd6S69S+Uk8IKZgXbluMm5hFG7ZuxMW4B5+mMS30pS3Q1TkXcEX2XsJMtJI0qWdes YzwX/SV960h8Ht+5UXlJ2rs6uAiZBfqLmqHRXsKKPYQyb7Sfa1HvSqkg5ef4/rnhbXwCwZGK/87R 019kfTSRkt50duOnRuPsBMjsc4RH7yhDHAMn3g9yFejhPe8G3ZJZinAJaRDHdJu02t0H479LQQCF ioFrFsvy1NoFJfwGUohTbj+fw9ego0NlvGu4LHZLGDQ3HUPwwOIsuBANM5XU3/qNYbOxmj1s7K26 96Re94ZNQEol3unRMy8pxlZrEVunU4svbybK0vxZDJXVqW372fjNwNtqVytO9CxcErya4QlrfL8u G8t6n+nt3OBtTFsqsPojluKQStX05ZVG1KtfkePDYRmWnwoayPJkDvnokVd7JzOVQ1pSUoQwcCsW 7XZwImKHIzmLnIWG5dYh76uw11fj9CbutQHpL9EphO3ZznBH+VOvV80giXIfH+YUA4kVoWGkkrtz M1sYBeIxz/Fk1zfcfjBb4akMboXo9kr82o0y+AusgY8xNmuzdV/xn+SQnBv2opXl7mJdSUa1ps6j PcXJpkbOwYGKGkrKPqQEzWWalSugFf0jX9RryMoMORY6dAwTQCZzDyG0PLx4/BFRlpt2aa+ZkP5l ustrYIGWGw+LGKIeaE57QLBlbqCgGV+pfDdCiTh5xNMri1xpEmH8iizs8G9dk2KBa4rmYWSQsbhO 1dKdJAoLdmvpNrqgFKbSfnpQ7UIeuYCtzyYD5/W8XgV/x+bnxCdzW3SiuwpISB6oYbbWPR2mpfZp 18jHGTGRx2vIxdwkzE8nAFLEWtZO5LzzhXrbsDODwLbs9VyuQwlTypKZXS4J3afiGSBuyWFivYhl 8wyTxUgk7Qf1Gzvm8XBXzqnOjDQ9cE5hhaEs37mhVD3sfgeFL3T7RAsYJv0Pn7kNr4VFe04TSDWC GzNN9L2hbW1N1eUzeRqzNMH7tIkNwq6iGB1S7Skvss+l1Ey9f/5ON9uJ+1ky/mWKS+EIZONN9tu/ ZiiwUfGKst+GaLB+zSKl8VQkqzbrSOtT+UXuxG4vVxfYqQtp/BjNHLc6Unqvh+Zr91eTocnNWM1N yL2k+Aj4nIRBg3+YKW/Iin69hKELZJ2wru49Q1VcwBldWV5NEVzx3uvjD2VAJEgz0hBVzxJSHh6Y 5/KKx6RQ1ujQQQIaJKQ4eDHwajaK2o/pNGsz3b3KiWpS4bJk28TvqSSuQT/7lIH4WWB5Je0mpPx6 PF75k3728Jb59UKiFhmTNxzKSqxQ+FbPkmdA845TYIttLQ/VnUDYL1qc6wEmIKWUuDzi5HL1++so QXVK/BdPKpx7JQU8sSq08/lY0E5Um0PwvfVQuX2+E87MDm1XADmfnFpm61Fi1e17iMovZdH9qyBI s82rbF9SZmgqqwteNtzYxlTdoVWVhdk4i76kG29ZABx4R+OBHFfqt3Gv+1gX9KCbIUYOYVr/6d7G DocbibqGB8XFyl68vUn/eSqo2/WatT/Uyo9MLttIK5jqZVFjToUBZM2jFwj/Qlpwwpde0d++cNR6 6kIV+v0SlccqaaIsAvdcJj+p0KL6sDZYkWBvA5fqbzWZ6P6POvKYUPzYMNbnfBKER69qjrLhxkb4 aOtCa9BlFZd334f25J2AtP/Uw7YOo77592pTlOXcprlZ729tpfCNmWz+TeQ9EFpsI/sunvRxLChW eEnWSPS9fhXdWqrfXYZY95ZE0rgmbaKOF9/PoDiQDyBGk6x3/ZPK76QLYK9WqfbrITUysOIS9KUI n9xOfiSLuVmi5C7BgkfVukg6RxHiKmIz8corqdyMJL4x1N0Mb15W1QQTI913v3TtxQs06YrrjmVO 9ps7aAEGl1OqW9zE6lKWogee1AAeOLL8Yvz2A/JYmmdhH7cr2/W0Nn4f3FOR5MCw43/UiWLA/zhD AUkeZY5bHLAWeJC6x91IYcVhP2zOnJipHbP8ZJ2sK31y1q1IbgMlyXWtpHFCLZMmuB+yHhzoujQW PLH7Shvfl8iLX8qpGOA7vWDFnN16JbWcHYdVomjaT5a9Ugr6Z6vgygMVaczfp1jcYCK6uEs9N4oQ ExbelSvLp5hJgFjBqWT94g4D8fUtCs/BksyjMZgcDOb6obL+UKxz3Eh8LutKP6Zx4yUuP+d9aZ/H zRd4bllupgeWAYhjtv43QWxZIPwG5WX/NflLECrCiB+wXKplEtKtozkjw9SSliIRpBdgy+lXtnTv 2Ev7OW7E/nNpO8OZcpC8KvKsjoGHx5iCq/od485i2nGAqOG+t4wiAIRBTAsJl59Wx6v/UY/B6EMn +XPsxhpvacnHlwP3Sw/tzePebXHur81iod2Uja40m4Dve0lHPvPblwwfIXqPclhzpOCV2sQqgQqQ BRRWbVgkPJN4SBF1XoIgpgVBvS3TdNbco7YuRw3cJ4a7fYmmEP4o+Vvuz0O8xg/68Q5UFyxDgeQ/ Ye0SO8liMffTtxYptcrP27R7SjzLQcih9NiTM3R918AnLcZI6UfvDK8v8vZ6i2XMYed+LFcSi5o3 fpO4s2a8eJ4Qa55A7xqPc6y6IVuOqEcNQ6Y/CjiTgXPexUxXO0b8wf967abddpvbkhekLLKqOefv L0rnJIywAxgHetOzln9JD0f+AzB6CdHwtIfZVCQVV0H0xo0G5n/CTiecJd4Z90gLDCphs+Pd7pq/ iFErpNC1oTztCWTULkaCquTVR+EVvQpgztk0A+pTEDDszdCmHWYDBKGq8iAZuNxaUJ6z+DiO8Rtg kE+6QKWjMqCNn7gNFSvUWka97edrjppcDga20zfEg4157hy8HlO6JLnZwzSxM08kR6+nzGMtKJAq AiO+3QQsJ2uOtnii/k9sekv9whrCX5LQQSjU/8f1r/sRHFG5KUcGo2C6jpDgnWbinB38z9OzGreU 5LSsdGO7nsLTRouf218zdyH1/lesfWFFV6Sq9pMzAWXvmQjY6C1N8zMNd1uuNl2TLxXw+cHDFYM/ 94+SqMLvsuzPJtjE1C5yeXJEtMzyzt0MmihHunrIFbO8OMJcwSkBeNaXgKwKbmjq0R4O1ZN79OzP fzpiA0fUgDn86dB6QmemUAb1ikZqpFTFiV+MsBUEyQ4NYlpCVP310F82rSlxZ+VKVNR+Su51t2O/ aMQXBvJMJ8nPSfmEEWhvTc59tMwUemLd0ptrXSCC26+mVWKAhh6Pb1Qg+avcZCBbUhBOrdYwD814 g9MwMBzmLdAjqhaW81Me7SgApVVNzsxsiwGCXkrAIrAcNoHeXnN0jcZ4EI7pkanVTJODLY4URzG/ 9kJrgHLHb8Rfl+bB+SrH74tNmBJgdhL91/n11D/pLYzY49lZtshjcI8fbBiJQzW4soZf76y5Lhms NwkFZ0Nv5NfxgICGh+FMan/mFafd5i27L43Ziq/O8nJmedjA5k3PzEBCTT4C4ihhMTy4qcWNlZRC hrWfnarqnblEuV3V+Smc6L0fSqUkZtLUIAk5Nsy4h8z1WHePM2z7dETRWVP4fH9+rw2jdspG0myI SUJuS5bfeWruTuPxZx0qPRRFTh3AGOweSz9B3O42KxR+46pnAQA3aN4wJz4M1anRJd5QFJ/v07Xm 8s2HkdQDckkh75nkWBV8S0QHlvuVEnSiHtW1Hf4BfaIZ4E+YWgfjvbLXYjIjL01SPI2ENukxmQQq 83agv3OnQ4Jg4NE/77zVTvM08vIZMr0E2ZKP+CTOgDfYimZCyr2sqnkz8skQj52NrltJAtPZBIsp rmRdRIZN+lKLT9EtbQgghFKm6eamhyyo6QmtDM/kawq5+ZN6lMtjRt0A/EzvWpLKDXPhzcntBnhv SB1sFOaV30nGuTwF3T5Dg+/IvMBK60M/ngJlHoDeFfGhZKlq4DTbueCAi2OUPu0kgcl+JOIYmo80 OJT0JVEeeCsH6s4fa7RohommIHmzfSwKjCYxk6NywvVceMzzceablUW6tTpB+Y9m2ILjdG3cDDgz iyRYRgnkDkykCe0NkbgnExQfgAg4OjLF6Sx26Q2nDPCM65LSPO/OKw4c1q29XRCKGz885ZtbtWwp coF830Uijo9X/y+U64xcXUnJcO3QpatCbWyWJDFnwoblwdz9Mq6ifHvDogm2yEUYIrGNbwoS1IJg me7nf/IoopZ8cT700IhAJ6kGgHCXNlKe5KMLGjwsbvejRA38StXtJaDwxLwaw+OSQJStDHWX1H8Z EQxrODQmhThObDnQA/zF/5Fm0i/2kCeW3PM5gvnkqT+99pXwkLDVgvg92icckCdZ4d+8SjCD335K UjaDhWtJdOedfQPk7d2ROAChE+AhCap7M9wR5E/oI+v647x+/74IWmPgX2BUt0Y+OgJ3BPA9O4ne acRsp7BjbgIJyO8/qBo5nnbS64RKz4VgBCX7SWNZTBxln+N28A5+pnjeP0nutsx24viKjC9NbWv/ qilsjzY3Tfk6aymivTuqbGqeMDA38nPYEkdu8ujaq3zCrEfeLvFpGA8aotdhmro1W3dUx/fsKtEv ffn1t4wF8kv4zKC+Gq6VUHBHH6+23GhVKv86buqL5rW/roR4GzEG6PAjfKMNJtN0cnVMeRfklH4z WL42vXtLiC+z+Uwvn0+a95HQOdGY3sv4AMziHLTdtyhgHQTYLirn9264PJ1uNm5cfBKR6OqLlqnH 4owHzWzwmWinKZi6peMQlgG5GV6mmifL7eCjFKirw4rLLveUcR1u48kFdkMJlULl8tryOzqm2fnO B54Rqb5scHb0fAlRuwlYvrzRb2CN56xsvacp8SmBTowhqPQ8fctvjhYm2WgHJnyxpTHChMQfjJ2V twy7N+ooARZNQBTj+o/MPafNXku8n7OGr8JPW8X/3p8/QjAgvyuj+Vx59sdnChbXMzfxAEjLexL4 B6eMYL10vcLwJTE+4r5BJX38ZM6py0VYUU0KBeCmtpsEt+BmauEta6OUW6iM/3bd9FsrdBFls4J7 BQ2ptwJ8fo5o8fx2gh1DEZq37sp/FMsQE3AfddazW+MUuMIzjSNRaSakNDTyhCSLPePvRS/rWKOI IzYrKKVG2uBxiPzyMTKEt0OpZ9VvUtYenwAWGgBOstDtiVXOYmYwrj1GUCpds8PpsNAyXekHk15k 60O8sayQWeMYLlnKFZpgD3sfE7NxH6HFdNYbEQ403yquY9TkyTEObTjU4vHzBk+gvOedLxZrGQj8 UvV9raNyqFw/vg00FuNWRKXI85i8nvXTVjqTvfMClnhMye6RcHUHPpTuEtSbZeKzP0TmuRt6FKMw 2OjCKM3Ui14QBXxeyF155sN0lXmS6tYo0weOGMzAm0eA8y2hfrBAD132WFM3vMpAeZAPX3vzvy7e JCOj1qM3OaszREr2dDnj6YkChwUUK1RwaZqN4c1VLfk1Wwrtlp+ALm0p5dMZ `protect end_protected
mit
d4c05eb500883d57d8111d63128a3162
0.939757
1.85379
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/styles/jcl/PIC.fixed.vhd
1
11,696
---------------------------------------------------------------------------- ---- Create Date: 00:12:45 10/23/2010 ---- Design Name: pic ---- Project Name: PIC ---- Description: ---- A Programmable Interrupt Controller which can handle upto 8 ---- ---- level triggered interrupts.The operating modes available are ---- ---- polling fixed priority modes. ---- ---- ---------------------------------------------------------------------------- ---- ---- ---- This file is a part of the pic project at ---- ---- http://www.opencores.org/ ---- ---- ---- ---- Author(s): ---- ---- Vipin Lal, [email protected] ---- ---- ---- ---------------------------------------------------------------------------- ---- ---- ---- Copyright (C) 2010 Authors and OPENCORES.ORG ---- ---- ---- ---- This source file may be used and distributed without ---- ---- restriction provided that this copyright statement is not ---- ---- removed from the file and that any derivative work contains ---- ---- the original copyright notice and the associated disclaimer. ---- ---- ---- ---- This source file is free software; you can redistribute it ---- ---- and/or modify it under the terms of the GNU Lesser General ---- ---- Public License as published by the Free Software Foundation; ---- ---- either version 2.1 of the License, or (at your option) any ---- ---- later version. ---- ---- ---- ---- This source is distributed in the hope that it will be ---- ---- useful, but WITHOUT ANY WARRANTY; without even the implied ---- ---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ---- ---- PURPOSE. See the GNU Lesser General Public License for more ---- ---- details. ---- ---- ---- ---- You should have received a copy of the GNU Lesser General ---- ---- Public License along with this source; if not, download it ---- ---- from http://www.opencores.org/lgpl.shtml ---- ---- ---- ---------------------------------------------------------------------------- library IEEE; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity PIC is port ( CLK_I : in std_logic; -- Clock. RST_I : in std_logic; -- Reset IR : in unsigned(7 downto 0); -- Interrupt requests from peripherals. DATABUS : inout unsigned(7 downto 0); -- Data bus between processor PIC. INTR_O : out std_logic; -- Interrupt Request pin of processor. INTA_I : in std_logic -- Interrupt ack. ); end entity PIC; architecture BEHAVIORAL of PIC is type state_type is ( RESET_S, GET_COMMANDS, JUMP_INT_METHOD, START_POLLING, TX_INT_INFO_POLLING, ACK_ISR_DONE, ACK_TXINFO_RXD, START_PRIORITY_CHECK, TX_INT_INFO_PRIORITY, ACK_TXINFO_RXD_PRIORITY, ACK_ISR_DONE_PT ); signal next_s : state_type :=reset_s; signal int_type : unsigned(1 downto 0):="01"; signal int_index, count_cmd : integer := 0; type prior_table is array (0 to 7) of unsigned(2 downto 0); signal pt : prior_table := (others => (others => '0')); signal int_pt : unsigned(2 downto 0):="000"; signal flag, flag1 : std_logic := '0'; -- These flags are used for timing purposes. begin process (CLK_I, RST_I) is begin if (RST_I = '1') then next_s <= reset_s; elsif (rising_edge(CLK_I)) then flag <= INTA_I; case next_s is when reset_s => -- initialze signals to zero. flag <= '0'; flag1 <= '0'; int_type <= "00"; int_index <= 0; count_cmd <= 0; int_pt <= "000"; pt <= (others => (others => '0')); if (RST_I = '0') then next_s <= get_commands; else next_s <= reset_s; end if; DATABUS <= (others => 'Z'); when get_commands => -- Get commands and operating mode from the processor. if (DATABUS(1 downto 0) = "01") then int_type <= "01"; next_s <= jump_int_method; elsif (DATABUS(1 downto 0) = "10" and count_cmd = 0) then pt(0) <= DATABUS(7 downto 5); pt(1) <= DATABUS(4 downto 2); count_cmd <= count_cmd + 1; next_s <= get_commands; elsif (DATABUS(1 downto 0) = "10" and count_cmd = 1) then pt(2) <= DATABUS(7 downto 5); pt(3) <= DATABUS(4 downto 2); count_cmd <= count_cmd + 1; next_s <= get_commands; elsif (DATABUS(1 downto 0) = "10" and count_cmd = 2) then pt(4) <= DATABUS(7 downto 5); pt(5) <= DATABUS(4 downto 2); count_cmd <= count_cmd + 1; next_s <= get_commands; elsif (DATABUS(1 downto 0) = "10" and count_cmd = 3) then pt(6) <= DATABUS(7 downto 5); pt(7) <= DATABUS(4 downto 2); count_cmd <= 0; int_type <= "10"; next_s <= jump_int_method; else next_s <= get_commands; end if; when jump_int_method => -- Check which method is used to determine the interrupts. flag <= '0'; flag1 <= '0'; int_index <= 0; count_cmd <= 0; int_pt <= "000"; if (int_type = "01") then next_s <= start_polling; -- Polling method for checking the interrupts. elsif (int_type = "10") then next_s <= start_priority_check; -- Fixed priority scheme. else next_s <= reset_s; -- Error if no method is specified. end if; DATABUS <= (others => 'Z'); when start_polling => -- Check for interrupts(one by one) using polling method. if (IR(int_index) = '1') then INTR_O <= '1'; next_s <= tx_int_info_polling; else INTR_O <= '0'; end if; if (int_index = 7) then int_index <= 0; else int_index <= int_index + 1; end if; DATABUS <= (others => 'Z'); when tx_int_info_polling => -- Transmit interrupt information if an interrupt is found. if (INTA_I = '0') then INTR_O <= '0'; end if; if (flag = '0') then DATABUS <= "01011" & to_unsigned((int_index - 1), 3); -- MSB "01011" is for matching purpose. flag1 <= '1'; else flag1 <= '0'; end if; if (flag1 = '1') then next_s <= ack_txinfo_rxd; if (INTA_I = '0') then DATABUS <= (others => 'Z'); end if; end if; when ack_txinfo_rxd => -- ACK send by processor to tell PIC that interrupt info is received correctly. if (INTA_I <= '0') then next_s <= ack_ISR_done; DATABUS <= (others => 'Z'); end if; when ack_ISR_done => -- Wait for the ISR for the particular interrupt to get over. if (INTA_I = '0' and DATABUS(7 downto 3) = "10100" and DATABUS(2 downto 0) = to_unsigned(int_index - 1, 3)) then next_s <= start_polling; else next_s <= ack_ISR_done; end if; when start_priority_check => -- Fixed priority method for interrupt handling. -- Interrupts are checked based on their priority. if (IR(to_integer(pt(0))) = '1') then int_pt <= pt(0); INTR_O <= '1'; next_s <= tx_int_info_priority; elsif (IR(to_integer(pt(1))) = '1') then int_pt <= pt(1); INTR_O <= '1'; next_s <= tx_int_info_priority; elsif (IR(to_integer(pt(2))) = '1') then int_pt <= pt(2); INTR_O <= '1'; next_s <= tx_int_info_priority; elsif (IR(to_integer(pt(3))) = '1') then int_pt <= pt(3); INTR_O <= '1'; next_s <= tx_int_info_priority; elsif (IR(to_integer(pt(4))) = '1') then int_pt <= pt(4); INTR_O <= '1'; next_s <= tx_int_info_priority; elsif (IR(to_integer(pt(5))) = '1') then int_pt <= pt(5); INTR_O <= '1'; next_s <= tx_int_info_priority; elsif (IR(to_integer(pt(6))) = '1') then int_pt <= pt(6); INTR_O <= '1'; next_s <= tx_int_info_priority; elsif (IR(to_integer(pt(7))) = '1') then int_pt <= pt(7); INTR_O <= '1'; next_s <= tx_int_info_priority; else next_s <= start_priority_check; end if; DATABUS <= (others => 'Z'); when tx_int_info_priority => -- Transmit interrupt information if an interrupt is found. if (INTA_I = '0') then INTR_O <= '0'; end if; if (flag = '0') then DATABUS <= "10011" & int_pt; -- MSB "10011" is for matching purpose. flag1 <= '1'; else flag1 <= '0'; end if; if (flag1 = '1') then next_s <= ack_txinfo_rxd_priority; if (INTA_I = '0') then DATABUS <= (others => 'Z'); end if; end if; when ack_txinfo_rxd_priority => -- ACK send by processor to tell PIC that interrupt info is received correctly. if (INTA_I <= '0') then next_s <= ack_ISR_done_pt; DATABUS <= (others => 'Z'); end if; when ack_ISR_done_pt => -- Wait for the ISR for the particular interrupt to get over. if (INTA_I = '0' and DATABUS(7 downto 3) = "01100" and DATABUS(2 downto 0) = int_pt) then next_s <= start_priority_check; elsif (DATABUS(7 downto 3) /= "01100" or DATABUS(2 downto 0) /= int_pt) then next_s <= reset_s; -- Error. else next_s <= ack_ISR_done_pt; end if; when others => DATABUS <= (others => 'Z'); end case; end if; end process; end architecture BEHAVIORAL;
gpl-3.0
9b5c8665baf459d3593bfb6452b8ee5d
0.419289
4.328645
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/when/rule_001_test_input.vhd
1
655
architecture ARCH of ENTITY is begin a <= sig1 when b = '1' else sig2 when b = '0' else sig3; process begin d <= sig1 when b = '1' else--This is a comment sig2 when c = '0' else -- This is a comment sig3 when d = '1' else sig4; end process; -- Violations below a <= sig1 when b = '1' else sig2 when b = '0' else sig3; process begin d <= sig1 when b = '1'--This is a comment else sig2 when c = '0' -- This is a comment else sig3 when d = '1' else sig4; end process; a <= b when (c = '1')else d; a <= b when (c = '1'else d; end architecture ARCH;
gpl-3.0
bcfad37c0c69a5c01625943100bbcd7c
0.535878
3.119048
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/blk_mem_gen_v8_0/blk_mem_gen_generic_cstr.vhd
2
120,556
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block FgPdRI94jcZfNeZ4BKCHm5c3Pfd4dIJdJuCplJSEdLt7uWZkn2IYDkQyAyjsb1aymg+ka/StMJEa QjAFVP7Kfg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block DFwv44g0L7Uu8JPt9n9tFRKMsp9gYOBnvW8y39rjfYOQmJ9fP0mPpTfkD/2ScxUTTQz/0b0L731e 4qpTJhk/h8X39zldJAwFWexPlfDhdxBiw1OsUtzE0VvCwk3yu0fxbPEaRPsEFZt/vkgRdahUeRhx yraYuq1zRZaOT4mglPE= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 3kpLDcktPaXsEPqekigv3S6MCgU5dA7kXqvs/IRe3uwxmsxiVhrEgIMyaLAYDuPHfNCPcxz1fGgo NgfX3MlLEeIb1T4Zvx9eG1sV+VY1rqpgLVDlXVvqBYEaME0N1IJIvut4Rxj9lf2LpEV7vYEjb00h l4uTCtf4kHaBIRtgYxB+NFAWjRa/vWfH5JpiMWeBeFoJakrgsH28jkvNDqz4RChH7x6USpE5eL+M 0e72nsIrsT/BxaFzYLFE5sDWq4ec7bnNZpAfcLrM7XW2zTF5/kNJnW9PmBE6bthV1yx5ToF4yKWy 1AvjTAL+ngpsXRrxZS7HyyyI8rOU2rzX9lkgWQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block xX29U834DIFtKxmZkNXoROaeN+qhGpsXRQW5lkgXGBmtZ1Uigqc244LWpDG8NcVH/up0nSEa2uFp 89d+YX4rzosdp+XGSoyVDMflmLbXD/AEK6iOZnD3E9Hy1A/nE0dVP5gNdMhGVEjrB6L7Ggr7n/Wc Zye3djeAT56iE0pdk8g= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block pu3eZHsbKr8yvPYcYQPOx++NJdFr5S4JxFAuoWL3KvXR7UJ9I2HSmdmyDDnjjSrC/GihPe7/E92d /DkzA4SAEGmkcCA9NSR+BII5h7ghDEpnpdTqbwTNyDDIXyp/HSNdx7dcuPZJ0zHtmrSi841DJmcX l8YRGZygbDPNddYMagkKitzRTisMhnQfDrl4gOTFKzg+/HEzWX+2BW+EhmXRPdkg447pf2SN8YyJ Tp21uc0Gf3c/AhjQw5v9Iwfi4C/JFLcIalKQhcwG3mrTJrjLHZoI+z0WSHQhisQMJRVXZuQmL+OH YamXx9aWxveyiUz5wUQL+KJTVcWl4OrYKIX60g== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 87504) `protect data_block MqZbXsnWeWMNvgXopo2j9kRxwDOYV3wGY1kqBVxtIMyphvdGKPodiagorMRTooD96JlW8LBaZGkq Cv6zwoVGI2hBC88q6amI9xR4IVPjefISHQModhF9r9dkTICiXrIHrXVOXkJLGhuBLpgRp40/NOlm kAVbbSMD2b5Ld5q/38Nah6Dli/G6RUUvMpBT0VR4Co93FgXmAlprePC9DwaIGH/zd8WsZPGjSqqb eKldFNA5fJUmQKOVql7ZABZtHeRD0whq3dL9UKXwhPk5vLBzKg7FIXuuH113XFc3UwPWguDhwjd0 bSEc1zvpmQX3Vxicr1Mj1rI28kddXRyCkjFFOjFIxRUNEmhXYmzysQ4Zhhr18AFSD4NmkmKBU85s yESi+4Tp1W1BWzMgE8bIllISm4DNul5ECQAADxefzicmdXw6TrougGl4ik/30smf565vc+1o2V6y dUYaMcIu1HmKjXYlII4mm2x/WPq14meBq0nhjXGsQRkz+OrzR5JpCJRcox7RBpC+zLGlYqHj88Gb M1Tgb7L8QGFhzElIHsC5sbR+aDhH5WZs47recX9qHkgzMLXiiWeeeX5lX99c/RLZncwAhw1Q0MUw jVpw/iSDhpejXT4KH0p9fFqYcJ3CZHEmUxkR2moOgoAEERFzrWuESCA1OT/M24lqqTK/+iETi4Wk HAmP9YwVYkPs2ctKvwTyrkPBmCXm1XkbAAXFUDBp+mLDFbTfQ9hbkhbcKGknfbpqCnOCRFoda0R4 Rd/6a56ruWgdV+6sx9DfaJsyWrMYzvYsGXoTHP3uKMgTq8PJ3sZXw1GjjWXVeEz/YaxcXx8HmRap kg8JIHHhd+SFTvmIphcNtwR3iR3CaUY5HsO9F9zyl/f617Y38bPAS6UqjtUX0QdbYM0oRdsSPfc0 KAlBy+ujKnXa+rochqgEEUjI0BP93rJ0lG5V5MNfh6pIzZJ1hgMMeZcGFU6ILEl7R6oPwkBrnXm0 TnIiiJOjyclcfGbd+XSnRiczyJzDQA4Ty3kNNYXdQF273gb3wKwj7NZ8QK8d4txAvf8wt/2DSQ8+ Bei+iblAuAR1kRYIHQPb7uPLM0J4py0nCknVbmC0vIk6H8dImLGL+2f00eI/DJWTfm1C4dgDphh3 mGRS8DuKWkNasQgb9vQrrs1jiGKzsjE4YnKy2gkINah5WLoys2FeBn3xTd9LvAiV6ThNlAZ+MPXb ZH+GHY8ArcwN3kdep8JAQdQm5DEiMZUXaJBmOz6bJrVOcIf8iij3c0bMi9G266Jpb/1ovFPw/k5c T0gN/KThMW4v3LjEc/Xu5e9qSlCbAIrdndbyBVc1n2Knt4xOaLcow6gEOazD/z5sB/kREiYbC5nx +mPSxLq7n3YZtBrJZjkYj6vSSvzcT4XarNoO743uIErU0AMsIiPR4ONgkcAVlaEGV5aWf2jkASxc FJiUhCiRZJu3sRENOeNSHocMZiol9tHAdE9w0DCj34p7T/ppmozb+KHlrni8931OakZnxWebtUoE MQT2Brh3G5apkc14rRqui88W+fgOSoUEHOfohvFyrCHLpze07Tr1yuZqluF6hsEpyDNCtUWcN078 dtVWML13mEUUJvAJrqfz24lcDXYEpVbYWP0Ioi8A3tWSZUoB4RrtUWP6Uqog1YA3dFV1in6VazM/ VhHgtaOIf95U4F5PId7RHARhxSu/mX1nUnA9TgI6NPlXizsN3vbCOW1Z+azn8UzJuBYo1vBkY7kZ sNJFQ9kzOb2ymnSa4fA93j4+5cO8IOIVTy9wtkjuiomZXKZ1fOrv17ic/rbP3F+ZhXyYOo6JPPGm K+F2XLGuk0x+n+Jvg/qRAZC/hNl+cbB3IL0Oc1DTK+xeg/YRoEoCagc3NMIfx+v94dHD57LnDY2r hIkKtMnAdsnPilsTN0ylgTCNR/We9+lOXw3jhYKiodniwqypvcgtJx2uawPJ72zWio0A4BwlHLk0 cAwbz48mtBKZnvgQ21xUJ/oqztfyP7OW5aBFmYcx2dYhjdpCmZbyttmZEIYJrz9lR/1z9QLN9fd7 3AhCNLUWHTEnrgtO7UcE1MHlT6yIWynBXX7psT3VcMwyXBkLdQpb1STd6WVov5r4zZ0VDen+FbtA V6/OvtRfm5c6/u2kcr7ZhRF9fjlBN0fFH7pRbPvE2wk8VKpxJ787bVD0gMEWD8RzhuNRjaJOfZlJ k89QEakMzIVvt43eGLf+349OueBd/R4B8mg+C5O2T/PbtgrdEsvCHa0T9NBtPwfC/3NsahxvQ5Ip SJHDhfBIfDtp4JY2doPMk3GN95dC8JbDr6JZivHFVwzOi+3S5TqClE0EvayFnSVs/RCZ2q8a5YDr usiFg962cZ0LfKWv3u4G9mnMlH9Wevk5jvCcLMXa5+glzDJeUevNg65h/RLsELYjX9/kSvCldsHZ 048VGiclNafE9WOaE3RiJ+yJ7OM9Y5hr8Y2s91QXWqRLV4dq+mA8Xw9vSrBbgTD5hRDx/3U4f7sJ ILkSb2dS+wpF8ZyPMqaGzMLGrG5TO7tmk+rkgQVXfDvbMXFj3qY3hsCvBCZnrZ3v3Sg6ozJAsjG/ swgAwPDbrJg/sI6XJ7ott5jOliypkrbHSCmptSDY+CZrF9O3Xu+HPcJXekdxueYFS7MAMv1psUI1 p+TnCBINuGrUtyfoRSzPl8PbVCvNW5FviR0ckhgv9fwXuKwljykPUMYPtK3C6XBABbIZuFJFHb6q t7SezV+yjOHRRqrGc/cZO0Y2d5TN4Xm5ksdAQlm3fb3497SYyWX2IgSQB+5Ca/keNzGqVggKWdNO 2MAHcfveZJy8GzI+hINy2sr2r+A/K7JZKNcHqjOQnR2P4vyrEsI2Poc8hVQVzKhCOVsPDToxgT/B vtVxfHr83U3Bdxcy5BGHIoq73uvbCj3JM+qeIcEqQb5w741q9HUZYcDlTdgyz6WqjpQaBvj0sQba YJJr7tg/GJHFMwkC+aID8ebRoT7STullJmxHvmfDqoT+ZIEZrp9Ccd+6rwTX/XaU37oYYNyIme3l OmG4sYLXpKF9yMDc5lCbGbnUtuuJPsHCwKnE/VdktEXPepQwrG5PcF0oZ9cR+j6cT8IKsg2NcGMZ w+hc7UCTnsiKaSyr1C8V+w/bkYKKx+LOUmRJgCC0HLRGcZn12kTbOM5fGLlJeJlZJqUnYXGXi+LP sxtO9q48KBGX/tQDBOOaoKNlbAdHhCIpW5UU1lbZ2eVBt20+ryQcNxZBs2EEFH1TZgkKVEpVNPE7 yQP+tDOQnG/JkKGONDTJIKR8lihAKQ0lPU42M+8TD0N9vYEoQ5oWNM3ePHiy6jRXBFGtNyofKFfs 8h+sKM4MPWyaRjgkBEqNoxrFMWKRr7dwrHQFIYK0ZVabgGa/hUddNB+0mQ2LoNFHQlSRa6RRy4aM S3XlKza76qN7ot4CouPTbeY4fJKaJfq5xLG3eEsvxji8lOlXK/V0g8aOWWdSYQ9Cmmq2sO178ZEr 4lPtF9FZwZUdr9xKwfxARfe0wbaSJFviwY117izYB0ML7tpSLvGYa2bWdJSZ9sO3vwz2HZ7fi9ca eHftClUsp1639zSZYJmyQHKe9KQuoSyUGIru1kXcCavB0UNZEnwxI3V+OXa4YAnKH+k/K/+GBbvg pt6pkUD6VuTMkhASGTAIzrDydiZO+7X5AVsbf6h+GtyW9sOBV+Bf8nWTjPy5rRjwopqDJTlN1ioh e0WcKl5jL/onke0Uyld6S3uPSVkK1yhpdV06uVFINafzi7Yz+T+pForE9uqZDM3IOyT400vZlPkC mDuKdH8qiufQ/Obctx7G0dcD5vLFZv0jVzpsCH5wf6KoNA8VGqR6Iw1UBh+enodtwAhnX8B/kQtM QmRjLuCnGOkaJbFTLs5aftFhWW0GxyryBVt1VnApFs3F4yApWvCM/5T1fl2eIZd+6mhw61mVIqUb wMWrL2zlEiW0lxXoNXKf0WJhsDy2hqaI6qF3upl1jbUM47gDgBecbrikprLIEn7x2OFKPLkGz4uw FyluWcSxh4oasCe5+vZ5k6/+HQ7EM2TzjYM4lbBc3OvcASqICqGJQjJ8kBdbdHcYc8DtzQNgmASb zvfkkNs24X9Mb2WZnkFF64P0Z/U1MTlg4P/u8Nt+gasoJjf/byF6ho4PnvkpE4o8MNlNV9WY6D7w Rzs7UNe3PCT9hTQBDk45s9wahfYRvsCJ4jAEoHZovlUJ/t4rdeMakI2HRkQg/B3I1619rbIGlxkD S6UYBEVxzL1U6y8Q7YFfEKOYJO1ijfK3JrKZVVz88+swodJr5paRPlA8tf+HDT2Z++7fNtjvKevR GVDPzvSZfOyVKU5V+mUZ6l2M9m5brAzppOtiwK2lcY/vkz0re5jtnnmJb0ZqvoN+IJKRYGyS6ru4 5HsW+K/2Igjrn6Q+21La67hmv9/oqeiJW2gyb1YYsE0RWErfHNeRNxemiwgrklG5AV/ztPEUPgXv BphWWAeRdPYiKBjEqflTVfECS4yGsJ3ipX4nNjdsg7B70jEVFO2NN9/TZUwmjjHL18gE8CPebPpi Z2XTXVj3wcpbC7f1xfHFIfpbVMr6MjurY5QN69WYzBI4e8WDcXsPNCOLsTsV3H52OI6a1Q/Qa56J EP5QsLkUsquOms+zmV+mofcsDtuGJQSd4l1AU3Llsye22zZuUoIk+0UvrDLoWfPdshQh6yqtWhjv UwSGOvr4l04dIsEKsWyiES1JsMqP7DAwz0PKUqdI+X5oOn0wzIeEH7QG5xifhMgvG/Ww0UfJPxXy 69C/+FjNIjYS3+dMj0lrdUOKb62WG1Ps6zGPYmcDDqyzaRzKdIRpSsFH+dpfl/MbDfRhlsMWUMey vsY0VcpKGN32UxfnvKZq0RBX7ZdKjjEIZ2tLOFQxHZ+ar7ZFTdFfMRBrUzGtDcAk7n+BBTfBo/eI nVMGlOVNrmXppanSx5gJTJRA+0/YGV4SSBZwHZc3ouOZSEbAHPHXkgpnPo9U4KX4ARopWYHsrSWu WkHbiolqO9YeewxrPeTxftGZaKpYExjvh5ODS+oVUbeA1vNeXh5bTdk2F961rxy7gs1HzO0HoCbj jLDVPkM5UbucML6A+CqIpkAl4MVSWjf6QD9oBQBHokIs+I5fuLc7Hv17tjGRXXiPtC8LdytEw4FX pQvfKu0JLgCzFjkceyfzz0PeAcVJ2hNl8vge+jNcnrOPkdJjmQ+VTuWNOygbrftZ0byCjmRBQoYR h95HKGkC2sHkwSD95rziFqgIrA90H15faglHxw2n3R9QeScRmCj2Lgdrp/4SyE/U+hQGjsn27qSD wyV2SmPcswEopc6h2bym7flLzOwgyxeTDftBaWd5NLX/hqoOOmocpOhMJjzsFL3ssN6IcGBhF4P/ PSGHYYX4TqXnOOt014O8H1+YB0FVTwtWGF8aN30gh0pXbnd9UzDTHcC/Ww+S/qLxrQq/Q6oUJEX5 XOOeaOrgaLB45wYW7AovvGJHsGdft20g1hd4tPYTe0RfdMjOGl2EYOz0TKfFY1HWQn7+BBDFtyJr lYJ7P4v6lIvQIpJxky7Y55AhOiY1z3HMZ8XzRTNTmTinscTfDR489r8eH7ektAw8hCVgPKmnr7yf ErVP2i5GqJDhNA9AacWzoaRVsNaAwwOoSboeuTbJb8b2hp5D4oPyVbkASBvDE0/eRYxC0k312oRZ xsPrlfeYph9G+D09hmio14LX5gXxw7J8tCcFGZHwf7E94ZZ7gFBGQiuBmObmNfBxIVW5VM2COwWA M8ZmqJaejUolml/ZRRzytYh5gRjZR0UnG3RYYKzSqbfrH4KTx7v7emEQuGcSSyzvwdJ6w2peQzGe zpIgnaxWT2wgdqAQRt2U3KgEuIR7sCxyzY1XuyMUCGNI4u0y1MGdYVhD26P1a7KzB6ao4cqUjtID 8w5Srwi7AQYRfWVy/Q4tEIKAv05i19C9E8PVy9pXV/AP/ylq89/WVbfkMqhRYY167MzVpNlGdj5x aZLQ/G7mrJWPmN3sdXBfnJbRF0DbcLoHeQD4SyW4Tn+lgja3NdCLYtpO8ft3/2TWc9Cem/pgJreo RXjqsm+CYHbSPPdi0sR8N1BNQZ9Tvmk0VMUHwzXFJDcIOOR5Y1FPkS/hmRjlU0nfm7iFDiGiRoBL TMMYaqXoDlEO+KIBro+WPRE/xaWhAB3cLTRfQFVp4KxoNBDHrH1eQ8jmllEc2qgNR/AMSftd3I9m ylU8u2lfS2eIA4EI1aw3JEGCdk3eRxKC+Fb4uNq2wxAQuy4JLhjiK6HHxAcFEm6Bsca7E+5gaXHV /yHn8ZBeUtrkabpm8OrssST9usgOf88U7ryeTqwBY5XZhii+9tQfQUM84cpj5LNkTtEKjSQpfr/A YiYs6s0CYzc3whw54Mh/hvIAnEUwAL0ws3eoDCvJWdBeRaod8YLufYGhKxwpAWfnyxcywt7634Ws dO1bwEhE0AK3rM7sKQgFdh2ML1t0p6bo3eLFfuPl22FzFLY9HETx1kmDDqdX2f7em3u7LmXg64sF 59gKewO0ttockE1BJZqDbbJfhdgP/UP6NvtADBuCzVip6cIXsokIcyg98ev80pVuz3wku51D+VJ+ i34sKYo2isioaKWh4pXQeBZ6NlwHKU4zq/oW8Gkx6WI1dqLb57VLZ0NcwAByeSp8+f1V8ihIbfvo nQqQLPebH0Fs7Le9x9+dieUwUj8x7VVqtgLN+dhdCjEuR8W0FKSUQwofLD6nApMmKvR9bWuxkzyu 8SmL7hY77h8B5JrBWST+c+9XtiN9UynRzs6MuzDQ7GXN/j/lkSjVHNPqlc/6KtWuhkXmrZwObyw7 fd9Kr9LdPeoiw/r9sb3f41WE5VLCnUVW2ZOW55g8oV6lZD4TiWjCgkRtsUHIZPOK5Mlox4S2qEbg SA4j4eZKpEa+aVe+CjMTe7cVp2/vE7kjE/U4QR1/5gtLfy/vLr8N2B8MLsKZQ0Qyc2pNwFbAnZkg 1Kb/XmP9a3w3CfuzsEzmKTlxz9g+ptDg477vJPMBxxiW9KHe2X72057YeLD8QAMGKCEpLoJqMxub sLozGl3wcRsKxkBwoD2Epe4dNgKpdeOUjhjUr9F8ixSYGJz+4LaWFtX/GN/Q7QofnCPm3HhqkiUi o7MBvr9yc0rMIxiIzhi6AEyJPcAd/pWWAZUGTeL+JXnzVOIwFFGjOkPNUnALZWlvZ9fBGri/2SQS In83ctTS7+xxDyBh1WY4fXX6zPDDAOLe2gMaXj38vwbvgzbebatomnOXgOout04FNNWlmz6Pwhxx ww6CNBOtXTw2UwfaQntCIzDT85wWnnP82dbd3AfrNQ53TEUnHkaZOE0PTe0/8w29T6RkhCYfdkc7 aI1d6SpX7oXJ+yB15Ff0ZngOBpSt0X7gfX7lRLU4NF+mPcqG3pOQnCiDJQOXv/Mvg30TqXBaFLR7 Krce4QRA0PH60W0CpSEgF1EpRh5S3XnXZurKkzSegdTzChLOU5c5MKzLm9aA3caijmjcgoY6Yvei hLRBFbf482E4RFgn6ARTgmAnmrHBQQvY7FLHfCWz7cDMoBymS7qqVSicwpg0I8yacMs6n1iBWex4 TDlDzR3Ap6eFesTfZqjbvqyLbB57/UNRzWBFa7vhcnFScjtzOvKqHMbm8623IXCmVVdnSZO2KH8I GbHMZrxn8+rJlre2VP6vD2dvUqkMSc4/rUYOq0Ei86rZxwQPJ7/WAWguulk/WeHoVYXen38TRo2W UBx5qHXFvS4V49Dw4SH3VI4DZhyYmCdB/qXB8IkphJmDajFa9iAp46Jspl3qfFag+WDUehzsLT8+ WZE2TXWIAxZM0cRoROPKeAD/RS0/BvC5/Ty3L0u3M8woFBBJX5bMqbxzfMnww4cB03svtVwIICE4 0ybbhxXpqRon8bbkaELwqluq3S5DQSF19BHpzZwXW15PfA8b3P5TvsUjRd78KtACDc3YmEW77rKG ahl2Y/RUiwN0WsLaj6+lUCJ9A8+h7sOasAeOQwM8oZHX0yPOOkFbXmyBakfTBcQDWisuNAWxxF1P yAPIgxFTl3Ea07ZFOPaxPIi5xUZpDZp/hNNLsnxdATazgVDGQcyEb9QYJtoEr0AEiI2PkQ+yxYAF 3g55lDACOu+1nRXN/4im6GVfOikYiPZyYkSceeIjLx0ph8JCP1Oby1Si9AIv1oiCf7nY0eVbNdya xCJyHt34sf1YA6ULHzMzj9hKSGSuDiNaeTM+E2kiVbqc7gylE7J6gFvxOKmQ+GF3UzWaClJ7PNoX phbekkrOzUBgBLcv6Ivxk1E25lXm7d/nMU1E/whi0D3wVngKZAZ8YZCgVl3HyO2PfMw0kD6S/+0L /6kvMkSU/ftGatrAPAIkwaDrcB+MWhHMFww2C5bjkEV6yLhs0apE9IeY1AYnszUFxJMuJmefBLAX YT6yEqog13DFG0ij0GKqirVx+q5UYx04awNypCYsfbBWIzpzEVZpls4kHcsY682PZCqmV3Uzr3CG fsUpr+tjPJykgXn8DByRzxx3/txD7n7CuTn3KdOP2LhQN2p9VO3IBHQmUT+zpGjsKypK73Q1goQD 0c9vN2KbecRAmAfOMoOOi3gWm5XgPbBUDWqjEGj+IkGWP0+P2/5MLkq8PWrQLGbaKF7NoptGuQbW j80wgztV+kryCapkz8uN3b/vhkyaLtz5oTD5M2jyu5v4pdBfnPCebOF/iQIdudup0/AdMxK0C/YY xs0I4mkoXEI28yRwqcLnL75efhT69vkRyEYqM5YzzOXQ2c8lgSmAyLR4KXClNUs6B+8SepRnrckN 4GIEW842zy14qTtV1a1MizEWSNuL06sFmRLc07Qy7lK1yjsyPAYH0EbackllBID+YvMhMXwCIc8F pJpk7CWm2qaemwgr9ZHnj7rti//Ov2ASi1zlJyid5JJ0gm+/FDiOQK9oV6uMjydic/9NWEs8k2Ct sGVaAJp6/7T3rfpj9Tf2SZSCKcmq9kNjJJG6udwrA2bGUPZwScy5sDQ19wHfy8D/NNTT5Wjf5S8H e6R2NTIuUe0Z6QCVhVNPZvfCnj77r9qwhzEeDFfn8bOP1ZksuvA5Xr5KztpoVS4vBhdd9DzbJ4nG KAIuIF76gC/wBZEy2M30Ke2E6IchXU41/kLDkfBcmcM3g978oJddfA+Oy4cXa7QYvb85Esi2pm5g zbrm+0l2c/NF1rUDuH2vzT0tS12aIxcFgljyKN1dynDCI9WdLDJ5iM+9vVH0ZJjg0/enSKcZ+op4 7fiRimOC9CXuFZr8DuIVKPK1ykqZ2W6EatdVMotjd6kdwcnE/rcDh/81B5M/qGy5NjMdCnOr+kt0 pVW2VywnYlgWqmAyM9bvGr4a8MnD59OqisEh+bsImUYk01NX1DheZYhPtDTQAPQ2Azhqo+tby9fE Z81WJoOi3kY8PBZOL3fyUBiNwPJfi6JMcYDkRM3MQ8Bx4jghUdWpqzvuEasZ48ZRu5tlayoEfROa fhKudC5btBucxmtmkvfgQ9NP7e7JY9aVdDCLYn9wl7JlmoOEfrTAhHAhDy00G1JKeWPPuSIE90n6 5ooGMtD2vHjY+sImoYvXyKXFzqRNvoiETSi9Uxh9W+sPDZrJJGPFsftODyMJdO48iqibLXxpC+J2 R6DGJgDi1OKuNwZi0kjGo4PYNLRZ4HXx1SzfZy3ftQY5gJuK6s+WS21AEjq1pS40Imgb8aEiGaGS BAbxL79t2lqFcLZ0QcLRBqsPjDuwoshupCqwQTi37hJzFrmL2r+iZPXnpRVOGXcQ1U4qFHYG6AIU FQ+eXzYRPO+opxZw8RxHCNNzC8tbOm0PwQUH2uI6fZ3Wx2sY74dvoz60mZgFXWYb8xQ+Zr9aJnf+ yuUeLOq/Xy7gpFNZ5kG7f5OOv7xf2/9Q123mmMtoqQHjdqj7EXAGX3/nbZv14GSn8VqhfukuWAZY Q+jV5qrbVJDBl3pj7KMbRN+iZj5rhx3ImGMhOCAFcQvfCY+m8/i/cfPMnY7p3UB8mrX8b8l7awN9 U095WTdFUtnO7zcbcKbEXnOSSF2IihcMOuZcUSMDiXNDToiB1+ujqN1bh5B4XecXLHBBJGAM0Gts 4/YEjdSJTcHUCseXm4naQ/msSW7u2CVeafoTzoDYJIzpVPnpLrNWs3WBrZiEQCTO5RqMevfz+QZ4 NH6JkpXOeA8+25BlRd7MOJkevS/eA+BQnlCtLa+S81CkpJMIKvnl7zSDiueCj3ZPskAQR2BnbwkY oSaVNxgCf755sPpo1AOAs0757SG24d7/u1J24D2SFf7CgBZfRUd66RFdEStYGFBl0WOWEyOO3KTq fwEAShXle/jvFouLh99LtcjJ0X8wyKm1LK7CG+A5kBbpZBoKS1NBaSBSGXAcTQaHnfL49HG0yUV8 nqZ8NjfisfF/TRbs+dIS1oyz3Uia1d4kYTaJlCjIjtDGVu/P8LvMkgMJrtoAvNdlKGEpmWdyAGva sLpcEtHxSMwRlePZjbRU4Sw1jQzvB/7L3FgEKlaeSH+raTS6jpjFh2r70rMOT/yH3M6KndVZBT/W sVcjBXHZUAhYeHO56+O1rIVQNOtvWvA1cWvsSPfuImPun3cs6Mf1OPEEQc7AozGxm/U3JYAaKIMa l8XEOqhn1ITcRpOgc6/Nb6vnRzBTU9OMuAGEp6vHvfd/mLq2k2PdqLo4Ujsajw+rEyTxvR54znNf UpQ3XP5+5EKy7LIaSXUuiws7QD8dOsXPewZnfyUXfDYQgjd5ZTPkf9n1ZhPaIT/OFG9Tq9zukB5i SJsUV02Xyh3qKSX13/dpoNfVZaAZZdxkhTXhH6zWnge8HsAMB9KqZhJDupxr1CR1jABkrc4wS4qV zWkbbM67SMhcwCQHtgxkD9V+MxCneX3uhbF4F5E3NunNdlg1hw5vRrJBrQTs+p1qUSrrcCfyZsqx itExXHvJ20kpLbq+v4iVdXBYgpKI5ilBpmzaB39TAWhnBx1j2Li6EfIJ3S5R12nOnvHsNWk31wNS m13HMCLzeOED6cu6zqUfMJmOFc59a1wt0DArGPyfrlZl26NU3ZihNzES2tWK4sw/RlKM1I09n6zu KS0iSicCDQOtB7vzTWbJorNk215lt8ppcU/v+cS/FtkpfqNLBZ21NoSdw4G79UI41jHsMqtRtt+z qMZA+LAEP1lhce5EPzwOj44tdYl627ECyZ2wsqQkvQx7Bv5tuy1gHJ9Bv0EfQxh++Z8bxooNyd6c +kgzSdmPTOsEgR4RiJXg2w9ZuruHapYhq8xs5ZKwx2xQfIKKDkAZXep7ZMUH5rrTzBPG2k04oURZ uozU2nWly5/GvmAREMI3GU2es1zJVBvphUkxDbWLZ6FyVwD5bj9OVEGd6NKjJ1sg2JhKek4bNuv9 4DM63IsZuvTq2QEsumOK24u6qsEJYh2PvwXRCxVzAIOsvakQQvh5TalPHS7DPVLYIN88tMb3Lff6 Tng5T4LNUmFXh4fbggbuzTZY/vOXHEI0WRlNuSYgPsT17/ILEtMiG7IWCkip+0/IME4jnbZ/xDZZ zXuR8VDkhoGYvuu9WbdbLti0YOt8oDQR+8v6SEarxq6EOAeFSBmBIbPd8qFFOCZjTZV6+HzE688Y Te5v/g6hUr0XtyjV8gXbnvGfuqqcb8WvibcpyZd+EGu4tmIbx5SLCWHKeG4NAmGziKFyIXtviPPx /KmgayE4/yxEhxSVvvo5ID8sXOC1+cOd84unSpEeLxSvMm4GAuvssV+jdQUxZuvWj+WQ23fAcsdv JC2j++RIY6XI37wqZ9jI9ROoFHWzMMCO4+R6L7VE0IQo9sOwDbnzB+Fr1DO2sCEsid8afNf1mx2M ul+IJW4eyXYksULbGujXaJ2xzyFbneHG4O19ijbUZEJnIZWx22ZHheXacsEzrWHyOim55/224m1O H0qFPfBbb5dWB0H0OU4HuOtpTSuM7ZKnLA0XjeH1xUVB2WgsTkqeZnvkwec95cfhWshWtaBtQywD lHBHAULelTWwZndAC9FAtQk7f6x7HYiQRLIBQFbp105fn8I3ZgHuJ1GPq+1DA4RnkSEm2Td67A+3 M4rpGCZVJ9n5m1kKXlIGczd/+FrofLISyHZkMeAgPH5noYIzsoz7e8aYtF5lXozLEY6vDeayQyzV u+V4P/HU2ud6lpmXuUGPmNRH3d/gwg2su7nMbWSlAW8lJz6P/mu6ws/BljGk6EN9JsOCoOvcJtU8 fOtZaDBOf7psxAguAfnO5YvCQDO64ATd0fL2uLDiyzLUKZMWfSq+FEOAC6TcwtTuc+V50KEgvoQ4 8iYCYS5iG0ls0qkPd0obga/u4LvQ4d7o3c2Kg54XPc3vc6EQm6qc6mfQKDkIRcbQQevJPnYFQDrN dAkbxzkpdhL7JXLl5v3wI7dWevqwLLkFXFww3YhxxooCO/xWtmI5+a2mf+7ZM5sB3djw15z/nO7F RkAX3l94q0TXqnSl+MGtGpPz1X2Tx5oY8xsWhj+RNTqajUdpTs/ft5G9S02CgQWD9EuceLApIOaZ dKxfHJ9yR6hUGIIwdR6jiQTAmIk/rBXfpoMRaR6oyhYkD0jwx3TD0Qk38HFb7OLJZRu6POy3Y36n XKfRGMvKsq0bi4CG2ucRVeMB1s+30+jrF3agW6JCga+0EcLLx8nBnyGSnATgcsZ1bPWBrbVApq1H hy66/VMc1L5EEcOYfZRmAEYxA+9m9/OzERmLVD5z5qJcee/yqNNCmJBeMQMBIINGbIXv0mdlyxJX 2EnxCSbGlIzfyWfTpulzulV9cGhRGEfbemVVyz5QiOoXvS/cuG85nHgWtT/RwGrMMJPGcq3UgYyQ rurtTHyItmD3m7rswRYY8aqc+4p8jz1oPZ6dBpeD0qblg4kJZzfyUwCjAGlAZeGdvkkDeXEoG8Qv hIznMg8z/GBtDOL3Cni20FpggAnwRnfYvkmsQpDQJVYgzas5ENx22XV7P1TVV93Wy6qQeObkaI7M 0Zjh+CJrPUB3VgHnW3kqL91kfZ43xzi7suZ4Ha+SeeAviU7o8YCjJ8Eb/hG0U/x9RNUTAAwv5u09 tXdxP4g9aNUJxGK5rIj1F3qCgtmlAe5B9MzQQg1N5oHCsCC4R0w5R6RdYD3/ss8xIzoNsuFpJ4kH RryyZFNdxVeqyUGJIf0N4o93/xSfEeZlBODEmfB9P+DCRkzIiODLrayLsiOWb7hqkG4zsTO/sxBA yYTCMDyNHtti5v9xApH3H+XtX1PIEAa4GQMwqu0rys4a3GLpyW4RPbk/r3aJhLNoBGxmqBiRO92R tjOkWKI9kxJwAKINi6RjyyCauE5xtjhPjTZwwTN+RcszA7Iv+N4slpWz0WJcNdUHt5FaBPLenyj7 TdQZdknhqh2+Sdher9PtGxARPMvlAWXKA4/2I0VdsVXQhEWaSO9Uf171Bh91W2jfyQD+KxW88qbH PbNCIeCK0wwTfS0TiqkoghAJHT9n93PlrvQ7yuYsoD3OdlHlIRJmDYnBc2uRuKAdXqwGqtAsJlSl QJwfSqlyX2ayfNBpWnUNPeoxoX9wEN/tSRw+zbjG4Hc4pnoni2SP5OsbVQjrXRe1Lxmmrdrej99T /ywA2nRb1rXqMKzK+HWRYyvZKp8IEm3SJKDkcA9zmg5lguoW1hUlSbkxLWHWdF9lfpeoe/A9Zz8Y YIRBwuxT+WJquyZrzTevcRd4ly1UlrgnFU6+VU+dlAAzbocqJVlj6Rq8ppoIUhhH0v8Ce/k4wXGS 1aCPHPx0QHNhmihLidKvCxL7GKx5NgfNynJELgb2PBzaUOgs1hlNL1eJxdl2DO0ZvA7P3CIF3NBy ilx01H32JslfgO3dglsd5AFLTom1iZb2KSCZ2pZ03rbD8QHdLnkXLOHPsRuaCx9H76JVXrYiEBi5 Brs1xf3ZLX4k1wIR4pkYgW+aRo28wNbER/96zn94cis9jNc2gbGTvEWtIAo577PbeWAs8C/6BzW0 gnF6JHWo9ekiTVTH5qyrng0hfLo2XaP9wkZqf16wuXUAizxRfAwRfM6PjDAvTPUM60RRiUGBbMs0 +QLXM6KpNoIXsNbnBOduphxypZ9oKjV7Y3r4oKMRjfBP/oHA9e6fyeCEJl+nXf+bluGwVDxXTubD 5pNpggzkfUlibJ2NVcmUt/z5n9lwhlWgXPd9yFNvm8FHyZ2/JwKbZde62eua4t6RnCQA7VXsO1RQ DlBbvxFVbOtXxi/4NmZBErSozgvp04VQdts/BExjrP1xR9XTNrf4C07tH4inQ3tTvZshjueSDOQe iG2NUluLZYQCw6QP34H7BX5RurGsMDUBQKfFVWTBqZmmesSX0Zo3SiI5ID6ZjhZ1Slb4bcBBHqkn Mh02nxZply3TAW1XcdIo/nL514NhZgOBnkAkjj5cEfPRrHbhVZD4WH3WvZW0fawpW0pa8MexU/l9 QjA9l+oCGCec1rPEwPd7eMV8O1oL5TPTEBYxXMxJT4JkBzCHIKqnLbDcGw13+Kb9TFMdDghwsJH4 XOm8TDGsrhZekFIVLNG2VHd8zH9n07L8ypRjddrg71PL7nYpq9WKRL+G4CbZu5rwMDErudJso3+a bK+Rakei9wJQOBcAIH82gh0hDnofyC9KoIj4yTdm/BGtVFB321lA5x0avLeQfwhDBD3gNvH1I+1B iDHEoWEzmhzIfnL/Ml1sj2sqRgJQi2D6SqIKjQFy3qDWzRQdQpTNo40gVFCgLcUocM+ulV5nnWhv 49dPayOdSzkeTZviXj6cGwDpFzT4E3NZG62AQPvt0qLHM8kGXt9Lezd8j6h18EfPT6Cq/PFLn8zf M4qNbQBb59RixMIK0/TFtoDB6zhYhKdR1BtFy5GOe10qVy0XbnvXxYsDmzQ9vdYemsJ26eXA/evF 43hhi+RMJgP64Vm3sYk4Q9i6nqvTkm6nKQhx7vMrAHWv3KP3jHQ4W22KJIVt49eQUVnaDxOiSLFM ueiPMjiePra9PHCn4EwTgOqdnYT9Cfu5p3fy09xp0x96re3zQsgXAwTlPrI1EvR3IEh6wXSSg+o+ 6AEUcmGTFNs1u666C+kyU2pzod/K60Q75TYa4JT0BWXzx+Gqk1E8aCISl1DK2G2ztq7i3SSYHyY1 H62EpAyUBQ2wBBmBDuHzHcG9z2zkss5dVyXJ9+I80Z6Dy9tJVBhRreXfABD7qCRC/3ylNOFOr1ZK UIAZiDN6rAQM0hI3nfGcodjdva+3uYrlasqcq9i2/5W/BDjmhYV8gVOEWQkkgvQxNKNVvMDk1sAr OSwzAGDqlTLVBd/RR6bpnv9G5oSPEeVpu9z3K2SP8FFA1hNQvMEcu7xrj2bq5BeUThwQzbFn7fcw n0+e8vPXSPuCg4zs6x4n8/FwHjsKei4WHY9/raaOazJqmU6Rt17fKGeMMmg7SikxWnxcMti+knpr 2LCKdnKcs/F7scfQgNXWYzKt716j3i489uvHMy84dOYjjb0FFjMo1syEShhdG6t6zCjp/n3nVY3l 5SOTom33uJgn53EYokiDBI9l8tmHhKvPqoaOoP4HHXeHRVi8HQ8VwYnF9jK5LpdTUC5c9jrUW6GM B9egjNAUqsNIqCg7IhHEsRuH1CCfiTyOkIHevThR54Xp4O8L3PntC+6cRFzrFiYUr9D6bmSM99lC RcbdNppAc0EBjATidZfZMi4/FDAVF4kj8aTzhJauPZRXqTBx6K+LQcGwmYGPWy1EAZItoob+85I/ okcifXeQYqAgFeonsyZvwJjV6S94dGeUgRFj1hESYFy7kRjoJmCWMwc1w+88rJReJhkOxK0YY0uA MNE/NRV738Z89BsW3hnX73iphY5cWFHLE8atlJdyfzSt3d0j1Oe8Vce3yXKyuN/MZbEzPbZ2KmkB NDrIBfQqB7N7aaGx+NscEX658CgR2xjGKi1yL7/6MtgrjspBjnL7UPUWgmqciSXme4C4sUt7FigR pct4qoU7im7f9s+8jXgPjtj0NaEXNNrQJMNkL5fT3gigVJ1H5mfQFB3v90TiJuKS2B33uq2jSpJ/ t0i//dW2B4fVC1KRWzkIF+a8AAu0wIpbdvB9TerKTLvsBFqxi9X3+wVhoSKcOcy9bShxbASUV9RU P5FHAs3g+YI0om2j/M3mfdoANGgMvPRj5wD3XffAhwbd2NWkkIa6aL7Y1+BSMxUBkM//Fi8FiVzB jKT2ZQGnRjYgFS5IqJbo83MBuu5tU2yGCZH20jq/02krij3VorXJsHov9HR36kigQZOfuVHyKYT/ yQN6Aqa6Dxh7zHY334T4S3lowVS286zlA4BKnHofslCTKDE8HcyDazwvP4OVPhMgWPr0RIoFw2xc cQmBritbqHYCV2jX9CKeczVnusfd8+SyPHbimTZiLWvbeGfL50sh3TPEWNQzwojzasncu+sBkcCS z0LPAfFkQOH3hF0ERpYapFwRjJndhRhKgRh0KR+8EAWG/0E1slTUpUnw7RMi9IWv/Xbx3gj86VlR Xy4i6ArZYCrVq04QiWT7ay/ED25XYnEYuk38TogFLYxMwIwSMw4zzBUNHrblvW9YRsGQMuXTHUur o4oDxGUzYcLuUj8KKcpyiBnxMKlXIRL4KxeXt30y6fYy0OeGW+eys73d67MO8tBRb1YblJ17fHVH 9BSJ54LGwkDVWXVDIiWbTM3BblcPFO8eMdCdnq481sMJhpn186lho7PR6Yn/OFGFijwIbeyq8sxB txvHyNI2puq5hJisHVmPLDKmO8XIpL8GHOgYagSWgA5ON6eLT7W4+767jwoOsKADlzkkGIReSMnT lF9kbqaOwG98OvhEce3SycNM2iApRlD14vJuYt8dOCkfmPLLNgiWIVAMid7U3Wz+05UlArNAn+AH kRkrQn0me1pPZ4JJxVhpk58w+eq1me7C0yk1daZhqZbxuYfWINBVTd/aFhOm3A9bh24KE0h+S9bm /WdQ+++Bnza3Xb14niZy3/vFmmqaMxiQkpGGqHzPN5lXvYy/qb+peYaSg6GKxlXLcjAQmCcVI72T 93Rvj+FPDoNOEuKPqlvd0fyjhT3ivhvzvxTMs+W6s8aI8i64Dcz4O4itE39qn0GJ9E+mg/OYPdE5 NVH3P3YApLv9lTmI4yLeAi7J93CkqNXBQcYeCZaC+bZve+/yXxDAdplqrt0IrI0FGq+iPfylMFz2 oNUdu75blBEnW5u9FLk02eecHC5FTpoznpGxTQMDCdLgkKovJf/N6p9Hcoyv6B69UA9MgHLUnVa2 axrZWmmAnHtVsZquA1AYyn2Tk4zsSPDT5uNKCI4ayASEf7puXfwNU7pvzdCDxNEePW6s28SPkZ1m 1HKr0uUiaqZH2qk7yQrxEj/6If7NiPPrIdvlBCMNvOFPwiz/DHqGxRPvtQjUQmJI2M+P9oY+Ujdp h3MeBDUv7MuNX0Lcpzk2X2wqbhBzA8/f/+gQDG0SLAuVl9rZUqfFoq9kNyZt0qK/BEbR7RyO+OYt 42PdAyLrVJIAP2ZRRPyMDR7tmerKs1r4liH0ef1/e4i528ALTZAH9QvhawKkZ7/gUG6yCI8Af58Z 1gLN+A7Q6ZY9R4+pSzGZx80kFPsfxAmV05FD8l3hR44CyZk5p3tuGd/ZdCuGQ/3/vyUGeKSvgEei V/0M5vPB9Rj9FRgPX0k/2OOIQUug+9Ksi5uG7bKvjVmxynIkrE1QL5U/yWjGQzWjusLDlBj3qjtE +rSXwBjaivz9u+2WGx4Hgu6YjKXnlIc4zQtWd8HCSQ+Dr/sCFhzB8h1LucY6gC+04n4Hn884gUvr gsIJFUJ7yw0SvZGdb4j+zrJJaAQheb2d3dobLFB916SUqIuJnbbpw0z27MBbOzXmlD5vCj+AlUmD 3RCWlMUXVV6KX+bhCFydxgOgJRS/wy1548X7Gnw76XTRB4riI+BaD+0HUR7N91yERMfdgi9k8O+5 rHL0ap6adQTiZXRtWGuCccw/W6OBLNemt6ahPgP+fCFHNxQ/ej7QMtqcbRP3JHpOtGuTxcwmEEW2 ldsCdy7dY066/0R6VNt4dWHMvib3am6f+lZlD74umEWXe4En7T2dgHEfgTo1idlr7VGcr4esUHmD o9QuO0y+pezSGX7NM89FGQEvRpWwerWbUXQItG3RjvrBFA+h7qXb8bXBEjEtjgvnFQhOLfoQ9+5U O/G1TY0jgPYjkAsOLXc5wSH54jzklcF6NaslRPBq/+dma9AnCbyuuYCOKJzFhj2cD2chd8z/pUml xzdgazh8L+ADRfTsjeDe/kxFCkfPsE0ROmqGtMP4Gu/DoA/iKnIq6EtQVdr6ELJrNBS1GIMcxhkw 0K8d2MNnig+F2k60FRhrS8zMz8j2lZBLcViMStam+YZAIdMOHa2DMbpepn1LYlx+IbYqTVTZwle7 enjqN99GrpCNCpQg23TENga7xCsYcDDQNHgkhVSDQ4jUnGnl+A7Hyz6vSERnVt/0xHR3K5y1z7H0 U5iTBY2juobiWEZtOetPpoPnuecxt+wnCDMSomB/4l043GRu4th1crgyGmEwmYLp8+GYkaXafVPU ldMoG2FFHuHoHwr+2Tu1jJsY2bFMEdJBStDhSjhXq42XUNW3vq31NBMOoPfT+YGtPAJiwHF/xxtJ tn10tXRzvuQTCjAk7muytPkjQ5hHtkz/bDewT6Z2fegRx2yPceRO1nzUfMK2ABmD3oT+Vn8SH9Cx hoBEALcd3YK8T9is3t17q95Li6F6HGYVT1+MW3y8t2JXjhKjxQX5KMhKvwvtoZ6EmN7vOIpSQ2ZM g3q4hKvOwPmLLwrzDYlkhjIU6Ut+B9GVGktB/C+K7853HtW3YqoSO0ulljjGzgU9RPeoJ97GPstd Nter70uLUAneLIUyPFHyRb4ThDx9S8FDLFm+3aXb6X9tyz+zdg208x2+R9C5REd/bXZdEX4P77YL FmSqvlwHct/IUMeQD7l3dnbY3umXS4uqnC4zlHUAEi3Hc2hQBKYqflPcBe17S4iQU6DIVQ+GdcoB Dve9LRuht2NeD+lBvzbNMSKf7FFCCaw8VZR1acoBMonjeKZNJYjAAKAwOZS2eZoZrNMNMxBPI7hP PLMibelFAupm8aeXqaAkz5T4nU8/Nf2GW0cMEKjqP9D/NLpIECYH8H4oaEsY1hmIO+JigXUda4Yi q9KikVcbMeS+7uwGPftecih+WAQI/+LK++LKEIMXpsUFXwL7LXEmId6VZ5ZWBpCokt1Y36L5U9/J kZcJEwfMHQdcrRKO/iBWtd+ebu2tHmYGuoln7F4kPvppVutp66k2+8MCQXXOxS3+80kn6oGqCG1u i6A8xbmUEYj04mS8FEpZFWKPckTAgPdgXG9gJyfciYnf2WJOo0RnnBxWKkejZb5OGheZTE3CzBQb i5pApzXyYow1Mts9/m3Okl1pc+FRFmEqnNNAB4/a7tMmvxeoCr95SWB6+otCFKZOVSk1p7HW+0gT oP2odBmOIAr4mWQSbdTlMM4MTlw1Y0BiHNR+5MMd6aSRtitBhjRdSL46MKHw2gH34Vktau9BlJAX 1/sMfAjD73gpoI/2uUrPGFJD2vbV+zoBtsH1xUDdbDOri2SMeTHVArbshx4rRJ6ywIM3j2N6pJcn JKOluubgu8Bz2QJkRmsYXKuHLEYuVe7+Cbb0m0P+bwGqZNh/MIlX/Wh3Y4Ej1o9zgti2zyBCdT6B tGjOrdpCxxGx0mtIkpIW1DSQMNnRr55zG+da+myWv01vJReQT6m8oyL1Xg8M2tC2gRcmNROiPQZp nJMk/8vcPeExXhlGhM98Ljg8d5BGo1E2BY+Z2ZR/OMlXw2AXBYNsGyQmlKwGidLpt+YsZpH6GbFY knS4v2JyLCObStejTqJFI1SjbyrEytMR2spCoNXeHYvoVaUzytfN8ps/42FhBFbgb7RN9+dfHJWT sVRZCvFbGDT89Va6toxmXGKz3rib10tSSJzuMhtEE8MPYn4h82P5PsU8KwYNR1O5Y5agVZ4JXQVB 976KJZLYUq5tQTtHg0EP2EA/+vNqueIwx7CgGbBFjsXmwchRXah6zqRtyr7DYEKx7dWCJND+Y43E NB5Mvjz8MZECg6+QHR14gmrAIgR9mdi9XZTr9f2yHu+VvcHt9zBBAN4Bh5lSLqvmJh3XviJqdj42 pp7GmckCqZGt90QIE71onkeU4oMKE51PaNPeMI8J2qKoh5mEs2hoPMlgZ7Yya5bTtKyupCtELko/ lgMpYckA2FMNQNnG7X4e4JYQPfglzrBwPanPNt3tom6XwWZMxIc2oywTnK/Euf4+AHthawktyGaf 3VW90jz/1kcv7gEiEp80MAI78PknwOUWJglsDXL+nDnJ+AoqtUpW6pmUYjI0T9o7jskesarl7lvn Xf1gT8hcrNpONPsZBdAHqwlelj4pwq2j9rCGMxOjOJReb8CXR/vAzThyDWcQCiIlDIZRzycAPPM8 1dbPRMUdeT0wocXGxpY/jam9lf1vJQP5WLV07HZkJpJIAa8VohdfC5Rx1igSKDiww4RWiWMtUwTP B4IddP2NFZ3U26gzg9+KHYq3QHxupLwpXaloPM3hHcG5w2fqApFnaE9bUS+1I3jhNd+DrspwUNoc 40lSKwLRHap5OW+7VNs9yiF19V7VItbB+Jmp0YlSgqRIlWt5SD9D3144CGSuK8NrdybYw6ZcsKIu MpAwuRXM52VJrcBjZxI6Uix+HexMKsLJ4w8I0IBkNBzPMy8SVvDwHqZMNl2l4+A07bZsj7s+KGmV uZVpMk6PXn+oN6n9Io6ste5xDSCfswe4R9J7IAa3gNxKIrUzMv6gtgCP3JJB6lpMw3NfVjJjmivc UP7q4bolN+yXseCushQuHNTuoVR2qq93vljmLVEztiLLndO1mR+PARUcxU9q1D5P0czJx0EUPy4z BqBABAwCliarVys1K4uuVYQhLkfgMDSLonTYviR1PbzCTH6fVANafRqsaqFGiZBjhsyz00XaX4vT g4tIqdN4lxZJ2Ipv5w/t6acrP3QiHPs6ZuVtMMWZTruJt0p1ByJ3lhAf3Z13gHkCtS13U8bPevH1 Osqujw6fdUOTJ8InFxAVcHN0Hlg7QGdbS91cv4LMc1ZbJ1Ge7fHgAILPLqDtpzuD6UO2R2EK+q+9 VYlJgMB03lq95e+Ap6NNVD8OCNqzlVzKdi553BwJyfQ4fhDQdOiObSMn1pB9fm/aLIPQwbODMSef GUkZl29Ne0zITxquyIzrGfTV9iomueZ8Zmr1TIWVsZKzcvstu6cW7GPP+pXwLwese2rrD18V156B l058iiY2M75JhmFqKGH1kohoh60LgsFt8xcCbyzeEVqay1qcsbc8mszsO92w+toiSRPh0IUjhMWR IrOP4C8qy0RmXwCFzIJtmIlbcEFKxA4xWO9JN9ObVCZoBY+qrE/q+Gk95NbdxbJHNqDUBK6BiR7u JFy3ty6hZ/vg5aZ1MUVVyjwcDDeNm4J6xWPOHbtxpjSvovadejc8hBweiZG3NwoVJuJpedEikaPX EHZuYDv7rKYlZaWoV3lQqOv/NR1SAqsGqtSjZ8SidAIXHVSMbPoOe8jryXwo6lla1B0oDiC9J4Z6 8CBQQtx5sTs1tGX4yhDL/OOdbjdxvofIryL3G1JuzN0cjzgJZpsQjPdIGtWkt2RsMf+NFHe13dAi 9Q5848571yr2rmV1JN+u2T5cCb9xQtK1xy/mitenT3eP9w7zKjc6kh4kgRC8GZgqlXf4EejKkhqh Ztydm89H2c7xveRLcce5onYHYizQZkiRoi66eWDWcbonYQDnOfqLy74JyVoheOK6jvBUWcOWMxGq Q5Eh7PMJ5m4kPC4/okTdynRHiWf3+57WW879qpNmJpnRm/4YgzoSmZHXaG477etLLjqDF3HE856u Hjl0TTA4ZJJa3Txy7JgnT8Q6NtXV3EiFJweolQe3Uokj9RklZxyADi8nmq+0GKvTsZ0RhQw+gpBB eut0ON1vWLqjO3iEVFby9nFdEXGmkAgZAhJzACLJX3RxHLlBZfx+jQcyjSz3I94+EaQ3ComX1isF CUD4s7A7BTo3SyItbLv767WdBa11iq3ZRU7igDwOoC2vqnTA0PLjPhLBjd0H1WSh0+kbu96bfHmt 8oPYKt9AKVpiLq9GPEv9lHYRxDIwn/I2nJENItWTfMdetTIUS1jeNmUQhkO8mrD6DRuyNsKm5egF rVT4v5tp9ylIScNpp11DK+FIOzA5jgkYwzyl8QHaYacFAruHU4Xm+LNfAwpdeF4fUe+f99bpfsdp 3Hh9PVMV6hDoX/ATOyTzUl4OXoWQvsAGq1ZwnjQytYTMWUYdwAA1p6ZVmz6Q0uxcFon8AYodhhQE jDWME3DQK/V9SZEVp1yZbsyC0ERRtIbMlE7/REXYpmkqX0cZfXtVtFFDglx+U+X7m3x/Wlu5ivEx eI2r4nd3YgJBqeiNpwujXHxRJDTPXL/2yM9EBoP5JEhiS2nviiMvCzAdNM+Mi6Ub2TXD/BkMrOul 3GDV7C6qaDulI1R2Tvzo/OfzGLv0AnA5CbLs2zPUaTfjmcCoEdm7igRhLlisAXkR+3JYKQYSlHiy Eh5ImeWMSIBI0lcMMh1KCJLKAZscKNHQs4Yit1Y/eVEPo2/JwbykKvJ2krKBXnf8P9GF2qEVv/KL srisHqBbwKQ0ZAvDgWl6D43bz8H0iNXDqUI8uqrPrP59A/P4ymdg02f1+tNh2GW0scIGBztJiu/i yek5rjMQ7MFQzXHx4CN1xZRQLoX3fOz1M3eTWlc805NNzsGWdSR4MhHlKhmNhaSo/U9zqhmaXcPt v+v01J3GahJBL6e3RUpxfZEO0YHQu7WFjALEIDbSsPOJnymkzJRdHMpUfRJAKv1v5byjqksov9IT g0kwFrug8dyUP8BG4jbPik44nebr6wf85X+9+yorUYffC8oZi9Qhm2et90QdUPSbxupaxilTMq1Z w7PmsKPfXL9TBpNlDFCMBlv7PBbLxLVTkT8oBIC32BhZdpsylpgBEhsAgPfuH6Vc62//AqB4NF3f KXcsHYmFHULomyfm1NFjDnZYEl6PLMI5jTxB13rFZZ/UfMiPJ4d2HxoT61iR6zsqRVfL2TDE+LgZ DaraOyGwPlrB2XCBhUmjUrPIlaqCobTFP/BUc9oZZCkM6aq457iz4G5A0AoPgjTpmryA/0Su3pmL xqIzpZEHE+0w542JKjJvfy6gWKphPx2onlGIzBivNhsulKa2LGBOPnjBYjfr+J6sdQmRTPiq7SEZ nQd2svEIPJWten9k8FpyIEr/URnNOVT0i/gr+caX9I7upFOUYHych2LZay/GllmZj8BTEwBxcSZY qLX2z9zBzZgLxbnj+JD8CkV0nesZ2O2txM8bJJrsFhBVlzE5M2POZTVTIfYgKE/1pxXCUD3fpW7a ba0aPvCAvC24gqaWrXLXFO33u3aho3ozRJouDkvU7XSdmlQug+r47vwdxzVyRIZaxP46mlb2nCtU 7zI7z2qkvLYdhn4TvwHqSCp5GhfFRzQj2OemqtzIIdLliFwpv0TWpvs7bIAP9De/xVnvLxTNdxqg KEnd5e6OBtx3tZI5VDHJe9RpGeJ91f7e8PV+YtDdPYOMZbUwuhwdBK7wrQsoMSNJVlP6l9C29DWO ail/wzay5Jb9AtL0WA1O3i8xGDXlcyEb18f+3u1ovWrIg2OhKobT8xJ+Gweg3R8fBqeV8v3gAyxj I+sXMk12d7ApPHFjwGwAzfN4iDABQBY8JzqiOunFRbiMy669Osl+/g65yEoVJDtOzZJKXtq92b4I hzjXfnYZ5pEsjl99aS7vrK1bt54uFeaDfnmo3BggY17tHVBPtIAYnvvDmWVSmCCKaCih56qjZxfV J+a1nzlHbA+MspfaxgWjiQjpb14bfrPE32D8Z/WWMR3+6/aq+KrvbUf55WsQuI7YB/5oWEEchWSM bzi7fdjcDM39RsHW64fgPNpXQXhcsP81nO620EDQWgySQ4Mu+Ed6kj3Wbw0wAgau/dUwTgktQhv8 +xnIpY4D88wu/6Xklf9j9UOkIdJKImwp8FBwF2yqsdJPpLaxE+mU4vJDrD2xVKxA4qsWpEcwlroO a2GPzN4ktgBH4taNd+2B6HDJtoVpyloLqfBjSw20achTwH0dKy7iwzBmZ56u2jjE+5Ol5SwefeYb xExYc5tXjErkWWTJ1z5FD21PbJCUxsqx1BwfMbtH5Urr6tf4QeQuIlhy7rewrKD8zxiP/2HCramP W/cM8qkumFljdRqjlQG5Y1qw7pBTyKwiewSjLBMGLC2lwmNzkWrSZg52ebzeEFbtgtal5Y14VTCV 9bRgjF+RmbGFwYYnhRToYetCi3WRUFmQf/uldxCXrRpSztfpT1YfiZzZyldMCmMwk88ieReKxFuB eHubEJjMc/Cw/3QejCxVLzLR6ky6W/sSvd5Uq4ZJnCIGbBEnkCiVcQvD39GJZ7XyZW2rWtZEUCm2 FlnBZO+AYElIU9h56iSaOHbVJl0kBRg+eoeUgx0QaGxxmyAW0yi/23IjgF8vfgaLOZ+VkZe2ArgJ JAKdzy0rL0eeoC98v1SvGd68hWjk4QVo64xxrOH4QlXFHz8OfbrKDeQYP0rwnExzXoH2BID5H2Bj g8wnihKyk0Q5g9sXA2IbyIGIMiKwZ95z04IZTv5+w3uIkeQPOwwNGUI8gBk6/qvrtaW3/5yj2bxO 8oRhY7uXJVuSsxAY80Y238rRuZ8DQzRLIIVJnFFFvqPQ5v2q3dTbrNKCSmebq5HQRCawOejubU36 QVjzaUeLf60WEDuvf2Q/w5B4z9o+4k2UH9o5qqm9tImFsctQAPU7X6uh6iEAMx71bT4MmlQ9Bd+V z4EIo2r5FO4olOUd1j+SL0mk8At8Y9/5246jiQ8VCLvJQDBq0NUWcthp/i2MT5eg/NdS1o0WbtTl LNa5OEVzIVBAZrOGa0VU9VUatI+Um5Piwnlnk/E6MwroYU6ni/3isJmqCIsO39YQOcLJop584y2M luFo4B49WBvd7jlVdIh6FJpqb074fZfPh8e8Se/SZyrceibuEte2q4ALJlrWanRFqQ9132lO0ixa kyU6uw1LH56RN+CFTGwUBXi7ugqq3nL6asSQ/ukX+1WZp74SRzQ7rBSDkjDPGiIb56yX/eMnlH/m jmA+cwecncIM8+uB6ti1HVkwVhYE9lt2QrmsoHIeKULNL+VsXc39rfj29C8WC4qk2oaCvMzum5/0 Unsea4rWjFehu0RoDA4QJiCJUhGczlWjt4BgVb9c8OB32vZhRJIogDBZiUvj2ZCs/keWGIM3nQ5f bnQhWHa3+zS/NIQA7djdPnC4st3POnHMOieqiC83YMWUb5brpVL48P50kMYQ6s8UqjHSeMyQOTMY IugXsdaRdQwSpEBePWc8Z0JYXSykyqO+gOBjYtltc6lyke80ZwHtsVg/oa/S9bHR2jppmpM0wNXT z3EG35lPtG0GiCpF1yEfCZowssgBSYxNJTCL3A+8EgOs1xHAtsstxGq5D6Zloy6BgaWxIHj/Krgb MUAYqtzfYRZ8ezcKTKGA8PjAnHStTpwsQ6jPl233LqJ45DC20/KLWlQ1K2kvXSJzVLYkQge6hdI8 yBpsAItsHqax8XjjNH8ts+OxvpThpGdzNFRGyADWha18XUr74YIMnM+lFI8ouvUo0FScyTP2jlPi CtuFElbxU5naok05rq4kbHEwIf4Kqmg7Gm8qW6QCAOlyx7pmPjTkO/Jrss5mbtoxL/RT4IyIqf1y 2Lyttm+su1jQL6nkmEK2TfuhYs8VAKTjpchTGx6CQPxCOywg0n+eec+AE0Y1sKWF36hDBBqd33rm x2PM8KSQ9lLntrzQ4oge57qAUWJd8CqbNcsiRgqMfjIYmK/pAQTXvEmmbAKqhco/p3SX8+tDbPfP xqnc3J/1Fwd2ZCbWgxd4+8O8taHmiBT5P/X399ufzDMLyCHUwrWXIal56w6aO3BeihzVyEyvaO+j w20IMBvX7qzoRuCWYMVmoEoP1xNknJO9SyALFYsoIJiD584YYCAhbQpJJvY1TDO4PHe051B8KBKX ZVCRmfU0nZqIcaMj74Nbvuh6/dD/sWvcVVaQL/5wtBkbkeZiQiJKpuoAj82YTpHuma2+GqevhfB0 qn/un+MPgVG7tDcAbQYtE6j1mRB4qUcU0w96ybUwM4V5n9GmcQ+Ztnp8ms02x7/mdcIJDq82jqYs A09gYo4JqCyg1dvWzheV2700jT/vQ5gPMeAuijtGoGZwA0hpGtYlQAaSgWhQPmXMEOUwabF0ZeGF fWyjRpUio4VbdbKqlb1PVn0QBx+yTTd6wwiTpMoo1OSd600vrXNswvp7k73Krh//knirD50eD64+ /Zq2zBI8Bg4r7wac1p0kQCaAS3//t0G3JBN/wgNc/u83z3JlwDtITLsWvZe+R+sbDKJw5TaUE79G WXby69GdZy5bHQva/9buGs+FZetLgpMzjUPV3BISvi5dECwYobrCgn175TVGuR0WKm6sxf0uL6bl oMGdOEBWO0NIVGFUp/E95hG2/ZFHIIzBxlPMlWB6sIz3OMuf78aCrLhs+/JBnszD+7sllxb7o0Zy oldLmyMHCnhJHVXPFrSMEvJOFxEuc/Cf2Nw8rdiKSgHYWCTw56t3BLgNiA6H0iBmovfIdUuZ6QJ6 CXyfE3HanB1BpM/O4KAt/vQYS+Yl7wJlMGJvCwk1hUiQHEiX/6RWSpHhU1dJcs6PZF/oBEMq2tts KehmHCp+ym79xougZudWtIoprj2VmX1C2WlfsDMegFUvm1f1UWQ1Tb24D0x9g8afjZz7qnk3/noA 2YCxm1OzDCJN7m7sZhMEQba814I3UJ4NXbOPehrmvna2RQatz0tOQ7C0XM9IHU5bgYn3TXlrnYVR spe2fkHh4x0k/IPtdQYTMZulior2qb4nztSFRY0mC/eXgWOLtY0+Bd05FYWgKyIagjfF5eWtprsk 7wFxfZiSTxmQTG6uGZmmzVuPKO2xu11H+zOjgV+8jkr8c8HdqXgonROmImTLDlDo3gGZWrGhEPd3 HSDomt5VUbdn+NYIzzj7FBuW8ADJSRSY9BGAxx8gmt5yFgAh8UvLTcW/rgIPI4BJJtZO8HLi9Qoz KqX56We3aSwck/xTWeilbNQb0H1m/UgaBsimsQzLxN40bNQWg04gCE8DV89CjTec7cUBBxVFI5iR e3cLjPRwWJABuHIXBhvfZEa1TgQWjOSzqiRXeNyihYcCM8NsRIln16YjUwnAou1YLEFbg5gvNdwP 4XjEydRSLA6+VVntjxiZDvXoNT+/klHhPKsMpWQTonDyDrHBbgykKFGuI5WVuNIj5DZWWhGbvh/k C+aGy9l9xZEgWz6pHEhUb/tWSz0fxgvahT57zueqNOnp+TZgjPY17vCY9ItWClW5dQXhY5iXNTOe Den7neb4Y2N3AMZrZi4ul+7QTV+qu7+gbDTcFm6zTFxIroWTis18+r3Kg8nwBbnoG+4MSKrnm/c6 D1sWTKX5u12cYmwIOupNd+YVsU85mcS6vzMtrU5Bas46816rJG0r4Cd+waBih41zy/+ly6uilic6 MWcr308+XXxpOqSporpBkbSXB/ZVRvpQ17kyZX2dXWbstu4n1MNTSAmcoo2PGeQ7lGHUsWz/TRYE yWlDGei8DitULssdL8yMbkmg9tBbshy+kZc+COZxwzWkhCDFE71QXmYqti9kj7OBMHH6YqlAtQl9 qeYD0+LWaK9r+Th/n8u0YSPWanQky+/bbdeusquadrjwUGmqHUOeoxQF3pFCB8qCMpWm9IU8mrTm sbg6dCYtApvhdg7N8pAPQx6h1xP2LbeiAKi9YC+H4QcfXhwh3WikrRTAYMM7HWLUoiFv4uoWLh15 8kCTCyjYSKT/E+TyFHzDxia+9JUcm4prxbuQ6zvvnWL6EMm83HucQk0XjCHh6Wd1CpiP7DYnZEUF 6oGcJ5zqkppO/V5jkSh2zD88bmIZdHY60FGm5iyxdPTBdcN182MM0fFL4toyD1CzOxgdMBKHsr/+ dMOCvMwqUDVzLV7RoOM2xZ1jhv2ILoXSUFW+Iz0kTRFnRJcXpWoy/phnCw7wxvrm0XVXsVGwfUrv a9C8ShrHi+wNBAwsho3Zx0Ib1nG2Vqj1xEshZ3wscbv+M4DhkXj2Se5MDGGlOEr5RQwp+t7cV8BV MWu0lzFmn04RRUnCXtv22cCI8tZBwgPjY+FIwpMG0ZXAgi1tIctrrk6BRpL4GFqeWLIgW6W9WHQQ /cwfp7A5LACsEx7Z40pvPmOrXRn+YedGeeetVVkEhdXBv1eVI5hnSCOxsY6s9S+n0Mz4xp/KiF9H MAVMjd3n3QXACa3VYIanmXW3AlBBsLwvST96+LzO+Dj7QtLbnEPIHLw9/sQ0qk+yrstP7SaNwunq 5rp4f16AtYa//9g13TfkAmcY3erXtrcfyaubP7Ru05wwlSN3UVptrYWkRL4z5LZIk/bEO+75nsMR 2wwc1c5fv96EdKmzD32gm/Q4N5cOUqLYVAgkqYbOTS1WFjCdHu5F+mufUghXJsD5TBlL81TKXNBh ATldYEFuXSKHmWgss1naYS3A5LtSoqDC11OpZJsdz8CNhVWHs3DBPCH8qG9cSqwPLMqov4ZIaIcf h9E5iIwqYQO/6c/Hk7gdRd4n8QEhvic71XgERd7QhPdOma2ZlTCEkLKSbHG/V8pw1qevmVrLg8FW s6XbifX/ZekQewQehwXJGg8hyfYQP9eLYbCVJ3RI7fJazVWYwZtmQkiY4ZuoASYEP6u0p6dwGVzW BrLJCLYXQvGoy2gbk6REWdRM6xnqqI6miITvFLqB+sk2QwMVbJXnPURvO9R2/OMNLhuSwHNgk/e7 mwzezIzIT06JC1Y7c7AmNrGY1tgpTYeqPaS+S0jvbl7P2crAKc65Da5J857xklP2BoFwvvqhRrZW DWIz3pIpJ2nu5u5NhuEh3cRaeXWo5PkU7IsCM/BuiFoOh6vGvfN+0Xb7iuBA7NlGEVvwFQpdE95h 53o0BWOL6kU0au8I7WOFBYqOMkz5HEd02qdPIGlio4FDWrr6DMS5YgggaCdI/L8xkATVe7cHKUNK 9p5uVBMJkYfBOykbhfCu0hgU5fi/WiVnLYFGJLsZ/DmI5pNUKj2DxaM2OLpJmuoNYTJlmEMyByTr p5uBg5/VHHoxOsgyco574krDqqwwNqKtgH9VNqVIlo+qsD2xNzWxbYs+WsHXYzgWZJYLQO1PYYxo n1ijCMjxghbbQpp1DrH9/zhKxUpMdgnY4LhxsXQXtEIN507j6jwmp9ugle0a66A1nBczKgikmwgr YmenOlfJDZ/zFUcUp7LVKjHdWLZnNXz4f4zpFrLmLH5trv1vAj/AG6/K+y9zfL48eqFD9iwaPx7m oIdUTUbLI9t0qX8VbcAvP496nX9xhAzeFYD3azvVEHVmSmGK31bIBzaE64T/+wtzkmxN4xA/u29/ zZfpIZfN70xPDEMA0kcVnydaOuMV/uh5Pc1NGiU6hENx5dZsNZZf5y2y/eSEAZnQMEmBlaR589Qb fG7QJef6PD1r0eIvzAJEhAT3crqOhbFdCbYCyH9f+izYByL899c8ZHHvQzSu9gekpAbae6jE88VX 1m1Kl4qeklo6UpG6G1XBYBAAKJHZXeKWnlqdRjahF9c3WKM5BzMEu/1bz6cOXclst8gLwUk+m4tD VkzEsdq/3K0KjGG8OcdyKqyTKtP7eLDrVnNsA9uz7nyXxJcG7MTTHAMN3NQjWA2melW1Bl6fK0vh kOb1dJ9SdJ7Vv6kr6GAYUrnc98lfL4sRJpLVQQD2zN2CIBnrOsKsDTtXnSKvu8mb+bVyScV2omrh 5e2JR/fI+2Y4P4KvplslD0A69YUJ6+r+4Uo/8OUvZabyfgYn/rSVQTxggC7RwCdgueUw3iS7pJNM dvi0U5zVwuFaat75ti4AP7XpgcCYQGO8R3lZ73N1X2/ZzDWijzFRjVwLqqo0lcjk+St9qqTeEIsd TEquibzf0L9v2X261EbatjSOnVJDDnvOWqNw+sBX4scj3Pzbhz8wlgMn9QNc4ZCII/0ooKRgnc2d tF3XJ+Vrm1lBLxqjH/c1wLqpaeE+5wmzVSuDiSw+OJpTdbVQB0ZixkRqNcVI0U3uYwRfZ1lOHaJU uRSAh4TguvmqmUH4iv7XsdT2M7Gw1Z9MRx7Lrn51ztG8ttbR+t++A1+9n5UPxjsiY/UAcuJ1dQBe IMPKybpW/IdCZyvbhZzJJYab88efKo6U3z1mbUSPmZ4bZXYUddqpDDxuV6XS4cxWtuMGeq9qpbrP K2Mi6W8Vnp9Jb9ciewfvhWWxgcbiSFv/7GaBM6BMMLnhqIJ16maaUWx2VXVWmBo3qDWg1++33jJ2 LXu03qVJULXMT8ReK/8kx0KzWzV/4Ge082mpyL1GrhHkH0VPhS6AFLZSU4IDvpy/5SZrDmOI2SUg kEKgd9ult2V33+kpnH5Z+VOALe/oLPDz7GlpFHivuuZfEei4GoWo14RNxARfpAS6gNcDvzZE6TBN eZyzGUZcDMKoQEFx2Zzg0gjtZg8B1vd2DBNQtiCT8yb5QFet4ksP20K+xszdWPvrB0tJqKneF23P 36BM1I5ep5Ut2lG/uYADIYSMyJoRNF8AWo/6Xxo4bml3fP4V22/DVYRbrVZh3oRLhcXuH/eFY4XB rEITwayQ8BsDtq+6x2twdOZU7hRfTZ83o9ZtU70wn4xGOFTuFi4PVJXsI4Nurga67ZT3DOY2oLRh Mc1Ax6yg8zJV+n8DAfVnl7u9ykBCKDxsZ7ISRCuuSBd5AFREk6CUA0sIj3PAnn9Lzt2sZSmUsyH8 m1LTUFyoIhupOjab+dx0YgJY5xCeUdFx6JldgM2xfJ3hgfagxtkmCaHzn7LuTAWvMf++NVYWSq91 Z8dmlO/qN1eRnuJIStMhuJtUchcgKy29EqKUqN8+CXCxZdpDnXRydJMy3UqeLStGYpzTIkZ7GR3v Ah5HsegHxIy1RaS5T3HVQzSHOoVtpqH7sbcqvUfgkg1iZIHNzFcZV2+sPa4mYuUQ+FxgBaheh63F JMSIFzGVdrwuC/OcHbk0gvd5dxtLz50AwmDeQLldlb5IA03NW7GILzjMQ3BJ00/39rsU1TWBaW/p SJociz2ECwxioSTa7+BkrMHr6wWhDNz/BP7m2AbfJwhavLpqNcHRNNaaVdAJfkoyqEF1P9HbHqoB 5GRdNOkuQm2/9L9Ljq4bRFN3iOfZvtslEREJC4dOASrjtv+eqRFJGBpGd1ivdAVoRNuPm42tMhcY DvgGFk1sn5y50wFHuJmw0+sWQbLq2+vpcuWsY8wjMXDegze4oI2hsBisPrrXtgWYbz/nCOUti6Nr WPyg4BbqxyU6nAGWp6A0l07w1BVtXpSFXw1Ze2CkG3t+DnvRUWVBsp/euxuK8dBu0j2UHwntnZe4 u/+8BhHo/njg0ti+E0n7vlzRsucCo4plscEbybid++Czf4C+dibfbnR5W+l6wqDHMudQpVTRQoVy cRSKJT1bK7LdnezcKfbNqaz4CDYjG0Nfc72hdQGLX9QhJnSGLy0lUW9YJLQ8okQb571+cVuhW7gB NE5n4NmcNwSgZa1+QvhttMIMJTDTiNFvfLy3gV5ZEKWiXyqPUhrL82l3qVuQS7e46R5bL+mbkv1d trFedI/DnNGcphhbJTWYQzN4/nn4oeIn1Z08BGOthdTOn//gvO9Yild3iMvDcD1DL3/pgCiWl2DW VvRxRScLuPB2r0MHEAZZ5Gq4iKmbJsv1lp4bK6uZnHoIzp7vec+YGhynHAPTo+Nq+bB9LslQzsMD HRYL5omoqIUL+jZGzFY61K1+FPcxjZxWdfLTT+r/ZFh9P8BkMlsvrBJR1Ow+xiS9EEjuK5LnNyu9 IpTjP1W651fPrllOwYl1ppINUs9wSH9F7Y2x3dWvFw36wl+w0Sr3KGeOU5BF2TaHC4P+echLyW5M ljHWvFGzs8NrJm7gWRDDQ3yIFl5IOhvftgYFMHgJPiasTelFPbmXvdeW05ZM7h/OleAUdxB1CjD8 aVLg194WsMpCFJoILeaHCUdv+GAjCgLdzDlHJOsJ5t+RLbHoizGmssrrVJk6qf5NNFvmKEYEBDgw Nzy3oyq1pXKBOVGWdjj7PDQJKUuVdvpENf/1kXGQEKxmuGJ08/8803/rqXn2N9haro5+TOpae0xC kOfvyOJwiZrFFNpGx1tqNCiBxo0T5wIB39K/hQJkTgiF029svhyBe3FgkW8E3tiMaSx0qG4FhYxd E6h+7VZY1/HSouiS6SAymIF1B1OCtefUBUMz6jy2fQipXbF8VKAqVpZ+Se/+7v/Uq24BdJe4wBrB yCdlp1X1Jca6gB8ez0K8XecrIwaiq/Ni35qntit0DRyR7mUJbsdV2R6xKpPhh/w+G/irFA/m/oxY 0OuuBu6mtuDebCpAwzPTvXRFyO1/CJlCVJ7EKLKYCKzdaah9qxVj5DFLn8mN6XzOF9ZH7AqzzDBN puZ+oojyPv4ZadyTlwthmrXrFkBeQ/G7sT4EWpadWTr4fjC5O6gOHnrpnekr5qf+/p4H5GCA53ua zlfu/pSV+Wqd78quSHFLCjiPGqR46vDqGgKyYOrc5aTEGsdcvBkSbekCsTBrpZ+q52Z2YPXkAFBS /RRd6PRxPRzqhyQuoDGngHg9TDloFj6JzcM3tigraPafaOrIRMUM7CRjZtBy/5pQIj+0+bdmCFeB Rqky0+a6z5Fr5QgsUCxKQ+mxYxkhrE9T52fMZobwuRiURZWAW8t6OjCmRq146J9XEYCFF/Q1mdZA HzHccueV3JC4BXFQ9z5i1Eva3Tcah/4GH1KXoTv5nnQWHw29yr/nct5aOZc6PtJnV7E95oe7fVf8 /FOoZdjf1e5cliTRNXjPISdwZLt0/PZvZ/5dGOjvvEKw/xu7KscGE5IJDOxR1SnN55Ikxlwi3SxG jax9qdpq0wB7z9FyB8uI1G2khHAnr+A0h4vR3McnGOg66Bq9cntIITCfROadWQOVjeGm+4OQTZaj AozftfXQsAfzQmhfrZso57/mQfl9anWUQ4PcoqD/pY1K/U0UNXafqyE/FEpsibq6phtfxody3g1G +8bBZ9hUJwNRZtoJFRJXchskEaevF7/YZLUeWG/nR6ps8j8HvrVrnWF95uhD396f7mF/5XP7JM6y y9t7BI+kM9VMdofuSHFC3abtILRcha+sTtDyJmvzKWple3x6ODdtsGTdsIc9d0yE6mpN8P+Ltj3x kxXJwVOBpa78KSBb1NvvbmSfHVcdZhm3ryGvl44uNHxZkxXXkNA/fAqkXMOBYzRLK6p7e6/LIvRe OZTC1k2P7lTowMHk3zBnhqY3WL412U1uT89yRCPl1SJWu/DnxakeRmkcLIKr5GSwO60hmKOpj5i3 /i/DShOqPwLQs0l88YFG5hwxPsLu6TtbFrOWw9WmU4syr5/NlYml4NAkGtB5E3tIPddsiDn2FNAD mx+GkGYwH+zCQG0ZQuLENL93oalhATZ6kBjuOkU9hGkKfOexYY7qu9wN/sLKiA0tkYTwRnrpC0wi QeN1wzKGGOXPcKbx0FC6oo2xp60lXLYFJpYhrpeRjOt8S3A9AoorLIUAmJEeI/CE/WiljTICSncT mj0LDEMwzkIZc3z56HoNaJLR4SNigicx393GpzwBm3QzpqnE0sdKdkVUC3UBzP69i8x3NgJy2HnS mugIXtxxQOj/MZZB9sd7XsMsMSqVEsmKjc5bjIQ4mwQezV2nQjwaMm4t8s90r+NMmWqtw4J1zCbJ p+zNjN7Nb9NY3Jb05Gqtm+Qpe3so6v7SQiNqclUSmVSDH6OEPR8bG4Nnk2DtWSLRO/jnazy/QoXa MCkYWXKaHT0XWBsIRvWTPBZjeGL9d/BltQ6o/+jX62x+pxDNLmCpZjK81Pj3DdKx6mPtvv07w7Ni OBpXVAAl7QyPkJaAUT/FV4s9DRxYyDbLQDpGvNDiwSp5br8DXxlPHeZnwVUYrwSpN84yvXViLbNd gODR+625xtPqlY3cBzR9FhtJMEETfmTrnqpFzVbopJXd3kEB9Gt+9dJemA3UhuKeHxZwamLA6oAC o16jEYWA3qyCLleqdthmoAIU+DtC2FD9fzawRQ6KK1UZf7jR/njoW1+4xMkK5MOXRo16qMYh3A4z fTnkLa51ZTEl7V872VTCagQWS2Imp9jSDp6WtM05wQsD3wUMXJ06FrJKthZ2ukbCnYsTVubbj7ut WU9ofauUXae9Gd9rHfvColA6U7HfQMtY4AMrPBU9f26g5mpygJ1l/URVAdcjUSkha0nudQSwDbUg AS1GNrKcsQw92y0eLNuS2X89Cqy/6S/x97LZjcrXOO5zWQRL6lBJyozE/Be3y1PUTm4Z2ujbnoEu WveQfKUtT2Cp6JlRJMVZvEMDxXU7Y0oODzGaYtC8oZPTjeoTyi7gfQDLVV75DQJbnCRTKXkn+kWg p2+tl5evqibRb1G+xe2AfDweDX6MBbYdrMSP3dzTlJL1EEbcHCcK39M9JG/hNDCGQMpHzNg4OSOb MwWIiJLm4MqHCR2PM7AJIuxyV3qAqXd7P+UMFNISfq3GBXe8gjQRoAVOJQn40haeUYolc1hIQ/UA o2u7Gz50ddKkC1kmf0yTQgqYDJsKX17S7+RMCRAM1Rwuex08TajPZjykbxAHyZhjd0E4wyCy+/Cx XLxKsIr3//5IVjZi7DkXh4oBfdd4vMx942boI+L6quDbUBj3KLnqX/1NYk5Tm6mG2R3I3ga2RJc7 Lwq2vry/8mzrITYU05H1wsw1wdY7L3ldZREQKlaqszDWluwgM4gzPg5cnim0SQf5hrtOt4WnUGpH s7CTcszIrXkDau2S0fuPclHCPDS8tSirjfHAKtgA5BIH2OR3xrGKkPqlVj8c0HlM9Zk6oY5kyGSk SF7XHRtAjIhLq2z10AWQKIbXbgf2n7kCCWgJD/3A4DGEY5RqpN8zqwoXD4r8EOco0MWeFQcKDxMQ G7wWQmufHB5JLqJsODQIqMhrEttBfZaNhm4lIpDNWKQEVcjBXruVhn23PUgskwqHZBUPhrXneNef BwGUma98qdq4yHNLFaPEGNHEUYG773Vo/MMvCs6CCqMra2RJSUSU8RkfJy5RUX40gXFIBOFV2T9j zVYaIsKpZrSlYXLxtHuy+Re4bjiuquZLA/heLoFO4cB/LKLhL2EksR78xsbVu3V4HO8qd2inmVEH QOquojA2ZqBJEu998bIkt28VPG+0X8agrlPrzyyDtBGa/D9FPDiDdlgyWdzAz0xsyV7vIivJBVjC sw3/0BNt8OgzKsa2TKjFiglsOxaG9Ov7+qFB/Ff5uiIGjw+RT4dXhDpCrcfk4Zem2rxE3gwXG3Hc TIUEXx2PyQYG/14TjS+Z7R7qCwFOZVZ/AYuZeWEljh4Qv9IvufoMYprSkdkiA1URHZFFpm+kTeH4 TmrELs+y///BmTp3/vYXbYkj5Gb0r/DhvunN+qDYZLs/qSehzWqyML+eSOk9bhP+EolYd7N8+0Qe 5cJS/hMKTRPkQaA59+wrPTAEwwY0cZKblDB4J/9Lbl9zCNLhixz6lsk5Rf855h0N456JBvmdpTXq 8TXjKdInGalxwQSaARxAxTKgmyuLorjmFjn2+TXgpIbAVIy5A0FBgs15lg+U1pwf+8JPmqWz82G0 hNVtmWiRE6N4CdLCQARO9dYN92+Z+u8ZHhze+Wrvrt2F8gTOCD6YZDWs0qGmaIyNBl0tBxtEr7Vx NFcewtGtL8rgvVI1c+ohaqjrXWcQqgo4HHSkiW7saXAAqV4HcVglJ7Pg24cFxo5KU0LApJbj1KJd 1dT1SLbO0MlYJOGATM4kl6NSqSOXe5rYB3NlJtP/WuUgHeZGEKXuZCuSzVDmjEC006yvx7akT4JQ Lp5MQ2vFsZIBE2RCgptnhpbc2yEuXB1049P/8y7XzLrK4KrRRN9KXyHZxhGijGrDWnOXonSsHEvv FeXq1AwBvbyY97w3EQ0Sqec9hhXfALNKVfYw4Wjgufc/p+nmNk0dcE8B9Ti2LV1M7ZNGGJ5zKST9 gnl+y3eBET0ORzmgFQ6JJe7L+byrefgnTChN3wEfvh0ueuRhhk1hKC932D9Z8TTvDCj0zszWD1Wb qfR/sBw7YH8qPSmVTGjsj1ATGVCe0XEQEx1pEDCX8o/NZrX7xsyjTverFh6VTtUq4IN3+HOw8NiF 2CXpQdljAXEZXBin+TG+pQBJ4AYB+fv2uAQrHFUYXBM31gjTFuf0/V9QVL2tQzFAGWwXJIprkJmN 1J4zwcGHE5P7jOQwpG5Im5H4kKvWTWSIHm80VW1SOHS40zYy6cL671ymNYoYE4h08biQXK0pXdxY 6eDvr9PPxHAo/3Kajexo+VcwYPS2LmbpQjq1pH+HZlPB4JLkSM/+JIcFXF4w7j4XJGtAIaSioJp0 UGLgKfb4hM8KcoFlAalci/dxcmJ4yx/hyts3v/g3VvhFOzk8ADbc5Ts+k4eLlu5XeCn0fl0WyMQ0 5hV8HE19bTy7Gyu0v1Ok35O/Mij7Y+yQ1wcgXCBtFju8VS/+nXIQKu2J1qlKmxw4693hO73CbLdx Hz9mWCaE0TqPFV32L82oLg9wYEg4HzPxSZpi/3UPb6hsVCpkYEBz9py2Rt6020AQDF08NBuqTyul 3Mh13Q+Rsw7k2rvPizXBUn2A6uK34hywAv/2WAGo4LuhGB0qi20T+6cBvJ7OtUuV9EicwYJNfnoc b1iJ8h597TTjDxXZuxX/oon+j0bBZCYB0iOxf5npu57dNsfC/V1GNMeYMsvX3zqX+Zw/u3ejoZ05 E5ZXSHPiIBTLhuMOkf0EPU/jJOfOqWpUz0Mrov5T489B8paBteAGAR3O7EvWdeZhsv97NG73Km8/ XlWw9OrDE9fCn66Ru1Rq69pXwihWtDL5YVDcQN3/pxbel2VSySDd0XPZq3zLoi7oy7IbqxVDcR22 vWY0pxBLG0ezaWUwpu3Qf7ujQS4FyHRtBstsx82D8H4nlvaA0sUSRmo7huSFiG/lkNWgBIyZbCfj /hAkn4be9cOqjncUj6O0HxMEs0VHKobhE51QBhE41IAhuuTZZ/3VmVyn1LQ61mFnR9AlkLTxjIGs NcwBtO4/aspWE7hbth9ROa26wpyy5rFquBKS2w4iFA/5enRy2z7i9mELZNh0KWL3tK+a7gJu9ZQX mZc11+EHpCwcxdeNJ6QKtF+2e3z2QeA/Gwq1In065t5KObUlnXiVzqG+HK8D1nfElKX3NAuGdjPD R0xsUXnmZK1VsVhKgQxYEpR+IuB/dHjmouCTP2RXunesdzIWqOa6SzX0RMn7C0Fc5pcu8GWGq0iS VX7WTOKBd/igUKYwQ2NzE8jBGwnB/RJfm5t/+KL5iY+x8YP8ZN/amFrsFrsK4TRZCNfzFw7cIpk4 ya/cNbmGQWJ0lexV1P6t4wG0c35qtny7oEeivIaIpKSjjFapyhecOzgA2Mvp1QJ0ZXAs2pQWPeM7 OJU+kNJe38usi9eEkPYxiqtOCBFT0g46zkO6i6IwS+mxLbdrR7EWCMl+dt1OzoqSBfXVgsL7rKOr eiNvkpig5G4O+mcyHJOkt1HrFLjbeVdeV2h6aCJzPYJljsfT8/6IlavgcTNFKIzaaGKmBeei7AZE 0NIgo/JMxnQ9FQoiJc49cz+ZBYs30R3sfsxJ1MN+K/9RJjNeExnRMykLuz4GdI0XMhmpPoxa0UgX +0Dd/hx6DH3al3YanV59NhOJOwmvqA1PUMaCKbdiwJp0c39jrBzRvcUYnKyVrG/GTQ5G+qsiXvoW Ok0numjR9onUM5WcZ2nhD4u7Wqp56SdMexz3MsjEDI0Cbncy6qnzChCJhuLb9AgXVxqBI5jz8GS5 LmX6Eb/jvQEIWF4u1i9JU6IFHqTwBml1/p1xGd9oqSq6rz4PmFuMOrVGCUMEjpWUrreyxYxdkhwz EPaWbgeSf/pwqyYjTAPVhkWHyRJRsvyXY8F7d/eRp/U6iqtQZGfvbUZKhYyk8GyUhGpGR58kPGIK VHaaEejkelf+OdiaWV31Xf5NSpb1KFTWCX7gDJqLb8l0UECUVcv4F60UnRz07f4qd/xcBLyBg0Bf /mWCB+Rmbm+0y+lsdeyrZYsiXV7BaI3mdY+vQ5GQzO7RmkHOyp9m616ceEAr3QgMNesJNV8LguBh 5/s1VUlSqiNZ08Xt4d5jH7QEom5ocw0k5khi34TbUmGtmqNOHhRqbp2MLUXJMiU3l9PKBAsb10mo QcE/CC44FUZSZmk/K8J73sqPEAB4xUwkPsDQRZyuFScKlTQQoOV2AgkOzQNRpa+FLuZbCcpKQ+sD JMHLr0+pu7B/1aH5s0QB4pUXfJORsqH8HNJ/HYTvKaFUVb5L+AA82iR+6tX1W0mje3F5wmUbhfy2 3w99lcQn1STahe50bnFuM2HMyLRFaLWaLFX7OAMg4ceZs+dNB4owN/fmVF6pBpqoXzNW+npmEe9Q gCPkLVaASiyeUJk5OuCjheuGN5S92SPFJmTAfxoMfeZwopv7+V9X0eEpAi1yBh0dFoYwu9NnDOtB 5OzMYR2iaic6xqVLDhalp+WAFgxwjyT0tq7dHt3RtZVsLOm8nOUU1aQBvrQJDDkWZ48G5fFrwtGG 6vr5T/1uWgLN7jfVLRY/2yjk9QvkSdqQpEjo1FY37MrnIySGT5Z9Fc2E8gAmSEQCPbyb4pcY5Udd vNl98F98PHwoIpbhVVJer3enASQ6b9J2+A6oN0WHbyRJ+OIsWTaUDQhIE2nSBpSf+JK2xH3dEAXj bvQqa0YCc4cpPOlb9sCwbb5tOWw8uxzVDbOd61zIXADjQ3rT2pmu6FRRx7MM/QTbOSBgqo9Cl+L1 eQqUS7t3Ou6Lp6HjNhG8hEVdwmURMrIdlGJ61o1VFKdihiDIsOkcSDB8q+iy4zwo3QtqSjoyxFIM B2TbfvXuZFug0b01K4CqIuU06jZJLM9lFWnPiLkhTtjY3YdSfZjT3YFVr3HCwZLR7qxYsFeKAbpR FywAemd2GUUrgYI9VrEGNZ3RutDA0TkI/EVB6h+KxQLO31KnbU86/GioGf1NQgbVFpkrQYfgdz3+ dm7hT4SxhgaywOSGnAsSDu0kN4Rv9kOUaJjxrEhA+CZhR5g2veUdx5QRaZbRw//xON8gHD+3Jb0M 9WJwZw/ceVnISfZ8Aowe8/Oc+fMU8487H1tCtAmJ84NE4ZEcGD88TkA87QLdAKkt/L6jmPqb1pmm GcBrUoO6IwV3VwBpf6DMTi9cSPs0SEyebPvjFa2HjppoIi+dG5PB/RNWZoNG6KdfMG8HzjsvejYY vk9uOSGqikHUcYAXsjcDg4EFS0gKJ1nBnOTOI3xkfFslybCJhpsc0er5LmlEVpWvTDFUJVlUztVO 1IV5i8bpLaTK/YVFNjpnAv6VW7zA2Bo0LsRLGvK47aRC7xvvONySJiA5WUp3Qf0kMRdMsXBa9K9b V1n88KSQ003B/9YgmA3Z1eOkRCreztRrqPYmXrqSjzv0AIgCfo63ZF6D3wfky+jdvJswS5ueavCv tNoERYmJrRpHIiuLc0DfqB2JkFLt9B/e8YSmDMGr0UOvutrknGRY809rkdkgo3GJPTmN/rik1DCo i5YAoBv2TxDWFj6bXiw5JmpZfPGT8wZnJdqd9MHlInXByiOekUW4lGOWJiAWLa94fV41vXsZOdwM 6ACBR030fTZH5SN6CwsH8pmYkQrI/Bx9bjF3gw00XBxb6sZ7F4jLWDyD9fHdXKv12uz/2VTyZLxX Vui7hqQ5cKncsUB4oT0Tqfw2mhFp1YjCZrk4fotpr64v8tgz9am6sNhgVyly/cqy9rtHPKhqbc9h Xy36DF7rTHiKK3tO5wdZ28988h7RNDmJfNM0hPdl07c0naeMYs6RW1A5hgt5QEHDzoIsr3sa1fLx brcGuyGxYjiZ1l0WFgtMWOsSDSZ9+oMpOpK/7Dd73KBl6y4dc2vlyTyMW4QBHLHwj7VmuB6B8jTg PkeATtTmqqLW+cdaUcAbsczcl/Wo80AdGRvHTpR8pQNo/nWUjacz4gkuGtAab+tp9G3v5QbVg7MF +FGEZV/1Zto5Hw5xjf+yK15IbTvOb0w+V6Sjj4q0vnLmT+LISSOWNzghQZIh1x/6DS2BnNoOCVWV 61d1MI7VUK/7nh47Z1eoaoffiLLmTqoEq3f/TAYRc7cv79Up1z9lqvTEshCMzYx28mnGcloM9wWO Gj2zfcPkFWusfbhEucH8AKvFvsT4WWZW8ZiLN+FwBKZ6vODYDlsYKhub6vdZAdltzrEVOlal7mWZ qUb77fR0NetWm1T5go2QlBr+k414vH7Anx1yLZD8hjF62q/6FxGWpjw6Zcf3rhO7kbFDZtpfzE/H tZ2KlsuWIDUexMMMlF/9aniAF1woxNIXYdPAUEVilpxMWt5AKF7yVSROl2OTdEDfsJNMF6r4HexN r4TFZIzsdC/z+079h1u3inXvfdi8uzBSmxraDWLCj8hwJuBUleo9PuPNU5wWV2XN14FzH8cuaA+u 1PPEtbiqBsg9Vl3Gbt61WLRlVgIqZK7U0oY/gOLnEz4HO6MzCSf+bRvBzMQMCEMsN1JTfBYGW7Ng 5OjbgUNs8sge7p4OcHaYanQ5nwgXDqBOKXq5smQf49lYCjMxj9JNl69/A3zLDueJbnTgL7uAXUYg rYX8ghcZ95cI5jgcv9xCKCDVOjtSUwvtXfgPDYySJumZMDWKL6qCLsGhD3ziOdqVZwpsJAXxYOBY O65eZSAcfYfdmY4uiL/FuQLQrOTgqb+PrWSP6QIysCBcIExSzXQKSnhReoEDyaJRB5uzncIKgQSj 6aiCtcWI3DNnh0FDWOEIizhsC+bNiYvaEIM0nJE7Z7pOYrLR2Y3Y0VP42t1SG6TGjprBl33qozlF QbMn1AdgB0cYBq9QXEFYjC3cS/mbzxQneuhZiyf4vkm7Tc//1np317X3x4WbRxa7Z4VFpqK+OtNl FB1wiQoocXd3M59DVqahIKbIfVwhVvxCtLZGGEeO5hvYL6lfAFiDfu1e6aL+u3PlyZWEp3dbxmld nvFiUd/M+pyItHo3e6TRH7sTCQ0rEyjcbAMt1ygvYUOwGKOqC4QnzjZgESW1rj8VliTORtXZjZPa lVJnmV8e2NrvPOUCm1y5eb9UUe5nNETSF49TEtjKxNng3rTmhMu1hYe+yKosT6KoH7bUQP+Dzqoo kB8PhexqZjyYVcuTYryh6CJKfmxpd4BUqiyzsL7U/edu7GBsiBx4VAvtovJNErk82VkFDBOfSzZj m7JgGy8m/YwCvFb/AuIczyASzbZ6DC02AYDWFI9DdRjWF5CFewLECAvYOcW+tROqDGDfGQxElaVC sUH8K64AqDUvYPDSOEdyCBmCNw3UMAPKblPZLhhGez7ZiH9Lq9iPpg5PgG03TPPPWzYf04/ofdii l64XKaehRaI/veXVI5frWopeoGR2k3riR93ufXKHRxpcMYlSBJy05ByIyDs6CgObli5lGfuNibqu vf1u5uMiEhhdkychOIUHgsNnBgINV8iJjmoCzVyLM+Yy3rS+W+T1iU/SbfHO0eeq9SlBn87eerFF VGGfViClQVceT9cvBflN4QRVr0S4aUnE9vP5QDUtwMTs7SucZwOOkUKcQU88RjIevBm869x7GW02 FXrO0+pJMnTbu4DBQrAOlTDQQNKFT626b8kPzXEJC6LOCNF7odLw6db6sLAg3LAthnXxK2CJp3z7 vj+FOE5m/o1+pXWyJs9lUOy4LpPRW4T9+4htG0J2yjC+Lqpp+wf5xifMYAp2uWuzenk9rDakgems argCRO2hlzPh8yaP39ReQCHo9vfaRZocRAgZ72hgdbVRnV9cIek3mIxpDmgvoHLIzFpgV+HG7Bqb 9qu2PvcnayCdSGc83RYAIvyWM8Xc1UrbA1wJDhA7fFC6aY0RQpG5hvMILqCrPqbooW53fNxjz9St eJBLKXAMbXqS6/CS3yUtremc0tu+zTykfcuq0C9hQw0CwrOF2Ycmr4dAEUKyDC2f12Q0WRqLTPnx iUl30lUgc09fez7RnpdKXKqZD4olViGQo/+Bm2onBPWgXawXNe3nrxAS7zPeM8uzC/ZhAhgy/E1j Ciko46s+AFw7zkoNj4hQWjx5Rr7SEjnUIM2Ytww2fPPCF2xJZzQRJAfjBDyqPF6z+h175F1sXCmI cssc4/eIw3fMXtHCtGD7c2ct2bcytj77VgRIujcuE+qMxeK2PPZRI8E1p4ZDBP9kAZ78yzQuZuMS eb0P/FsYDw0rugdObtH9e01+fNk0NRPpVIl6merhiEPgB9mL+zHV7TeVHe/X1FvtLx2HAp3LqBx6 fQShIjYVmmq82GKw7/e2bK/JhmtULN7CJukhBirxlW5ky0cdN/ajRWL2c/x4T4ENQA+fmN9zvyq9 VLeNZc2cpVEkxSSLt11ZVwt40QeOkJmEwF8UpQ9cMsgHGPpDNvV9KHDKFCXyLF67hN0O2uaHtyea QkxMnpsx7SayQZ0xw3MCDlxs+OL3tignB84BjruwtuRI+guQaaqBBfmKAd8RVA+3bfv8bcIF0Ovw vazPyZ1/3TkmHNtysH0Ul/g8DRqlBUckgNxKnvZbrdQ3zknNH5wf9U9J7tEp7kXjIA5GgYCLcMOK MPcDNeRK2fjNVV9AdhuEAal/4WDE3NnS1kLv2rv2kV2ghRZxuObxKM+IFnMtqLZMvJXhTZkqgVht +bIPK7XyExo411JpiwjAd5VagOfM9u4lWrw2xm0Qm6lhxZjifK6n9X+aT0YNr019//jmPLSLvHft sQAR2otXvYkHr/sKkvCzju2LQSAavB+AlHKpb9kZR40SRBf5HgEEfXSx/3rD9duciAEGsuOGrVSZ /JYMYz7OvAu/NVypeV/R6VpR9qHG46I3qpFPPsE1frZbap2YeXsDyO5oFkpafoQjnJtsnPZ57R8r 5P6Vx0OXB717gJxC6sD15KcJbZsMcuuimZKB3q4+qvKtDt6Qp/FBQ5S4LuZtgOOhRilWvCWJ5dlV sGiBwqW8DMXExfC9uqxCs7RrnFu4thim7nwJVIFMSOEvKApQdySTDWuxUOIZcixX4jloxxS1xyW1 p2JfhbERrW4ANqxMohP+GcB4EXfRUTIPnbSxudiprTa6ZYMvlu7GyCv6QNTVq24xOc3ul6RpLOBW lRGJFhb/A2DkID7MjKoEEf2n3W91iVbF869IwlIrWDt6XntIHeqJHy0u26eMJ8BEgMCR55YlnH9V 0/oIIaqbjqpc0C3X6gTm2CwFITlCQS90fNzDcbFCxBr6s4Ifuumc1c6ose2rXTSWqMgfUrlcQTp4 IGQ7S/jlbvfw3CJZzypJw69lNpLw16T77C+RBQaE0TQC9L+LvL6rg2bppZumP+lGLri6/nxYDbSk FybwPhdQF+PfxtVhYl/cNE5ww9h+oimQ0aoTNq5A97vGCS/v0hl33CguVBitwrxjdm+CuyEUjsp8 pOdVrOu7ER69QmNQRVRomWo1oRo1ZFNBzBxI+exPK5pXcpV19NnShGGnC2ZI1nnlxG9NIIh5h3yM mmnJ8eKCV8glRUa3X0NIVlP9n5wbPj7LvLqu2dkF0ZbjPtMoGRS/NhEZBc98RYUgdyl5PHCvINr8 aoeUcyrIK8V38KhkM0Ldo7F1HGzBnNEAns9jLeIzCNdhLIdmFVSnbelretgXZ6d+ICpqhCDZTqEK E1szoA1SwPiUEzcmNv4EftFLldT2iJLO9d/7LvS2nrLi0kwTsyollB798W0SDzJV2fv/cN5aoss8 pZ+L5ZXB2QmAcz0z3V2q1mTMGJxLqYmDjFunbWVqC92ovBxWCFCPT9WHjdF5X+6BCiV3hRu0agqt +BKd4GSQQFxhiWAovG49+oVvfMi6C/WMwMxYks2bX/qePsakKue7nCAnIdryLQGaTSj/VrZNKlxc hQdyHYOo+S6gO4IbxtY9/vREtpVDKd5GoKl6vshggl6xy+DT1BtwSfM8dWQXHIWV9TEQLTbKQy6G bjXht9lpKY+4yoQD7Z5z/d/u4FD150ZII8+bPnw2VljyHjc+BZln2+qGDLXXXqrb40Dl37tHo+Hj 3J9NAXQlPtQBviTLu+ndTN2V1m7APdFmsBnLTO3WNRvv7TL16zzsXWOpnUp+0Hmy2Pb3avVWXbaK bFS7XSrAe0uj0RFEkugV9z/fyOz0CzFLYOZPyJxIkf9TYWiDpSxZLakCvlwxJ5X4CODZshLNxDwu tqJiVnCbP7Jp41xuLCuaYeFhkrs5mqXEKyXd2ttH20dF2pNNIUJM1L4jwD0u9Dm8qBTTzvDIRI5f iCDw8b7KuAqdS1A/Me0eiF4a7NV4Y7WQ1/+V17cGdQSG+tWH4cfsupYH1+lrpXyakVEPhFkbAap4 6D8y0Ob1WX9ywwxCdK2wE7eihgP8ESmOuX7J6hh+8Sc+ArcDJrBkeMQYH7W2a5VtdQ+TLqo3W9mw 09ZDFy0zHQ+MINQ/UH1z1xrLXhNikLlMGApn15ovXxQs4c1oXmFv5AG7ZaU0/vHB4K7z+0L/Gevr DIhCcFdLYqEJZqe9QjNIKSSewb//LiRjiKrwNJVnZ2bNH9Z2Q8t1two7EJggfQJnZl0ygiHbMoyG 5fqtaoKJc1Rvc42jKTQSMD+HbIk0gnB8t84/Fe90kZrKKSRpoHgHSjtgts1tzVxqRboroWg7/QZ8 iz9MlW4GRZjhi481CxGa4KfgSLFapyFoLVwmCqbOit/jrW8/cixSbUsTRpzh+qAU3APwaToTjiSt 8oHuKx0z5f6gKhcInyeAKJg1WHex+BUopPouTIefcZcbYPPZ5V2Mf/KmngjMsrEDfkADwr6gRfZn rDaD03gMrCL0vhgrBFa6qG7AEbnQ6/aD4zV3lcm9Nf6vD9pUQAh/OKC6Sw1i/Z0IbNCB4X3E2F3h pYxHO8PFL3k4hWCtG7r4dlTeP0YOPg+8UwnlnjEC1ToVV/Z2mLraTH9KGIWRre94DMmOvAlgtNjL Haav39pNjxmXTrf9W7dVzv/6KuDxh6iQsoYPOPbMXwab8FLe0N+KSu/IUefDEW0May1mQUKYzDhk D1NFzTzm1OYSBWpLfl0Sino5dG5ww2HcluB0/Tt1Jb7Hld1LG8L6YqnTOliw8E7uirUwtYYvNhHX jKp6zN31pmNmiDprDz06tDm0yFIta2yWZ0/EVQI83JmHXOOz3zQx1KYjZ6v5Jr0gul/3vPDyQswB EV/0nn1uD+VGykUKWTg1lhOOUT1TF9j/3/RFCt1SurXGFuaPT51AropOQcbMKjpINdJ0MCHIsYRL 2w9G1JItDVPBx5b0ZeXvJvT7fNNaDfm1zUpqNmA+omer3rd/Bbj1KwmN5dVANJV7agM3rKY8pe+s JDbwfP8/b1BLo3qI4IF7tqcbuhbVRS/NUHUTUzFjL9rEGvqSmLQ0Xgp/sY2hc9Vs6fZ10shah/oq Pd99ZYY88kr1iyUEVlAZs61kDYXy821pfcnwbzhu5N5ecYOrKyrKVHXTSaVvmfTUoZADTw8O41XA vcO8R8p++ExI3uJfyA2QONJ+n1cdpJ7HfFNRFwKXwh1M+Fn2LHWOcpZEExqgchfKb9mM5GOodrfU jcfrjZ7dKtZhyQFmD5Lm9x9m05lqpmv+jjyCBE3CnQ+oTWLdYNZ2WTqLJOP/mShoHrl57FSI80Qk UxYsmIE/Usi7kz+zlRLbzfL97oDJgd9ch3MnrvJt5K3HUY+78G/Gtfo4rBydwovEqdNi5eUA9zvv uL78NAFqbuOdYfRk0R9YhDEgNT2S2hgav//8ELV4mKYzRWCB5TygyvszzcJc3SG5SYgsbBdr3xfM UYTKrOs3lNxQ0EBpXFJq6uIgUJ0lcawI6+pdGYSIl37htG+mhxPYazQ5lICqlAfo6XlkdK2uuI0B lzvo+BC1p2kuD7musSu0kFd42zkmCDE4tCTEbhTnoY61MIWsf8g/Q1b592NX3YA3a0DD5RbydZXU WTiaWKKSKUzAhky7laTfWgjNpkrCVNGtyLF9z9oTm9Kx8a/jGPUW1Q20HcDQMTIQj86B/s3d1S8/ HZpJR2OEpuVq1ejwdeoRcwhuL3vHoM6sSUHIAn3mwf1ZaBlqw2FxXnLy2OmJVgqVLANKOezuQ0Ok 1QAJl0ltDSFKZpy6iMZgYvrIZDgVdwlaDHaVcXAE7S28koT3HvHADYH7lmuDZLN/vWxgDwyxSqZa mjtJ8G4XtfOfH1myPE7BWjZ9V4+QBhZY04/9ifmeUL0ubpMTOtLMjYpibkbtc/UDR0Q+wBk9yMlP 6x1I3ZktS9WXn7OzSMGYq5MNfEqdYEonrNdqh4JYmEnjq/VkiWb+gY+jM/VQqoDY7AEnyIXk8C7z AsyoHELSbtesUnHAz6YXWbMbSfTxK6zRKp2N2VyTb+OfxkCMQtvykXeBm+clG15EJ+7FYJaNFfJC uunHjXfsPY0eiaY2gMEsX1wRYwQFgzV9aibcyAl5A/Zo1mtE3K6GXZMMymnlzjuc/lsluvMKGLrD N1VGiHA7CqBhLwsczaKC+5+nNcNIlxMw3sHtxhnfTcGz6sfbvbkJeajqvrtJW7YtiGqmnaq62jin /qhlUt9VWn73WUpCLJkIESAkjNyp8+cgFGIwkaGa+Z3WXoTko77zKesN7sHm/vKl+ceiaTskM52j jBj5/t0tIvmU1OupzR2kfJMJMDAnWqp7c0wB4wmP0jHVVk1mFdRDVQMaSgv3VPCXty+/rwOwvLNl Tub/WUCzI2+Koxfh5EgQ4EPpG+KVsljqQEIuff1VR8rBM3s90VpZJH0BcSFhPq/Mzve8ON9KOXrm JcShidVQssIZIIfGbmzEX7ci6KE5UAVkLf6GIvwO8ZKhvYHIM8FazJEV4YxjhHKhdjpcS8KzXV7g 1vBT32beUf1TID0KQV9FJUZ4lp6RfWh4p5fJZXlt2yYt5xipzM/iv8YSj2UEqoXKBOEOhLlGYzW/ kPXazDqSHCwPXz3R7wMXarhIvD0cUw2DxTqYWrOzzU/rEhk8cm8c/JlRMNm6oO2a8sA5bXmhpBEE AoKY//Rd2JUIHir7ZsUQT1AqW4NpE3jDJo2yfCrlykFi1qcDppfLoOC78VdWAUU5vbShDWWfWFEQ R33UjMOCdrcpSm1OK960ODDeiFGA2pkalobNhn3FZtb0EFRfeXOi/HuZ3FoMZP5gZXQkOa3Bvf/g iibNC+EsurkIFrdXA/G1BDjqb/QWj4cuq9GAfGja7PXs2lwVnzMpdt2XER4R+Hv3hfQ4m6gcItF3 KHCaVy9VXo8ifXIOerJJ41OerRAU4GwEiwbGql9HXei37pSU0R67Z00e97zp3nQe5D2AUdTvHpr3 EhiTd3TaFA60MOJDBWaCWSXfwwvSlJ/ads7f97nsal2yL35yJ2d7cUMA4UrNOl6Q97ytmTnKGsod ++cHHzOr32KLqY405XEQaG+RyL8cOsuQMbu2CZZyItrZRV+Bzu0F3b1Uix9ZQ7wImQLpzDziuP7p Tiob+7JtgJWvAnpQO+IQPH5SiUDxgnLEJyxx7nVe2ZzfR5vvLI9ttnFbnDtHlAgyEe/jwiU/I56w 8aSjf8BZz6EWQyI8BU4np0V5Utb2W8CzPAsghBdixonPfGOOrGbSsOFz2On6lQxfBbSRxI2icBZK xgNvfDoJpOzfm3waUboEGCU4Lql9y40d3TVbngWhZxBzVfFFMUzij9uUU4en91ZFdPIXRc5Q0+8d fZJrRlUvceRXaxVaX0gVTW8hK6bSGcGFPbHOkk8WMmgAPXXJqrOFgeSPkW5794f6r2j7QkC8EaV2 kDct5+Ck0VZ9HqiYy7HPY90Sm7iXBoh2/ecKmiW7RozCBxTM1++f2cTEY1RTJKV6K/zxkTasR6+w PcewR634n8Q1HVRbtFOmCUTw43ZqeUgSFVKPbJ5tJm0Mfmr6I9ULQorWT4KR4W3bBbpy2+E8enzb vsdgGPrWz9BhMj0NUL7ISZaMeWOdWiEHdAZvrxmKEiLs3rKev4JsIY8vBKgVVCO2NS8bKy45fekX 5Fb3mo5rfqHlxADlpibvrPD3aa4wHRwNIJxvFU2FgjMm9TFfv9DFiN35Acz0aymIvbUACsKsediC OsLTELsfIHL9HRYfg1TzS9Gl64xPZ+sVH2NI0rFB1VUJAh5BqrCFikHqjuyku+XlzgtJ1GVVgMOI 5N0rXq96lRTkFWAEorYBnNfWmxx0Q6FfDhuzsoOuqpjQoAFaOXuKjq/9iob9lcVrYBM/++q+oJXD mFEx85rS+CPvMIjY1xxwEx5uMI0mY2vXIoJXuFRRhavDmuWAdkVXwhim+oVoFshGrNZdZ2apjbiG CO0MCvkDq/0gSVVbVwLRPQ+/ec7vKHqJg6X95WOLL5QBrYeCLOPFF24jwCjFDR1nX/qgRUIKFN9t 8Yz+KDdokEpysjr+HXGWxuZ5QyNXNOkRdcajyxniDeGI3M3/JaQxjr5Mukl4s3LY9jV9sSwReZdr 36WiKJ1RBJeoEh2noFmEEF4jgpS7F+Pl+NHupBF0T4mioj2LtYLBgklH8rgtXRZNbU5zNfMh2GaL vbS0dKyCakmsUEKn0vGJkZWSUWSl6g3KLC9v/GYlQxzzY6hFZbwPODXt/FJuFo6DczHI1oct4ecV BNz9oA/k6Y/odie6OlEDWuJ0u0oJTVLwikaWBShWRODet1/8XcbryPke4SgR+JoZXypLjPayAsAv Nwh/ZtrIad/6HnC4EGQi4l3cbShxm2Eg5r3NaydrXgFGr3tRDxgRKgMtIy00KovSUfKk+5TwT/kq c860MHEFd7UiMkmguAOAsuhSMLGviEnzEdwAppsT8iV4u5N/okuMyjOWvzeQQ4uNwjxXDTJq2lvk 4FmFyG+BMXhwWlglu0TKU7hjwkdlbLTKHEMIcFixH6CaGxycpY6abp4Uh6ryUgFuul9j9JU8/MM5 hr06OgpsK6+xmO/tY/ANPDyGV7ysQX7yraXkLuNhSmcc34otgdb2ZmiavyKPxLzObVqiiDIs+ZSa EMl1XsQUClbXeJYNhi4gWKwkmWiKScmDBnCYfWs4b1ZxZm/3MJlAo16sOfExiMv5V10SlHL5KEJw gVMPeo8CVdx8bVFK++ADRBEFPO6TBumIVqQx8BmQLIB1+8bAD8AIivQC80mL8BA7jbP8wrsa37/r WxNR+kmRW5XJvgKWZ7ush5MRiufy1BuEFWWnQ0saZtR7UWvl3/Q6COXbAiqzMvu3tLuY7wzVZO47 8z5wNYh8lNsFX1v5ZK2UrmUPK7AQaeuuCVtv/YByX8pOcvcgkLB/EObQrW3i7WpGznSHDmrU7cG9 dP+2d5nqq3Pk6lQ5ZiVem7R5w00V1c5lTSWkm/ZF13kkvfIC3Wdj1eNgMcJsSn6NQvFrlgPB6X9r CzudYx2l+mxhqLgF/X11gEnYRrM3XKNFsbORa+ltTeRKRjCdWxSAUh2ZoDoOLrVOmAEtujyEH2q0 VlPBSLKZk2j8ALRfv4c20hqOnJjyJFrjVcU9603bSbQBRNQjcyzExNVxvGAlKXtHb66t9Xz19gAM rdaNhUc4DOqMO6sGFKBI7LF8EG5OD4Ca8CVAwhFyf8wWDT5WPf2hxLPdfjn5jZ2HH1LKAGSUhCeM u4XN59P1CacYO8huxiCS5wHeqACz8VQvEX6lpLqozsOMCer35pScEtLQEEGbblAeEta4jOXgjSIE AtPETbzC7GXchfwnXO7q5oJoxqBdroVKsDkqhLoOMcVNY+58ndEZNCqMiZh1MM47l6FfPrbOfOsO 4HqnVq76xI9ZPxVlt+ZeCaqJsQJDeobEWX/HYNrLCyHmz/Mijuqb6oKnCMQT/5F34wHIX9FJEQi4 +HgTyZxvStUy498paSmoJ2eGNRo8pBh3o3b25z/CdYR5BAcBgFSdFxjGAqvdQRbFOJr94YEEvH2r zrSEPKd2oukc6ssqo7sqEkQmllC8/CcoQWp7QjzbBu9HhkbOak6s46kShhkbrON2qykbjb2iY32I hlf7r8xir9MBhi8cbMdNy5T0gT0TZCmuQMGIGGyb1B2tXMnkQeEWdmsjBe4EkZLZstoXqj+OTfK0 npxs5TTU38ZOGn0GroCNqo4k+X8yOYQOlHlJRFpTN1Yi58Y7p1D1E9J0uRKjDZlcEVM/LTWbzQCz BeXOcx3BUiqyZKFDmy4BvM55e0jGK1ebHVRtGQ9s+AM+QrUQmX3+eodLf9eLCDo4lH+66zAOs7hf udGQCsIwvg+3rXc22MKDyRFRM8H7QDAhB//oijWYIVu2IO9TIhiYQmyIsujhNzD7XDnVe2FV+2cG 0xJFr3q+nmwg9sHAj3m1+D5VybicRbOZquyhMKMGig6rY3JZQ8NR1OyK4saEQs+chOWvo/YE71uz rFK1ni4MZXpA7g8fjRtNhwGUwyXxZQRFaGGxa4pymZX8OjZj+2uZxyzSilU3n1Ge6BtMHTU2gCN3 e6CBz19EUOAeyiaO1VXF+fQCDZPDeHwDd0iaA2DiGBALyO4kjyehIB4eCRoIk07IMRonMBwtQ90m UxgoHpS+ga5I5EqwflwxH0fu4pYFs+IkKUiwWamaNgwbDlDWX52ZmlXmCFgVsNNhpzcEYlVe4i2g k8lT5vTBmpH8rb9qQNboM6On+lQl8lEi+jmK47XLXeWLbCyjV+0l0Q2le9XrWRcodaA+076zWnDq rXpw7TD0OEiZoEcKBmYTK5RvahC5pds77Erb8vtsEgTBZMxIRBireMJlUWtTVsYhCFmt/9dfp/vo CLnY8qKqy0e/gaZukS/vUqaQz9BLbCnoKhCLjvuIPQOg2JL4yDF7acSZZAxEkT6+EzHmi/FYmWS8 8Uuw17ooqoFVPu9NHjGR+2EUQVKvorqJPNuAZdB9TIVtMWwkXtEK7CDVMA1EgDvyPJIvwpwYXU/k hxYIDNYxdsZ6i7F9f2PYLUqtIIK8ewtDlyfbvWgKN34zJpSuVgPI+gXV1J+NLuU7T7M4vlmiogr+ THHr5DWoGYqn+syIdhTsSF2Vn311LVmMOW4OUNHE9A4M2tHzkcljLuugyloGl64Qm2205aaF7Oz3 77UekyE37A7yPoKQNfGZrnVbw1VXNzEzX9rOkKSpVGovuSF+lgoV3nPut6qt+tCQ0flf1ytiwswd 9gtDqfZ7USEZziddFjdIExqYg+9ZQt52dj5YfQI2W0sZl4eY2P/rJJ9la2gqPB2Ls1CpxFZduHQY yIEwji+0xXihfBobZlv6Seut0AwwryhKR+kRrzxCltyqMrSQKRPIeNNl4mq2dZzt7gO05VjPsgq2 wjWM0EeiZ3ctDocGQo6cPfoVdvUFPje2qNb71joMoZ4U5pz433srVsvMYTrrst2dGs2nFeWmycmj BxWFJd59g3FtRRhDFZcIAT0xfIjY25Onzc1ayZQOAkwrnnrbcWzRTDrOe1m34bU06XDuHDGvk/Mg 6Bs4QW7/QKmI5u6UQ21mdsqtX5n7vH8AEBAttGMpyzbyXMhSAi5dxF/ZcsfzIR1T8NLVxUvBvpZK 13sUWb3uH+ILWkv3/meB1Ljz0NMjkmZ1meldwx3w5AU3UE/35GHv3HlmOeUwbpZNzNBbZue2an8i Og4tLSTHAg0Xuu7Me+HIbmNa3nJ2EEAuFnR0qdjBv9JCoBQcwWClSFXx8knQcYaWzi1eM37Gjv5e rpBdAy86TMnjNEUCoOn7NbqiPwG1Bv9FfKEO6iPwH6hr3GfSB1+JGm+/HRb5NzioUanuX6qwhnib YMFCMSj4SkqhalA5kHKZVjRNv3ZfLLXfVj/ACjSVnV6ztHQwYoW329Cz4hUqxThlTGl31+O9HQ4o QFQntue5lvnBmftsg+uzcBg4L2IPSiPTDWzheWh1iARm7C/LvD2w6qBmkJ05GflGHDks9kdaWqoS js8eKmp6jT0AoPlbdqjgsS+IBTv6Ppz07FiTEDUFp5/8sBB2HZNZTYzIt8Kdhs8UKyV06w6N3vcm rdG9F9uTYYcpgvozstAYL4iH64Gmyvy1tG48YbnmSmmT5WVmNR6D+8KvmeW/X4PWVcMuh0D4CJ5F Z4BKti4UZXM8ikuGJBSy2NiPV9Ji8bqsxo6NUKXtLnP5i3PdbTLMAViQePyswaSIJA5L4lp7BBQt pa1Gt6chVljoXSMhwrpEtMpyWGKXW5QXuGWTFTAhAuCcgJ0yWRIO/ygheKkEMPkyjMO4008Qr4lY FJS0kzGUyVbZkp2lfzo2YbIOcNLAe3YEP/BW6NUNeOpliWi3JGI4RU3RxRyIk7HRnBWLZMgnZy1J H/m/BB4qCHL6MZrAfGmO3JJU7a2ruJf8kOu80JtevpUsm6u/b4hwqJlzcEiGp98UqC0PSISI5iEc fqKwHWt8XE9my2Eky1rEcBwTOSX8NPKdUOwuYxIYPI2qk3cLbPLXCz33bmAK87gAp7YePC2nd/Vo +XH+IQk9sqeaGF6fKB13IXSAjdSZBK2oVtGDflBDVMROXPVw5KD/DEHBDmhy+XyH6IvOvbLn8cIx 3DvGH1Wf6NIE0DehcZX/dvFJLe01me7AobKWWS2FXQExeq1aacPzNFJVHVuRUhJd/JSQvWxPZoHE UIJSYRnrO8niMvY1OSqN+g7KT1UuUfGgRmULb1lrkDaR3pJVu5WcW2yGafNrd4sJFmR/B21purM1 H7Gkx5VCB8jxnRr/Rs7z7kD+RqyBwLdaDrR1T6+vCFMpZKM3/Fz544FymXDdY89LJk//+dmcy48b lf3ITfi1trlmSZpW4afbf+HPjw8ztNP9KrygMMtAGsLRBAxAFsWcpwdpl2IIgaOn+F77h/3c46GL JOVO2U7IlWCjh3FJmYHfTZGD4ZnCBdD+y7tLGqPtXC/eVXbCX5sSG7Fb0TSmAoT5wlFKHSGiKqFD CIM1TNb5w+akZRs+BQ2MZ7gaXy6H7q103Bf1xrca6e8OfnJTd5zsBFLEAaxn7YhLHJrDREShNbRb v9n6K16b4wesLST+QiIU+LlIwQE5OAdVHZ2sxHQz9aVqzIrZr2afXxs24s1JYHDa+MNgrAfmgjEU SVB0xSzlC6yZwNt+sKl7l08eiZN2hIP8t+GNfa8Xf0hCUjN0WbV0sn/zcMG/Dg/MkNyLVgjTgQ89 iUt0hDPu3pSm29zVB5Ut1cma6p+kKYahENaTFsGuQoVnCzMgfGd3MCKicJiaC3fxv14BKHE4/8d+ Bx9zpnIjaLt76qQZ2YU4hw4s3qdhwx8y7zZBFL1xsRPlRD+TaidCd4b+E0CRZLFGWcvfRWUWLt1f cXKyrXK7YLDp/VxncuuuWtTwC+Hwy80TVhbkxIXnJX7tFZLgfqOjqOK6Z9ALgEIi9XMrDTQkk3NO PRtGVzdlCTqlvd1f8+jXLQ414TdAAllXuHRwtA/Ch/aLDAtOWlyCFz8UNPP+VfPCRXxiGH+HkP5p GIMl+BWlAOnRXUZF6sOrz7z7bXaQWJ8HixctDu0gEpNBueiXByN0Eu4jXzqgRpq+F927KRak1r22 iPH68TGQIjZOTkJLzWN9CwkeZrKMXEbEvpRwc6Lou3ChKzDVnNJWd81TX3gJCS3R776E1+s/Jh+q 1OlZTVAxCGXhsoobm6/RgA1txSOy7fe1PukKrGtDgDn4yFl3Hz1yi8BiC323c+EFzJkrBnn48msj 7JZ6yjfaOefoS4wMUpASIt8IR8xbHkRfy+E+mr5zgkqM5kcg705FLvoV9p/rOggOvJRQXtbEl6T/ KxB4qXOMNRBlbUt53EP0w+h1fsDWO6xi9Hn/u8aU7bMYCEZCwJBiNxkGXMdxta1rcxs83QAcsFEZ d4h9KGIBjOe0gXriU1UccB/AI22bEwrTrpfhy4Fu9Z5TS98JYO0qxjKzFqwoS0leWFIjsDWOORiI aymkp/iAYQGLhLNSLaJOUMsUOYmwQhun1HEQZYHTjVevnr3Qvy4v+KxIK4DaTbgkLaHfGBI+pqNo 8xyBx2YXw8vP6iJgBeL8C5GA3WuZoY4hFsL0a2zOonAaaCrhbYY7jVEVLAbqRBBL9I5dSiRgUZ6B aDWrv3UMMI+NIQjVmnrgk25bQ18zn/4f4cqSUSoqHY3gd0hY9bBDz/oCRR4CbPf7pCmzuCgHW+qC 7/0YFjntwreq0XQ2/khFTgzQLYqFcCG05Xqb0OGcz9A3F45dkyc1QL1mw+AzTa/G1lwKLskP+tBS 6Ao3iZvcK/j7K4OI5E9bFVq59/6+Yc+9uC4PJlfJJmI0J0z6rIKubi6df9LOzuTJCOW2M7Goq1zf hAzBn7tTWvdUbW9vfrD1+YV3Aq1/ph1TPe2yAcVhD3NySAfdaVvd2rdJGrg9+Q5wWP+tM+7lHcj+ Mg2nge9CWAQkYgkA067jbWl6deYwgoIg309R25wUODD1wt87lMqYhV6wUA/UmhqiVCWw3MoyY3sp XMPZ3wYtvye5P4LcC4jJy3zjFGPHiqAEhihqDLhs+lUPwGO36g+KsVzWuPjo0cRj+0J8qaq/Y7ns wZgGhN468xQMLk5yC7X9cA7kqWsWzxtjNpu3Piyw8Fc27KhVcz1Co5AuDzlgPQqgzdRKzITn2D6l E8Xz8DdxbZ9G8SutClP9mnceQuW1Gr0iZRBPCO82yh1J65zMUe5LnXNLd0lv+0VAB/SNXgiwu6ta TAeEpjGKhTtz3S+mbVjmpvUOpnQZPTeh2mTU7Pd4crg96BYDHyS5U5m0uscvvguDdHKSJ37dI0Lk dMf8FRfPdJ86Ck7qpprwy1J9XXrqq2Gd3/9qysuxfGUlzk5aDqVDiJyp0GodVP6ZrsuuuGuS8Ji7 n5t8RdaXQLP2twSUoAIOf4XD4uW/acnPx/w3Ww88+3KuaGrBUfnyK7TCmG952ILvgruEleHx0dYE 75GU30pA7Jzjy4TAg3Q1GUnsqPXPOSjujGCA4TWihCXeCc12sa3aJXzhdzJd2WIjl7LQjcvaGUsQ ifD0ZLDwHm5TyvtXqOjZx66as8HufK2KeIn6ZwVCCCZbLhDevz0O8DS2AkeXVwsXjE4VwizqJbRg xIwqFaGvLTay9baixZ1PqwR0yGgj5/Q2xenUMhs6E9QpXX/g5pZbbQNMRiLPj4/5ahTTLadnCH6A eLf1izP8W/FmH+QuckIRDRtND13qxYR993mPeSQ47BBDPO0ppuPFpzR/C8bkqgFqQyALuimVML5G wmbrvztei219ush90Ur28k1mA2L7HNMW3tR0DT1qbzu39wvTN9hLTKmdZBN6ey8u9TUJ2LofGnEs mxpDwpUJCFbrM9j0JBXCOLBZSXh69isUBfZ6QT/snM/S0V50+kZ+FY5zSueCe2g73XBkAX+ubUoe Dlk9zCqbty9QMdyN6SpDrO+UJMr6rDkBY69ZpdwJWxYjt7uiwx912FQl8R+GpVfWV3fM2AnjSXRr NPgIflQFSKqr/yMS783djicbPOVF0D/va6Nmgdh/+FqpwCu9erxklw4vTL0bJNjiAFD6KF/Wg9IV 3FtxrnMmOpqUeAa3XYCRaENC53UKYcuWopK3YDZAxkzQgRpQmQkB3WmX2UdJVE8DtKh9ZIQ3caDW 8u7JAgucujb8rW5sPdg0Ygo46zWvBFNNL1tAD35yyLPS3ppuf+Foey/SR854rBFIFKxZD7/Kn9NP oxRdblOMOECTf2pRA5Az219FqF+NwfY0YL/KDe6StlEzLAI8WrIX10ssOM4TWXFG2KoN/2NIBm95 cmwUcgnR50MbgN24lb2uTNYDJKYCTaw1vYvbEZg+tPkMPoWby1rYIZw0FWIB68wEzMn6+/bH+m8R NcfzFinuU9wCI6WpC4iPR6EtqxRSkmJvLMM6i9VssaqShy+tWAPH+BAZSuohxAhe0kO+QdxAnG94 SQkwYZrBscPrfOUM7ChiMh2pA+uKo6NVPchU+KJz51fOO8sBff6CMJri7FGqvw/TmLuZaELPDi1H 4pq53+ROx935F9ABeIIfncTqvSiLdzQMHbXb5Anu99REGbFM1mF4BuRiFtJClEKxpMYlsvU9vyXY 1fDvUkhAf74D/ZDxkGciCEDIr/X5uXwr0L66ObRkOXlgB2+qR4VLI72wR3Jvs5GhJnimUcLQDkr7 JMUM3O36NXMAH5j6i0W9xUGqAk1SSMdz1gFNu94Oif5ylUIrl2kZZu/IqrkHKv/8jNRrPmfIlmD5 oEH7DiEzLlGjtRleIOuzZolB2VDdJUgM67p6G3K4A/buTECQvqBhJSoN0bH1i2p1BfHkJREsJbrb ytsjyS1MXlqtvqXzMnfkdjx1Nx5feORpxo69+l6bm5eA8Of3HP565vWPI2F9Brgz6npNMtihEly1 dkKSCt2EZdWjs2HEu0vrxSONDEcwwFYMbU049HcmJOyr8onEzk0CqJ5dilRMARuJ7YVvqSQeRtu0 dccM2OSi7700EB3cpAZQQQzjHbX4YMN+62qBO4FBezQ1xZ5KxFRtaxH7zAKKSQUUrr319y8a9RTs SNVNkoNc6G+uN2xUahMGk4dbau7OzRgBSAND9NrrttUnAaS7m8bkUq0s0Wc3FShc3nbU9BKQCTf2 /0jho9D4a39a439M2q1o+GHj9ca/yOD7Q1OHsHAXv2LClargvFdawcw46+WgqEAygCce0rMF5pZO CBRPwDC5KAFHqPQBMUKO/6Ti+lEBH0PHcfu2Ko+kJ1zg84L+jK2IY3rq322T8wGKuergWRjA6dK0 9rXyij/WY2riLc1ja851UdsEHjyzfYOvKnaOLfmgtXPKCe6DvGUBL7LsUCf8myEozvrgBkO/WIyf 6lPGb/uH0QwQPBeluupt81U84k6zXL/qqEKB9ZNLFkzoeuWddN0fDAjNkEPOpbuGo/EpCdD1vkFa SZavXGaJD7wCdkBJDZKHZJmH33f3TxXv8y/90XTY6ib4Sb9xQtNUIa/9GRo/g2xcGHf/ah88UeVJ ql/5dwam1NgYmhbKyVcmUP2sAT7dWNaEWPEEaHArpKI5pAbwrthNJshMHm2x0MOYZcJRcojvxrnF 9qQI9kulQGOYvABm44kW/iXhka9FbzYkIbkUhkv2TfhWwDDHxzS9tj6llRdOLvzwkeZy+Kt/cmS1 2FLFVbM/Fzj0fJQfmYuBJnxBhzdCwVdWr/b2S+rMPd8vzLLPHTvxzIdkkX0T6EuFYekgwr7KBmPr cnlflyApTLWzZ84gwde9iAlKrepXuR1MWFNYTxwDc2xj+TS5TAzPhTrYj8f1L7AKME0uJPkM+mtS SbSoURBTTG8/bShtthWf8mzeX7/PAmT6vfKFLfy1qLLds6y5t7Q65lklXA4S+m9+HmGI1QKB6i6K eAvEyrrVeSUCufo++dQ8aN/qAxarxzqhBVxCffkilqu89VTZm51jbZ4BWRq1aW4APbA8sVoyFabE yxzhSnnQIj2WGuvDBx7Ho8mXqevTn2gsrr25VTtEPkjIB/wY774xKCkOV50vlyqkA5JJiVHClNrp rXQOSeBtsfcN0XK7M0ig0RlGi1vOORtU1ncADXOxDHbySyty9gGrIoGpNxr+EO3PQzq9VmajJl2I L6XOF6++zHYAKklihbaEp/O42FxpGGQJ5hbsMVYa+iDHHc3jdXisHbCyMpKL+lrkqX23wF0rUqsX dggaM1iIDD1ywyKDS57Ziuar9eMBBgcAP60kSBPEtbBYyuvWaULcUzxix2Okw4JCMB42eXcRp26A VNVtGVbyQ+566G/iphbIZLZmvEIfr9zpDqjY4WQfqBdSg+uo0YArhzSR4Sxj3eRr8ipkNE+0JRbO xdVlNQmbIHQVJ2087pGqW/Yn6LD0dHuwb0UAz1emHGJIfxcCYCh5BsssoA8DJl3hS8eGKPsaquXP yzvkrnceGaatwE/g1bKaw7qzLw9Ei2jAdd7aKEO8pUw3/ZX5OjKvqvDNktJwrPl0JOgtKnL9HMRk YhRbpVvX1oQekzJhKXiXmQ609Tq82YC6ouAfOtT/a+JwzGIxHscWDM4VvFwe82srZkgRNpLspY+w QMbq1vKyYYHEZpZ0h25p+RzdIUPSfJxSWsAySOZ3uD+sQwQM6THhYTyE9p1OnPtr031InexPb1SD 7Aeo3N/bUSDjV2l6eIucNmTIXM70r4aNVJwXcGNWKXEXcNyOBobEICcHWWoirmgLGT+Rf+dIpL6o BCcAXHijts1+ZrAtCV8sU10BcwMN0gtDyRxlDcbkCXwyDDN6XvYd5LZDOYEPUWH3DwDNmp/Axd8a r76Nl/txvrEXwZUOFfwGPQ+J5oy3ly743N+TdV2pJIaYyqs6rfeOP56RUKmDDIgRXVRQXXdcSWjC Ba5A40KwdUfGhf/uSEN2URzSCDLVbr822nt+nIyPRtecrp9CUPVy9AOa3Pmq1YHye58krMjgcHCE wQ4ILx9AYYnPGjlxXpia4rGHSBDBCCGa6vKqimlQGCzbgS3t+9O3nAJBme5DYgXcUNDdGArqise6 +ORNTIjPobZA/VTPQiuzhSK/wDIyAf+Qbp/A70la94O2P05ZYSMGN/U2VsnkrPMj3LYiCnXLO84C /4K9at0As9Djn8HNC+dZxUZgeyLiTS232dTtJ01rtPlGaA9pyFWBos7P7VxNRX6gE2IJMICje3LN aEqHFQRWGjh2eP9eTlGw7fkwv9gEUF3efEzU7sljPfMlX8WuL1HfkB9iJHhK5GK9U5+2yzsIRYK4 vc3iJ6RR0BAXGxad2fnCPHOIvqM5BYybowZe8KwiiU1pLm4DuNVBHkURH0m//3DlP+hXvdn87yxD hFV8MZL/jOW1YU4GBO8iSCJgSOY3ZDYFC7fRAsnC7NPXtXJUASE4R/WYHvl0JNOEAoIifVzuIaUa nNPz/KlwSXkLsCQecGR1Pk7MdFMff8+jwOFEfj8MsUfw8CpKIfF7LpKlqTVwvckAXQMi4PciDRKz lltN1vnypkPZr3JdnJUk3TRBU8ZJo4Cf5A02CrGdrsXW2YAx7jgzrO6Mkpww2ACCw49i2hE9SK0w jxfyVParjMnajanojemPg5sPKKLPsPOU/kvtKAZ15Dc8MCJtzjo+kThpLKrmldulj26+AJCDI9Ii uH2o9QUyptr/DNywie1LG+8fX0i0bR9zPv7udIcALj0kZiAkiuRY7cFZlv+/ZG8gV3H9+nML3Bji g7p9hv9cArErTl48rhvdzlfS+LNnHiklQS76POZTQzn9naM9t9mV3sAZ3FFfIkoDuxD1EggDuB9H wLEgmZdMYFEfMDHl6uTIo/slUWzF0joeD05qxXuEVrpwE/fnNJHcw8tMU94cYfLkJRKrcUMC07R3 39soq7DKVzsgVTTv71d8plRpNskCTUdJkYe8FpGFcPx/sL0v3v0gKJX6fDp/fqlsc1zUIuq17UpX VYaIQzAgaTYyDD6Fhes/NVlgWCjeWlHA8lrT7rQxrwsssYvlgeuWi8YCscae5VqIat0RtdkI2LnP 21PEukbT3F/u56/NhOAg+9iB5zMpK0aXpkEy+Cklqif91JIkFADBGdcQ6GMGgBrc4JK6al+nrCJ9 x7lxzHUSMnBuRKRQs3fAIvcj9I5G0LoRyn/9pEL3OYngldApejAS5SoK6gUKaoL8/gjdh/GO3g7L ifbkE0sY6X5X6odwgnSs4M2tdfvnt4+HCMX8jisK7ZIB1ZH16YMnIl0wWDQkkI/Hew6jYlNct9fj Fz/bWvwP2/POUK4na3A2ZxSCXH4pd0bJr1N06ezCG17deYYTVwQnQI+/hCJaiTiXfi44IiVA04uD bY12BSb/UYQTdeAX+gmWVb7bMajm9dn0wPrZ5HG7AsvSsoYdaRmTo1qCjdwUx9RjMybP7QcZjCr0 A5H/NbmYUb4mDZ1aofYNrJSJ+tdR44UFHb1f+qRij2cYYq1ZqWer8ejbqBYXsAgZbMIZckM4BRfF LnPsVg9nroPmE2H4GvfOSlkmLFOAFGVbWLZKmbHZqaJGpuVHJP0sbQhYGaw1Qn4DqaElEBbo5JYx Kw+BZ2vAwC3Vq5GltzPV9pBXlh8ntKqsubsFCXxgUEJCEh1hUcKF6fJQItVqaNrAX7VaqTvB1RD6 ITGtG/h0x4gPW0xnLO3EHU2yRe8XscMMpxgHTHLiqsOGZrwVBipyQJ2uRZHH/VIdCOL/+mjlz7WW 4ZKZX10c/kqwbsndtXNGZ/7bpvG8U2OB/1e/IRrMVzNoZygn/FbEbbF3VBaeVzfA7y95lWKXZ6rZ fA1/dGqnvkQ8xY5HgSHVR10r69SS9lDSdWYwpOibyZVUbmAparbk4GYBdsdbAeS/6JPM6JnTQJi2 lVfFKQsCggXOMHsChV7DEPAzjqkrMidPaOkRKfcClYoTDhdgw3daGUMbsDUGIVzabnVsQHdUk6fn rhnPYRD5+2Wl+v/nAjvAa/+ibAsRh3VFIq7oJglCHlJwotPF9vXUKCViOIie2gF2eyaVez74aAqe dftpf6Bam+NjIqlDikzBzns21NOOglqKd07POoedpMMsqKyyGnFvSEgieU36vDqiGF00qXw7QAS+ OMxpykVqbtVpv3q8mJbHq+MTMLD8wIg4qmDtpX8xCQnaWgHDEteOiXYi+2RTq0FsrdxfZ4j4rfaj FgK3vVfoxekytHYPWjxhcJuLxHZ0PQe9q4wpNqmNC4Fiih6bQnc5GPrLqrAKOcVWvP4I9dWISpyU yYWi/cCn9Vr6GU/zOZrkBg3gECSBdwarQ1M4JcQXgfd67I9zTa4b5cLhV9hqyo9piCvgp+XAJ2rX hlQIY8bI7OgE/bkThIwELLPf3Ij8CCBdv8j8VWSEvVkusC0emP1n1IJcxl3VcYIPLr13TL+V9Gag bcUeZRGL92JVXjG/MVhsBSCRxrK9cJPT8qqZxa25LfnL/AvXiLLtwc1kGTGdMG05ZejU6W77hYcr R57drZU0+SIQWow+oOmy9DCPZLRW62M1nPza4TNxj2wl6Di8SHM6OeaFldZxEqu0x+QJrcewJFYg x7/OVQyQqi1/RhIFRk6Q65I9dUU/yU93Oh8vfdUzL5cgUsaNoCaStWP/E2h1UkW/2erghJ2wJ7MB bRXEqL1D5gyl6mgawKzyICGEtKSA7hmRLQDqd0PvnR0Biyn4fG81YfOXn5Nj01YS1c6fXc/CNevs SZE8T+3kJrOSzKYXmzhNgzLuXUOW3KD4kP0wykWb0FE1/3/mTdzYsPbKx2qWRolvn1SfySPSZvAc 5tRnuPc6CgacrTbA7UFr0jehyu3thd6hyLOHpf7MkM+OfGybxLXtNGQyF+DnQJaXAC4+rRYVzu87 Nqi4UxvVt0EoZx1g3MnrS0kp8rll3b0tLaRtJGncvJJvP3D8XDNejMkYSMtgDyJnPCaaorRpkbd5 aU1FORB9u25i8ax/zjLXy7MIkA2QPK+O+0pWhRsIKjhtzPVLoM3Z3MzSI4F8lrJGiu5+43rfbYhp ZxXpNEmZ/3OstIgZ4wJrZwN3cQe3qbt9T4SvuEZJpWYiUtN+r+bhknVwvtOWVepXGfqiW9dxdDiU Fh3qdfmEDFttbaoEf+I1F3lIACnEBcg/sJL+gknBmGdVIDIwvucRGsdQOR48PUer6v1a2f/LalaX 8JCRaXh0mYA/Zho7K8+i/0cAEMfLbmL4L9Ed+RnuciT+QAJuoAUO6yL0X2yZamqbumBiQO7UB4MV 4YyOy/mHkoxcSrafBwv4RoaaJ6jTBfR8Ma5VaEPsAv3SFZuM6hZzVqPSb+nh3jWTCwwZEPlwLNgx Mq3TLkNPYEgf9/RWdZifBy14Xn+hz5odfSlZiSyhBRItUnthBmGu2v94a2pWShSxJQIgWjLF8FIt wftm9HPhcv3Hd+fQPylkhxpqao8O6L489C/7TLtNBWg6zJVaZDxsfFiVRRyBdJfKUo/eAAGWnIVm lajCWdMKH8MY4Lp4onaa7B7i3yTmxHZS5Z54m+8pTHhpMFGCV46P/iTS2Qy/XMZMRXf2rBgjRQIl 5T72lAWnJxNSk4MGh9A8tcTO0njXrhcirTL3erwQxmVgwTdi5uFx6fBW32PN3NiYTdfVJDH01tdQ jSYPHTN5yp5enBbA2WDkFIiBZSxm08ooEWhsLW4vBT2Qd44ZHPWAFifk41+exfBlKlOUFljChed7 edYbW+epdvoMX2qxwmwoQzS4qxcfDV47QDvpASUrjMlrIR6fpC7a4cHLx4wPEYOsU/knSe8vaBOi S/I0WrV73HmXF7Nfp/CXvE/RWBDdyTk4Sg7FwrgbIiB4s4FHPfygkxnkwz9s4/sufOt8HXhqbz/z LkFL2/e1QLm5J4JMQVpydozot05Szh1pCF4pb145wd+CzBmJZlkavEUjWpMCM91LZvQGphm0QrHO fNA+x3ymU1LFKjAQl0USfe07Psybxv+GAvAjoDc4ni1My/OdFAY4vPsSWcNqlPprR/hBYo60FTfp iM8/aG07vT229pu7/nE66mM0EkCkn7bVzzWx2coYWFYa1qMihCkfsgXnyOoCIImmLL03OytVRXQd 0fIKLq1FI6R1YcRc9Fb5LJYh9zEJALQMtX+5YZ64Ett//K2M8t7GezPyEdH4g2Cfg7ETDLRZ0BuY DjfA5BWA8+pCg6Di2FXzYA43aZejjdpFDaEQCR+yfEnpc2RgASsOvpDnMqOTYgdZAdn6GaH4PqGq FdQ4pzJ6GxDOQ+nC+ptUY1+lGP4z2Z2gFhN7IsqMpGUD63qtIiQ6wORc83kOeNAbHXvPqpzVg3Cq Es2bW2JAiLVU+Bg34+wRfGUcb2VByJQk8hpo/Ox/jD72KLkrtpGGcJpqeLYLZAWkDsy7YymJXsMw 5F4k0cBYDsd10l4ieyurh1+xY1ziWHehCmjVoVzFZCOZwTgBww/EX7eBw5qy7JpKfXYIGF44utmd m1t0yUs1z9XYRoe9va5mu/NtIosyI8IV/XD8hy5Cp+dUYlqhrxiCGNZSSfPsMMdgAUfM9alkuGBM CdvE9sQPmBMn9WhvT04HPH2uOH7vHELDlJ6tD2l7QnWyoL71IHzXIRpDplqtKQyO769D/VYH5v/t Qt1XV0Wvr02O3D3JZOWbGnVuP/u+QXVMDhp9RSGdtagtiDKgjE9RSa3f5nIn82LxDb+0xZwx5p2t V6I+h28t7oWhosnEww23a1a7a2I14Kvv2hIESPsC+MHMd/SQvYFzTtWhFKfm1sgU69AweP5US2kN AaG78n1C5XlJWl7Gt0j/vfsnF/+VlTgdh5cQcay+MO00Bo6GLJc+So0WdGf2oHu+q1S/LSZQDxny i3HRtEqPWVVqttDPrcKz5jzzeo/USc9iW62uRWRV89Nh7nv1zRfh7ybQAis4/ROuJ63nSWdTHNa5 7Od5p3mh4RzEE3CdQzEawk0muyz+zBcV3vQggXUK3wN0b2iU+HjMudMKeajp+yLiEFQm/S6QYkle WWx1VkHzU6PAiOr1TnQfUwDfYLAhaMfxuBZOeoO8Jx6LGePnctwKSQGYz5oRVSVteDRATqS4umA3 AlgzTQDjurPA1/JkGtGsRbnxI9RbcbMB3THHJuPJv+QOw4hc+btmT6UwCx32n4nNzuflOjeJq3OA hEKjtp/IfmUdgLqOu5oBuX6MfAsLW9hbeVQqvOEfJoaeWGudAeAOux19CodcooUVWBNr0HzRaYDN kk7wmxrzYGKT//x4UGPOWEF9wtDLAOEm8TqiFe1ZsrBT8mm6w9kztlwm/D0kIut1ax3sczGSeUjq BgjisuOzqQJ1vchA0y26q3EnggOyhbI2TSzOyRbqnlJEzvVaT5WWr0/v+3Ub6ggpXYbhj2guIh13 7u6PnVKS5OKFBCY+NA9mPtp6ew5NxSaF7wZptkBR/Hu216Gjum5qi8Z+ll4vIAHePPA643HY3Crm Plsdn+TwsJqLsRSf5jIKWq62aoGQre/FKwiyJIN5kW7aninYEqgcGffalqoOqf9la7gevLXdxHFS IWJoXM/NtUqA71P2XdkZ12ZOMzGAPEvb/herHHAu55HoaPUHuI2eV0iQZTD3GgVy8IO4H44XPGOn awYf7I9RoZXOHW0cAniNDSLanMCWE/nF06jLxvdFMNVlmSr2J+/HVfTCnVy38uSzPzoIdLKbQtlf PLF845eHY67xiTIdm6lSjnvvouKVpxRhnZWA61PTW4Qzix/9BcKK9jgnpqBZb7uVMxN3oOhbB5O6 JG89mPdpte/ba4dm76ZwvUhWQC5h0zL7V2RoTyr+V2Pbsu7aU1jNaZfzzkKCx042iu/g1m1gmg52 dgLeZjEMJheMNTd4EePjOTC/2G+cRKJxHgDxVoWGYqIpo/Uhw7DPgTh+vK7BYwixOSnI82IE7Pe3 34tfdWnmowX0GCCtVIrGqEhDm13xcgQTwnFWeUx9p1BAxPLaYWpMS8Wq1hPZyCPLik+D/Q7kfHFM ahNSju2XBjiG3SoAc7KxUH7WBgqSKi27rfMnz1SKVUGp7rBJXv90YTx+hlx6UxKDcjGt537Oe3Da nVeBdunzTb8Qoa7uUdBrprXywtm/gCT2jC4NxHtpKBaPm7P9QQEvVipa8uFNg699g4OpY/iZ+F3r 8GTMYCQkRW0dlMH1ZVvjm9/Hkcy7ahGhBTA/v2+eaZUBWqVnqhdmWqdolZy3h/Gs/if8vbLEnpNB 9JfsWj9o0HUKKoOvUfnlTb6CYOTtZzpvtu8rSdZjqeTW7Lyxwyywx+FuvYN2OIzhtQJqHJKLeMlo QGKfHiwKXJnSsWSfZEjYph4umK5s/Dwz+yzao5Pf6FkWxnZ4neD92R4hkouw6MNMaaACBKipyJkr wuhuwxPneOJSwA6RqnT+4MK0v2gZttYXB4PimoHvb9zSGIBI7f/ujpd+KmSbpxbSv0JQAbZaQdD6 106pkVKDLBP3mgv3iu6XJQBf3JA8QCUAvJX477om8s1TZXhOXM/fVzpJL7auigrED9kgv0oXWPRh VtHFciSNut5dh3qWLjFQqviL5+2MRTjXflTudyraDluw2BiKo7EVhIrfdgCmzMEHLsA3ozBG2iyo oXFXIGhxHv3hZYF8aQyg3n7JePQ9oqU6+lTf0tz0pnYlQzu22Q5kk7TNpr8xEakmnqqYGzan0aO1 +LAfhHZZe8q9fkxRoa05wBeIyenjg3TXcx9Nog7JMXYrKMHDpQ//RkLhUZ0B0RhXwCJrI+ddoQ1T UHfkZXvMwGhF5/Di5CIuqFiN0fYnTsgc5jdoHUVIa0AnJYJCP9DkvBm4tGMYhmDE4wvbDH7VU7lA FZ+sMZCOoid8CxHZJOmSxbZESLPRgtw+vMiKSRq/ytqvFTbKsrtUu7igDGCp/WOiMw9NZ9RGrOxj /UxnToCWLx7EyGmGYH2/QmIl7EwuNbvO1NcWuPdL+QSRGPiWza365dV1c+D4TKYXh0hkM2trGUlv /XMxzTb1RxqsCpVCyQgW0XgumK80njIT4LLsLSfAgP0nnxSFA+aPMDMfqeiCzPXrut9dSU/2hQZx Q1Q1cNCRvyrY711pCbhLQCxZmyzMuEDntCLNYHqIeMWo0nXsJEdL/xxdPA9PUZcurua26MMq7Gx3 xr4tX6C+bzZMZg/f4I6/ce+u7mlYL4Fk0Mf9dUBIewN2VvBIGx2nLDx2904JBd0P7xfx1iDG0Krv 80nCIPzbZyoTscI61FE0+BdGN4X9gRa0n/DoJha9hR99MdzX/RqEMB48gwLK0NaQ4X2CO4tnybns G2hzKJDPCjA0bn/1rAkL9hhEdES3DfKwwP6Fr0+zeKioAedVNFMTl/ZWUEnhNbI9GHimp7ilRPQ9 Z8qggx4wxVwZUn51e0ISe7vDvNSMnXLlkpzak+kVxcZIcSwXgQ90rNF7PNyb0u2bqcieNqJjgyjZ GwxshpXL5al/38aA9JhxbcM+Ws9pGiw+hvwmny/4HeXOXTcXbuTGz2OoVBSFISwqy0lN4cQwoTmD ckHoTtym88voBZb2m2AcZb+dQiOgl3WCaKVH3oND+fOGh8ISuDAjVPc0qk8292XRd09U9+av4daL 0wSEu8mehmFRc7Vq7Psql0TmbZWqCuARKj5jSObOWNMLsatEsuTj5mMd9H/CrOfm9Dwi85X0rmmh mSeB454HiZsC9JBnUZZ6CYztGL2RsnU99nbMIG2/XfoEZO2fT/MBc4+pXyce++NtaPW35jVS3Bxl 47w3vz7tZ28IcfhvZjdrVLH7qPNu/QoknyTsh1XsIYWt2uoDVpwORDMbN6JlnFRZp17pw6qrt8xI 4D4rDMEOqKwUjTmdvVSR1HiaGxwQNrhs2472L5loXNMXl907G8t/v8AmNjmOik0DjaUywckOJ3Sj mifIoFYc81ajvgX8zPPYZgZ6iax37G6dHynjtbRHbq4q5+JDHhNbFC7lUyIDzd/3SgKWOn5rbH9D 2NxUbRysuU0xFZDMiEygzW8rUT7B/Lsl2WTUNYhYwK4MZJz4KLNNW2AbnehbEI8wFjjFOy1IJ1eN bngrka7SuY9f44+wUVip7kdlg8c8WTX2a0BNTposiKWAPzQSPdV6LmA1V2tQ7Jv2ZeTXsslcorWD FhmAy6XYCvRTkIXbtbXWDPKN6e6T6PHxur2qtyUeCHb7zhqmUdQ5nln1eG+FbJZySuRdfTifrvPR Z1jotOTOCfY80hrzJmhWVSGXRml9xplOo4VoVxsw0Guw9oL0IBCfbdcDLp2JkQdhjnLhI6S7au8q z0bb9atxDi+bO/r0eF7vLEjYl67y2G1sUxHBqSpMjpnsOlV44ybSNaD/wTzg2j7wnFRYA+/43eYo XWBDo8kS0U0lz38kgu9jGFL/wNloqyI9O5pQ9rz015hmSzi0+VqvahrLdEOaKFosduGPR7I84JP6 4blpxPaIJAcw6jrIPoOb7VXtSpp1/wYojJAXfn9Td232I7lJX4JL35YSu5C5OJ0G57Pfkb0te7kG fbVKyMO3RZK29IC9/LjtjIj/JJGSjDTQf+jhumstB8Ndwr1AAl2kBjqA+OEGUp/u8IPl+OCK1SM5 mqUh9wrnWlY3q1qGsx1ZTgCRAYNOKhWmOvNMQDzAQWiWoWJjVKUjwfY4ywzGfprbhls892ijn8mG IJ4h8K647X0kwVmrXkrFqHf6LaEn9VR6jg7Ts4amgrakx2sUxAH9HFkhsliwJf5pso4cLqh4vZmT /wLzcZPPO0d8/59GimyJ3XbijgU6SFGwZMdmooF7kv7AH2ZMg9kEMip3grPDQl220k4yslKvOXUG 2TYSKYjMd0HlCLx4oTPeh6dnR+iaU1lPXpT9NBMVQ4hnEWKW3S63sPlH+2EGvlBwYafkedHW0kYd lxYAlUB2Xow4Sz2pssCzMmDqtBWYOInu2mDgDN68ukEl2f7PdnexGivFWUYx6J7rQXvgDe97RR5+ 7Pv/HPpoV/piYx/fGxDnhDH72eBL1i27a2m5QOtcflU89xZGkm1R8Iy2U51mxt+EG0xVk8TL323M V3d5p3qfW9x4bJ315oqKLbcmXwUGD5bU4N61O7cmUzICIijsSjKs817vee4jvsH3P6/YcaikqAKA jFQGQyY35HboQI0NcuGKjx5ctgSbL9uHc6zTzDZGmjgfCz5+JaSKQsTH+tF2v5Cm5Vtj9AsgRHdI aBUpMhr9VWT538h2Z0gOgqe/BNJqhJXhVLb/44ivBs75vlS9Nhqbcjb1xQDocYML0cL4YfQpzEHB CXQclvTilCx1wfUHYGDrAtzxeEx3a5L4yPF23ii9Yjb6T+YLIPhVLf4523yijKkgvV+Tj8c89RWi SbdTLV0fIdzhjELsFFjj14bw5PStvr4LSGRljuHFxoMVe0LrrYZ5DEyYduhRPfWFqMVmn2AwWpEX UwI9GC/qxtZmuXz28xVHln2jk+e1YmOrrbEJkJrGfX+jYohrlQAJnfAjoZ2ey0DgrRCVIBIw4b/7 hWpvOff6PvXbU3MauCBZXxi+F3yKk8KnK5O6GVuUw8s5WRMS7aNqjjGni3dlVfe/QdqPZ1sSk+r1 YYkwlSKNaTZM45RcXbjlg8bGEwersgK/96tQ9+fpQcF4g8RQwj6hOfriiKrIoWtxDCIfpVi8rQPL OOH4fqtlkRpx/JGPmXGK2Bu0SrJ5twpA4V/zKhToAMcUxOBoOsYNvGAZj+r4YaPuxffedeAw668+ t7xTCFmXIafRe1/xBce1hOyLn/igijTv9WCAeYyV2YMDVtkH+c2tyGjMNwtA9pzz8SZfe43qKow0 cVa0EjzC7ZnW2jjJRhOzwJvGLpzk+Jdk6meeTNOaaWEu9+a2lJI5fGcCWCNt7et9uC54pxTNIowx tCMLigO3esvZ/fCHjqvu7AeOuwpuh3n1NGy+Zjcb7NGjiaTRTqxFUn7sjDHe7gl98gd+gaUTBt3q TbD+n25wb6nCFDrwFn1M7GKKhlM1JEAXS8C6vn6pR+5ZXSr81OlRnv/ptiWIiyfvEc6xR+ODeEkR DaDz60R6lfhQ0hS1jRmz+vXX4xI5a4GrAl5NoOa4X5ZdQ3+zZ3SCo2nBlZ50wARbyTE5d/NjaRRr NjKURrA4/oi01e827iJSXuiDEPFwDOWucfU3OWuNGYSwX6pdGuUgBcH4+/2hq6GElJnpUS8Z1U1x EYNSEralBrvtbf/ziocwY8rfBIjqLvWnhx8R5FY8wyP9ljuY+FqdxeY5gO0pZeTcSF3QP0ae8+Pc eYcpKb7/j97OOeiqc8SocISdv3n3oBuOySAGRYpRkfmiRDgmH9bi8cf1ExeS0DT4PREN3OhBUK8h jDyY3LXusrPnMMQ6gkmEJ2P4SDAkB2KbxMpimd8sWdMR/XnbF/IouLEmiVZ+grQW2FFKbF740Zgh /Hv5ETFlVg8kxtQc+p/ASt367ghQouEUXNqYD2XOW9Q9gjqUEPtfFnQMvVuORhdgHssPZ8AZqDaE x+bYq5NJ9RKBEeEUKp3s0TvfJm+BbWestjO7GriyEtnuitsVEUYCQyh1j94/XcehAtz4d2tSpKs6 a7NlVTSH1g2AnYuYWhGEiTSOpHDEiZlBvpjR0bJcDcAybvQjWZoaxkAE6IJVb22Mg1MkD/7S2+ZH LRZD7LMklF3wbtRTaZa4IayJONjdOKv+AvqzzHQVHQBLCkh0r08avM7z9tyuX4ZTSiEkA6giMxd1 OJkHDd4OL8ozMtRbr8m/rGzjk3bU4d7TM8vzsPARq1VVz9TS8ajo3ss52k0jAWp5OHzsdVDnENAt Th1HJJwAE7mOk+qCvbkCMVwL4SN0UZ4TP1fFk8u5p/LrI1VKMU7D0FmBGSWyHQbsGCVj1KQU5Z6a oaXVJLCKbJbEglidFd0H+JOVrkDRhZeEY2kTJOQdR22ZsyRbp14biRm1zHkniCa0l4G807DBta08 FfoVswaNuvSzj6WL3RP7oTzxIYjyGWEJ9Wvq3bFEOyhniEOH3j636cR4598MsmZgxoMsnB8i9LRk 77ejsPN0PY+Pl3uCdIp0DLRlOoEnxs7jPVQBm3kP1mGkXLRSpZ2kzAcrWl/7tBHw+Q9DQ8M/tXoo msR8SxgqTvsh8NiNCMQOLJRVLb37N18ohjZlDWxyk1vxHfwz/fA7dagyE4EEfBOr/+WqkqR5l8ql JhxzZ/XomwknFRsEVIcyyniStvsE2/sDZyTWfE0er+sYVFmHmM8Kor86bTyIceWHokljU55CBuAk ZqtH9Pu7r3VsM1BQqKzg0+5WB//443rTjNmI0m0iCo8PBU1CpVBJ5ccp3e+gnoWIZOkm1X8FstWZ KeHU+6YDq5PZbVHn0RBcV/wBZvrHquOJJAL1BX85XnNcBtb0BPaQzr/GJIwBJ5KcGgEmAxvoEgt9 q8NbWPJOYN2JQO1W+RznOOeUejmiflfQtLlSmSz5uPytI9WFC7FwOrvwfcp+Ke7ZSF6SJ2+Y6VI5 2lech9Ef8jxHr6ymqIxyjMxqbz8HEPdz/ou72wi+CZhQggSrbbnmFTptXPeAoc/U+vhBVaLdX4P0 x5c7rh3CQkp0yGQqU2ixLEthsBEpxvwHJ2Sd+u0ITa+imDY0fuo2YjceyQm3mYh3Pso9Q8NFNP1C vhm5cq5Q6VfWLMGonCJsN2Vm9jenTnxIFCc9e2iNG5SBNpvJLMl/kSLzPJ7FmFAwjOvsudpunszF pNO4VsHwvWnVMu4wWOm/hicqvCxvO8bs+ePAtDY5qkRHyQYB4h1HwcqJUozq5fqrvRRL+5xt+f/3 311u3msewo74JBjxwy2vGYb186KNOAhdV2kJRIIFM11BRJBnDw+Ks9So//hf6S/61CeQw8w19KEU lRMW4S4tAYeAw2nVvFjWnRyY6olphXYjc+ke0gVCuZELp3AYT30zGLJdeHd3Nyd5eC+lHw2NNopz wT8kSd9exM9OakOZRQdiWOxRTWRhY2O/BuUMVB0Bdd0gNAef4vlITKVBtbGG87l7N44M5/3hVDf7 uAoL5WawkPPJC1BlzF/gFJzMRRqT9QPw38e4scxt95E9onzUoJ7AaBje4JNI07c970tffeuoK6T8 zmzJw8JI5My+W7YEQvQ+wgcp20PUIzu7JOA/xLFOG0QHsMqHsf5MI+yOGJmeHStT9R/L194nE4RY DTFOvM6TSv7HOhXMB4MwTAOQ7oEujng0dPSwA2cm9uymIsoP7f7DDBG3ca8rxDmulomW+v5vU0Hv wAiXHACCCTCF4Nj5rOpEQ91UzL72a7PvOEdaG2EcId4gu2OlmVEZfDuAiBN+PhZWtkVcpKl+FJ+9 9vYDjOSTKJ+JDv0kRcrjj2xcB6Ddt5OwDfAxiezkYmc/NAKb17sqRlw1qd/YifiF0C9KmGjz2hU8 m3qG6cPj8BVUZaA1lNUil1XTEUwjt+cj1p7FPWTjZ5PT2TiFa2Wrjvv4A63R8uyp/wVGyZPoYu25 zlybTu5KKF1yb0IjuX0ElGaItsxQiej/DsKM0/c5ENKpUSpkjPsw8A5/ikDhWDyG0Ga/j4zVkbTk tjhSKpJBsTamCXC8f21SeKS/H9iPb1FXNSenjkdIbSOA7Ze6iyyuS4H2+KjBa62JzdODzVqLYVyv y9cjMMEMJnzCetl3oDGCZtpIYnZj0H+cZePk0vv1L9T8G2Ie9cRe1aDLoQOX7o0IZUv6WBJE1YTz xaOx23th/aT5wQI2oTJCXXGWsRWoe5jsjCFWHoHBQeOJ4jqO3BtQZXua63sBIge1js66UfpK/VvZ 3rEj+wMXPDWfhI7wBmVHk3By2YMKRPKQKlHMpVbuukYYrVo51IghqgsI8zGWi8FcfdvNf3Mm+WQl ZerzjggC9L1qpIRbNK/4dGhAWFnsvBYQhb12ofnDylioFk5vP1TONHdECg2i5tay/ajHsLY3PwwX JgOiDSi6JpRYj8YjAIsfq2dbDPWmRh34EktjvswJdHU2Z11bjVRxjXoBcdsMt8oKs61XNAikYOUz Dy6vuFK4Qgqq+Sr+yHCBMO8eyWcK99lKqRKl6wQkw8eUltNcbmMwILigHgtq8/KvozGKI1S9krqV OjY0wFvpp8mKZnzvHz+e0ZiO+mkPmg+iKmiGQOWfPaSxAWdvvC5oR6Ngq8s1WZCrLK9vOGr7tHs2 FF8sh6+hOIKXPbdkaoUkujnt+krAkMWjbus+8I1cpaU4xmZClT9Kv37eDKvbW0uHZgR2iSqavB5A e57HonbTiHqLLQIT61tkcjC2n7WJlOL7+LGLqypRb6GEo///Pfmws35m7gkOQ3eWTTfyAjEkVJqe dayayKtXwTX7CqxXAYPkLGwtkz8bPtwcB8KjMsX5rvHlsQ8GF0XBhOcBx4eQci+O206m6ZQFoMxS auzCQg7W6ULU5LzSK9wtVnskvIfDZqLK/L5vLV585LTMiShhP5f8GcemIjme3kss1X9T+TaZWI78 L1BrJ5njbWHA6f5cpIgBbF42uC5LhlT9Z1v1leH7sfxyXdGiKX2yrg1KW0kBsQwuAKDnrAx2VPB+ 5aYy/7jexQPN9vXSNAT6LXywIqvp0tVio8owF+p5E304Eoa9yd5+uiUgjP9f1S5QseXcXcxxyJat iKAOq0MQXX1zIKVfWtlLMUD2xJQN6u8aKOQtXUPKncyqvPEl7fk+yHkSPYWMaZxZoVXFYmEjbe4G op+PVQSQCRRj0U3utL9J508E3qA2IViRRiM/C+ip9xcA4mKPBkkuqkbxq93CAS6hoYVGyJt1Sf12 U2FteM0zPUZfMyCB48CuCk3D0EWqr2kDI4sM523zMTZ7+DrPLuys6g9ciP4cyVzFAGrUaZL+YPya l7pjAgZsAoYJQdZPwrQof22vlTsp2BdAZpu3Y6yttzwYNmYSLDrRMzB+UBgJK0oAMG1CpV6YfiI1 v7PUl7ii9bGeGrLyM/R8m4bWDEYs/gVd37TN2ThPBCKROIH4VKK69Pz7xdMK2OI1OgnfXXU6sh4s 9N9Deblus9xSbON1WyJl3Mt9iAT/MvVYxWPYwqML5KFQFHmuJ7LcrhTQfe2eEqICtHPfEQNPrpYr zWzg6Yw68XjeyaVFqfs2ejj1PLExhnLdHD2WrArhaUfNGDISWOl0rzW3O0EpQA1nb2NcQ/v8C2Iq IX0wVhyuqx9g9ojzFChX4LAjzKJVB4ikcvPIlXYASRm11PLIlqf6fAPYRIZ+lbyh79/oC7qTXWsr 9DOjE8gkHtEB9sPbS4FxhUlJaG/l+X80qkgek04OzLoarzAtFhF0JkWZYQYb3gxgs6nHOZNlOdQI FwUjp5XIW52ZQObFd3ttrnTEYZcrFaGXIkAfa7dNSEFqHtOUDkbQudhcB80vyPXIvZE/8yxEa2YG JrSeszBOp7Y5Aly/E/VY0itmAvtOoZYoQKGeokvurSWB4F5saMvWXhjZ+lLhlHLR+MgcBqQksypD aCI3QEgBXGOBtfxFChR5EkKtZMz8lxdw9MEldUiGnT3t2rV7RK8QH4yUnTrbXm6tQnhsRYwIVixw gHu0yO/F4WDnF0H8t4IseMQImBM9WqGqq3EfrWTZfsQVn48V0jZPTSEZxNHX04X3yIg18xtDqmWV eDKVEMu3A9Z/NbjySRnNxKOl0Oqoqt7wdMwoijl29Z0PEEdzXRouUe/FLX/Fmsw5a/7dJ3T15hFL gW9WrKxDDfX349nvWJBmC5Fm00lK4kwOeBUk6C5TCh+PdNHbz2HRnQPepA65/9hVmeGoVMH7JMj7 FDAlpDDSj7emWBlLUZ2nwaPcKxtOsBBSRelydlKEMK+AlzXrn2aEgjtVy1DCU1iQZLMb0tH1XQm8 LF1ZHp557FMIGhRWgdzfOTHoi0gW6+a+jJzlBWceNd3X4dwm2wqbr+8yXwtQ+PE2Brj8F/mc3N1R Yfpxgbf8G3WRS6LF+/ntFuawG/OwvnjvnxEpvurcwCKkjhiqAe13QpctqkvLmqG+VifoHooWUQ1q 83JPggN3lKjR7PZo0FrCRgBgiLWTV5xP7ZigyMpHctqYratywtPyoMtwlroKHSsnPTTWBphE7KlB 2LgyvhvvclBmlREedSY2oD/V9/cBzRtK62LTOaxBoliLhBS+UPtmb6gB4tl+LxlI6e8XcIrFl4Ym 3tGHlzs/QW30cDc38W27hy7FemtAg5VDMKyN8fg8rb75J74jwcNUjK+dYWFEiUwOhQWwPhuo4kuG y1M7PkJjvems4HuSq9PalO+tkIjPnbx/HRnekY+SYs7PpGs+fvH+Hcpt14wmxJ+AlQMIoTtWbnVt lR4DCiHVJKOsiWdTEonc6vg68pspFUGrL8Gw/YRMflpbe7IhYQb1ogzPRgEdcfNW0yHbfAvgmag9 VVMvxk+RTdbArqqzyq1fzL3/r/GGRn5ITWjCv9iXp+12KgQTbf2AwPWF+PtC2YmoDWbMcg9rjYCp ScmPS6Kk30kDwNegdiyCR0efN6G+NML+V4BbEeyeKqT9SGHr3hjfq9/3FkiHx0GnNmsYZiV9vVe0 6r5IoJdcBG1t0ROLRJGwEfTW7anfdsz88l5iEfj21/afoSpft3uY4vCPO3GDcydw+9nK96Ql46Mq ZZbQ83QH1LaJa8qbddGlYIHr13tjDf5MDmXFemUQ7SrgicvkHY3q6t0goSP3tm7SCsdqVP1/wSPz 3C8miNcnY6EGonn5KsohdsP0KKcVxAUZ9Ou8Dc565+ClpPmim0vT9LCUgLkzyRQuyVOXsGVbsLCE fmMsgXE9Oohij8kVPspWUNLqCH64nQVpW3EOsYvUKRTJE2WcAyXSLH3db3WT8PdtfBBVq62WiViB ghh6I7qT87PmLfhKX3wzHpZgjWYdDEC9pHX8wFuBXSNz+4EDuArDGLUzI0G1q2/vFCRcPq8rBkDI rTM2jyjO97ScTSpptviMjmKA2rDHyyNxIRsDvPTByhzmzWchRJ+WIElFT47obblmvMfesuIEnmIT EJIq03FMsvO7iLVi1nToSa2njAawaGR5iLwHypeKRp/S8iwXtwxQkHmDbB5WiflHBYxCjK8aJFUC aM3Vz7ZdqhJJTb9U0Lix8w9MlMwgWza8BX4SodgwDT1ebcUZ27PHJ9+qBbhzPEp7Kin0Uuu4muVc rr/h6kwamZgoSV0yNisEbfSEAmXihDFMaYWzGF8SQAoyJK6FufWfw9aHDP+7zKUjVH1P1hecSkcn wD7bDrb+f+MFHDhv9+2pD1e4oJc+/1QwCHYqtECxNeiSHzKBPljyAq3AI+MXVmbl4tj4E04QSW9w 21LsRzHuaAIVz2H0L+9wWOBTLuR9QwpogayVIPjsfvT4pQdCXUVlnVX9gbTKlvGNGnhAuE8JTMe7 tSTfh2BDSydk49Jl3i24cy6grryD+SpzEwIMZ5x//B1d3LQHV2KA+IkYoC3EdErYebd/kmEOA5Gr 8pCjKRd7s75JQVIna2WiHJ6ukGK08yIqK4Dzw+t54pQMRy/Css+z/bHmMGVYxz0ApsG7w2BGOrv2 b/8nuOpHuRE//YDrurzsBNhyMWg1VabT9zLWPnePX5fLi5+fOxliXbfUV1gi0ePHJgtHZCqOH3Mp RlnkjP0rimTXXm1z1pyOp3/7dZTnz+tI+6olXY+CA35iRDRkKGf6QB4am0fSAdnSK/3Uh/2XdDef fCQAKR/73nOxEktgdGU2m7Y2Hg/nFaRo7xi6uTxXcCQKr+APhuUt2Bs70aBIfw7OiVdrBzOID6kp 5EdvYvl9d0zmyGSJhagcCxCIwar7+ysyYHZbOWgDqY11ycO+LYQktaT+D07RWm0LaFx7dFMZLuin BW86X5r1AkCOta3P2hUdu+AU4jQDpvheiy73kre1EAOxNxwf4wSxvvJq/5Tm69GMgKJiUus/n2fs qGoNXoGKyepjMqolD6p2v2rptCVoEuTbu3RyWSfzhBOENLaJaTPb9vIVzroh7UuOWgNeMu29qLIG klYGm4Jda87HsfKboNJsPPgPKq/XsXX1HtkjcqtR4gtoWW/eqYij/P5uIG9/yIptSmrlVBBA+BS7 rTOOURKV0mk0cFtz90+If6dYvPoVjpfvfMBVjHyHqDHZ8XxI8zyzv7VhSyFIRD5wE53O6uvdb6jI tojwck8u9P2B6fb2D2VCyobhmQLcbeasjEMK6HLBWr+UmDLhZDU8KO8oKsQ8ROYVoVGZbEVc97EK +5ftcWXcyvUzne972KpNNsh77SRLsheDL3y1XMFAfIgkQGzIibKl6JA22uXT4XCBPnKahg5eE7MD 5t6FLoQHtfDFHr+AvxSANs7BHF0CiAK7OhvlcMDX1r8xhgn4ugNfeaNMoDOKyMR5paLyRC7fCVT2 IEzNjeBhVV8MOezogtAcWRCt6uQgdOXbvSYGD96jEH1ojCCJM5LRiB0RH6cEt8H7+ewwX7L29jIg wXPyoKftZz+GPlFPBVyytunXsvvJI0gAcgh6SZCEwLQzFmDhP7GSGc+Pv3mn3oEVl4MstchD46LE ecgh55n2Q2ZgW5IE26MKWgNxsNznt6fNwot3994r9Q6Xmo+dNu3lxvWtVSXZA5aevB5J07qCqljB H9NbKPtdB3iDJqDwh7UlrpYBttJ3EDQ43BSZATgk455FGqoI6r2fdxqClrNlQ+VB9TQWWSauRejw YoGRHdJAUA4Bq8G7n8ckhM1clbBLkP0BOhIdB8hh89bthic2BiWY3JQU+a5vUnZOlQnehQykkaxD rx3TxOmI5A3Ic8p0JT6COC7EmYas4ce6ViyArW+JQqAg5Hv0YryIL++oXQiuHFBAj3kgWzOIFw1d gmRqb98DN5eC00PcQlUyzq/T4vCuWDNzd9JrXvGbApHs8HcLs8LjgPeTyeOCuWsuOEwRIUJoKxci QYe74z0lBg8qOuQpNYGEnxrBkKuNmd6bvnYRu/8xAyXhFiabJ09zOr65ic/WpbwMR5Ufy2sxz7QT EsdH0JoW3PcD4GCvAMucEuRgF/RPXYsb7v5CT7mnqdzEz43fy7ETZ9YZmJOFJiOUyRTJBbBrc55R oQoWfw5wBUFTZF4YxjeZTwbJNRgxNBjRK16qi7gnbt073GeNNCJMKX+75z9BssZnGCY8WT3UVun3 MXExtsaEaD7ReiaRqvZ5N2uGLwqxMZtfCyDlTePnbqdenGN4CcCzNlMPsM3v+sjfYKXFk53bW0hi iGJ1S/gcvsR5wVKYwdxxtqaCXyDN8Kt+m8IHccvNQyFN/LuOy8k+4M9XrptanF8HBKWvhPm6dye9 TsdCX9t1XZpngi6A4szW6E0k1oFTjsraG0NNV+kosLCO7IpZGzIij7stoYV28niAicwZiHJLG89R sDPelSdPABq2kaeiXPG3gmb1JW6Nve6Y+YS2uyJ6ZewmSrLioxlWRY0bAYtqCqp6b+tfRrP1Tr8h +RN8uXM0Nqu8n+jQpA9FpABaboRtpcUj9qpwKuQnDGEfRYJxns/7NVFNZnp8u12os44f37DewwL4 /312lsJqmxY3zFSjWPF38SAndSdYSIFhTYJQ0wXlcI0CUYydIOjtbu+LCxfs8TjtFivAzG1kX+Qi dKML/B/0OgqPdUFFqPWL3JotFiP4QlUMUXggzUxvTbiOTiv0T1aTqWHIa4aUufkmxZcvisCBSukx ZjMvX8v3ChcepJXi4zyA4TYakNjw2QugWQvhUhs7TXDlqAIlJbm1qrefD2Ldqx6vxtcs46ZhEfZf TiKivVgqmfDuupwzq0e0qxS1m7QL+atmj7eenOk6gJcEUS9ux0UUniCWrpOughQM/39uVPaEWMAv ADh9thsHJtaV1HdKO8KbNnwPfNymM+lkFp4SekOasjOIl5CK4p0cvDK0PGtI1mIdAalRNmsqOvU+ zICsmFGKQLkf0N9iBmclx/jphuypjr6BIP5RSemAGaZ/5/+JIy0fZMHJ1Dkx5svK24yL34uYE0CN K8+s3M0nA48AYJ4zX6xaf/BqeXHcEQ1U7ME20sUeGJHnTeBm6amzFJZCFSxRpTp3xeSqLY1XRVqm lYNYcaa7RDt7hKTmYoJGloFjwKbHLpcogFFxOAFQBA/pPJdfuqPNf9xRihr4p8VrTMIBoyn+iIf+ /w4O5IaFzDgfeLRnfXkji6yK/YD/gEAMHI7eqbe23qFnyzaAb077bVTlRZW9DFYLaxGQzVUXgx1v gXUXmw0tPgMQkEO59lbvGYYQ4EKz9wVYFjpxY8gbRPJs3tH5vlZbAE/HSizVRs4m79PYh0ebDPrX rQIrwsDd3G/e9p18jll+Qk3Ui4d3nbSrjG2XfD7FvigBDJUlwHXGzylFxg8FQWdXw+QwCYmWIrwU cTYEYvhSXgvuCzcqAm2ZE/ZdVU31qAoDPH+zC9MFWy0G+RAGsjFqR7ZARKQf1EdXb8Emeh+uj0MK eQD8GjVjg1jUYiNqLOhhr4lIedDb2yszAkV0yrS61UEL+LHkMCjzdJPObV1Yuti+aBl+FXIYeFd/ vf0pcoN/4hV0eD5NbAKyy5fDDReYQQ09e5gcOtwwkoqXxCvRxrKQumbiPRivcJCQFgm7BvZgTngh HtgRjZlOJIugp1JH8vUo2GL4CPOPrr4i8of3bb/WdIyoHvXlfqZdqZcQmdFduZN0udReDj+21hMM DpYYPZzIoxKOJ5fqdyK1oaeSVfmOjRhhz2vQGdVuQVYifHN95GA/BUhl9DPfUHlHKjgyXuJOFpwF 72+fxgiWtmzd22JLEw0HN/PbSB+/DK2+2lKhndcVT3ThYVCdpVuC+ta97TyZMw52HJsf50mk3EwJ M1f660XCoM5ht7UQ9Qd6JK5HKaaj9tQzANe9dTZcy+Ij6mvMNuByS4ABefoucI905ccKFEvvJxmE 7koJrleV/YzSZdV+9WQMaSDt7QCJyWHEzK/3NjKmLmW4CcZi+VMWpDpW5iJ40iZZmjCcdH6rHgeB UV9OJKfIOKKMex2S241G/cJAw4TRwS1/qqhxWrj/+Iqn+mJq9SqMKSLBklIS0u8D0Zw2ibBmG45Y qfYUmf+1bfhZS0Qmzj5e5Uo39Zd9eDl+q046HTqY/f8Am4PnyRHNOe/aHaqOuK1znMlps1a77f/E fIwj97O6e44jiaIP8CCBM3pESXoA89gIHNmuwpO4Ulb/nRUsp5HoaKc0iRdXy4NgTDqjARwmf+GG Bm/KqOIijFjpRz/4DjcGllccmV1uzSmr8kiRQj/2dUyavGJVP8XAuvhYo3xX3q102eZkjrKJVgDg 8oouEm95E5kNG1WfJYN+C3x4CWCresZrDXvpentV4I8IXQSeWn4SHhH78deKZsYI3FjDv0aGAb0J azDbe1QYvCZtNfwoYSbeqQ7ZZnkdLSDI+JMAixnTm3bjArKNtfwIgN7nRzdLKvCT9LZUb5AejZ4q YA16sygOlqECkZydprDSSzQZINRe4vHeyJkT0a05JqFTSt0gP9FDNYReQvnCWIY3iwI1w5gNRWuP zmNN4aS0/aTVMyHWphiYAr31lTN/RS/o5uHDqw3IOSz9k7m8MpjAOKYznNPCJgJ6YDI3xiJOkkgI pNAvB+Ebag+TU/nDX5ns4WtulVgkLmCqvABVt/HcSHy1JzP2/UX3rBp8sCCLay9GRQhVsMppT411 s/iR6uXtqfSOvUp+dj2GjXEFU6+T5WT7yqCJQhyols/rqisp442eXTn7EUNPjiYgQHZE76kVBsrx xbaGvT2jHXZP15a8i3Noc2hJWcy50iHaSvFskk2FhME5wAon/q8Dlr1ByIkMKGOppya08BBRRTYn rNX7SYzd0Rb+bNN7ZhnB/+sjlDz7iYilUr+v7R8w6pAyYq1I0BtTIvH+RWEpnEb03oBfXqe837/g rcX+sLVLsrursMZwqgguZ60YRaNfFHd5pzjW2sqjWprWecx13OREcrvyTWThTF2ldO4uCEjr6XL8 idLOboddcwq6nEv99HYy4t+zTAhP/W4DqXknfqp4lrEGt6MC27SBq2wf9jqsN9WJqnv8Pnis36xw DsV6sBpEZZ0TogK/BfGPmCbn/O4G/4QfIoDYGyq1eHaUo2rPWglntn9x+zmdLh9lwhRCVbX3KXJ0 DuvCpXtjudflSZCU628mCE182uRGthtFd+BCtIEKE9iD7JkEaiuufLZsVYXkdUKxMFZm8yMqmIfb 3n1/FxUM7xjTU9L3HSBz4UChEzlDbMeOEMHL203p++uugAefORU1IT/YKfcM6iqPMz0UFH/FFdwG P1fTB5SWyOm37p7W06oN8K7ZCMnwzHJXClUeaAMWGB2uLHh5aqm4jbc3MB8Jc47TkSYYX9sy6IE1 yyq1bj10CMWz3skh3X1RAofYAeTZKhjpAsADVYIIeYJADWK8VEvnij6pW/zwGI1zuWBD8uGlzGAP Qpkivbtp7G+U0PV7ePpTHq3y9CgYYinaArc0k6rYMN/oR05XJ27a2Qxx5zUOCwnsb65B9NOJrZzR J1isor1D1Pwp4QdaJwygOPyU3i3oMLlJ70CwFsqOKqNy8XVn84cVRdCjgN570M6k6iXK44eLq/VZ WY4REInXWkywbqXhQI+JJgr8TCVoqMzwk9Lx8UtdYF9JjBNNRs3CLHBXC6VJ1dqNfpNRwlP5n+no jMgqnkL6iRVMl9lgQEM7oIzDJEDz4vdkt1QbZKvBRHXFHgAZIPp3n/I/oJ0BKqdYkEWC7uD0PSwH h0QLyzLRCo/JhtbuYzhRwluWcVPetifTP8K4/rq6lNd7ElL98yFFyFBu4q3JKId9qOnBw7W/Ml+h dk6aJ6IQ9xKDxb1U8LKCMdoYJgHhqoCmtrfWo8ltB6A16syu84H6JYvpaQPPFfWWo2B7NH7Fjst1 uC2C2IjIWX4kPYYY8cIORA2LwPAXtUmlSAV3z6awgx+D0ZQASXFQlVt8IwieLr/S8tCIviTExuFF eJ3HsHYRuSuwERlllYTceZf3DAbgMwPYi70QTIzzEHJHLgSP4FEype0qsP0Dh8ha9oSK30dQhQd0 RzVTRzHjGZ+Eg12xNuhtlgCd1v7JToh0Jrl/otgRZSWNNu9N8jg518XuseGcMD31hFYThAZDIN2c xQ8mAd/VZPa6jYvDsx3AM8gRfsClPR4c5OdwterIsZ5EVu4wb8AbF1s49TPmQf2sa0SiurSoo+MA Wzvvql1EJLmA6cdnIAkNBHbbsdRNAZbVsKZ+cFdOu1wI3zKJyS6o2B7LzkFa+ZeeyojYtByfzQNN unj5JmmTFUBC650ksksRgWg+J5hS08Ps756oD9xlxqhztwRHFhUZH4jyLtnpI6V3HmjsaFhgk+mH sbr/qmPVIAONS3P/Sbnyvs1gfbs3PWSn/ml+8/2l8lvsXeg00ws+5p0QeKSjz0VgeXlzSQn9e8CR u8I53XMmfhirMz5Q68B2DdOvUOev8b/gPl0b7EyH4IlcCI9idzuYutkKT6xt55vcfR5vTGSI5D0e 1bi4IyBUPdbfoAH7G39DeiWW9cjbbg5Q23oEwrt6BxSdFvdnc8zZ441uPT+4MwNRiD3iXgcfwr7O 1o+Feyu/vmUu5pyM84CcACDeVROwc0sWU+TXQ+hz4ziTJABncUMISqu3qnAEq7szOGmTaeNwcC5w HHKVG3kEs0ug7rYXGQMVbrdxiTRjwJNO1TljSp1bjikjqc+PlnII/yovZgc+iZ5GgB2QkGN+Dr39 NLHb46X00ldGJffiaqquoVChcj/MoZH4A7metq6jgj0o3Ns2MWahxnCHoasOGh67joLZD21vCyCn /rYMY6LR5LJX5OO2hIRAwgKthxKHFLl+KAK8VlGOlb4K3YXTk0JCDPFR62Fdho+SLyqoPAMcPn2m rrZHrFLMOuk3Q8xGGjLdSFYiuLbqGqchQqZOF4JyGbFrwZubV5xMksoKotnff0PVoAVkTJ4tVM4+ RMELhpMjop9NdKd3sd/CAYKR4lQs4Z2CX01Gqppy3IQLRbi0emfT/JyLMgLwRchvLLBin37ZYmLc XrK0oJdd0S9QaCqo2WPYS2ON0iKZJYVUBMNc/wCke1eEHvDMGwg8Wmp8hnh105H8vc7VEj4jHOmR chr6jjQMl2LZTY+fOyq6KPx3fNxyq+vhutGCGMj5/UYuokvRp59BsWsENAXHn46O/+RQaeD4avEt Z0pQjZ/IdJ4qJCN1cAXzkh9ICTmppGoC/zv5DRhDe+Rzh/9Ev1u9FZblhXZGhRA0QJyM2wP/pfHT 2brnU3qPCaewx7r6/rWcMTV9gd90OCWlup8x1ohXuFR/hG+cR62Hh3zzjwVzZp9g1QOmbcp1wC0M uIgJ+wR+WLINbcWLzsED46r67ef7dRtKMYrXZhCAMh3+NEUJERbvW3jdBKSrWLwkYN62AFD/mnfp WNK/MfdrJxBrH/dzQDuE/1uqGnOfHD52NFXGdBYiBa3+t0vv1b9qx7Cu44k+jDPrwGqc3GhKCTFp lfUwHOwgB5OpbIMlNQ8oVvKeRF+Nkjcaa5jD+SLlt+EzQ4oUBIoy/3Q94/6bNuL1CYgr2c8srFt7 fgNSEjW0dNgmJa4Qd1sxT0AQjSeCltUyTGGsjzS4nrh73naN29o1gAfs3Q1RXkQ1vD4JP5mJfJ31 Qy6jdYKeDawFyVNDvGMmfySJNahIEJ63Tm+JhACAGosKaHnL1Pex34DYpP4YXhG+simHlSyRk8iv j/Sjpt6mAMkndtpoD2oHhLSJ/DWhCXZZHKjBwGColo3dh/OvOUtIrQpSZHoZTaOQj6rZBe0PJXpF zR7l5JyYXQji0gV7IS8xkYyapNlHj1CykM0xCoewEgNXSQFxkejlmkuVWf1vq+q0MDnZXU9qe/J+ hhA7qk18D/hAHX9HUnINCL3qu1OY4574OhAUQei+LXrKKFX+gV+QeVF2z7xvyYdAAjp6KYf8W/pf sKVRFBQ/xBKU5ZWCwgr1jMoBU+ePxwUU82bpH+HaIWNB+9vf/6pjJ+YpZUCvHOBwaPfO8YxrllNJ uI0lb+HHBpNb36lht94c2nd0XacWfUSl2vTINpwZeFI9LtK3llfiWL1+TiX5BDzrInWDIiAPS+JI SviGmOyNq58+/C0xziJjo4UTDEJ14e8yThKyjsYShx9eZMJeLsyQ7Erovcmj/L2TJg8jPoTNvCSV LvrKp9hg0fqUxAJGOThUiDcC3bQzBEX4injaLWTLRtW+iOPRmslshZ+7rYF+uQzj3Wo3azVkKV/k Grn+rBBCgC4UAYCYXAx3Vn+hzf/w01ld3lAZEUwwVxY//w+9BVqe08h24VASdkFrtudKEuTHIc/W IwUKn3UbPOAm+10e1C+5YYiajZv1UWRyEjAYBsEAZOO909rz4zlWrGeJYGUTG180N6QNu3Yj+tax FaW5xSW0/wUXHNsMC8DNHFmf6jYlmM7OA1sxSA7BE6n650L39WacDQb0koeaavA9IvHQj3Z9bORG FlrLODyTtRTYTrpF1YQMDjOkwD+XakbbrFsZAfg1dYhW5ds02exibJman0IYGyagBGPx7mmHMW3K A6VX11BfxVKl8e5ShCQmz5Vdbu684xTM70Pw9K7NxHGvZ+CJZuSS4cvjueBZZekEXR4LJu88qUxj ICbcXiMakyehPTHviOc85rtks5sbRkUH68ZFeWHWDDCXUWUEIjI4Pdjg+aG1rZFma39+Fn8SzSoZ S3qWGoOQ/V9m7RB6e0vp2Mm8b8MiaeVPZWP1T87SRGDAyzcLJdcx2xvPUqadsPlgki4JyezZjDC6 tsRcQP3xZ9PXVuMT3UnFB1w0eIMFDe/oFraVVMrRf9yagdgbckPBxsFC5oTfatrB9xvizycMcRui 9+138i45FfI95Tnjoy04cbewuc07d0D1KEscmI9XCtI2hoxo3VJVYJvF4W8HWxW0KF+lKT+JXGDq nJLQQB5VK8xiv4QNn5iR0kq2w/4G7fPq76GW7Mq8WrtlFoaOcLxGISvVW6+abm97XLOcnNBcNiWa RcOItUc4LMZ6gWy3feLf/5Yat40W6ET/JQb74ZNoC4HAkFblb3z6AdvEYLIRNjjb8aztVwBfStyJ w+YJ7VyPOXmxhRVg1qYZMLEINFfRBPbkz7mxiL7Gfvp3eg26d1NwHxdwdETyN/RPAOiNvmUtwMEF BAiDPXrkQkY/vVSHHWXkmsjQBx6pImGB9Be3LPcWZJ1yl6m4EjsAR9ew4odBb6mLXL3rn98p+k7P gS9+xc44yBpxZ8R/sH+Mb6joh8Fx2Kg4XL+xhYo3ELmYeA+F8cg+0AMxcJOZ4sY+f/AZFHuwHgYZ uZ7HM4SW0crYQlPSDZ5Sfq4QbU26V2W+DJ05YwAFZHJti3C53tOLrWotNSE9TgnYpXDHOddqxks+ 8LaXLHFXQS7ykfwefoXh6VNPXGlqy69pZ1rbIcsaInXIbHQDkD6IZW2SY1s5ad+UjD0oGdzMhvcm ARXLfV/xQf3GNP0PJ/lKc7lYrjF4vOCZOfNvIIuHdeEUyoYmkE3oNrdiKog/pZExo+J4WPsSuO9r dqiKeFadnKo+g+fgLnLMNBxgbbRJAv6BXBrGCpUF93zQ6qIvPI/v8IQOauFPe/qPj7ckYbV5MNbJ FO4Sv/PocmihBMDXBm7mxRo+5hSXecml0VGd3QC219DoahbbtgsCEFQjID4lgkZtyWm8aT/HcXZe uXLodnnW96ef3MH9FwKwOzpvI2FeE6tZ/zO/rSIv9K2k9OL4capj83/rGG97HE7xD6E49zdsdToC MjcW8klAatN+exzL82BDtfKqqx2bCMLA7TdO3gl7JkZqXGn+N466Sa5XNcVWF1TEADgJMmbUV2zE PQFov0zhhuWeKxjYIzoh8a/k/QLk2+Mwp8zUedqM/afSRFHqmZyGO8Ur4Na06wZi4+kLfTz2UP5i 2L+vCoUvLeU15EoFsmw96rOwR8j5p51Dgf+l7gkG7u+KIYuJI0d0jehGLR0Do+97Dj/ODDkCbl8O 9R2/x6Bc+wD2ZEXD4+8Y0aPuw5DoljBV2J/NVwSNzNl9FzZ/7vxlUpxGdgkLQORDSi5aiaL0E9sb E5NSh1D3DQfJ3bEvYfuXhitQLCYSCty/+UqyeTutgVfFC8rWIkdocXPkBsXW7SLVeFxgMmtLqyCh eVBqVd1JpEab1igD6XHN420Nt4NC0LLSIJ+HdyX0pajdAhArOY0m0Owes5MEGhkl5h3sWlX7Yito eWpE+SlSEtTVRhoMbF9nL76pSKSHpODwD0Fj57ekGjVhNbQWno+klewCUSnearG/XHv33K2V27wh y40j/Xc3+tmYD2ABuKR291CFR9QFNriOvuQSz7KBBv+j5qcrsW4Opz7k+z+Pp95tUCZG2a84El8O ZP4a15SIMjoxu7wh73SJ6MLK3YSzvbxnfqaB8xpnfp32Kj+NAWRQAnmj7AmH7qwKKg5PX2KhY9rW SMmJ/OUA9CCwXJ7VEXHhNMsHaWbZJdE/LtYugQDJu6dyrKdXFXW5VtkUeWtT958BB44Mt//Y6vbW X9cttaFAeB1GQDvusNjBO9PX4F1sXNuaLhfFJfmv/iDnBY8LNMLU0GflZPc18HR6VRixMC3ePOWa KoFEV/I5uNckzrhkCAwD9HzxVUTrRZPQrYzY4w2iWDlioRsmXhH9J61vay9Oym0jucMrsiHk28M9 5aIN0l25Yu9e4i7N6JU5zJxgc4pvnP97DC0dbgsnHmWMX/ldMLJ+XX+rqLjq8dklurIon5DhMf2x x3F+n8+UWjz8NQW4gfTHg/doBj/1ATgdjMVLb1mDUTcG5LKl+aYxmvza4OkGezXoFfmWdNk5zksG fnb4vfuhuRlIjOA9zGtgChEZgdACm+s9dZ3hlo2d7nak956JCqn7f06ctGXDXJUkPdB0+6QvtLRv HqtM5dlYijTWQs3dpVD0diaZBy8C28iZAF7pByyLOPIneKyOCrvueR4jbgpJqIiRYnus6ABRTkPg rzNRGhnlBlQqhF27B98aradAuh4D0XxN48F1tOAuVHCJblsU2uzjteRK+lxcc/WYefwICMSlRziP ASIjC+Bzmycc5C58mvII1qQyu+qaKFuVMvn1wSGuq/9mywqXnuGRYjPl41zqCuKc0+EBVrVrInop QJG/2TRE6mKF+98EM7zYB3O9Ni6qRGT5kI/zfM8kwIVoP8MngN6kCJOMRaAuGy8SRprglOxNe/tX QKpJtcF06md1ymVf9DTRT3nLGUkOhRMcDeyg8oZQSueTqKRMByVWopXzCXRiLuqqddw2C0U8ew9g W2ym6BneSL3CyZJFp1IcS/EiAzkc3N+wb3uDv9/9v1i7gQwDdJvv2TZPVlS8fHUTdZezE1FyHWF2 2lrR4jLu0JCZQCux17YBft+7sy1Y3P7l+9HpMcNttkoocJ0A6/uuUmbtygECqL5NwObJHso4WxHx bD0C+Zn4oHzCuAyQnQVjQywYp2nElNcd9krkNmqWlRcvktMv6wF11JUXMzZJgF8sIhBp7/V4T6fS 3ZO9ENTRr2dLtwIHsUWaESGfk0zsxBiUO/ws9qrj6bS29UB3EFlq8DVDRo9gyc38zXGAL1VD/k4Q Lffiajn6R3Ujviabv0Lfkzh66HipjsSPmWPRXVmLStz7blJF/BgygVPgEQiJ0W1KtDkEQ0ar4eei jAS7zG5n5sAjDqrKqQNb4AS/LqU7UeKOX3ZUEl3q/Ulhon8/W/YI5h1jobRrWCc/Ai8MeV+WH8gt BIKSpCxtMRMwKuoJul2dFUgcWFQU74QpINuLkgoAmU4jP2o0rLabR/pUEuicUSzKNc+70vKWOFsr MVoVHj7k+VfeOPQ1gBttRl7VMTf0P6gbomc917RJR9dmuA021oC7QzIKDNR9yyLUAFk5GM2IFzO2 WqiBsaRmIx5X40YDm3MSvlSTaKZImVMAnwBoa2kxprsNWOgCc1EREbHd3yYjELYOxmhfUEA/8UDS PMXL/Lz4Y5n6yzHWDw+yvvI71qbl1KzY0ziUazcWiKYgabOAmV3W8bRLxSdn5LCn6EGIxv0YrqXi oavPknL2ztZhod7ELCb1c6qtuWbPjPZONfZAv6W74B1iL9oeSYINBGZB/SRwmWv0+axWdlDiSyIy HvPJOewHwYcZ7vSgzmebanIbFbT3XLGGIqxeHIcbgcNo4okM74Y+Im5SplfWebSr0HpjRHsZ0S74 UKzcyGPLvLCnZ/A9T4TkXVjmF3TB9hGHKkhjvAgrHllfzTTYP9rVctwkASnA4iyhJ6oL9ugtBE6h vGY0Dw8mne3uPWCorVrCoSSMOYo9U+HKsV8IQueDZg3j2mv/+gOmsRQRM0kYkPXSDx2MIbhFWfgj EL3YCOO8+FqoKSCy7aIsFnaxynsKuaJHQSM64n7HdwRunLv5DsKpHuH9zaJUhVFnVmbybtq/y9Bi /Aj+kAlxzBFIkueEXPdajLQvR0InYpBEBl/hxTAM7UtOSA32rNXMzz+0eZqzlVthkGE0hFU6x3AR vQVrHdBST3nSPstyLKIx3iKn4N44AFs4KBGt7jXMW3dJ0UHBXBAm5EKgFZbj35qkcQtHcmz/HsHa 3j0iPsONWddu1eYTsPzsdS4ywY/fNbglE2M7kAPSSZXKAq9l7AQpNQ0AbC8384+NWhvcjUbWXq0U Tv97/hEzDsXYnWpOiO/0BUmV1lQ3GnqnPWRE89vvvJUV7OziUWHBW9vocO5DsxqyTY37Im15E3mk M7si7Qqde9KeFRjnFGO9ODLis7CzZ5c8hY8tdGf+tdM13ef3huFyCpl3ETwhJzTi+AQw1u/z2qbY kUBpvjG52eKfbCoZB+5BzSTBrlSk5NYXi74a1M62mftfd91V0jH0suWrcAVc4d+j3lwRRxoC8ftB uDyua4ugpSwyZzoayckX4PTteyss/R2LzBhmiRPRipKHFKg3suphDbssGL3bzY7dpo41eNfATBTx 1mEDLqJTbzwwlDwyc51L4kQhY7mb4xUy+6FuxUdrIuNJ5jmy7FNs19LjE7Nm5zD06XXOMbLpG2Qn 9hFKpXRM3ye3SAdyDEVOM4dvsKzlcMcEaBUyb4OdvFWSncLjvWbLYvTGN49Rp3LU6c3uFyWCnrM8 uKvsIV17URawdXBBJhEtLgDq6QZmxDq0zZBXOQ6zmmMICbBt75pDOq40T9Gb96qDGTUyO+FRuWlr v4P+h6nAXBsJAk+vvf9VFRJ+5aa2PQ6mgFBOKPMr9GT1/Aq1rdYnGPZhHwqW+5rUSZz1Hr+d+8UR 3+BBfJToR35XwXhVvKRq+uWV4kYD9JkeHks7D9B2RCMGSXOPJ88MzWu13M90+MP+s/ZPPGx4Cjjt 6MNzbv2CSymuw3U1pOBU1x9KkNSl9Gp0zB+EyBmD/koFtwuLL5i9TiTTdsdVMKAT4qmireaXNUBn iTOaolhqw9w/gq+xPvvA7MdaB50r4yopO8DLMlb2ciyfl///s49qeu5e8rkWUhk1uyYSq4tU8ACD i/0aqSnAnxSp5/Ej/K+7sNgd7CNbuHViUgp0H4vBdMWKOGB/s79sZuQTboIJRAFjRrWcI0ehM6kL 82GoJNNCFa3PiF2Boiok24Uad75hVCZgZlKtsRX5hoBLOxlU1po8qoTtsjwpLzHAPJ4NvTF8o6G+ Wh062sboMo8CAULQa6wadkUs3wkqJvLqS7jfGpQUnK+ASxDZcTUgmIODoNaEzvmVJ05B34GdxPEZ DiJ90r2MJwmJcka+P+n3SoDBT3u/0nvg8bjrQMMW1v23jFdUZ09XElq52KizglP03LoRsTgxnLV+ aTJ7OslWKIK7SsZALQuK3JXkiwBaI9j7PHqJDQDDDw7W/Y1LmDPLp/u5AxzL8Vwbt4gX/KSembzD ox/peydn8kCI2v8HX67BE6Az27fTDKVn1INmC7SV1jk1sWA4N8uIIvNhJdW/adq0rfrbVXzWsei7 MznhgZ87Yqg5Vr3ch1yEj3USG7LHgQUH6nBym7+QpxdGXUfaJw4fENDRtgktqfKnYT9cGqD6wNLE iHbInCsh1DT28Ces7gl3LEfhsXA1+lePC42rNISsT6SeTY2CE5izkXAhDUBulQYyUNm8DjMiNYj6 rrtAGBfDR6qLPjulwGO8bTDkxLeurwh126HkmuiN3r1kp6UH1EEgGDunzPveWxmtqgpOaD6Qzh2U VGgIH7cXlOOmpiDvadrwdjJ+Qn1NVRWs6KxC1n05h6TL7DKe8AUmB91vm/F17wKVyS6Lzwn8m01Q qK7FQR65oHtWyKTIHU1cHCXRSELboJdnmSDKCHLq1KD3SUSpKza5qBoXMBSe1Yjt1AB5BREYPVFe Iia2r2p30w6zqkrNOeXT3tFYwFMyi6nhGnvgP0T12mR57ZTTxkl7Um8FGFkHVc3k4GVzUBLPW1ti JbVBeQM464w2bVin3lwhgdag1zooxDbtkHZnUSoXeCmbJxmCqLcw92CYs2AXVTJYjWNySHlE/7Z6 sAb6Mr/SIgURJEOlKyhqCaZ9wPvHzII3EOvAnTtTX2LEjFvRRtMpQ8usCG9ZBWkeqHXvGyVRxd8M fFNA1rKNlHVzjjATxeHrg/Oq2Q8Zefvc1artOBFCyK/ZsL+DOEqaZGyrwpkDrphAnL9P8kVRJkJX sSf0cWjDcDtrUTeiJ1kMuqYXecFunfZK+0s2GehkGNxK1iHfahV5Cwg8UPjUwqVLJuIzxZ5OVNID uThwSOEYc5lG4rUE/zHl4JHpSV9lwWdNgGLIOgRN2NivkkwXzW2f8FN0PPxgQGyelS7KXMY3goje k2jDFWpBIrpMNFcx4e57bz4KEoqn8k9VBi4hEZ/hJycwoQtqJm8BgJubdYyrqV7v/aIdPiWwCkXP Rxdqwt5REHNlYRSsNcudm2TrY+2b0SYsiHN+mmhfsDmUZhCG2kSmXO5bUvOs/PGhR7YwZN5lqnY6 gUOWr7TB+cQlKWv/LN0ke2X/ASq+C1fbAUSWRg+BaR1kUbn1zikasS+Pcz7inZ2VHsdzDSz/LKJY pApfzT3O21w8cRGW7JqIvgtWVaIHmVdCdd9GYJI5OSsrur7NV/aN1/D1rnMvPCd1B+1JT+iVV6Rm ukzsqV1kfU561WZngzrQ1oiRnQvcJb0Dz/L9GUkxAu0RpWP/R+QhOxW0lPvP0kQ9G2i7ptTH7oHl /aZrgkPh1b8hkEh093wOq0QJwmX3k9tCD5SKu6fwcNefG1pbYAZg8jzhVnwHbYAliS0r1MmWdFCX opv+Yps4+UuGIaFMt6vg6shC0CGi28tdMYh6fchZRhDHtbNpa64MOQpXVWF52Zz2QHvLcDPVB/TS W0kHYxKm5YYyuzyko0BiFOxjQIXCch68fkjnupVScHeLEdbs6qxLNlvvjYnpapTeHMSRtLz4qZkx Mt5HqKrfjcu6IN/sDav9gknE1ek/zFlAPNGhb17AnqXdfktkvUsDjCHY8A0yjPlOHvOk5Wc9zIs7 KPOBIKGlfg29nDka2e5PiKsdY8Wg3RGkFc48ncFDGkhkMsug/9RNkwRYpN9fqKloJbgVmCesEdgJ 6X2WjBaaKU12/bKbYaXXDLx5VCNcb+n/lUsxhG4PBziOu1Nqfc5bbls/h2gfDfFWa/di22ZAv3ur iWl11fcJqRziJE/MiSn/d0MTZkWuXvi/YQccR84WnOLnmoGQdwqIRUxhxMCYzELBocdSbXR28ics E+Sgq9sSa/X2BcvEUY3shMCFIFcYSXwo/s2I7AEjtGiSpOXhNtI3VzcU+6RENfY3gTZvYjjvbcWZ m8By7MRMISbI+BLd0NQfm7kCyiFlOPpLQHdneDZsm36zylhYnC3huzt+5bwvqpSc3PrBS+1ZI+Nh wEWnsNeEA2tilMMFgT8kGeQg3BG2dZVvDs/hixlAZOaISg+hT6EXFkG6ET0e2P4h1L+VB9uEL+vE FDQuSowI6lk2ReFBKThrg94RMz1lo0IbjIhT5+irhIPGL4vVblPdCKXaw6Q0wPPy3TfGSiywZWID ob9uYHlBFEhbEdvBs0y+yp6KcyhNylrTgWdB1UT/ZcqhvzTalSI75nGRxyIUuQdQpY8ps4bSGgtk dn0L1mc0HfHb4BSHI9VVs8/+isAjalMugw7M/km8Rz+mYT2Ooce2J51rlW8SPF8rKpRSOW9XLurr FlRTf2h6dSRTPU1eQDKgRBQ6w4UmFE5CG7z7OYhw4wYLaAZrEWLU6x+bn6xAE2KHBaxXczvbDUdV iYWrSvOHkVjDmOE0PRxE4IAgVZJT924knbQTjgO2lLsCcEcrG8m84frIqIjXnAiDVG7n3JaMQYGb BH0Saa88xVyiaQXPUzIPmb6usq/NVFYrTgmf+GG0epwI8L2noeZ86HwfftMZFSmx0Q+piUKPLcgx zEXnmtevj9qfmqJtAJVZRFpVbOFCnLh7BjJVXPtfsiY5+EF9gMS6TI4lqkh3BbvQjfdU9z0fMoQ7 sf9qtvCZWJCugWyqCK4AGHRlach0qOeDQb5xv6GfdKPNbSrHUqqXPE3+XJEOCZqGdVJZ88kxzTLj DrD3oN8C/p+JU+0xfS6o/sLJdsO78vU16U6+oXaV6A+3BUNwt9PaqT4L0tN5JJACi80mKLkfc5hg 3wS3e9+Ob2ph893qbAFSEdfRZFC+5eW5y+3yOpWm6bflLxIyjCPNJsz31vRn6M62aefMT0UqXXh3 zKUN1/ONv0kDhKWzdquqlW9PkIhtS4ox5VwgPqJYFC5C3bp+O+eLmL46UmilU+pVXn+aF/+BOcNh mL/mbHjAcTsjTmGUvv3IkDeMpate2n/kTx0WTG+aIylKgFRWoesHS6EtBI4UAnKdaG1fNEoa4m7n 81SfWjH7mOdHIb1LE5UeOoDK5V2CJ1b1ClgTAlp7P0FLvMbyK9QtLhU1/lsD1HiGbwpij+pVL2e7 RQ9oeqDSEDJ2ab7LeXVJjvuqrtX2SAnd6edTH9+WrDDSni8NqPd73DXDhof43h1xc3K4iNzfcWGt jlgnPj6bHT6tvXhlyJCqI1XCxo/RxEtqEJQPp9Xlp3sl/x2R3lOLRY1xKKJphnpDvEG773MpGM7S rgvFtOzHR5XHA9R8eIdGOhesvczSmGRGyAdoi0hag+Vd1FIIwwRknPUJTKYzZl3Bji875F8uylCg UbiCYTu6y66wlmPTwI6wnxONFJJCpegWe3GACP5ldkCOfKeZO2aXXmx2sx/5A74jsBLJqLBoCzj2 pI/neK+ip8Yd+8woWfOtGlqJIlJk9VHNE04EZSIqWkCpjYTTLr4huNdU101FYmRtjwvkskBfJbjl AAL6heI5E+qQXze277ku14sc0LKEoOsrDSDBukKjtIvkmltbF7b9LEZHh7IoMBB6VdzTvSbbRspP N/QgFXFBjhdswnDIz0VNmyzNmE1GcdN9W4kBbZj+TiywUqaGXhtJijMZ36fiZGGEW5j+pRtgI9ln W6PdAipviZDtMHW1VZYyMAf/xcgpuXlQ9jOMhcNNo4tWT959xMv+hEDa1NWO+R4yDzoI9bQtfZHD G16n+cSRVZzmZdBNAMTp05Bg9hzxSDmYY+VbWZyDaapKeO1OQ2/NigkzgEUAzM8WGcDfrLxBGc4q B8Mo3TboYM9cLLAk4YprjfHUpUFPI/Gtg55hmZQ3ArRNWnYCzJvPl+R1Bafbuz/C/Nu4ldxdwxHF v/SKp133AwffGJWFD2IXSE3UpOP7JOlOloNYJdkHq/R3Y7UecOq2H8C2rOmNaWahAQUaURs8Nkbq 7ImQlvICVPCqNkrhD7DjpgXgsf7b2Hv6EQ3KBi4ptxxwc6DPZH3/hM4otv+jIOAMNYcdmT6oGzZI Z2rFQ/WaXsJD3rqOdfGdUTEOQkrSDutOGn4EBHq0ynpP9pcI2tFZJ2FKg2548Zqxg+E63PPiscVx mOqOGizHnSCwPjiaaHn3R18aC+S0GrVEh+uHv9RzUKG/7UPeE25jBxEK5ZEANBXr6dLq8Jf53JUx W0DwTXevWscfGPj6QTHJ6ZKTzsZO3oXZjykLmOhldjRBNjz5aweFKJ+P+RRmBW2ieN4JOSB2O2NT g37uk9LV4/G5aubwJbersqpbTHYzy3cJFtcjqGwRZBMONqB0H/sReWBXlM8WKNw87KhkIwUozzkL PGQ1bHZRD+C6g4pFtiPCxq1iOO1qnyiwJMaoDV4BmPkVmxq+gU/xAdtxUTj2R23Ve3A+tMDZbGHL uZfVWMbG8f7VpCrHQYqbh6aUG1TgsTQHmvzTfdY7HdcGBG9b7+nIxJGtHuIgpEK628zR/5YAB+y1 kTqQptVXtGU7wuBYNNE2QefUjU85Zsh7y87aeVSPZI+qgn/1iYVzrC8SPHF2tf5iIEd/RJnYgbXU 0NSdTmPO7i7dncfI+EudWlPxxQi1f3eXNjSOXnj4JusCq1/uW8sVeaqdGGvtmxVXUPP71P/YiHqm GoviFwU2d7D4me3PkB21L592OB0eUWSdWbwO4M048UTvIkiZeMR+xy3lCDS2eHtmPz/NNQGPcXp0 lxxEN70bdqvR94pyCNzAnP4hLjjRaQehA7BjNgd/6E9sY4NbYfHDYUys//Mtq4PLHuj7T71h1BQJ XeHOXUPfwKpZ+jiHQVa0wVrPa3cuSZP+Gob5q+VNjZHC5bUCrFI6E0RULyf5P9+Gm49GWjdPCfoL fgGPo1f1rsl+WDJrOTqAxgIS0CzDoEriC6yaKmyvNkcDIxQLVV9/9gUhCfdPISUGaLlv1bpKuwJJ h/oCZApJWR8yyel4gkHNPxM9Kxe21ReXXHh/GjvFoR0nrSoEH2ywWuQcSre6nC/78xdkOXmJJbv5 YGiM/ZJVGUva4iVjYE5NZZuKJSXG4Bvfzx8ej99wQId0lOBWXQVmURPEhPojInKWuskb4kAP6qoC uMI/xx/0ufp2HZN+VH9wsVinyhahcdP5bKa1Fy/2npFCzlXq1rt7wX/mMGP0En2ZIexnAc/W3cGC ZqhNkIAxTP3knm2p/Q7Yxhl9ScYvHs/6GzAXmFmRmsMrwPQKJCItm2sO+diPfVastmWw1yn1qNNz DycYRR41OQkZL1XWBH+Fmp10BRxNc44eJNtdXlNeb6FfBVza9KwJ7P3Y0jJNJPxp/xzb6OoPH+Gr c8fJot4c6Nm9NIfXk6khkVthJUdSJBLBUCDYFRc9d6DLz0mDJAawcyF+fgICCHvgtOu1VGvWyZ/C ghsd4r0lI1HNInhjx1x9VTmfRHZDGyD5sEFYhjQx53HJPe63lJntlbyulUsKXgpGmjQDLdocKViX /W1tHNUvg+byVeEy+zg4cvcd71sWDk3h+mpx5TUotTUcB7N50uT353FXfrwSFxHg62tqxx2C4BV2 aeK9702rowdTY7B4fZZIWHhngjoUDsx2xSoCN/gTSFiTwfw9pEDmLyGnZG4UwmeSfl6jPsj3nw44 4DlYFWHSfdW+GvzGgGdF5QiRnygoD15yom4bXrD8K5TIF8ZWeo2eaD8crZAJt/C4pkd8wZTnRbTQ FZK4Ao4ytxQksKiivgkUkr+MpHa9iusEK1Z3LPDxc4pafRTdtmH3WrHfwHzkvr7a8RJxTnFwjxoL ObuY1qdY+xHr/kocdgu7j5l3OVB8fjKtf+bKtVT5AWyJHsCpqnIcvZdU47l6uW7b8PUGx81jGmUY Hei89f6ca3sCGx0/lsdYlevXWbPCnfpcB+mWTZVZGPbvttZIPU/m5zJF0pc480W/xpzZEfaWGaLx H8uzoqhxHtUCN6z7ev8gL1+7Xiqa/Nrr8QuP5/U9esHM2JevrCKgz2W/JVnMmS8A5g95/dg/eYtC zEVeENRUE9H4f+eaMGN7l2/VtzmfKLK3sZ14FzT1YYvT3ngVFTK2WLQVJGWs5xEs4MlPOig5wwol R/4vlLg/AgYzfjBeXxC8yyP7GJG6RBc+idCEehyVU1NleljuLFhd3wfgXJ4sEHwUymoVzmLvI+Eh Jxz1HiQzf9QXIExfhc4l9yH6u09fDlDYuFtlQscmU2FAA+LQ44Ek1Q1Vwk78txxthJvBu7ijMkv3 UlWgkdPLQjVa4J0vCzPT+UYt3ffaxcoyg4OuiLy3q57Kk03XbMXKe6WBN/LRQ+/Z2FFibzQUlKI1 gLMxPsxIEC8US4LPzrZHvrHpR1upEI8Azk64/EKkj0CPvtjAu3bSIj1O6xAexHyd133ofWyKQHEI xMRUVU2ixH36YOv+FsE5T81FyZ1o7oaDAfCBj61lWUmwGmyu3p6nlCsqY8NShTYN1GNBov20Ea6Q VVhLnRbanKB5DPvhqHA5LUGrln3LNForRaMjhOtlXZt5pUSgNVvORww0JwUSgtBRWXTIA1OR7c2M 7XQLdmb6wIQmDVFwhLNO6PFeW7KmlHMl4wNS/jp1VJxDuTvIzqcCJetw4bf+IiaiCEwvUQOYqJhf gcpRr8gQxBnVYMutVSLErdup08z9yiH86gW1xqMT2T5cKMORBTxNl0cxwwvVQn9Bk6rHajyNWNIS nqZFICsbPTsYhRCwDaPTjvnhTu4t2J5USUdEyLxDhey/FvMrvXOKwDUkCDCjcMD/m4s9y5J0wOs9 KUR4YtqUZ637rUVrAb4BdqqP9iedJ7u3o7wZWCe8BHfSQ3HymlzbVsDGg9rbI170Xfze+hnhxPDS JXkHzViBsstTExcEpSTXFqPoZA4gQVjbZpVywpPkLIWcK3TK3XHogmtwyqhJldxzFOAn3xmkhJ1P L3H6KSXlYofcyM6RX6DIirprEwwfuNIe5jpzCW6D4jxMYvZACdubZJj6jfW/F6XBixOYs9fkzAgj cRVbKiHCTGbPiwXosfwAH/BJgp7UcixhQOVsaTxZft32Ux3idkFRREXU/DQR4j5FP5gyAD4DwqAU sZgUPBizyDZslDJbnjMmRdBzMMB6Tc67HoeGzeM6Ew2pI8CMKXC5AHGFcH2S7RWxMZvoM72Cy/yS +nIgy3BHC7LNzbpGi/u55/FrUCRpVWWQBdyhxuOzVE4vHSuqLk/F9EDbWA7JbrbojzdNl1splNBm jepXpiV/rPrqxIoRNCvc0ikJIZEiUr78WQOVAgEtvJTLuxAIkTynfQc31mjJj+QTAgJs+QBqMm4/ u0JmIKqOEXydYXsKIiEJKoS11wxvUmjVo+pn1bo0MrcpeUMh+XmV1NAusuRMpt1szu7Ecm5Lysrf xzxDuM3E+TfLSrseb1op4rvpBJsKVpcUC9WDsShZhvCyen4mpJYJs+muXuGc86O05eOd3isPGP10 Y8pUDAT8qZTzC46TTDXvRNigRx89Ptk4Xywc5N6f9QC64SDnX1kg/O/JbuOHbUyxxwIzOcP3CycO DaywmbuPZ8tDREYvhAIVLM88/19L0dMwogKq2xSuPkNkZPxTuuNaaCiHRWTIgCnogS4iasgb++Au w6ufRHScs0eYZ12ZXW++n/ZylKkkYxaM7B4CJabSbMOsWr+HIVHV7556O8CxuE3HgP/H/xxIJIik z3qDEhYRrhbmglNhGHB8q07lHqe8wBIlsrFzONp7Mxkuoquxnf8D90P1004JL9pqrnSrmW1kGSq6 BLdBcDj3rp90PE+4KyDYoqiYtmjj+lFm/M/xvUpOz/WfM7SpNuKu+uEH2vt7yy6Bvg63PTnvP8c7 QclLdYqomOboSM6z2twiD7aT/9kNSsg2VgvEE0b0KdbwuzZbUlSnGrLvX4dDuBfzgvx99Nw4a8uz ULuCo09ZjLDQzu40MwCL7LOwFbxkOOHn77MT3KwzRYf5WL5riA+yYJBNIvdaNNVT6xt00JPXZ36X kHLYdIaM+ffso1qre+4DMt/5maMgmls+bWbRr5/52CYZ6rbOTEmFppzviyAm57/zS422dFzeewKx b0ynuYMrGFeRy0hwebL0CvdlJddpjjm9okpesFM32FNz31J4sdV+aEKBPdr6LsLvKYNtBswd1NRa eLuaXbxtuSFZj8bTb2smwxqvTXH9VxoRDhrDEh5FYdl104XXE3k965ihg43odDXwxBD56pFBYjoG H/ZHBCDNVlMRR/Ac5WKJcKZWWaR/TYgxoVNxXoZ75tCT369jVXjJTC5zivAM/ybE/RtlaJYAagDA 9mAxQnccmmQQVLnL0ECGV55R+GiOWlAghqplOX6SjSbEV7o61LVVYqkRBcA0X6RwOHi1PqRg0w2F UiXpNSwiUXcilsX7K7Sny403fbTcsv7Ijio1ZoHZ56EvRHsIyWeox8sGI8FBdJnGX0us86VsAXq+ UkyY3Yfd49lQ+pQbz5jDoCfICJ/1Uz6xrDFwie+8MtFXWHahsPSCMh7RIa5uO11l+zSewx/7benh 7bbjrrN6/iORzbtIul+3qpQt35PdiLcSgKR5cuJnZeFdHU3ojt7tvqPIAm6NyKNDUUmQgqhN2KKD RCb95u9NKip+jwYZbJXG73Iwj63acnre2mTh1H5ICaC11GDlQ68cIot8HgqoCmdW/mnrROo9NsmP XfpaIU0pKdHH+1/NyqYJY7X27XeKpR/8K8yGHhmSwGk5YH055I6g0qja/IyLDi/BxrE2D8kvd+ZX wudRBk7LPs8K0tokuHSTXOvGcY4kT7oJuw5kdPILhKErG+BUQXfyd2b7m0nahfIyQjyetz6ZDHjr eVAUS98a/NBqJm8xCIn1NnVHQyTEUhL4JTuX7YVC9AthmbQ5zfMBvpX0uCRNJ2t1OY/cV5NOTLRc lpY9URKKSRpxL8WxDTbuTN8D6wRQlg15lrB5xiFOcetLlkTuOboeEHbCZJjmFHg9UvTbt+KAYfhm tpZyatj7vpnGjFPyjSj6+9q/bUw7Zzpv9siiRh74yB4/r+eeMaRTXcIoiP2IymEqewwgQlmXFKlF DoLE+igLtqvYLY1zvNmDmOUIyBhGNwatZKNMBbFXLQb8FgK/4Mu64LvU+gNIjvgFIN2Kvzbxqtns cfFyBeHSYaJWeGs9hM2MWZWh38Y4aexFJBPGvyyx6PTlZynBAd5W4HcivOAJZCVjB2mM7G9WLOY3 DrRjPDI18nVwMABMnfcO5LiFeaeNfElJrbFCt4W1dn+kl+QUuxWUEboZo9exGItcUmr/WsjV+OOC vMY7s/AxV+nCJFNzdr2HhpNSMwgd5o1nIS9xCem1JU6kHTs6jE/tgnyVT+gzmyilZ5yY0faFgK7g IAl1awTfB+73k/ZfRUfCFuRWw2P55t0Wpuc6jxkAZyWO8KlylrpIjAuPJT/qY7CswP/jxVLdgIp8 isue2G3NH8FM3hfxZMn2N+qV9uQ02kVF0hoWIOblsFMjKZvfXKBejPkrUxJqPnoWmghEr8seqWdU 3covEE3HnvLE3r8KN2Wg81IwfstJkj8OM+N3/RO0QvDUB1eV2+Kgu9CR0mPJHfVJucjpx2DGZ6XQ Y8WHRPNc+wfwbplp0fcMAGGwQu22oqoA8c2LbtIrJOzH3TevIX3P9oNINIZqa6MadOxonByFdr89 znXObd/nSTIVy3COB+NwpZd50jkJlSbTCSrVIHQYhrKa3IazWACH5hrdIqeuC9oKY+BPRF4dndUi 5fmeDlGpm7RbAu6MsOr9crAotXx/LiH6vElAb4Yq9oX4HQ1ysuq58tn7Y5tPkgkNYZr9GHjzzTSu 1oDsy2ea3mL08TZc6wYSzXnoyPxuYoCvcFbD6fc1gnXMD9aKY3GzTQkug4tdUFPn/dDbgXgq1IM6 Cx0DHb4TnwGAyUj9dPTVnQrbcFaGG7BteHmXgUFAFD50ig+Hzzt4t4yf4J/HCFB4lB6EL9oXA1QJ hcj3yT5M177gX+SzjrW8661IOlbLLd+5yca5eYmk4AaxtpAcVcX2juw3jGbSI9uFSj7dFUwSsCNy qxega3F1yypmpFomosvA4Sg58DfnFLahIZ5Ur0UcEAiKICgIUAARuJUsvGV7felvn28FpZ7PlwkI ccPlhQ/rbNz+oQy69kxuhpyEbY+5DYe0QzTFzug9/0tEk+yVN88nuUkTtGD0cwTF9Tz+ovSeD6Dq hwi7CnNEXVqY88H9hFgRRyxhoQFYOBhcFQ7aQR9y0Ar9GAcnYESo6qmM6HxhgUa7hrR4LkQv8wYM SDPAO4v7LBNx6F5UmQ8mjnZIlLonDlDICfwmJXOLMUS3kacxUm58lg27AKaMYDl0nvygQA/QVxh4 Ttj0DZTXqywkQLZ8wfbibcVKrKiR0gNQi+CME7sCSMOC1f5scm1Z6wuTmGCd6nW+zkY327CpaKyi Zvf9Y3UT+g0y0BGEz1QYVGqu+nFF+j5ZYOnkgH7H4aN852T2KIwTl6BReWWFdCXu993d+KrH6X9Z daRoMqU2mKZbtnr4Ie1cnWb8p6Srl1SXp6K6tyObfwepMTdbq/3j78QSdgeTOv7eIuLpq8FSlqWE rq5LdnbZ0Su/JAfSkvD+cgopfOPYz21sDbpwDTvcp0DqmRhYSADmTOpeRIvNWiK0cikJLrTXpThp zuDnXXzy/ikd8UfGKAiiBxhADI/XPCWjvzEWvnDXYzgRnvnvyXefndwt2ww/tCaL7Vrzb6YtBxWA m0yhJJdfXLKaGn9j+cC5EEnDFASmTm/pSjpOkRtYh44RQNCg8b8uqQV5R0M1vRuv6f70sjVSoCxQ N7DIYeMEpXhoW+BOtk4Bm8nYmkYueXoph73s+PlJYYlRzv2V86kuXg+TegBDKmmh0eVKX7guu48S PlphKTRDYyJg9pt7cm6+SL9K8zz7V4YtRNDt5FWrIJjoPDbs8Hpkb39r/qcL3evjs0qX3+e703Cd eLXr5bKWquXgg301JEWpsMuz5rmEkngK/bLySXsy4q4w6IH7MM+UilpIiPdWYlqYojyZiH1osM1e 3DZyQgj5yCRZccQ0ZNi151T9ThO+ablh5+4Iwe/DdkHvSh6j7iW72S3KMDpivmmQy4tBSw3cWcqh dBxahdn+pQB+lCYGPidtbQGA+Q97HhcUfElrNO7o6KBxXmkiAOsfoWsfIYKjoKu5HbOnzqsWOnIw bNQrGxJN0aKBv/A5sMl6hme90EcSUfgj6Tw30BQjqaZqoa7/AiUIsB3nAWLOEjA5LSx3cdNy3HfH 1PZGI8kDMHxpVznZh/bGhcZajXl2Fo7zhoxYMI+Wwn0O/ie4fFkAHGB7e2wYUpGit6blkO/4XHmB hqs8WRoA4EnJh0b2YpZkGW+9Nr5sfWDrQSTDMuYPzsZVXRGgbyALuGhSn6U1AsO95Qr7G4+BOCPw A2AazotQQndzaxfrDH/qxsuM17t0TlZsikbl6p0D1oNJn0ZV9fPYFgJxWyJZNJawmxH06msdc91m IOGf94PaJSgsMIOUwvpIbC+AiCK6GLUxG9xLBtKMR37rlIZVYge8cM9LaJQmPIoVMzzrrPVguCif ahZnGnhBPTF+wcmJMBk4yKTP6sXGuXe6g9WhTMxXKzAs2QermwZgcQi6JrB69zz8YrLyq54NyMbd AfWbhgQk16pOhG1mLZzodf+E+YwhtXE3Pr7Qx2Az2t45Ge0BH4NzyzuChzSP8XfHu5+QjP9aUvb0 BGj4Y+vOr1FlYhVEq4N+sXR8LctBLHZxx5Qcqpcep85IDZDrTehOWQHroxU82CfdT9nDhWBpD+MY kv9SkYgIMBP+9Dg1OnXNvQ8KjoHmvldTO4lsxVW9KXT2DUSOHEHBCkMFo6jwlDaRuX5ycCRFgW0P MpPis/Cw3HSrU1NNz6/UdGPVFSBF9mxOPZQG3ifU32e6CUMjgD0JURvzDKZ2QoLwBnG6nq3Y1RmQ Vj/sJrjmCXsOWsAyExjdusrTVTxkL3edD1SaGVTo7mS18gNlI/e+RY+qq7/kCdL8l/EbEOUjacp3 Djq+SZVr8wu1SNmRnqxUpCHKXlyo13w+n1tC2cKoxcawqF4AzQi5ZsnmXqXUcqVv65jYTGYXI03b 5otOVn1PAgQgQ/NzJMYs9ByzeSshGmtX3z/RtJ6wB9n7HAFVtYdmFatR6vnp2wC1cUmFL9YEHZV7 sc7mOvPHZcbtzqzQeN2Mv1dNVbCzCsl5WsXI5Jpukgtk/hKdO0g6EVN7kqYkTb8kKQCq0a+p1wID 4iIUdr7/zcmvmviHqK+utuS90fSHPdZeyYbtE8mEqKZz1R0CrWPnp8EzfF52aSXXqdcwJw82kA7P yU/NmYEZHG4VIxSzCG73v0O4gDW0WL2eOyxpQ7ATh7H63BsUUU6GzqyDnPB/xt4x9x9+wPS1xUtU r7qWYJVZ2IT8QUKriO8d/0wuzINXnAioTd0drLIebJlg/1d/F8b++LZLY3tWHq9VL+d8CU6AO00H nXBj7rGs+B0gtrbE94ah5ETOtonpFT9v9vlmI3Yek18EbDB/VnDrtN3kPmwdWhKwrHQWST6mnSnd EeFGfvV/kbwHqY3OUszQ7vIdPRbjB4uP3PhAAW8xhdVzqog37CmzeuJsxgIE4If1pLzt2YxRS2x/ 7CUBYPSKK1+uJU/8kFvrDiTyo/G9pMItzP+kHBBJfUa9soPFctlGbOR+sD5a5WEwIFnNfkXMUAHr JY/fksiNrBFEwPxgmA/bPtMk/DDo1YGQ9++ee2pYYagenepov/9nF202xqLJyISXGmD5tMbdSkwv wbl6P2hLSNgdDzao5O8W88NuUGNzmqBAJBRmBPxQCobChD3tpSbC42i/wBK8l09ipRWU80sX+Ojc 51Dn/luKJ5fGiK5AzC3SoNWp105aBaeOn4K5j1IIDcUOVQZlzawNJMlh4GK9sFpRkga45KYIUQc8 619G2JNsBvWF5eEu2zv+Qwp9QdbjltH6ynHnLMscWEZ8G/+rQ3ZbQi6mIlFEJD3fk8FWKN9eWm0H oFi96EbV7zJPmDAqWBlYa8tsySMnqhKdLwwr0JYQ3rafcOGAPV7MT3HL4f20YBiGjln7dYnsi97J jdi6zpGcSeSsBFbo5SEeffJy8xltfmwHqvoaAD4Yay0sUjDjzydwT2q36+d9hAo/Jn8ecKJHe1eA glRWphHSJWI31fJZoHcqxJM3luqURrUGUBd+Orrr686eJceCkJ9/tP4guEs2A56Sm9jIpXKtbhDt qR1uu6usE+PwtQxOHg1Ev77hQlUAwQuKIgQL58h4/VRcI2QjINWC5Gnl/jPdflwo1BEgu01oLmll 3pAL1DbaUvJLeuKdxS0hz5QqiCHPj/8PL94sZbLmkx0k13gdzE0+i6cgdAPslu1/JGcACTrRHrJn pNhYZOqICr0qti+9FqHL6tqJXyCBGA+JS4boh8Ggyee7FMEPQvbFTbs1eYSTodttKqJe0X6yASRi yWtSOu+TpnG3MSfyObyaALkmH13VDaEFVLF81SBTLjnh6lst/qsfZwaALrH4vZDak7duwSChyHxk AlaDTJcRlqhccwpvF9V8PVWTc/Syq81gHSz5v7auYj6LUMkdY604ZYgIAurdx4/uckzldDady8dQ nMcEbYi2N4P4EUHhSmp1Kh4irML5+SFPWoo3AXYZepjuJYc3qnBkoGludrn9nhmf1lGqGV6zGiFd Y/+h3xve0umGvN4cQ7s4n50dh5DqAfeUXYLL10/q2caedD/xFGPsSet2m2Z2LgirWvYjiRh+P05A U34ubXVXsVb5WwyCpfXKHR7daxpH7Dymh0b5RCmA+OuyUnp4MAX+0dhNMOBI8FiamdnamiH1fcR5 QHmvE4xl1MkvhNHwKFO8JBuls+h4S8Fc7zSuJb1uu38gkUglpcdsFFn9/4YmN1o2JMy3uHJLCFaF Pvk2J+OAaSh/Dfy5xTnwH06fdYlMfv/qwXrjsrdYxLpHWlbCQMUceJt84GEskdkHN57ae+3+Zyl2 Zk00EQQhvXBGfJZw84I7YGdzW/mGyIYgjS9xk2IAcf4r0N1AMhWvO0ztnmIuFdVctNjtC11Q1qtY dhTyuZkyJUPR0+HyuF5oRUVkS+EdEO3U1A8nsXenBE6KOp2JOzCx1s23J/9AzFn19DllHLiVblZD f3rjuE61Rp+1GDRyA6ir6HN4c+e3/5KA+29HMJZKBu9+NtgvjI4Xqkfd/nQFyH6pT7WsAzSwCYUL sp/Ar0qIzB20Phzm7pRFVFiZ9b9ZQBHdDAmcvHkNBybvm/M+2zsmW4Cvn8tAJ6jm4xopGz2RTMXG O6ltZ5eC2G2fltIsqUELb5bZa8jCTHxxHJd2yVBQnrAOADd6pZsP+sCQxDahLtolMAM9zmp6XdbL hGW5UB2yp6AbMHnAW4PDGmflCvQq1BuqvqEV7hXo9XUI/C8XwptftI49boGvMhxK7oCgblHKeeDU ZVtDKhXKj+GKUTT0dkf3iQLW2/dbePsPAVSk9xoogAeH1G32ARfmDDdcZ6OWDJbE/fP2+9GG7OUp HqQmqXLKRPyAOouBoDjBzE9VK3ff5cIKrg/lYdHfGiEvlb5uDDTbx7yiGaWttoUl+mUvRxpoQMdI CKQZIuksQK1i1pGqAhAFMwsblijJLBv9KH1QeqEZnGFNjb9NO4rjLqsaM0nWqgOjLsBVfGsQ2Jtm y9aJljCt7pYCt2U/XGXT4eKMz3lSkCIxRgssP511gDPDr2ndgQY7kl6txeYTdTQ+JPjdA3ng9vHy 0qGS53/42wOdkqQEi0cbxbaY2KCYkGsAVgj47xWGa388TNFAbs0j4gniCt01AEth5qpzHbbbf3kA Vy3I4hHtAVJR10WjIDAngf6Aqi4AIUUbUYOYjo4WzmBr97qRVMYc/fU+kOu1Obgbs1edMITQISl4 n6ba7oongyQgPwCC+V8sIdqNhvlXz2B+0lu6vebIZD+7Gc4E3cso8G3/VvHK3lGz8M1bx3DIuaf0 BvPioZuBdiFUcPPum6gDnebv+waxfByEWSB7+PGEw03qcxQ7nfz+BbXxxWvHIeDAi3Ku4r9t8vXi rPICg5oU1LDn+vnon/uE2lBpdmG6v6ephkBtNRKN/S3+EaNCBBbE4oxQzVs3D3zV/xGlbLGaylJA og9RLbA/QxDlm5mK0KZkI4EOqPFJA4bqkJe3ZyVQ/2v42G2XO4rGocwfp9nCuhsA7JLgOsT5fvug uT5Vgxj0bFN7d34QBc0mzCjFVyiql0uIAQvKqGWMe1a/C8OfKEtgvB7Vd3S3x902EemcJNpTefOF LgzGIH3yIcnx+IhoYVrmlO8LD8Kk1o7dB4LgMNA/VvEtXKefCGvmihEpQFvn1s9hWmiAtFX+KWxf T7HtqQyCVYf2S0oQYw4rVlHjbsysw3bhaPgeqTzlO51uYKNPNQiG6vl/2ZpwHTk/d9s3u/IXr68g lvx8Z4uZ+OpE3dAteA7v7rj1wKNzb4Fph0ynu3H7iyVjIUjVNdD3WtoChJXFjY7q7PKE0k4xF0Vx 4yLR/lq9Z2GBjkVlDQ7zo9/0rsiJmZW36CUXqxsyOtpxH58B477FfEn5YYOpk43HgxWskzKwGy27 /QU81LKz7akjdCmTG07RgElj9sIVnvp6P5Z74yelMZNPszyPnTUomm2sr55AMj1DDhupFmRr6qxu 1vilaXmvuRLx6dEySmgdV93zwpN7QjINbNBcugIfTOis5zfRky9i76KGqcj6MuSdIP3G4ua/k3+7 G2QppipmuiHNI4bUXrvtvPcJ1IP4JbHRnRrIbNPo3o2W27drINRS5cS7NXFHAq7ufhAUtG5TBd98 3BNXcHUY342lBabmM6D0WLZ7ZeDS03ky7TOT9Mxjd0XPNA0mnLhaprnloOQ8/YJoo5zABUVNel8x 8a/G2fw4zQl/mNDMb375b6oNPW3g3cJXQafmvp1GkygBA85VBvs9qLknAp4gZ3qG5auA9NXpcR9m yIUQsNAdlwyV1kHM1Ic4XyDbmzbxW/04wx32KulePhar+NNT1uXAI/FhgA7kfwxgKmwYo9XfCUgL 0xhOECoxorZUmGPaFo42xbYl+4n3qMI2QJb6QnDONk8NcJzFsLxTzw+utIC5PFWH388HU+w7u7Xb 0kLS6Ai29usndxfg0APc2DgRDdvM1INRHYkFUCzOI3rW7ZkTLrJImJ84Zox2VB9ktwhO5jicbx94 dXm9XtoTyPUdlmeL7uABAd7CU43Lv3CL8E4jxSrbM+u1GKThBaBJWUTxMkFDUFT3CbZS/ajcSCTi uvRgASYGcEy605ErrZFIErUeW74hkM1ELKKXZaaoojvOtFY6Z7dvcVMf9iS4DHZAz4+NMQ6gT32v VfyyP5Tz4HH9Iu0lgjszTy+QyeXdwnLQaIwZqj9Ck7GRcEAWjF2mXblvj0H2ReryanfbuxOUIOrj oyEHV+at2msodRUUPK2Z7UolwBMEqrUeELsUnfKflVqCprZMBYdfLTzsj5zhXA57b9tEs+dmamnz 55XJpF2rPxlyqXkIr/MTs85pYDZ4DyZnfgwo9B8zXHdl6bOWvZizaX11m/A6zfvo7d/eW4gB82o7 EiKJ56Sk7tMZ/LSproryhJHljzFtcMDfApRZMIVamPqV9Mr8WDDb205OkEEvJuBQHWihmEEXT05Q W9gR6YmCJ/WQ0C3LB/xlGIqLt53RyvLGMi6AncGstpinG/GBlqHY8wEZrDXEH2t94tKeTWQ3NTBH DlrA+/0DD9VbcQweUJuwB8qg3djJsMTgqa1km6norx8uHPwnfwE9wkMpDGdmC8sgqzgDK2nL4pP/ wdVeivl9apmWmRtAoGW4UDCVlzrCPjSLEGq7BLtPD2kO50dyi4vDmGA62PyacW1hgPfMX8M4wDnX 5mmXPYTHjNkSTT76cPtsMLGGfI3E0DSCshtGPTelRRbah0uDDeFe7Jb8HsFmWoPFCzxvd2U+jGhf hMoGaoaMBA/D5lVfPhbo5DzPsUWNf5lskNkUWNj1qGGCOjSz+1dDaTMFHsDZeOebWRc+z883KQnP nVMLwnYYE/gxN6/4xM47oDdcp/qK0FNhKsh+vTWWtooQJxWQkFLMaKPhO/uWbSXbr9TBB0TUWqNE EOL3qtgENjdimATruqHMj1G1oW05D7/WGiOMEnT/CU5WiLkBfGx76w/9DWhSTi0OpF4+8GcMTA3l pf8yBt2xTCPNJXb8JQBS//JK9sGNqgs1yo7CaxLifi8n/mQE5UOR2xNtH8PJTzs9iahxyrHtAgmF z9hWyIO6IqQsiiF4SHY4aGY3MgiWoPuHpKkfFjx96PtLgX8QRauZ1NTB7Q+sZsrZJYhpDCIWkm9I 8wRMIuvKYfLwkw/9H/dgIQz+i1usRRN8WtYRo5z+a54XL0XrT20qjoeT+dOj8rYn4mMnZceMlfq6 IzwtC79cuODaNJ6O/yxgMhLsE+6sQZLbbhp/CWXkovi4h1HuGXMYBJT2cS5Iy7MkrEjFjTfQ0OJk Zb894JZIwu4Z0HK76voGU1guClPKiJbb530NFzf55fHN3VQ0vOOx+Z+GtC9RDBNf/amGj4x0j4OC Q9rT9FDbXZmanECKZrrREeboJJ++N/k08+qCRo5qgB9TSx7HDg90lI0NYZClOUpH5aDCDJhBQriy sQGu6W5bUKSE63ui6QxZ++9+g1F24Z8mXBF0HU86wWgpJyv0PoxKZpELPLDtkLErAButwNjGt6mT hPaCKUz0asVjSEmWnq89CB0x6coyyJBnp5Cmx9kKtiZ4CDFJIdRAQaZy8wtn9hs6r4U4/NX4VN9m eSHI5QSWmdsCmbSdttynf6XU57KxWriuqIfeSKg1zoy0VinB813Ge1h5bIbNDhV6kx+cVy7KCvBQ qe+7j480jjad1XDQX5ecNyNIyicpq6iOSv0YDGxGFfh74/TboQOwxuhotKcrwCSFu92fwMvlms/j MLrhBJC2Et7M+i9Ft+sm7exed/jafIvASK70Aad/C3ivBqj0K2hw0F71EH/cyzDtEm2WFgNiteT6 6jJS+8jV7bvAD4yB+3GcaNLy01FTWEencg8x5KcTtUsSlX8MCYidffpRO0KTNcOvBaqClezXxxH1 wONZNO+zKSHuKcoc0FPfQ5DuyOtRBY141WfNyPMzad3L9WRNYLVZXG5PyVFxnG++naouBl+fMBga Ro7dAnoTaqGHS8k36ZCIQUCBwFU0VUErYdfWK23xuWSJPYFAQ7XWmfUY5BkEipf6kUVaVnFs2eN3 4RsYeRHfQiJVIcys5ZnfM4aGZ3PKAmexzX+UpjgtaK5AjxjsaAbZk+uzycjA8yQkiFQl59zLEXpp LLgVze53CtRj1ot47kaKxlEh+InnNLX+HaQKv1mkBtsZOXoJpyhISlM8HUE/z2cIfbH8ZIvmO3wK 14lqb1hSM2+1vs1ykB/RjJXs+67oABb/NFXQ7AQbW5/QTcnTj3Ci0xBWdkPJTGSvlW+nIuey1qsp gcowIQxs4Co7XnrqBiXxwq7dfBT2uboQ0AuXo6UG1tYSTJ1baoD7Ztf72FgDzrA4XxaI+JQc7cjJ dhJT215hxTWvZ41kVHhyyLQu3S2q/6z3mNrBfaRCHVYQSA78/3bIvlTotTeO9lQNcA9DafVihHsj JBztxWPdghwTawWNLq6h0M23D8r1viJeEe4621y0k/EH46X9wrK7iDFIMnO1NXhXeFL1cv9+T3Jx TOasKXHBbReaBKWSK063bi3WEyMn+uq1vfrrY5WoV7jacyqzYUEDrXsjEDxyRehgVWAHTwgqDKQP fo9g+DakJx6G+FfLx64zOG7zIDntQiRaTM4FsWui5h0xOYG468GhX1BVKQNkQairDbsHzvVAKWHA f9MGgWZQdiFsRkKqoSAFdIHQZ80KLKBHDMODdshKAh4HTakFgCDDbzG+1oTZJn/A4wUCIjXbJ3cc p52KprXQ1Jxjlr4ek8xu/uTN7hDgwOYL0EQEfopCAhhrWqiItPCIdnb3E2bFAkCuNUBUicTXgB4C OINcuJsYbuhmTfDQ8qaV4XtDoiKKhCy9fCQWAK5+4CDR+JdKUYcMOcVuF19jvRwfG6CqB0RGC9Wn JBB8KivE21J2QSWzQGPl87FkgAEvSw9oQT+XlcbDvBzasK51SSbYhrYzCEgbdrbF8CUfCKoZY7if DSh3Gk/tBmH7nXq7/vVGTKexSAkq+VPOY/JYGRlJj+0ISlGZuKZ1ss8RRJVVXhMs5ccEzlIet8mx DrL3Gn2acKBGo3jRqwr8Luc/WKT/RIDAwTXz4ad73j/yGk37vK1vl/4WT5HZmCZyUj/p7q2h97N7 fK7ikaELLHMSEULrvmDiKqNosphoDmI+awyRqntgH4ZFHIr1I+9i2I6sT3D/lwECuOVI6kyHYdq6 dX6JVn9IQ+x6FuZ2q9tGF0JSpIphHWewG0Eg3naWRTkDdZCQISwCWJInzwSdUPP4mj8R7hLA/6A2 ZsE1Y5uTyP5lczO3QCnhFHcnvQzcYB4Epwpvq+qvVQRBRrLXyAjfVG1t7guBcCSyAT6oc2SSUWr2 ZBoHEobcyh4OpRVqj+WJuFsrXNUqQ6fES27XwRs/PkTkonHaH4BetR635SIO4QDwk4j6wUfyF0Cr n8NM5oPJex4zhlDlrotWNmVr+iuPb+v5PUOrCOJfDTMYY5vZF0z7wsCkLPHDvXo09E0haMwv1okQ QDLs4pDg9lP2g99CkKhEElP0xy1BMqL5abiNw0jOys1f6jWBEfCNxeIrBLfi0VQtGAraUQ77x7Yv jbs59SwE5OQewFFrFhEJLcyzcWG1MVCue1mapsF+4ztEfz9sgQL/Yvy/2ahrE42Yvq5Aa9AKBCkd 0p914/EqHWHQmhn0cbaV8bLeIUAEr0mrvKx1RlR9DvLI0wHzF31CWpfRssUvv7c7aHZ4nBq85+HJ XhBG/HljhjPi1JDrbDY+Yf7cwk7P5A6geSgqxBnyCSJsSFwoVwORZa7EyqKe/NheyXNtxPYuL+Xf mUhKeFlbVOHddvgEqSZFhppUURPTlujNjRK3bkb8zYtIip82mfPt4SGTTXz6H8ryID2ovbpJIMEt 8bYNpEOvhJXUMAJX0EsIzB+uD2TeYmXwBXSOfrs8P4yv5KUrZVSfcY9ORM89kdXdrvdY246OxIRT TScqauu+HOfVwHxXyL/3PDd68juypXUNt0PWyUdR6RnpmvFqlGcXNZuYKZq9JKXVa881D2Lee427 iJDuzC11Lsmc1L4seRqvaIck/kO7pz/Wu0Y3BGdVBDlsgdaWtxC+qPKMW/QV5Zx/RaGocE5FkgKB z13mqL8h0qLrgqcgKF/myiXeXraCCLNEVE5KgYTl0ocYG4iBkbvQM5xAWroDU+u4YlR2c5qKaq16 0bD6tBdALolBj/BSaiT+yo5T1m1MQI9qi/4Na63vq4+AXpWO76UdzzokPkCsIxuF4aLkrKoiC/Y1 wIs61IuqOiTnZnSIYeqIx6JeBe02IvSkAOVyX5hRdDVsx1civnDzmX/ZoLQhwSTSrtPKnJwdxLbD coxMhAMlfz6xr4LL4wHNgyhp+yYalWiq3Fv4ghD9LNKNgv15m30YK79SCJnRd++eMsrKOA+qYExP 6F2bH0WBcs3Km7c8VD0FAVBdsUYUw+S3O9T4PBRlcAErTxqK5nM+OWNjhcEB0NcDOK+1ZKnedVwl feQ1H5WZ+x7WBjq+yMlGncwmQaqlRdserfF7qZ2ShhTE5wfUSpEdZ4v9+U++JoNXzSlG5ITez5k8 DVqhZXql+pgihf1KzZdBk1WpvVRUTl6Z4qmoowNVAO56d/UGxPUryqS18olTIcLx+if/grxCNJqV gulmhP8HUcGNFn3Zb8ozB8vEDHVTQnoPgUYjQXh/EbMiw3BhOTA5x4NhDbWoofpKW9N98R//cdrI m6o3StnOMApQPyeu52cKUth1HgtpPh3GeW1iGDP1TDAAnDXfP1vtmem44JHNfhsfqqtwEU6TNaux cqgR0FUIGJQqd3WckyMmry0aYEjHFTyCFsinz6YVQ0ask1YLbm0hFsbnKyXe0veqQgIvV2iIyWfY 6iTByGGtw84zNPZWn+lAxG0ssl6RDyJSeKDJ25EKluxKfvN+RFn2Wo53OGYPZOEib4/sFkPhNMXR bTd26c92iuWnKGeP0qZjXy79nm5/RzEnc1ohL1W272h/uKsjSAhxEmbp6Km9IgsOdHLy/Wc2D5S9 5P+hIIdiczudl2soD7ZV/ND66lwsQXvt4usVAehCRbA5tFlOkDB0SYNfh58U0IUP1cEPULSH2vxP AOZNOQwFR9TeY0trnA/fBHi/88M1aazdN2fjhCk6NTt3iyBF7MAyGqOLhNTWATT2ApNCDTZ4SrPQ h2gLyiEYhyj1G2hqaGi5DkrG5s57UIu7hXrUKVk4jrS6dRqpUniROhawHlUYSFfNX+cRa+7HaIjo INimvykbzoQAp4fg8kPIWOFyOezMWE58nkOou94ISnyepHTetHeTmjmnLkV7ir10yVdw5d8Mi4dj dF83xpucJ9z0Ls5gdiaQ0AlyIP/N9RDGPI7avbAgISJp9GdMwCETcWrsl8TEc3LaQGvGvsAwOWa0 MENtYjKvKHxkFtD/R0/tyoxdF0gEv4n2I35p+fc4s9Ty1ENhpv8e3CZmaWb8Fd1RMiDrru0zlC4d WPYw1hcguL9LkCQadayKwnsxXEUTTY7RrkrHokacAdjTL6iyA10QMHVBNGTEYpumFVx81Qd+sC6u sTaLj0AWJCujzCKFXPuZPd4s89OiTgwpdI4zzs6LGok3Dg34Mkmh/NcTbDkU9Sxkb0/6hPluvFdK OxnU8qOcU4b3X8/YC9oUxgKKj+SO+ZqqA3kghIWEPsj9bR2+pXvI+SEFwBlzLG1Y0TNe/d5S+k5v 9gzCwmnOZL7/xVaEqcIkemwalHUaqyyvAxmGoHQTfYO38n43kGY3BC5WEJudpIGiAU13hI3t5lUK VXD1p4160M66B9WUvnXOhTM+tfHz/82TbGvbr/fGXfUImlfjVN6OBJkso6z838B66Y77HA73/QlC fcs6sS/6vRCwybD/NWkjuTHWzjTyakhWskcNuGWEj4sGMk1a12tnoRzg731sE4yeoAPlZKqhHLLy MKViJlPHMzRXlJX0Ds98qO1t7hklKjRzQpm06SNvgmBvAVKu0/4YKcajDRDwcktIARD9YAhJtMSA ErfTwvJuZd/wfzvK3ujqr7aiVXTVFEm23Sr8TmxqCglc3u5/wliL8qQqjzYVjdRAqoLo8INv3Bzy R0AL1dZrH4nu30MQdp6AedLiZnOKTWVilGDi6lJyVPYwY/GHI9lj0eHipZ5x+R04Rd1Hv/mwQyo+ QlDQ4/iHYJp00xgCLRqtEIH5BPtvCBWioeiCK0+ZpCRd92KNGDETyV3yRm4TRIYxf92L7xH+ngTy ACSsQRuBFscM/SU+c8pFQmfEDCVKtBs5Zl4CEfAYBLnfDR9cvv9UJ5tCod2tmU46npczK8gTsEPm NHFoQsNLQuG8KwjcaWm3Vv+lon9hs1fjd8OrbKXkfhC25ab2DcLmFF2IjXcM0YzAe1e+fZ1tZivP 37PDOJamwRMw2/yiiRSUyyPS1zIcwNOeT19Udr3i1N4Wt5pe1yfEGoziX7qK86UUcKQLBk6uRiiy b9gN3v1FyHdVCE7gRj/v4nUf84aRtz/yTze2rgil1C2X5vzWUTper6udu0MjSz45fkaHgUYZfy/n iunYDM1jxcE9jARFD+qlONTCjIOaETKBd9JGxcxD6xGAeI21Nt8Hmb0sgmhC3+Cwt7U434LRKkAE EB3L7+00a+yyXVOwdBwp5+Hidl7lan1Q0wS+LMrxtKp5c4zmswboZylYR5PrGLF3ECBopKGX9hOH LBc5Dy1GXH/R2FwIBIljVlKliTLdCT75Tjpvz9+xVzLPytVvmx3RsbxPQvR5kAG3pMIk1fkeyQDB AbkHRvb4Y1bTXZnl6hCu9MmsVBc+YgJ31Q9z//nN29mwpIBG899xzI5r+F4qqU5hX4mfexK6P0hL aWVxGnSPGPREpbxv8d0CDtUXLVQE7DaGHghxkQuEmkckOQ/kaMC4SADAa3AP/UvXbQ2FAt7PKDKP 6L65OGeJELSgRI6lINOBO8Kg5wnBx3jIU9FVc4pp27fswpRVFVCS9VJdmOj59LOfrtxjYYwv39vf 8TqIy0m6NoMLbbJhgY/HIlQQobfVGGhDFJHKz1xDkNYZly11mbGcK/Dppy6qohGN1SfvIQiYKb7Q YBnQGqP/Xty2sn5AZ0+CGgU/eClND5SosWTHEUIappDOBm1lG92iCiocD8NCUKf8UXYM5dD0oj6U stJkWtdfEWB83JqDuxRSQJzfu+w/Uz9IO8Xbdd/zXJikOcI8HJOatFutIYp1enM+F+E9+gcMDoGm JvTk8yLL9p76YJ1VXvtC1rwZP1iF9GcBGRLWVHVNh/OltGRTl9zoR1uQmFRg8FFESiFw3Fb7uduF lc9oXX3B3/Xybb/WOgK6OgjZXyArEzJKOPMWhdhowiTu1DoolgA6KLJpxG7ULJ3YdV3wk8tmFSUx qflonoo+YlRCE1C1mg/WsSPx+H29QKDjZxsmAkQaL9rI4ImWpUDAnup7aooVTMtRqLHe529+YDI2 YJfrFjl8JjpcHPzEATlwO3liQ7LQJSFWv7esdh7YmJsuDa69JZEKCsv9/XIn7S+9jDP2JLoUQvUs 9492eCqSGcYNIkj8TDqlSSQTlY8AHwZX5E5x9k8ejx87S1N50NJu5psKSbkw2KZgcao+7AEPPaUW Xb/U9zKyWdTDZr2UwlXkLdXsoqQiFXAro5/qhHWyc/7cLa4vo5uEVEqqkx55S4bJcGeztv8IxRsA jQUML4ZQzlXnUSdJbor0GgyvN0LeDrhVEDCod+XA1h965QRPPZcKbiJwDUaiRODB0QUuL0srN1cV 7hCHoIRSh320NAjl0BR8G7+mThI8bXyQMLlZmgunyBS53BQmh8vsIZvra6E7WAIyEWK+gg3E88Qi PVMTnm5uwHNE78MwOPU2ngWOyqVmxdi8QC8S5cD1WZDKuoCSMKPsn5gPenWAmUUX8QP53jrPc2gc THMcwF0Ad0a9ssAHotf0W9W30D0JZNZVJxNGWokMZa+Yo27R0msOKLW5Of9kJQDeaQur2OZQojUW x17YrpEz8KT/b9Hec98dSpaLXg8acydugz+m3Eo0AxTha3o65Rq9RRyhdVzm2l2IAM5dW6BNAn1M 5XE17nMdrRwVPvm82B/DQTwjyLAIxQltS0DIsUSthIWvqhOwuB2HaIx4MgPM0cmDJf1mYYjnkCKg FTcc3qzzX/xkd0gj5/a601DKeDXMdyYJiPZ/k+yFj+NhK1Qj0hZZN0DvUdx8Ru/EH2wikw5nKBjz BcB1u/AJ67UGiqj1hODqktnVME7RVNH41EM/f3P2nsSebyweJERnBSuZ0f7iJMsgXY6kHSSkNgzX VXaQNyroviTHObHh1CRTmgxzzGY5mbsJHP3PJX4KTGDIvsB1mf7du/7Zwp9jiXOVy23mOYmh3mwo HFiGmmV7pT2+sMyZAdiIxNKGusY224NPz/9NS/B5CeW+Os9qvOcTXobuKEJxMTFyWw3yAthmnwNj sEMhqI/cIDJMpm9pgYi0lS4hbRAnVHJMSNNQvpJeu0x+1/ImQvSOb9tXgBHmhQQugx5d8lO4su18 U9h36HYsu4DbejM7jtezS78CL2RKJHKAhsJUcHTBCIx2SRc4x5QhX6cPB5cnP38ebumiDTMoh7m7 m/uICAYSOgJboLagbkXeYEBlhBvbPbP+jN86KdWZaUXBwyNEsqtGLR47cVXasjbjqq7zaY4yX75C EvwyIfLg6E1cwVlOnsaRG4EN7pUwHMiJFizwTnkTLa3Oxt68eUtNMMJ5xroiTrdzjsb4ESd+FJGr XL6AeCTm5pPzx5O0ZK/rSoG9GoHKvCKyOrJ0XiCqwukYIt4pC0ScTMnQ3KuS7wxEx9mOCeHqnWO0 cojYRu/jheLceEhD8GPYf/1y+mFhlxMswFGqoXp8WKRj/6jOS3EzVmWjOwCRjet0bLAphLF7AcAy UJ/JjENj9sxeEf/CdRiIhAexFhnXpcJMDmx1wyECR5koc6ApYarJmBniAM9uMFhKq4c6SB5e+2F+ T5acglVaVmbKh0VGhX76iiYgNoKKU8E0DHXmjNxg/l+C/tg+hDYkY0DqAGMDUt0Wf2s72KMKQl+U qmeRqu6eRwS85pOFOdxKV0XUXnGubek+3f37sOFKtVfTiHf69KTsa+hApJe1wc23cCS30Zuc1J40 5WJMifUGqiAz8AsDeGQi2kwKk5yKNWbepoqjjVL1woyJX1o8Pui/bMoYWcRiEbrp97qdOKnZMWXD LzxsTnbxsrHy/ZCVbFzYtWUTUnPchuPegPpJv941fyLF/XuSbEo49KHQ0ay7b0+e/QjFoBb5kNHK 5x47/7JyA03JhHp9zAxQeHb5yz1GIFxm8Wt0fUtQ4gBQADOdLitCdplQ46f0GlIG39vDkklmfD4y VQHpXz6CFP5BE+PiYfzfG2o2uwQWtS3MI8bxOUmSinCdSM2JdZ13cVcA3iNejCWeIuPFsJ9oiTf3 gkn9p5+VdfLV6Yf9dz8Fh1ysUgIr8UScCag9OO+CldRl7GS/zBo0AdB11w/FBSh4fkmLvBPXjXxf r9gC8Jht+yucdPmdAMuYIgn5OqoEt+PCacgrD96cH5p+GQgrWLXzougAHalWaTSEDPGi4Q+IAh+x /+b7BiXqxYKw1f8De5sC9MoXNWw+PoqjJnJwUjsQBcc49cMWmfBsGfjS+L0XXmowlxgdmCviDpMM ks4O0J989D1P/GICsGMdLxsRzvhhiDXA3mK1Jw/lDZCrWch/HbI6lux+sYPAtzmyvxUUm2keV/oc AQTCdcCD3HvCCzd3+QKT/XP/4SFUdGgzU1Tu6n+9bq7jzS+C0vC8Qq3ZpnyLi3G4s3xLEHLsfGLh Ib0bngY+4r7P5oo9hBuciXEwkEbYYQ8cZtlKIMdSa8eGrPZ6RuTbI6FhiehShC06UxU+jxrX/IIh ULTPxPkFxClp0gU8IDldi1YDdxA5QSrplEYA6zZpB/PrdeX5gvfbHczq0X2P7Vp+r2gPoyuoBJkk TkxkYVph6YO8k9ObDQ4Nd/0IHkF/pAIkRlNoVTUOpVoE+RtWivHx1NnFPusYCEt2yWQt0poG5ewj LklCdn6sjSRbOcOmH66NqxemHiRdrCVxZcVl5e0B9RrRdDRDc1RYu7TcHu/Qf46h/K/rZQHBOkZh gT4yLHTHRTDrDZ7Ruk6M3Ost/sHMtOo9riJ3wq7HDtW+xMcveVxl/NEI+QxFWwcRTN4gP3q7eZyP u2+c/i/iBAVTtnjdRWHPZoJOOBMWFfNtYGUaSL2gt6vaurNA3Dag8GwfurCYg/28c2DES3/K0Qu2 k1LW7WDZxJsUp5FcNlKKC68IgXaTS1n8T7iyZC/XW/lnx2H/DcmHP278IDIAf8Zf6WQ+JIbZRMGZ nSv+3Rbfm3AVFtWQJ83JpsMc2EvpBcpH/ijylXc5LxvxoK6EB60x1xJXJoBE2GDJY3vlM9OtAMha EP9uSRdIKarbh5B6VmfD1TRA/tP2NKi6B4AV3Qr1PAA83DYSJdnUbkGslvi6MdhsmuBsSju3fsQY 38HF811ydEKcHXhf9sOKLI2CAMfeArZFIDNPrddoJMVVitcv69ddp2xgPHafDYS9tI7TzXXKYWKc IVZiKMKrnOxRHbDvc7vmXI0V1znO/9Mh+J/DfrOfcx07ePrlxWlq2hVAux9B+TwD9XRHGo0ugFyV RSfu26x4J8XfnH/UU5dji6VYfDYCQJNpl77s22RUhWysRXrFR5Oirju8ft6L/2UWxyTWL6afVfBH q6WzmBTE3R5Th0rLbaK9nOaHQ0iVUeSc0PFQyui5nDjHTAbBHKMTJ941oa3OyOeiiydutnNqhpVY 8kQbZpamu/HUOnV2EIEFFU1Zpo5VRuNWShgSAY/zgTsoGAfapt68IwsK390JDno1vua6/LNqgf7p UnNxXdgZdaHgQudsK9Xms/nbWStUImoiz8ZbG4fWcHvZned6uhSR1vFAyhGEyRcQOqOKDTwy9wFc /auHbIMmslQrz/zbc1oJeH7zkakk064VfA7TTYP10PrPQOG88VM/e+h9OD2CphjsSf9X4Kpiobe4 a7FUCx1WOYLSZwdEitXEfQrH59GCPM4QV7RPKhFYH9kTmtja57Tn857/+Kv9NuCIISWANV91iUYj mlurSg6rx9Jp0QNiaeOkrNz39oOmu6cT3LfoWuXnzzBvKylPooDlKjZOALvxu8YkIwgelmUTLxIg plGXsa78aXkOLmUHLbmlaKmiyC77js7qxgDijJ1F8o5IP09KF65IfFSVZCpnqa3cpZc19rmL1Ts4 tv6sajb+BmalxWVBqYelmiUxRqPJ5WpVQDoGJSbZ0UOEcWGU0jEEAZaP+jjb9KhbSkzNC9TDSlb6 qY/AYJ0DysHKAfX69SagOVNWfsCsJZHDLJDkkIXXzyvEm6VcElts4U6q3qAVE2kbe0M3cZoHh7EB sHfnPaTbPgr33rVEVhVB6suBUQZTNUi1HVi3schk0j/RpH94GklfssaWrXzhgWdRcsBi4IrZl5Ae LudR72VYP91fATA6RIx71WZufh7DXh/lvTatxKYfGOVGAhUrR4a26AvPImAUEVJK6EmHcJsW//jf sHhE9ePXNzK8Vq+07/t+s13EiHhqYr9hdQ6cdNj7imi/a5myzwLVFxdph3AOVBYI0oLmDv5n9A4d O0RRCroaGy9eHt7S5UHNdaF6f9fW3wFo5AyRu6UfXGPnSD4ksWbvZ0px2nxNZ/4uqJQkJ3VlSyn1 ewL1CaiR0YPGMNxVr25Yp2qwK5a2uMn6a7d5RerFB/8yVZE67vTs4fNYiSgpZkkumLh1/o4u348m PhBy6o/fElXH8GhocH0KA8eqZzYvYPswQ7HFiXhjZkyNAc9ntvvBOSkwJziy3oSLm7bbMTGcACA1 qx6A6Fu0pSpayXnpe3BHi7JzSsIb3hn95qRh37jKaYi9VktFeUYnpWkNaYS5w9nJ2MILTsYaXIpQ PYsUkgIJZhziftdrECD74wwQYn7Vv2baizq1X3sSgtgTQ7JgtlInRDsPods+rg82kdbbP5u5nKro QWNC4W/e/XotCKOuAu+nQJUZ/s6CEAVpY4mtVBBa3rRSyV110CUtRtFFIwMNyIw5JU9kHXqUL6lC U5yFX+fLoH9Pq4G6a6vMpf1GI6uHF0VgQZ830ciXuGwRG4I015q82wSXeDCxZAzFeTzwxYMbxeib esqTXHog6MA3nRoxkQP9DCCflOt8LLHmkstIK9s9/gB95qAKLpU4X+dBYAQoEUQ7uIKuatx/IFWW LLBUxUOHzOyBGJol7TE4iAiVtPor1J+sxe4den8V7jTOwkoNI21J3CfuenwvzZ2Et8u1Cfzd/ORk gih6qm2NPrWy `protect end_protected
bsd-2-clause
393270ce055b44c66f7722e066660376
0.953109
1.810558
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_bram_ctrl_0_bram_0/daala_zynq_axi_bram_ctrl_0_bram_0/simulation/bmg_stim_gen.vhd
1
16,258
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v8_0 Core - Stimulus Generator For TDP -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: bmg_stim_gen.vhd -- -- Description: -- Stimulus Generation For TDP -- 100 Writes and 100 Reads will be performed in a repeatitive loop till the -- simulation ends -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY REGISTER_LOGIC_TDP IS PORT( Q : OUT STD_LOGIC; CLK : IN STD_LOGIC; RST : IN STD_LOGIC; D : IN STD_LOGIC ); END REGISTER_LOGIC_TDP; ARCHITECTURE REGISTER_ARCH OF REGISTER_LOGIC_TDP IS SIGNAL Q_O : STD_LOGIC :='0'; BEGIN Q <= Q_O; FF_BEH: PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST ='1') THEN Q_O <= '0'; ELSE Q_O <= D; END IF; END IF; END PROCESS; END REGISTER_ARCH; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; --USE IEEE.NUMERIC_STD.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY BMG_STIM_GEN IS PORT ( CLKA : IN STD_LOGIC; CLKB : IN STD_LOGIC; RSTA : IN STD_LOGIC; RSTB : IN STD_LOGIC; TB_RST : IN STD_LOGIC; ADDRA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); DINA : OUT STD_LOGIC_VECTOR(63 DOWNTO 0) := (OTHERS => '0'); ENA : OUT STD_LOGIC :='0'; WEA : OUT STD_LOGIC_VECTOR (7 DOWNTO 0) := (OTHERS => '0'); WEB : OUT STD_LOGIC_VECTOR (7 DOWNTO 0) := (OTHERS => '0'); ADDRB : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); DINB : OUT STD_LOGIC_VECTOR(63 DOWNTO 0) := (OTHERS => '0'); ENB : OUT STD_LOGIC :='0'; CHECK_DATA: OUT STD_LOGIC_VECTOR(1 DOWNTO 0):=(OTHERS => '0') ); END BMG_STIM_GEN; ARCHITECTURE BEHAVIORAL OF BMG_STIM_GEN IS CONSTANT BIT_ZERO : STD_LOGIC := '0'; CONSTANT ZERO : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); CONSTANT ADDR_ZERO : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); CONSTANT DATA_PART_CNT_A : INTEGER:= DIVROUNDUP(64,64); CONSTANT DATA_PART_CNT_B : INTEGER:= DIVROUNDUP(64,64); SIGNAL WRITE_ADDR_A : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL WRITE_ADDR_B : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL WRITE_ADDR_INT_A : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL READ_ADDR_INT_A : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL WRITE_ADDR_INT_B : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL READ_ADDR_INT_B : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL READ_ADDR_A : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL READ_ADDR_B : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA_INT : STD_LOGIC_VECTOR(63 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINB_INT : STD_LOGIC_VECTOR(63 DOWNTO 0) := (OTHERS => '0'); SIGNAL MAX_COUNT : STD_LOGIC_VECTOR(10 DOWNTO 0):=CONV_STD_LOGIC_VECTOR(1024,11); SIGNAL DO_WRITE_A : STD_LOGIC := '0'; SIGNAL DO_READ_A : STD_LOGIC := '0'; SIGNAL DO_WRITE_B : STD_LOGIC := '0'; SIGNAL DO_READ_B : STD_LOGIC := '0'; SIGNAL COUNT_NO : STD_LOGIC_VECTOR (10 DOWNTO 0):=(OTHERS => '0'); SIGNAL DO_READ_RA : STD_LOGIC := '0'; SIGNAL DO_READ_RB : STD_LOGIC := '0'; SIGNAL DO_READ_REG_A: STD_LOGIC_VECTOR(4 DOWNTO 0) :=(OTHERS => '0'); SIGNAL DO_READ_REG_B: STD_LOGIC_VECTOR(4 DOWNTO 0) :=(OTHERS => '0'); SIGNAL WEA_VCC: STD_LOGIC_VECTOR(7 DOWNTO 0) :=(OTHERS => '1'); SIGNAL WEA_GND: STD_LOGIC_VECTOR(7 DOWNTO 0) :=(OTHERS => '0'); SIGNAL WEB_VCC: STD_LOGIC_VECTOR(7 DOWNTO 0) :=(OTHERS => '1'); SIGNAL WEB_GND: STD_LOGIC_VECTOR(7 DOWNTO 0) :=(OTHERS => '0'); SIGNAL COUNT : integer := 0; SIGNAL COUNT_B : integer := 0; CONSTANT WRITE_CNT_A : integer := 6; CONSTANT READ_CNT_A : integer := 6; CONSTANT WRITE_CNT_B : integer := 4; CONSTANT READ_CNT_B : integer := 4; signal porta_wr_rd : std_logic:='0'; signal portb_wr_rd : std_logic:='0'; signal porta_wr_rd_complete: std_logic:='0'; signal portb_wr_rd_complete: std_logic:='0'; signal incr_cnt : std_logic :='0'; signal incr_cnt_b : std_logic :='0'; SIGNAL PORTB_WR_RD_HAPPENED: STD_LOGIC :='0'; SIGNAL LATCH_PORTA_WR_RD_COMPLETE : STD_LOGIC :='0'; SIGNAL PORTA_WR_RD_L1 :STD_LOGIC :='0'; SIGNAL PORTA_WR_RD_L2 :STD_LOGIC :='0'; SIGNAL PORTB_WR_RD_R1 :STD_LOGIC :='0'; SIGNAL PORTB_WR_RD_R2 :STD_LOGIC :='0'; SIGNAL PORTA_WR_RD_HAPPENED: STD_LOGIC :='0'; SIGNAL LATCH_PORTB_WR_RD_COMPLETE : STD_LOGIC :='0'; SIGNAL PORTB_WR_RD_L1 :STD_LOGIC :='0'; SIGNAL PORTB_WR_RD_L2 :STD_LOGIC :='0'; SIGNAL PORTA_WR_RD_R1 :STD_LOGIC :='0'; SIGNAL PORTA_WR_RD_R2 :STD_LOGIC :='0'; BEGIN WRITE_ADDR_INT_A(31 DOWNTO 0) <= WRITE_ADDR_A(31 DOWNTO 0); READ_ADDR_INT_A(31 DOWNTO 0) <= READ_ADDR_A(31 DOWNTO 0); ADDRA <= IF_THEN_ELSE(DO_WRITE_A='1',WRITE_ADDR_INT_A,READ_ADDR_INT_A) ; WRITE_ADDR_INT_B(31 DOWNTO 0) <= WRITE_ADDR_B(31 DOWNTO 0); --To avoid collision during idle period, negating the read_addr of port A READ_ADDR_INT_B(31 DOWNTO 0) <= IF_THEN_ELSE( (DO_WRITE_B='0' AND DO_READ_B='0'),ADDR_ZERO,READ_ADDR_B(31 DOWNTO 0)); ADDRB <= IF_THEN_ELSE(DO_WRITE_B='1',WRITE_ADDR_INT_B,READ_ADDR_INT_B) ; DINA <= DINA_INT ; DINB <= DINB_INT ; CHECK_DATA(0) <= DO_READ_A; CHECK_DATA(1) <= DO_READ_B; RD_ADDR_GEN_INST_A:ENTITY work.ADDR_GEN GENERIC MAP( C_MAX_DEPTH => 1024, RST_INC => 1 ) PORT MAP( CLK => CLKA, RST => TB_RST, EN => DO_READ_A, LOAD => BIT_ZERO, LOAD_VALUE => ZERO, ADDR_OUT => READ_ADDR_A ); WR_ADDR_GEN_INST_A:ENTITY work.ADDR_GEN GENERIC MAP( C_MAX_DEPTH =>1024 , RST_INC => 1 ) PORT MAP( CLK => CLKA, RST => TB_RST, EN => DO_WRITE_A, LOAD => BIT_ZERO, LOAD_VALUE => ZERO, ADDR_OUT => WRITE_ADDR_A ); RD_ADDR_GEN_INST_B:ENTITY work.ADDR_GEN GENERIC MAP( C_MAX_DEPTH => 1024 , RST_INC => 1 ) PORT MAP( CLK => CLKB, RST => TB_RST, EN => DO_READ_B, LOAD => BIT_ZERO, LOAD_VALUE => ZERO, ADDR_OUT => READ_ADDR_B ); WR_ADDR_GEN_INST_B:ENTITY work.ADDR_GEN GENERIC MAP( C_MAX_DEPTH => 1024 , RST_INC => 1 ) PORT MAP( CLK => CLKB, RST => TB_RST, EN => DO_WRITE_B, LOAD => BIT_ZERO, LOAD_VALUE => ZERO, ADDR_OUT => WRITE_ADDR_B ); WR_DATA_GEN_INST_A:ENTITY work.DATA_GEN GENERIC MAP ( DATA_GEN_WIDTH =>64, DOUT_WIDTH => 64, DATA_PART_CNT => 1, SEED => 2) PORT MAP ( CLK =>CLKA, RST => TB_RST, EN => DO_WRITE_A, DATA_OUT => DINA_INT ); WR_DATA_GEN_INST_B:ENTITY work.DATA_GEN GENERIC MAP ( DATA_GEN_WIDTH =>64, DOUT_WIDTH =>64 , DATA_PART_CNT =>1, SEED => 2) PORT MAP ( CLK =>CLKB, RST => TB_RST, EN => DO_WRITE_B, DATA_OUT => DINB_INT ); PROCESS(CLKB) BEGIN IF(RISING_EDGE(CLKB)) THEN IF(TB_RST='1') THEN LATCH_PORTB_WR_RD_COMPLETE<='0'; ELSIF(PORTB_WR_RD_COMPLETE='1') THEN LATCH_PORTB_WR_RD_COMPLETE <='1'; ELSIF(PORTA_WR_RD_HAPPENED='1') THEN LATCH_PORTB_WR_RD_COMPLETE<='0'; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(TB_RST='1') THEN PORTB_WR_RD_L1 <='0'; PORTB_WR_RD_L2 <='0'; ELSE PORTB_WR_RD_L1 <= LATCH_PORTB_WR_RD_COMPLETE; PORTB_WR_RD_L2 <= PORTB_WR_RD_L1; END IF; END IF; END PROCESS; PORTA_WR_RD_EN: PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(TB_RST='1') THEN PORTA_WR_RD <='1'; ELSE PORTA_WR_RD <= PORTB_WR_RD_L2; END IF; END IF; END PROCESS; PROCESS(CLKB) BEGIN IF(RISING_EDGE(CLKB)) THEN IF(TB_RST='1') THEN PORTA_WR_RD_R1 <='0'; PORTA_WR_RD_R2 <='0'; ELSE PORTA_WR_RD_R1 <=PORTA_WR_RD; PORTA_WR_RD_R2 <=PORTA_WR_RD_R1; END IF; END IF; END PROCESS; PORTA_WR_RD_HAPPENED <= PORTA_WR_RD_R2; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(TB_RST='1') THEN LATCH_PORTA_WR_RD_COMPLETE<='0'; ELSIF(PORTA_WR_RD_COMPLETE='1') THEN LATCH_PORTA_WR_RD_COMPLETE <='1'; ELSIF(PORTB_WR_RD_HAPPENED='1') THEN LATCH_PORTA_WR_RD_COMPLETE<='0'; END IF; END IF; END PROCESS; PROCESS(CLKB) BEGIN IF(RISING_EDGE(CLKB)) THEN IF(TB_RST='1') THEN PORTA_WR_RD_L1 <='0'; PORTA_WR_RD_L2 <='0'; ELSE PORTA_WR_RD_L1 <= LATCH_PORTA_WR_RD_COMPLETE; PORTA_WR_RD_L2 <= PORTA_WR_RD_L1; END IF; END IF; END PROCESS; PORTB_EN: PROCESS(CLKB) BEGIN IF(RISING_EDGE(CLKB)) THEN IF(TB_RST='1') THEN PORTB_WR_RD <='0'; ELSE PORTB_WR_RD <= PORTA_WR_RD_L2; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(TB_RST='1') THEN PORTB_WR_RD_R1 <='0'; PORTB_WR_RD_R2 <='0'; ELSE PORTB_WR_RD_R1 <=PORTB_WR_RD; PORTB_WR_RD_R2 <=PORTB_WR_RD_R1; END IF; END IF; END PROCESS; ---double registered of porta complete on portb clk PORTB_WR_RD_HAPPENED <= PORTB_WR_RD_R2; PORTA_WR_RD_COMPLETE <= '1' when count=(WRITE_CNT_A+READ_CNT_A) else '0'; start_counter: process(clka) begin if(rising_edge(clka)) then if(TB_RST='1') then incr_cnt <= '0'; elsif(porta_wr_rd ='1') then incr_cnt <='1'; elsif(porta_wr_rd_complete='1') then incr_cnt <='0'; end if; end if; end process; COUNTER: process(clka) begin if(rising_edge(clka)) then if(TB_RST='1') then count <= 0; elsif(incr_cnt='1') then count<=count+1; end if; if(count=(WRITE_CNT_A+READ_CNT_A)) then count<=0; end if; end if; end process; DO_WRITE_A<='1' when (count <WRITE_CNT_A and incr_cnt='1') else '0'; DO_READ_A <='1' when (count >WRITE_CNT_A and incr_cnt='1') else '0'; PORTB_WR_RD_COMPLETE <= '1' when count_b=(WRITE_CNT_B+READ_CNT_B) else '0'; startb_counter: process(clkb) begin if(rising_edge(clkb)) then if(TB_RST='1') then incr_cnt_b <= '0'; elsif(portb_wr_rd ='1') then incr_cnt_b <='1'; elsif(portb_wr_rd_complete='1') then incr_cnt_b <='0'; end if; end if; end process; COUNTER_B: process(clkb) begin if(rising_edge(clkb)) then if(TB_RST='1') then count_b <= 0; elsif(incr_cnt_b='1') then count_b<=count_b+1; end if; if(count_b=WRITE_CNT_B+READ_CNT_B) then count_b<=0; end if; end if; end process; DO_WRITE_B<='1' when (count_b <WRITE_CNT_B and incr_cnt_b='1') else '0'; DO_READ_B <='1' when (count_b >WRITE_CNT_B and incr_cnt_b='1') else '0'; BEGIN_SHIFT_REG_A: FOR I IN 0 TO 4 GENERATE BEGIN DFF_RIGHT: IF I=0 GENERATE BEGIN SHIFT_INST_0: ENTITY work.REGISTER_LOGIC_TDP PORT MAP( Q => DO_READ_REG_A(0), CLK =>CLKA, RST=>TB_RST, D =>DO_READ_A ); END GENERATE DFF_RIGHT; DFF_OTHERS: IF ((I>0) AND (I<=4)) GENERATE BEGIN SHIFT_INST: ENTITY work.REGISTER_LOGIC_TDP PORT MAP( Q => DO_READ_REG_A(I), CLK =>CLKA, RST=>TB_RST, D =>DO_READ_REG_A(I-1) ); END GENERATE DFF_OTHERS; END GENERATE BEGIN_SHIFT_REG_A; BEGIN_SHIFT_REG_B: FOR I IN 0 TO 4 GENERATE BEGIN DFF_RIGHT: IF I=0 GENERATE BEGIN SHIFT_INST_0: ENTITY work.REGISTER_LOGIC_TDP PORT MAP( Q => DO_READ_REG_B(0), CLK =>CLKB, RST=>TB_RST, D =>DO_READ_B ); END GENERATE DFF_RIGHT; DFF_OTHERS: IF ((I>0) AND (I<=4)) GENERATE BEGIN SHIFT_INST: ENTITY work.REGISTER_LOGIC_TDP PORT MAP( Q => DO_READ_REG_B(I), CLK =>CLKB, RST=>TB_RST, D =>DO_READ_REG_B(I-1) ); END GENERATE DFF_OTHERS; END GENERATE BEGIN_SHIFT_REG_B; REGCEA_PROCESS: PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(TB_RST='1') THEN DO_READ_RA <= '0'; ELSE DO_READ_RA <= DO_READ_A; END IF; END IF; END PROCESS; REGCEB_PROCESS: PROCESS(CLKB) BEGIN IF(RISING_EDGE(CLKB)) THEN IF(TB_RST='1') THEN DO_READ_RB <= '0'; ELSE DO_READ_RB <= DO_READ_B; END IF; END IF; END PROCESS; ---REGCEB SHOULD BE SET AT THE CORE OUTPUT REGISTER/EMBEEDED OUTPUT REGISTER --- WHEN CORE OUTPUT REGISTER IS SET REGCE SHOUD BE SET TO '1' WHEN THE READ DATA IS AVAILABLE AT THE CORE OUTPUT REGISTER --WHEN CORE OUTPUT REGISTER IS '0' AND OUTPUT_PRIMITIVE_REG ='1', REGCE SHOULD BE SET WHEN THE DATA IS AVAILABLE AT THE PRIMITIVE OUTPUT REGISTER. -- HERE, TO GENERAILIZE REGCE IS ASSERTED ENA <= DO_READ_A OR DO_WRITE_A ; ENB <= DO_READ_B OR DO_WRITE_B ; WEA <= IF_THEN_ELSE(DO_WRITE_A='1', WEA_VCC,WEA_GND) ; WEB <= IF_THEN_ELSE(DO_WRITE_B='1', WEB_VCC,WEB_GND) ; END ARCHITECTURE;
bsd-2-clause
104f07f6b6db399f337bd88f24e9483d
0.575778
3.207972
false
false
false
false
rjarzmik/mips_processor
IF/Instruction_Provider.vhd
1
7,533
------------------------------------------------------------------------------- -- Title : Instructions Provider -- Project : Source files in two directories, custom library name, VHDL'87 ------------------------------------------------------------------------------- -- File : Instruction_Provider.vhd -- Author : Robert Jarzmik <[email protected]> -- Company : -- Created : 2016-12-03 -- Last update: 2017-01-01 -- Platform : -- Standard : VHDL'93/02 ------------------------------------------------------------------------------- -- Description: ------------------------------------------------------------------------------- -- Copyright (c) 2016 ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2016-12-03 1.0 rj Created ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.cpu_defs.all; use work.cache_defs.all; use work.instruction_defs.instr_tag_t; ------------------------------------------------------------------------------- entity Instruction_Provider is generic ( ADDR_WIDTH : integer; DATA_WIDTH : integer ); port ( clk : in std_logic; rst : in std_logic; -- control --- kill_req = 1 implies that the instructions at i_next_pc and i_next_next_pc --- should be killed, ie. o_valid sould be '0' for them. kill_req : in std_logic; --- stall_req = 1 implies nothing is latched stall_req : in std_logic; -- program counters --- i_next_next_pc must get i_next_pc when o_do_step_pc is set i_next_pc : in std_logic_vector(ADDR_WIDTH - 1 downto 0); i_next_pc_instr_tag : in instr_tag_t; i_next_next_pc : in std_logic_vector(ADDR_WIDTH - 1 downto 0); i_next_next_pc_instr_tag : in instr_tag_t; o_pc : out std_logic_vector(ADDR_WIDTH - 1 downto 0); o_instr_tag : out instr_tag_t; o_data : out std_logic_vector(DATA_WIDTH - 1 downto 0); o_valid : out std_logic; o_do_step_pc : out std_logic; -- L2 connections o_creq : out cache_request_t; i_cresp : in cache_response_t; -- Debug signal --- Current fetching address accessed in the instruction cache o_dbg_fetching : out std_logic_vector(ADDR_WIDTH - 1 downto 0); o_dbg_fetching_itag : out instr_tag_t ); end entity Instruction_Provider; ------------------------------------------------------------------------------- architecture str of Instruction_Provider is subtype addr_t is std_logic_vector(ADDR_WIDTH - 1 downto 0); subtype data_t is std_logic_vector(DATA_WIDTH - 1 downto 0); ----------------------------------------------------------------------------- -- Internal signal declarations ----------------------------------------------------------------------------- signal next_pc : addr_t; signal after_pc : addr_t; signal next_pc_itag : instr_tag_t; signal after_pc_itag : instr_tag_t; --- Cache query management signal cache_query_addr : addr_t; signal cache_query_itag : instr_tag_t; signal cache_latched_pc : addr_t; signal cache_latched_itag : instr_tag_t; signal cache_response_data : data_t; signal cache_response_valid : std_logic; -- true if data for -- @cache_latched_pc is valid signal cache_killer : boolean; -- true if the cache response should be killed --- Cache outputs signal out_pc : addr_t; signal out_data : data_t; signal out_valid : std_logic; signal out_itag : instr_tag_t; begin -- architecture str l1c : entity work.Instruction_Cache(rtl) generic map ( ADDR_WIDTH => ADDR_WIDTH, DATA_WIDTH => DATA_WIDTH ) port map ( clk => clk, rst => rst, -- cache query and response addr => cache_query_addr, data => cache_response_data, data_valid => cache_response_valid, -- signal carry over L2 connections o_creq => o_creq, i_cresp => i_cresp ); --- PC handling o_do_step_pc <= '1' when (cache_response_valid = '1' and stall_req = '0' and not cache_killer) or kill_req = '1' else '0'; next_pc <= i_next_pc; after_pc <= i_next_next_pc; next_pc_itag <= i_next_pc_instr_tag; after_pc_itag <= i_next_next_pc_instr_tag; --- Cache inputs --- Cache is forced on next_pc on stall or kill, and makes only a "predictive" --- after_pc fetch in the optimal workflow to sustain a cadence of 1 cycle. cache_query_addr <= next_pc when cache_response_valid = '0' or stall_req = '1' or cache_killer else after_pc; cache_query_itag <= next_pc_itag when cache_response_valid = '0' or stall_req = '1' or cache_killer else after_pc_itag; cache_inputs : process(clk, rst) begin if rst = '1' then cache_killer <= false; elsif rising_edge(clk) then --- If killing while cache_response_valid = '1', cache_query = i_next_next_pc. --- In this case, when the future cache response should be killed. if kill_req = '1' and cache_response_valid = '1' then cache_killer <= true; elsif kill_req = '1' and cache_response_valid = '0' then --- If killing while cache_response_valid = '0', cache_query = i_next_pc. --- In this case, when the future cache response should be killed, and --- the next after it. cache_killer <= true; end if; --- on next cache move forward, disarm the cache killer if kill_req = '0' and cache_response_valid = '1' then cache_killer <= false; end if; end if; end process cache_inputs; cache_outputs : process(clk, rst) begin if rst = '1' then elsif rising_edge(clk) then cache_latched_pc <= cache_query_addr; cache_latched_itag <= cache_query_itag; end if; end process cache_outputs; --- Outputs outputs : process(clk, rst, kill_req) begin if rst = '1' then elsif rising_edge(clk) then if kill_req = '1' or cache_killer then out_pc <= cache_latched_pc; out_itag <= cache_latched_itag; out_valid <= '0'; if cache_response_valid = '1' then out_data <= cache_response_data; else out_data <= (others => 'X'); end if; elsif stall_req = '1' then elsif kill_req = '0' then out_pc <= cache_latched_pc; out_itag <= cache_latched_itag; out_data <= cache_response_data; out_valid <= cache_response_valid; end if; end if; end process outputs; --- Cache outputs o_pc <= out_pc; o_data <= out_data; o_valid <= out_valid; o_instr_tag <= out_itag; --- Debug output o_dbg_fetching <= cache_query_addr; o_dbg_fetching_itag <= cache_query_itag; ----------------------------------------------------------------------------- -- Component instantiations ----------------------------------------------------------------------------- end architecture str; -------------------------------------------------------------------------------
gpl-3.0
0e378c3a23e514639851fbfd5acdd8a9
0.502058
3.843367
false
false
false
false
cwilkens/ecen4024-microphone-array
microphone-array/microphone-array.srcs/sources_1/ip/clk_wiz_1/clk_wiz_1_funcsim.vhdl
1
8,108
-- Copyright 1986-2014 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2014.2 (win64) Build 932637 Wed Jun 11 13:33:10 MDT 2014 -- Date : Mon Dec 01 03:09:56 2014 -- Host : ECE-411-6 running 64-bit Service Pack 1 (build 7601) -- Command : write_vhdl -force -mode funcsim -- c:/Users/coltmw/Documents/GitHub/ecen4024-microphone-array/microphone-array/microphone-array.srcs/sources_1/ip/clk_wiz_1/clk_wiz_1_funcsim.vhdl -- Design : clk_wiz_1 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7a100tcsg324-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity clk_wiz_1_clk_wiz_1_clk_wiz is port ( clk_in1 : in STD_LOGIC; clk_out1 : out STD_LOGIC; reset : in STD_LOGIC; locked : out STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of clk_wiz_1_clk_wiz_1_clk_wiz : entity is "clk_wiz_1_clk_wiz"; end clk_wiz_1_clk_wiz_1_clk_wiz; architecture STRUCTURE of clk_wiz_1_clk_wiz_1_clk_wiz is signal clk_in1_clk_wiz_1 : STD_LOGIC; signal clk_out1_clk_wiz_1 : STD_LOGIC; signal clkfbout_buf_clk_wiz_1 : STD_LOGIC; signal clkfbout_clk_wiz_1 : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_DRDY_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_PSDONE_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_DO_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 ); attribute BOX_TYPE : string; attribute BOX_TYPE of clkf_buf : label is "PRIMITIVE"; attribute BOX_TYPE of clkin1_ibufg : label is "PRIMITIVE"; attribute CAPACITANCE : string; attribute CAPACITANCE of clkin1_ibufg : label is "DONT_CARE"; attribute IBUF_DELAY_VALUE : string; attribute IBUF_DELAY_VALUE of clkin1_ibufg : label is "0"; attribute IFD_DELAY_VALUE : string; attribute IFD_DELAY_VALUE of clkin1_ibufg : label is "AUTO"; attribute BOX_TYPE of clkout1_buf : label is "PRIMITIVE"; attribute BOX_TYPE of mmcm_adv_inst : label is "PRIMITIVE"; begin clkf_buf: unisim.vcomponents.BUFG port map ( I => clkfbout_clk_wiz_1, O => clkfbout_buf_clk_wiz_1 ); clkin1_ibufg: unisim.vcomponents.IBUF generic map( IOSTANDARD => "DEFAULT" ) port map ( I => clk_in1, O => clk_in1_clk_wiz_1 ); clkout1_buf: unisim.vcomponents.BUFG port map ( I => clk_out1_clk_wiz_1, O => clk_out1 ); mmcm_adv_inst: unisim.vcomponents.MMCME2_ADV generic map( BANDWIDTH => "OPTIMIZED", CLKFBOUT_MULT_F => 36.375000, CLKFBOUT_PHASE => 0.000000, CLKFBOUT_USE_FINE_PS => false, CLKIN1_PERIOD => 10.000000, CLKIN2_PERIOD => 0.000000, CLKOUT0_DIVIDE_F => 36.375000, CLKOUT0_DUTY_CYCLE => 0.500000, CLKOUT0_PHASE => 0.000000, CLKOUT0_USE_FINE_PS => false, CLKOUT1_DIVIDE => 1, CLKOUT1_DUTY_CYCLE => 0.500000, CLKOUT1_PHASE => 0.000000, CLKOUT1_USE_FINE_PS => false, CLKOUT2_DIVIDE => 1, CLKOUT2_DUTY_CYCLE => 0.500000, CLKOUT2_PHASE => 0.000000, CLKOUT2_USE_FINE_PS => false, CLKOUT3_DIVIDE => 1, CLKOUT3_DUTY_CYCLE => 0.500000, CLKOUT3_PHASE => 0.000000, CLKOUT3_USE_FINE_PS => false, CLKOUT4_CASCADE => false, CLKOUT4_DIVIDE => 1, CLKOUT4_DUTY_CYCLE => 0.500000, CLKOUT4_PHASE => 0.000000, CLKOUT4_USE_FINE_PS => false, CLKOUT5_DIVIDE => 1, CLKOUT5_DUTY_CYCLE => 0.500000, CLKOUT5_PHASE => 0.000000, CLKOUT5_USE_FINE_PS => false, CLKOUT6_DIVIDE => 1, CLKOUT6_DUTY_CYCLE => 0.500000, CLKOUT6_PHASE => 0.000000, CLKOUT6_USE_FINE_PS => false, COMPENSATION => "ZHOLD", DIVCLK_DIVIDE => 4, IS_CLKINSEL_INVERTED => '0', IS_PSEN_INVERTED => '0', IS_PSINCDEC_INVERTED => '0', IS_PWRDWN_INVERTED => '0', IS_RST_INVERTED => '0', REF_JITTER1 => 0.010000, REF_JITTER2 => 0.010000, SS_EN => "FALSE", SS_MODE => "CENTER_HIGH", SS_MOD_PERIOD => 10000, STARTUP_WAIT => false ) port map ( CLKFBIN => clkfbout_buf_clk_wiz_1, CLKFBOUT => clkfbout_clk_wiz_1, CLKFBOUTB => NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED, CLKFBSTOPPED => NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED, CLKIN1 => clk_in1_clk_wiz_1, CLKIN2 => '0', CLKINSEL => '1', CLKINSTOPPED => NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED, CLKOUT0 => clk_out1_clk_wiz_1, CLKOUT0B => NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED, CLKOUT1 => NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED, CLKOUT1B => NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED, CLKOUT2 => NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED, CLKOUT2B => NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED, CLKOUT3 => NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED, CLKOUT3B => NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED, CLKOUT4 => NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED, CLKOUT5 => NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED, CLKOUT6 => NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED, DADDR(6) => '0', DADDR(5) => '0', DADDR(4) => '0', DADDR(3) => '0', DADDR(2) => '0', DADDR(1) => '0', DADDR(0) => '0', DCLK => '0', DEN => '0', DI(15) => '0', DI(14) => '0', DI(13) => '0', DI(12) => '0', DI(11) => '0', DI(10) => '0', DI(9) => '0', DI(8) => '0', DI(7) => '0', DI(6) => '0', DI(5) => '0', DI(4) => '0', DI(3) => '0', DI(2) => '0', DI(1) => '0', DI(0) => '0', DO(15 downto 0) => NLW_mmcm_adv_inst_DO_UNCONNECTED(15 downto 0), DRDY => NLW_mmcm_adv_inst_DRDY_UNCONNECTED, DWE => '0', LOCKED => locked, PSCLK => '0', PSDONE => NLW_mmcm_adv_inst_PSDONE_UNCONNECTED, PSEN => '0', PSINCDEC => '0', PWRDWN => '0', RST => reset ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity clk_wiz_1 is port ( clk_in1 : in STD_LOGIC; clk_out1 : out STD_LOGIC; reset : in STD_LOGIC; locked : out STD_LOGIC ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of clk_wiz_1 : entity is true; attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of clk_wiz_1 : entity is "clk_wiz_1,clk_wiz_v5_1,{component_name=clk_wiz_1,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,enable_axi=0,feedback_source=FDBK_AUTO,PRIMITIVE=MMCM,num_out_clk=1,clkin1_period=10.0,clkin2_period=10.0,use_power_down=false,use_reset=true,use_locked=true,use_inclk_stopped=false,feedback_type=SINGLE,CLOCK_MGR_TYPE=NA,manual_override=false}"; end clk_wiz_1; architecture STRUCTURE of clk_wiz_1 is begin inst: entity work.clk_wiz_1_clk_wiz_1_clk_wiz port map ( clk_in1 => clk_in1, clk_out1 => clk_out1, locked => locked, reset => reset ); end STRUCTURE;
mit
55c14ec3d385f41dd158f57b9d9c2fa3
0.624198
3.203477
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_mm2s_dre.vhd
1
88,696
------------------------------------------------------------------------------- -- axi_datamover_mm2s_dre.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_mm2s_dre.vhd -- -- Description: -- This VHDL design implements a 64 bit wide (8 byte lane) function that -- realigns an arbitrarily aligned input data stream to an arbitrarily aligned -- output data stream. -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_datamover_mm2s_dre.vhd -- | -- |-- axi_datamover_dre_mux8_1_x_n.vhd -- |-- axi_datamover_dre_mux4_1_x_n.vhd -- |-- axi_datamover_dre_mux2_1_x_n.vhd -- ------------------------------------------------------------------------------- -- Revision History: -- -- -- Author: DET -- -- History: -- DET 04/19/2011 Initial Version for EDK 13.3 -- -- DET 9/1/2011 Initial -- ~~~~~~ -- - Per a Lint warning, added a range to the varables lvar_loop_count and -- lvar_last_strb_hole_position. -- ^^^^^^ -- -- PVK 9/16/2011 -- ~~~~~~~ -- - Removed else clause in some of the clocked process. This was reported -- as synthesis warning in modelsim. -- ^^^^^^^ -- --------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library axi_datamover_v5_1; use axi_datamover_v5_1.axi_datamover_dre_mux8_1_x_n; use axi_datamover_v5_1.axi_datamover_dre_mux4_1_x_n; use axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n; ------------------------------------------------------------------------------- entity axi_datamover_mm2s_dre is Generic ( C_DWIDTH : Integer := 64; -- Sets the native data width of the DRE C_ALIGN_WIDTH : Integer := 3 -- Sets the alignment port widths. The value should be -- log2(C_DWIDTH) ); port ( -- Clock and Reset inputs --------------- dre_clk : In std_logic; -- dre_rst : In std_logic; -- ---------------------------------------- -- Alignment Controls ------------------------------------------------ dre_new_align : In std_logic; -- dre_use_autodest : In std_logic; -- dre_src_align : In std_logic_vector(C_ALIGN_WIDTH-1 downto 0); -- dre_dest_align : In std_logic_vector(C_ALIGN_WIDTH-1 downto 0); -- dre_flush : In std_logic; -- ---------------------------------------------------------------------- -- Input Stream Interface -------------------------------------------- dre_in_tstrb : In std_logic_vector((C_DWIDTH/8)-1 downto 0); -- dre_in_tdata : In std_logic_vector(C_DWIDTH-1 downto 0); -- dre_in_tlast : In std_logic; -- dre_in_tvalid : In std_logic; -- dre_in_tready : Out std_logic; -- ---------------------------------------------------------------------- -- Output Stream Interface ------------------------------------------- dre_out_tstrb : Out std_logic_vector((C_DWIDTH/8)-1 downto 0); -- dre_out_tdata : Out std_logic_vector(C_DWIDTH-1 downto 0); -- dre_out_tlast : Out std_logic; -- dre_out_tvalid : Out std_logic; -- dre_out_tready : In std_logic -- ---------------------------------------------------------------------- ); end entity axi_datamover_mm2s_dre; architecture implementation of axi_datamover_mm2s_dre is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Functions ------------------------------------------------------------------- -- Function -- -- Function Name: get_start_index -- -- Function Description: -- This function calculates the bus bit index corresponding -- to the MSB of the Slice lane index input and the Slice width. -- ------------------------------------------------------------------- function get_start_index (lane_index : integer; lane_width : integer) return integer is Variable bit_index_start : Integer := 0; begin bit_index_start := lane_index*lane_width; return(bit_index_start); end function get_start_index; ------------------------------------------------------------------- -- Function -- -- Function Name: get_end_index -- -- Function Description: -- This function calculates the bus bit index corresponding -- to the LSB of the Slice lane index input and the Slice width. -- ------------------------------------------------------------------- function get_end_index (lane_index : integer; lane_width : integer) return integer is Variable bit_index_end : Integer := 0; begin bit_index_end := (lane_index*lane_width) + (lane_width-1); return(bit_index_end); end function get_end_index; -- Constants Constant BYTE_WIDTH : integer := 8; -- bits Constant DATA_WIDTH_BYTES : integer := C_DWIDTH/BYTE_WIDTH; Constant SLICE_WIDTH : integer := BYTE_WIDTH+2; -- 8 data bits plus Strobe plus TLAST bit Constant SLICE_STROBE_INDEX : integer := (BYTE_WIDTH-1)+1; Constant SLICE_TLAST_INDEX : integer := SLICE_STROBE_INDEX+1; Constant ZEROED_SLICE : std_logic_vector(SLICE_WIDTH-1 downto 0) := (others => '0'); Constant NUM_BYTE_LANES : integer := C_DWIDTH/BYTE_WIDTH; Constant ALIGN_VECT_WIDTH : integer := C_ALIGN_WIDTH; Constant NO_STRB_SET_VALUE : integer := 0; -- Types type sig_byte_lane_type is array(DATA_WIDTH_BYTES-1 downto 0) of std_logic_vector(SLICE_WIDTH-1 downto 0); -- Signals signal sig_input_data_reg : sig_byte_lane_type; signal sig_delay_data_reg : sig_byte_lane_type; signal sig_output_data_reg : sig_byte_lane_type; signal sig_pass_mux_bus : sig_byte_lane_type; signal sig_delay_mux_bus : sig_byte_lane_type; signal sig_final_mux_bus : sig_byte_lane_type; Signal sig_dre_strb_out_i : std_logic_vector(DATA_WIDTH_BYTES-1 downto 0) := (others => '0'); Signal sig_dre_data_out_i : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0'); Signal sig_dest_align_i : std_logic_vector(ALIGN_VECT_WIDTH-1 downto 0) := (others => '0'); Signal sig_dre_flush_i : std_logic := '0'; Signal sig_pipeline_halt : std_logic := '0'; Signal sig_dre_tvalid_i : std_logic := '0'; Signal sig_input_accept : std_logic := '0'; Signal sig_tlast_enables : std_logic_vector(NUM_BYTE_LANES-1 downto 0) := (others => '0'); signal sig_final_mux_has_tlast : std_logic := '0'; signal sig_tlast_out : std_logic := '0'; Signal sig_tlast_strobes : std_logic_vector(NUM_BYTE_LANES-1 downto 0) := (others => '0'); Signal sig_next_auto_dest : std_logic_vector(ALIGN_VECT_WIDTH-1 downto 0) := (others => '0'); Signal sig_current_dest_align : std_logic_vector(ALIGN_VECT_WIDTH-1 downto 0) := (others => '0'); Signal sig_last_written_strb : std_logic_vector(NUM_BYTE_LANES-1 downto 0) := (others => '0'); Signal sig_auto_flush : std_logic := '0'; Signal sig_flush_db1 : std_logic := '0'; Signal sig_flush_db2 : std_logic := '0'; signal sig_flush_db1_complete : std_logic := '0'; signal sig_flush_db2_complete : std_logic := '0'; signal sig_output_xfer : std_logic := '0'; signal sig_advance_pipe_data : std_logic := '0'; Signal sig_flush_reg : std_logic := '0'; Signal sig_input_flush_stall : std_logic := '0'; signal sig_enable_input_rdy : std_logic := '0'; signal sig_input_ready : std_logic := '0'; begin --(architecture implementation) -- Misc assignments --dre_in_tready <= sig_input_accept ; dre_in_tready <= sig_input_ready ; dre_out_tstrb <= sig_dre_strb_out_i ; dre_out_tdata <= sig_dre_data_out_i ; dre_out_tvalid <= sig_dre_tvalid_i ; dre_out_tlast <= sig_tlast_out ; sig_pipeline_halt <= sig_dre_tvalid_i and not(dre_out_tready); sig_output_xfer <= sig_dre_tvalid_i and dre_out_tready; sig_advance_pipe_data <= (dre_in_tvalid or sig_dre_flush_i) and not(sig_pipeline_halt) and sig_enable_input_rdy; sig_dre_flush_i <= sig_auto_flush ; sig_input_accept <= dre_in_tvalid and sig_input_ready; sig_flush_db1_complete <= sig_flush_db1 and not(sig_pipeline_halt); sig_flush_db2_complete <= sig_flush_db2 and not(sig_pipeline_halt); sig_auto_flush <= sig_flush_db1 or sig_flush_db2; sig_input_flush_stall <= sig_auto_flush; -- commanded flush needed for concatonation sig_last_written_strb <= sig_dre_strb_out_i; sig_input_ready <= sig_enable_input_rdy and not(sig_pipeline_halt) and not(sig_input_flush_stall) ; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_RESET_FLOP -- -- Process Description: -- Just a flop for generating an input disable while reset -- is in progress. -- ------------------------------------------------------------- IMP_RESET_FLOP : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1') then sig_enable_input_rdy <= '0'; else sig_enable_input_rdy <= '1'; end if; end if; end process IMP_RESET_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_FLUSH_IN -- -- Process Description: -- Register for the flush signal -- ------------------------------------------------------------- REG_FLUSH_IN : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1' or sig_flush_db2 = '1') then sig_flush_reg <= '0'; elsif (sig_input_accept = '1') then sig_flush_reg <= dre_flush; else null; -- hold current state end if; end if; end process REG_FLUSH_IN; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_FINAL_MUX_TLAST_OR -- -- Process Description: -- Look at all associated tlast bits in the Final Mux output -- and detirmine if any are set. -- -- ------------------------------------------------------------- DO_FINAL_MUX_TLAST_OR : process (sig_final_mux_bus) Variable lvar_finalmux_or : std_logic_vector(NUM_BYTE_LANES-1 downto 0); begin lvar_finalmux_or(0) := sig_final_mux_bus(0)(SLICE_TLAST_INDEX); for tlast_index in 1 to NUM_BYTE_LANES-1 loop lvar_finalmux_or(tlast_index) := lvar_finalmux_or(tlast_index-1) or sig_final_mux_bus(tlast_index)(SLICE_TLAST_INDEX); end loop; sig_final_mux_has_tlast <= lvar_finalmux_or(NUM_BYTE_LANES-1); end process DO_FINAL_MUX_TLAST_OR; ------------------------------------------------------------------------ ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: GEN_FLUSH_DB1 -- -- Process Description: -- Creates the first sequential flag indicating that the DRE needs to flush out -- current contents before allowing any new inputs. This is -- triggered by the receipt of the TLAST. -- ------------------------------------------------------------- GEN_FLUSH_DB1 : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then If (dre_rst = '1' or sig_flush_db2_complete = '1') Then sig_flush_db1 <= '0'; Elsif (sig_input_accept = '1') Then sig_flush_db1 <= dre_flush or dre_in_tlast; else null; -- hold state end if; -- else -- null; end if; end process GEN_FLUSH_DB1; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: GEN_FLUSH_DB2 -- -- Process Description: -- Creates a second sequential flag indicating that the DRE -- is flushing out current contents. This is -- triggered by the assertion of the first sequential flush -- flag. -- ------------------------------------------------------------- GEN_FLUSH_DB2 : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then If (dre_rst = '1' or sig_flush_db2_complete = '1') Then sig_flush_db2 <= '0'; elsif (sig_pipeline_halt = '0') then sig_flush_db2 <= sig_flush_db1; else null; -- hold state end if; -- else -- null; end if; end process GEN_FLUSH_DB2; ------------------------------------------------------------- -- Combinational Process -- -- Label: CALC_DEST_STRB_ALIGN -- -- Process Description: -- This process calculates the byte lane position of the -- left-most STRB that is unasserted on the DRE output STRB bus. -- The resulting value is used as the Destination Alignment -- Vector for the DRE. -- ------------------------------------------------------------- CALC_DEST_STRB_ALIGN : process (sig_last_written_strb) Variable lvar_last_strb_hole_position : Integer range 0 to NUM_BYTE_LANES; Variable lvar_strb_hole_detected : Boolean; Variable lvar_first_strb_assert_found : Boolean; Variable lvar_loop_count : integer range 0 to NUM_BYTE_LANES; Begin lvar_loop_count := NUM_BYTE_LANES; lvar_last_strb_hole_position := 0; lvar_strb_hole_detected := FALSE; lvar_first_strb_assert_found := FALSE; -- Search through the output STRB bus starting with the MSByte while (lvar_loop_count > 0) loop If (sig_last_written_strb(lvar_loop_count-1) = '0' and lvar_first_strb_assert_found = FALSE) Then lvar_strb_hole_detected := TRUE; lvar_last_strb_hole_position := lvar_loop_count-1; Elsif (sig_last_written_strb(lvar_loop_count-1) = '1') Then lvar_first_strb_assert_found := true; else null; -- do nothing End if; lvar_loop_count := lvar_loop_count - 1; End loop; -- now assign the encoder output value to the bit position of the last Strobe encountered If (lvar_strb_hole_detected) Then sig_current_dest_align <= STD_LOGIC_VECTOR(TO_UNSIGNED(lvar_last_strb_hole_position, ALIGN_VECT_WIDTH)); else sig_current_dest_align <= STD_LOGIC_VECTOR(TO_UNSIGNED(NO_STRB_SET_VALUE, ALIGN_VECT_WIDTH)); End if; end process CALC_DEST_STRB_ALIGN; ------------------------------------------------------------ ------------------------------------------------------------ ------------------------------------------------------------ -- For Generate -- -- Label: FORMAT_OUTPUT_DATA_STRB -- -- For Generate Description: -- Connect the output Data and Strobe ports to the appropriate -- bits in the sig_output_data_reg. -- ------------------------------------------------------------ FORMAT_OUTPUT_DATA_STRB : for byte_lane_index in 0 to NUM_BYTE_LANES-1 generate begin sig_dre_data_out_i(get_end_index(byte_lane_index, BYTE_WIDTH) downto get_start_index(byte_lane_index, BYTE_WIDTH)) <= sig_output_data_reg(byte_lane_index)(BYTE_WIDTH-1 downto 0); sig_dre_strb_out_i(byte_lane_index) <= sig_output_data_reg(byte_lane_index)(SLICE_WIDTH-2); end generate FORMAT_OUTPUT_DATA_STRB; ------------------------------------------------------------ ------------------------------------------------------------ ------------------------------------------------------------ --------------------------------------------------------------------------------- -- Registers ------------------------------------------------------------ -- For Generate -- -- Label: GEN_INPUT_REG -- -- For Generate Description: -- -- Implements a programble number of input register slices. -- -- ------------------------------------------------------------ GEN_INPUT_REG : for slice_index in 0 to NUM_BYTE_LANES-1 generate begin ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: DO_INPUTREG_SLICE -- -- Process Description: -- Implement a single register slice for the Input Register. -- ------------------------------------------------------------- DO_INPUTREG_SLICE : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1' or sig_flush_db1_complete = '1' or -- clear on reset or if (dre_in_tvalid = '1' and sig_pipeline_halt = '0' and -- the pipe is being advanced and dre_in_tstrb(slice_index) = '0')) then -- no new valid data id being loaded sig_input_data_reg(slice_index) <= ZEROED_SLICE; elsif (dre_in_tstrb(slice_index) = '1' and sig_input_accept = '1') then sig_input_data_reg(slice_index) <= sig_tlast_enables(slice_index) & dre_in_tstrb(slice_index) & dre_in_tdata((slice_index*8)+7 downto slice_index*8); else null; -- don't change state end if; end if; end process DO_INPUTREG_SLICE; end generate GEN_INPUT_REG; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_DELAY_REG -- -- For Generate Description: -- -- Implements a programble number of output register slices -- -- ------------------------------------------------------------ GEN_DELAY_REG : for slice_index in 0 to NUM_BYTE_LANES-1 generate begin ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: DO_DELAYREG_SLICE -- -- Process Description: -- Implement a single register slice -- ------------------------------------------------------------- DO_DELAYREG_SLICE : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1' or -- clear on reset or if (sig_advance_pipe_data = '1' and -- the pipe is being advanced and sig_delay_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '0')) then -- no new valid data id being loaded sig_delay_data_reg(slice_index) <= ZEROED_SLICE; elsif (sig_delay_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '1' and sig_advance_pipe_data = '1') then sig_delay_data_reg(slice_index) <= sig_delay_mux_bus(slice_index); else null; -- don't change state end if; end if; end process DO_DELAYREG_SLICE; end generate GEN_DELAY_REG; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_OUTPUT_REG -- -- For Generate Description: -- -- Implements a programble number of output register slices -- -- ------------------------------------------------------------ GEN_OUTPUT_REG : for slice_index in 0 to NUM_BYTE_LANES-1 generate begin ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: DO_OUTREG_SLICE -- -- Process Description: -- Implement a single register slice -- ------------------------------------------------------------- DO_OUTREG_SLICE : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1' or -- clear on reset or if (sig_output_xfer = '1' and -- the output is being transfered and sig_final_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '0')) then -- no new valid data id being loaded sig_output_data_reg(slice_index) <= ZEROED_SLICE; elsif (sig_final_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '1' and sig_advance_pipe_data = '1') then sig_output_data_reg(slice_index) <= sig_final_mux_bus(slice_index); else null; -- don't change state end if; end if; end process DO_OUTREG_SLICE; end generate GEN_OUTPUT_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: GEN_TVALID -- -- Process Description: -- This sync process generates the Write request for the -- destination interface. -- ------------------------------------------------------------- GEN_TVALID : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1') then sig_dre_tvalid_i <= '0'; elsif (sig_advance_pipe_data = '1') then sig_dre_tvalid_i <= sig_final_mux_bus(NUM_BYTE_LANES-1)(SLICE_STROBE_INDEX) or -- MS Strobe is set or sig_final_mux_has_tlast; -- the Last data beat of a packet Elsif (dre_out_tready = '1' and -- a completed write but no sig_dre_tvalid_i = '1') Then -- new input data so clear -- until more input data shows up sig_dre_tvalid_i <= '0'; else null; -- hold state end if; -- else -- null; end if; end process GEN_TVALID; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: GEN_TLAST_OUT -- -- Process Description: -- This sync process generates the TLAST output for the -- destination interface. -- ------------------------------------------------------------- GEN_TLAST_OUT : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1') then sig_tlast_out <= '0'; elsif (sig_advance_pipe_data = '1') then sig_tlast_out <= sig_final_mux_has_tlast; Elsif (dre_out_tready = '1' and -- a completed transfer sig_dre_tvalid_i = '1') Then -- so clear tlast sig_tlast_out <= '0'; else null; -- hold state end if; -- else -- null; end if; end process GEN_TLAST_OUT; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------ -- If Generate -- -- Label: GEN_MUXFARM_64 -- -- If Generate Description: -- Support Logic and Mux Farm for 64-bit data path case -- -- ------------------------------------------------------------ GEN_MUXFARM_64 : if (C_DWIDTH = 64) generate signal sig_cntl_state_64 : std_logic_vector(5 downto 0) := (others => '0'); Signal s_case_i_64 : Integer range 0 to 7 := 0; Signal sig_shift_case_i : std_logic_vector(2 downto 0) := (others => '0'); Signal sig_shift_case_reg : std_logic_vector(2 downto 0) := (others => '0'); Signal sig_final_mux_sel : std_logic_vector(7 downto 0) := (others => '0'); begin ------------------------------------------------------------- -- Combinational Process -- -- Label: FIND_MS_STRB_SET_8 -- -- Process Description: -- This process finds the most significant asserted strobe -- position. This position is used to enable the input flop -- for TLAST that is associated with that byte position. The -- TLAST can then flow through the DRE pipe with the last -- valid byte of data. -- ------------------------------------------------------------- FIND_MS_STRB_SET_8 : process (dre_in_tlast, dre_in_tstrb, sig_tlast_strobes) begin sig_tlast_strobes <= dre_in_tstrb(7 downto 0); -- makes case choice locally static if (dre_in_tlast = '0') then sig_tlast_enables <= "00000000"; elsif (sig_tlast_strobes(7) = '1') then sig_tlast_enables <= "10000000"; elsif (sig_tlast_strobes(6) = '1') then sig_tlast_enables <= "01000000"; elsif (sig_tlast_strobes(5) = '1') then sig_tlast_enables <= "00100000"; elsif (sig_tlast_strobes(4) = '1') then sig_tlast_enables <= "00010000"; elsif (sig_tlast_strobes(3) = '1') then sig_tlast_enables <= "00001000"; elsif (sig_tlast_strobes(2) = '1') then sig_tlast_enables <= "00000100"; elsif (sig_tlast_strobes(1) = '1') then sig_tlast_enables <= "00000010"; else sig_tlast_enables <= "00000001"; end if; end process FIND_MS_STRB_SET_8; --------------------------------------------------------------------------------- -- Shift Case logic -- The new auto-destination alignment is based on the last -- strobe alignment written into the output register. sig_next_auto_dest <= sig_current_dest_align; -- Select the destination alignment to use sig_dest_align_i <= sig_next_auto_dest When (dre_use_autodest = '1') Else dre_dest_align; -- Convert shift case to sld_logic_vector --sig_shift_case_i <= CONV_STD_LOGIC_VECTOR(s_case_i_64, 3); sig_shift_case_i <= STD_LOGIC_VECTOR(TO_UNSIGNED(s_case_i_64, 3)); ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_SHIFT_CASE_64 -- -- Process Description: -- Implements the DRE Control State Calculator -- ------------------------------------------------------------- DO_SHIFT_CASE_64 : process (dre_src_align , sig_dest_align_i, sig_cntl_state_64) -- signal sig_cntl_state_64 : std_logic_vector(5 downto 0); -- Signal s_case_i_64 : Integer range 0 to 7; begin sig_cntl_state_64 <= dre_src_align & sig_dest_align_i; case sig_cntl_state_64 is when "000000" => s_case_i_64 <= 0; when "000001" => s_case_i_64 <= 7; when "000010" => s_case_i_64 <= 6; when "000011" => s_case_i_64 <= 5; when "000100" => s_case_i_64 <= 4; when "000101" => s_case_i_64 <= 3; when "000110" => s_case_i_64 <= 2; when "000111" => s_case_i_64 <= 1; when "001000" => s_case_i_64 <= 1; when "001001" => s_case_i_64 <= 0; when "001010" => s_case_i_64 <= 7; when "001011" => s_case_i_64 <= 6; when "001100" => s_case_i_64 <= 5; when "001101" => s_case_i_64 <= 4; when "001110" => s_case_i_64 <= 3; when "001111" => s_case_i_64 <= 2; when "010000" => s_case_i_64 <= 2; when "010001" => s_case_i_64 <= 1; when "010010" => s_case_i_64 <= 0; when "010011" => s_case_i_64 <= 7; when "010100" => s_case_i_64 <= 6; when "010101" => s_case_i_64 <= 5; when "010110" => s_case_i_64 <= 4; when "010111" => s_case_i_64 <= 3; when "011000" => s_case_i_64 <= 3; when "011001" => s_case_i_64 <= 2; when "011010" => s_case_i_64 <= 1; when "011011" => s_case_i_64 <= 0; when "011100" => s_case_i_64 <= 7; when "011101" => s_case_i_64 <= 6; when "011110" => s_case_i_64 <= 5; when "011111" => s_case_i_64 <= 4; when "100000" => s_case_i_64 <= 4; when "100001" => s_case_i_64 <= 3; when "100010" => s_case_i_64 <= 2; when "100011" => s_case_i_64 <= 1; when "100100" => s_case_i_64 <= 0; when "100101" => s_case_i_64 <= 7; when "100110" => s_case_i_64 <= 6; when "100111" => s_case_i_64 <= 5; when "101000" => s_case_i_64 <= 5; when "101001" => s_case_i_64 <= 4; when "101010" => s_case_i_64 <= 3; when "101011" => s_case_i_64 <= 2; when "101100" => s_case_i_64 <= 1; when "101101" => s_case_i_64 <= 0; when "101110" => s_case_i_64 <= 7; when "101111" => s_case_i_64 <= 6; when "110000" => s_case_i_64 <= 6; when "110001" => s_case_i_64 <= 5; when "110010" => s_case_i_64 <= 4; when "110011" => s_case_i_64 <= 3; when "110100" => s_case_i_64 <= 2; when "110101" => s_case_i_64 <= 1; when "110110" => s_case_i_64 <= 0; when "110111" => s_case_i_64 <= 7; when "111000" => s_case_i_64 <= 7; when "111001" => s_case_i_64 <= 6; when "111010" => s_case_i_64 <= 5; when "111011" => s_case_i_64 <= 4; when "111100" => s_case_i_64 <= 3; when "111101" => s_case_i_64 <= 2; when "111110" => s_case_i_64 <= 1; when "111111" => s_case_i_64 <= 0; when others => NULL; end case; end process DO_SHIFT_CASE_64; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_SHIFT_CASE -- -- Process Description: -- This process registers the Shift Case output from the -- Shift Case Generator. This will be used to control the -- select inputs of the Shift Muxes for the duration of the -- data transfer session. If Pass Through is requested, then -- Shift Case 0 is forced regardless of source and destination -- alignment values. -- ------------------------------------------------------------- REG_SHIFT_CASE : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1') then sig_shift_case_reg <= (others => '0'); elsif (dre_new_align = '1' and sig_input_accept = '1') then sig_shift_case_reg <= sig_shift_case_i; else null; -- hold state end if; -- else -- null; end if; end process REG_SHIFT_CASE; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start PASS Mux Farm Design------------------------------------------------- -- Pass Mux Byte 0 (wire) -- This is a wire so..... sig_pass_mux_bus(0) <= sig_input_data_reg(0); -- Pass Mux Byte 1 (2-1 x8 Mux) I_MUX2_1_PASS_B1 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(0) , I0 => sig_input_data_reg(1) , I1 => sig_input_data_reg(0) , Y => sig_pass_mux_bus(1) ); -- Pass Mux Byte 2 (4-1 x8 Mux) I_MUX4_1_PASS_B2 : entity axi_datamover_v5_1.axi_datamover_dre_mux4_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(1 downto 0), I0 => sig_input_data_reg(2) , I1 => ZEROED_SLICE , I2 => sig_input_data_reg(0) , I3 => sig_input_data_reg(1) , Y => sig_pass_mux_bus(2) ); -- Pass Mux Byte 3 (4-1 x8 Mux) I_MUX4_1_PASS_B3 : entity axi_datamover_v5_1.axi_datamover_dre_mux4_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(1 downto 0), I0 => sig_input_data_reg(3) , I1 => sig_input_data_reg(0) , I2 => sig_input_data_reg(1) , I3 => sig_input_data_reg(2) , Y => sig_pass_mux_bus(3) ); -- Pass Mux Byte 4 (8-1 x8 Mux) I_MUX8_1_PASS_B4 : entity axi_datamover_v5_1.axi_datamover_dre_mux8_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(2 downto 0), I0 => sig_input_data_reg(4) , I1 => ZEROED_SLICE , I2 => ZEROED_SLICE , I3 => ZEROED_SLICE , I4 => sig_input_data_reg(0) , I5 => sig_input_data_reg(1) , I6 => sig_input_data_reg(2) , I7 => sig_input_data_reg(3) , Y => sig_pass_mux_bus(4) ); -- Pass Mux Byte 5 (8-1 x8 Mux) I_MUX8_1_PASS_B5 : entity axi_datamover_v5_1.axi_datamover_dre_mux8_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(2 downto 0), I0 => sig_input_data_reg(5) , I1 => ZEROED_SLICE , I2 => ZEROED_SLICE , I3 => sig_input_data_reg(0) , I4 => sig_input_data_reg(1) , I5 => sig_input_data_reg(2) , I6 => sig_input_data_reg(3) , I7 => sig_input_data_reg(4) , Y => sig_pass_mux_bus(5) ); -- Pass Mux Byte 6 (8-1 x8 Mux) I_MUX8_1_PASS_B6 : entity axi_datamover_v5_1.axi_datamover_dre_mux8_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(2 downto 0), I0 => sig_input_data_reg(6) , I1 => ZEROED_SLICE , I2 => sig_input_data_reg(0) , I3 => sig_input_data_reg(1) , I4 => sig_input_data_reg(2) , I5 => sig_input_data_reg(3) , I6 => sig_input_data_reg(4) , I7 => sig_input_data_reg(5) , Y => sig_pass_mux_bus(6) ); -- Pass Mux Byte 7 (8-1 x8 Mux) I_MUX8_1_PASS_B7 : entity axi_datamover_v5_1.axi_datamover_dre_mux8_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(2 downto 0), I0 => sig_input_data_reg(7) , I1 => sig_input_data_reg(0) , I2 => sig_input_data_reg(1) , I3 => sig_input_data_reg(2) , I4 => sig_input_data_reg(3) , I5 => sig_input_data_reg(4) , I6 => sig_input_data_reg(5) , I7 => sig_input_data_reg(6) , Y => sig_pass_mux_bus(7) ); -- End PASS Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start Delay Mux Farm Design------------------------------------------------- -- Delay Mux Byte 0 (8-1 x8 Mux) I_MUX8_1_DLY_B0 : entity axi_datamover_v5_1.axi_datamover_dre_mux8_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(2 downto 0) , I0 => ZEROED_SLICE , I1 => sig_input_data_reg(1) , I2 => sig_input_data_reg(2) , I3 => sig_input_data_reg(3) , I4 => sig_input_data_reg(4) , I5 => sig_input_data_reg(5) , I6 => sig_input_data_reg(6) , I7 => sig_input_data_reg(7) , Y => sig_delay_mux_bus(0) ); -- Delay Mux Byte 1 (8-1 x8 Mux) I_MUX8_1_DLY_B1 : entity axi_datamover_v5_1.axi_datamover_dre_mux8_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(2 downto 0), I0 => ZEROED_SLICE , I1 => sig_input_data_reg(2) , I2 => sig_input_data_reg(3) , I3 => sig_input_data_reg(4) , I4 => sig_input_data_reg(5) , I5 => sig_input_data_reg(6) , I6 => sig_input_data_reg(7) , I7 => ZEROED_SLICE , Y => sig_delay_mux_bus(1) ); -- Delay Mux Byte 2 (8-1 x8 Mux) I_MUX8_1_DLY_B2 : entity axi_datamover_v5_1.axi_datamover_dre_mux8_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(2 downto 0), I0 => ZEROED_SLICE , I1 => sig_input_data_reg(3) , I2 => sig_input_data_reg(4) , I3 => sig_input_data_reg(5) , I4 => sig_input_data_reg(6) , I5 => sig_input_data_reg(7) , I6 => ZEROED_SLICE , I7 => ZEROED_SLICE , Y => sig_delay_mux_bus(2) ); -- Delay Mux Byte 3 (4-1 x8 Mux) I_MUX4_1_DLY_B3 : entity axi_datamover_v5_1.axi_datamover_dre_mux4_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(1 downto 0), I0 => sig_input_data_reg(7) , I1 => sig_input_data_reg(4) , I2 => sig_input_data_reg(5) , I3 => sig_input_data_reg(6) , Y => sig_delay_mux_bus(3) ); -- Delay Mux Byte 4 (4-1 x8 Mux) I_MUX4_1_DLY_B4 : entity axi_datamover_v5_1.axi_datamover_dre_mux4_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(1 downto 0), I0 => ZEROED_SLICE , I1 => sig_input_data_reg(5) , I2 => sig_input_data_reg(6) , I3 => sig_input_data_reg(7) , Y => sig_delay_mux_bus(4) ); -- Delay Mux Byte 5 (2-1 x8 Mux) I_MUX2_1_DLY_B5 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH -- : Integer := 8 ) port map( Sel => sig_shift_case_reg(0), I0 => sig_input_data_reg(7), I1 => sig_input_data_reg(6), Y => sig_delay_mux_bus(5) ); -- Delay Mux Byte 6 (Wire) sig_delay_mux_bus(6) <= sig_input_data_reg(7); -- Delay Mux Byte 7 (Zeroed) sig_delay_mux_bus(7) <= ZEROED_SLICE; -- End Delay Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start Final Mux Farm Design------------------------------------------------- -- Final Mux Byte 0 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B0_CNTL -- -- Process Description: -- This process generates the Select Control for Byte 0 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B0_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "000" => sig_final_mux_sel(0) <= '0'; when "001" => sig_final_mux_sel(0) <= '1'; when "010" => sig_final_mux_sel(0) <= '1'; when "011" => sig_final_mux_sel(0) <= '1'; when "100" => sig_final_mux_sel(0) <= '1'; when "101" => sig_final_mux_sel(0) <= '1'; when "110" => sig_final_mux_sel(0) <= '1'; when "111" => sig_final_mux_sel(0) <= '1'; when others => sig_final_mux_sel(0) <= '0'; end case; end process MUX2_1_FINAL_B0_CNTL; I_MUX2_1_FINAL_B0 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(0) , I0 => sig_input_data_reg(0), I1 => sig_delay_data_reg(0), Y => sig_final_mux_bus(0) ); -- Final Mux Byte 1 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B1_CNTL -- -- Process Description: -- This process generates the Select Control for Byte 1 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B1_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "000" => sig_final_mux_sel(1) <= '0'; when "001" => sig_final_mux_sel(1) <= '1'; when "010" => sig_final_mux_sel(1) <= '1'; when "011" => sig_final_mux_sel(1) <= '1'; when "100" => sig_final_mux_sel(1) <= '1'; when "101" => sig_final_mux_sel(1) <= '1'; when "110" => sig_final_mux_sel(1) <= '1'; when "111" => sig_final_mux_sel(1) <= '0'; when others => sig_final_mux_sel(1) <= '0'; end case; end process MUX2_1_FINAL_B1_CNTL; I_MUX2_1_FINAL_B1 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(1) , I0 => sig_pass_mux_bus(1) , I1 => sig_delay_data_reg(1), Y => sig_final_mux_bus(1) ); -- Final Mux Byte 2 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B2_CNTL -- -- Process Description: -- This process generates the Select Control for Byte 2 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B2_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "000" => sig_final_mux_sel(2) <= '0'; when "001" => sig_final_mux_sel(2) <= '1'; when "010" => sig_final_mux_sel(2) <= '1'; when "011" => sig_final_mux_sel(2) <= '1'; when "100" => sig_final_mux_sel(2) <= '1'; when "101" => sig_final_mux_sel(2) <= '1'; when "110" => sig_final_mux_sel(2) <= '0'; when "111" => sig_final_mux_sel(2) <= '0'; when others => sig_final_mux_sel(2) <= '0'; end case; end process MUX2_1_FINAL_B2_CNTL; I_MUX2_1_FINAL_B2 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(2) , I0 => sig_pass_mux_bus(2) , I1 => sig_delay_data_reg(2), Y => sig_final_mux_bus(2) ); -- Final Mux Byte 3 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B3_CNTL -- -- Process Description: -- This process generates the Select Control for Byte 3 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B3_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "000" => sig_final_mux_sel(3) <= '0'; when "001" => sig_final_mux_sel(3) <= '1'; when "010" => sig_final_mux_sel(3) <= '1'; when "011" => sig_final_mux_sel(3) <= '1'; when "100" => sig_final_mux_sel(3) <= '1'; when "101" => sig_final_mux_sel(3) <= '0'; when "110" => sig_final_mux_sel(3) <= '0'; when "111" => sig_final_mux_sel(3) <= '0'; when others => sig_final_mux_sel(3) <= '0'; end case; end process MUX2_1_FINAL_B3_CNTL; I_MUX2_1_FINAL_B3 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(3) , I0 => sig_pass_mux_bus(3) , I1 => sig_delay_data_reg(3), Y => sig_final_mux_bus(3) ); -- Final Mux Byte 4 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B4_CNTL -- -- Process Description: -- This process generates the Select Control for Byte 4 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B4_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "000" => sig_final_mux_sel(4) <= '0'; when "001" => sig_final_mux_sel(4) <= '1'; when "010" => sig_final_mux_sel(4) <= '1'; when "011" => sig_final_mux_sel(4) <= '1'; when "100" => sig_final_mux_sel(4) <= '0'; when "101" => sig_final_mux_sel(4) <= '0'; when "110" => sig_final_mux_sel(4) <= '0'; when "111" => sig_final_mux_sel(4) <= '0'; when others => sig_final_mux_sel(4) <= '0'; end case; end process MUX2_1_FINAL_B4_CNTL; I_MUX2_1_FINAL_B4 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(4) , I0 => sig_pass_mux_bus(4) , I1 => sig_delay_data_reg(4), Y => sig_final_mux_bus(4) ); -- Final Mux Byte 5 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B5_CNTL -- -- Process Description: -- This process generates the Select Control for Byte 5 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B5_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "000" => sig_final_mux_sel(5) <= '0'; when "001" => sig_final_mux_sel(5) <= '1'; when "010" => sig_final_mux_sel(5) <= '1'; when "011" => sig_final_mux_sel(5) <= '0'; when "100" => sig_final_mux_sel(5) <= '0'; when "101" => sig_final_mux_sel(5) <= '0'; when "110" => sig_final_mux_sel(5) <= '0'; when "111" => sig_final_mux_sel(5) <= '0'; when others => sig_final_mux_sel(5) <= '0'; end case; end process MUX2_1_FINAL_B5_CNTL; I_MUX2_1_FINAL_B5 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(5) , I0 => sig_pass_mux_bus(5) , I1 => sig_delay_data_reg(5), Y => sig_final_mux_bus(5) ); -- Final Mux Byte 6 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B6_CNTL -- -- Process Description: -- This process generates the Select Control for Byte 6 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B6_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "000" => sig_final_mux_sel(6) <= '0'; when "001" => sig_final_mux_sel(6) <= '1'; when "010" => sig_final_mux_sel(6) <= '0'; when "011" => sig_final_mux_sel(6) <= '0'; when "100" => sig_final_mux_sel(6) <= '0'; when "101" => sig_final_mux_sel(6) <= '0'; when "110" => sig_final_mux_sel(6) <= '0'; when "111" => sig_final_mux_sel(6) <= '0'; when others => sig_final_mux_sel(6) <= '0'; end case; end process MUX2_1_FINAL_B6_CNTL; I_MUX2_1_FINAL_B6 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(6) , I0 => sig_pass_mux_bus(6) , I1 => sig_delay_data_reg(6), Y => sig_final_mux_bus(6) ); -- Final Mux Byte 7 (wire) sig_final_mux_sel(7) <= '0'; sig_final_mux_bus(7) <= sig_pass_mux_bus(7); -- End Final Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- end generate GEN_MUXFARM_64; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------ -- If Generate -- -- Label: GEN_MUXFARM_32 -- -- If Generate Description: -- Support Logic and Mux Farm for 32-bit data path case -- -- ------------------------------------------------------------ GEN_MUXFARM_32 : if (C_DWIDTH = 32) generate signal sig_cntl_state_32 : std_logic_vector(3 downto 0); Signal s_case_i_32 : Integer range 0 to 3; Signal sig_shift_case_i : std_logic_vector(1 downto 0); Signal sig_shift_case_reg : std_logic_vector(1 downto 0); Signal sig_final_mux_sel : std_logic_vector(3 downto 0); begin ------------------------------------------------------------- -- Combinational Process -- -- Label: FIND_MS_STRB_SET_4 -- -- Process Description: -- This process finds the most significant asserted strobe -- position. This position is used to enable the input flop -- for TLAST that is associated with that byte position. The -- TLAST can then flow through the DRE pipe with the last -- valid byte of data. -- ------------------------------------------------------------- FIND_MS_STRB_SET_4 : process (dre_in_tlast, dre_in_tstrb, sig_tlast_strobes) begin sig_tlast_strobes <= dre_in_tstrb(3 downto 0); -- makes case choice locally static if (dre_in_tlast = '0') then sig_tlast_enables <= "0000"; elsif (sig_tlast_strobes(3) = '1') then sig_tlast_enables <= "1000"; elsif (sig_tlast_strobes(2) = '1') then sig_tlast_enables <= "0100"; elsif (sig_tlast_strobes(1) = '1') then sig_tlast_enables <= "0010"; else sig_tlast_enables <= "0001"; end if; end process FIND_MS_STRB_SET_4; --------------------------------------------------------------------------------- -- Shift Case logic -- The new auto-destination alignment is based on the last -- strobe alignment written into the output register. sig_next_auto_dest <= sig_current_dest_align; -- Select the destination alignment to use sig_dest_align_i <= sig_next_auto_dest When (dre_use_autodest = '1') Else dre_dest_align; -- Convert shift case to sld_logic_vector --sig_shift_case_i <= CONV_STD_LOGIC_VECTOR(s_case_i_32, 2); sig_shift_case_i <= STD_LOGIC_VECTOR(TO_UNSIGNED(s_case_i_32, 2)); ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_SHIFT_CASE_32 -- -- Process Description: -- Implements the DRE Control State Calculator -- ------------------------------------------------------------- DO_SHIFT_CASE_32 : process (dre_src_align , sig_dest_align_i, sig_cntl_state_32) begin sig_cntl_state_32 <= dre_src_align(1 downto 0) & sig_dest_align_i(1 downto 0); case sig_cntl_state_32 is when "0000" => s_case_i_32 <= 0; when "0001" => s_case_i_32 <= 3; when "0010" => s_case_i_32 <= 2; when "0011" => s_case_i_32 <= 1; when "0100" => s_case_i_32 <= 1; when "0101" => s_case_i_32 <= 0; when "0110" => s_case_i_32 <= 3; when "0111" => s_case_i_32 <= 2; when "1000" => s_case_i_32 <= 2; when "1001" => s_case_i_32 <= 1; when "1010" => s_case_i_32 <= 0; when "1011" => s_case_i_32 <= 3; when "1100" => s_case_i_32 <= 3; when "1101" => s_case_i_32 <= 2; when "1110" => s_case_i_32 <= 1; when "1111" => s_case_i_32 <= 0; when others => NULL; end case; end process DO_SHIFT_CASE_32; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_SHIFT_CASE -- -- Process Description: -- This process registers the Shift Case output from the -- Shift Case Generator. This will be used to control the -- select inputs of the Shift Muxes for the duration of the -- data transfer session. If Pass Through is requested, then -- Shift Case 0 is forced regardless of source and destination -- alignment values. -- ------------------------------------------------------------- REG_SHIFT_CASE : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1') then sig_shift_case_reg <= (others => '0'); elsif (dre_new_align = '1' and sig_input_accept = '1') then sig_shift_case_reg <= sig_shift_case_i; else null; -- hold state end if; -- else -- null; end if; end process REG_SHIFT_CASE; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start PASS Mux Farm Design------------------------------------------------- -- Pass Mux Byte 0 (wire) -- This is a wire so..... sig_pass_mux_bus(0) <= sig_input_data_reg(0); -- Pass Mux Byte 1 (2-1 x8 Mux) I_MUX2_1_PASS_B1 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(0), I0 => sig_input_data_reg(1), I1 => sig_input_data_reg(0), Y => sig_pass_mux_bus(1) ); -- Pass Mux Byte 2 (4-1 x8 Mux) I_MUX4_1_PASS_B2 : entity axi_datamover_v5_1.axi_datamover_dre_mux4_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(1 downto 0), I0 => sig_input_data_reg(2) , I1 => ZEROED_SLICE , I2 => sig_input_data_reg(0) , I3 => sig_input_data_reg(1) , Y => sig_pass_mux_bus(2) ); -- Pass Mux Byte 3 (4-1 x8 Mux) I_MUX4_1_PASS_B3 : entity axi_datamover_v5_1.axi_datamover_dre_mux4_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(1 downto 0), I0 => sig_input_data_reg(3) , I1 => sig_input_data_reg(0) , I2 => sig_input_data_reg(1) , I3 => sig_input_data_reg(2) , Y => sig_pass_mux_bus(3) ); -- End PASS Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start Delay Mux Farm Design------------------------------------------------- -- Delay Mux Byte 0 (4-1 x8 Mux) I_MUX4_1_DLY_B4 : entity axi_datamover_v5_1.axi_datamover_dre_mux4_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(1 downto 0), I0 => ZEROED_SLICE , I1 => sig_input_data_reg(1) , I2 => sig_input_data_reg(2) , I3 => sig_input_data_reg(3) , Y => sig_delay_mux_bus(0) ); -- Delay Mux Byte 1 (2-1 x8 Mux) I_MUX2_1_DLY_B5 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(0), I0 => sig_input_data_reg(3), I1 => sig_input_data_reg(2), Y => sig_delay_mux_bus(1) ); -- Delay Mux Byte 2 (Wire) sig_delay_mux_bus(2) <= sig_input_data_reg(3); -- Delay Mux Byte 3 (Zeroed) sig_delay_mux_bus(3) <= ZEROED_SLICE; -- End Delay Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start Final Mux Farm Design------------------------------------------------- -- Final Mux Slice 0 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B0_CNTL -- -- Process Description: -- This process generates the Select Control for Slice 0 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B0_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "00" => sig_final_mux_sel(0) <= '0'; when "01" => sig_final_mux_sel(0) <= '1'; when "10" => sig_final_mux_sel(0) <= '1'; when "11" => sig_final_mux_sel(0) <= '1'; when others => sig_final_mux_sel(0) <= '0'; end case; end process MUX2_1_FINAL_B0_CNTL; I_MUX2_1_FINAL_B0 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(0) , I0 => sig_pass_mux_bus(0) , I1 => sig_delay_data_reg(0), Y => sig_final_mux_bus(0) ); -- Final Mux Slice 1 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B1_CNTL -- -- Process Description: -- This process generates the Select Control for slice 1 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B1_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "00" => sig_final_mux_sel(1) <= '0'; when "01" => sig_final_mux_sel(1) <= '1'; when "10" => sig_final_mux_sel(1) <= '1'; when "11" => sig_final_mux_sel(1) <= '0'; when others => sig_final_mux_sel(1) <= '0'; end case; end process MUX2_1_FINAL_B1_CNTL; I_MUX2_1_FINAL_B1 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(1) , I0 => sig_pass_mux_bus(1) , I1 => sig_delay_data_reg(1), Y => sig_final_mux_bus(1) ); -- Final Mux Slice 2 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B2_CNTL -- -- Process Description: -- This process generates the Select Control for Slice 2 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B2_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "00" => sig_final_mux_sel(2) <= '0'; when "01" => sig_final_mux_sel(2) <= '1'; when "10" => sig_final_mux_sel(2) <= '0'; when "11" => sig_final_mux_sel(2) <= '0'; when others => sig_final_mux_sel(2) <= '0'; end case; end process MUX2_1_FINAL_B2_CNTL; I_MUX2_1_FINAL_B2 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(2) , I0 => sig_pass_mux_bus(2) , I1 => sig_delay_data_reg(2), Y => sig_final_mux_bus(2) ); -- Final Mux Slice 3 (wire) sig_final_mux_sel(3) <= '0'; sig_final_mux_bus(3) <= sig_pass_mux_bus(3); -- End Final Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- end generate GEN_MUXFARM_32; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------ -- If Generate -- -- Label: GEN_MUXFARM_16 -- -- If Generate Description: -- Support Logic and Mux Farm for 16-bit data path case -- -- ------------------------------------------------------------ GEN_MUXFARM_16 : if (C_DWIDTH = 16) generate signal sig_cntl_state_16 : std_logic_vector(1 downto 0); Signal s_case_i_16 : Integer range 0 to 1; Signal sig_shift_case_i : std_logic; Signal sig_shift_case_reg : std_logic; Signal sig_final_mux_sel : std_logic_vector(1 downto 0); begin ------------------------------------------------------------- -- Combinational Process -- -- Label: FIND_MS_STRB_SET_2 -- -- Process Description: -- This process finds the most significant asserted strobe -- position. This position is used to enable the input flop -- for TLAST that is associated with that byte position. The -- TLAST can then flow through the DRE pipe with the last -- valid byte of data. -- ------------------------------------------------------------- FIND_MS_STRB_SET_2 : process (dre_in_tlast, dre_in_tstrb, sig_tlast_strobes) begin sig_tlast_strobes <= dre_in_tstrb(1 downto 0); -- makes case choice locally static if (dre_in_tlast = '0') then sig_tlast_enables <= "00"; elsif (sig_tlast_strobes(1) = '1') then sig_tlast_enables <= "10"; else sig_tlast_enables <= "01"; end if; end process FIND_MS_STRB_SET_2; --------------------------------------------------------------------------------- -- Shift Case logic -- The new auto-destination alignment is based on the last -- strobe alignment written into the output register. sig_next_auto_dest <= sig_current_dest_align; -- Select the destination alignment to use sig_dest_align_i <= sig_next_auto_dest When (dre_use_autodest = '1') Else dre_dest_align; -- Convert shift case to std_logic sig_shift_case_i <= '1' When s_case_i_16 = 1 Else '0'; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_SHIFT_CASE_16 -- -- Process Description: -- Implements the DRE Control State Calculator -- ------------------------------------------------------------- DO_SHIFT_CASE_16 : process (dre_src_align , sig_dest_align_i, sig_cntl_state_16) begin sig_cntl_state_16 <= dre_src_align(0) & sig_dest_align_i(0); case sig_cntl_state_16 is when "00" => s_case_i_16 <= 0; when "01" => s_case_i_16 <= 1; when "10" => s_case_i_16 <= 1; when "11" => s_case_i_16 <= 0; when others => NULL; end case; end process DO_SHIFT_CASE_16; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_SHIFT_CASE -- -- Process Description: -- This process registers the Shift Case output from the -- Shift Case Generator. This will be used to control the -- select inputs of the Shift Muxes for the duration of the -- data transfer session. If Pass Through is requested, then -- Shift Case 0 is forced regardless of source and destination -- alignment values. -- ------------------------------------------------------------- REG_SHIFT_CASE : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1') then sig_shift_case_reg <= '0'; elsif (dre_new_align = '1' and sig_input_accept = '1') then sig_shift_case_reg <= sig_shift_case_i; else null; -- hold state end if; -- else -- null; end if; end process REG_SHIFT_CASE; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start PASS Mux Farm Design------------------------------------------------- -- Pass Mux Byte 0 (wire) -- This is a wire so..... sig_pass_mux_bus(0) <= sig_input_data_reg(0); -- Pass Mux Byte 1 (2-1 x8 Mux) I_MUX2_1_PASS_B1 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg, I0 => sig_input_data_reg(1), I1 => sig_input_data_reg(0), Y => sig_pass_mux_bus(1) ); -- End PASS Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start Delay Mux Farm Design------------------------------------------------- -- Delay Mux Slice 0 (Wire) sig_delay_mux_bus(0) <= sig_input_data_reg(1); -- Delay Mux Slice 1 (Zeroed) sig_delay_mux_bus(1) <= ZEROED_SLICE; -- End Delay Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start Final Mux Farm Design------------------------------------------------- -- Final Mux Slice 0 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B0_CNTL -- -- Process Description: -- This process generates the Select Control for Slice 0 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B0_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when '0' => sig_final_mux_sel(0) <= '0'; when others => sig_final_mux_sel(0) <= '1'; end case; end process MUX2_1_FINAL_B0_CNTL; I_MUX2_1_FINAL_B0 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(0) , I0 => sig_pass_mux_bus(0) , I1 => sig_delay_data_reg(0), Y => sig_final_mux_bus(0) ); -- Final Mux Slice 1 (wire) sig_final_mux_sel(1) <= '0'; sig_final_mux_bus(1) <= sig_pass_mux_bus(1); -- End Final Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- end generate GEN_MUXFARM_16; end implementation;
bsd-2-clause
2303e225d21e726201cef250f7f88755
0.368844
4.596839
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/case/rule_017_test_input.fixed_upper.vhd
1
589
architecture ARCH of ENTITY is begin PROC_1 : process (a, b, c) is begin case boolean_1 is when STATE_1 => a <= b; b <= c; c <= d; END case; end process PROC_1; PROC_2 : process (a, b, c) is begin case boolean_1 is when STATE_1=> a <= b; b <= c; c <= d; END case; end process PROC_2; PROC_3 : process (a, b, c) is begin case boolean_1 is when STATE_1=> a <= b; b <= c; c <= d; END case; end process PROC_3; end architecture ARCH;
gpl-3.0
f96804a75be89baa242f18e28e3057bc
0.4618
3.308989
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/if_statement/rule_026_test_input.fixed_upper.vhd
1
566
architecture RTL of FIFO is begin process begin if a = '1' then b <= '0'; ELSIF c = '1' then b <= '1'; else if x = '1' then z <= '0'; ELSIF x = '0' then z <= '1'; else z <= 'Z'; end if; end if; -- Violations below if a = '1' then b <= '0'; ELSIF c = '1' then b <= '1'; else if x = '1' then z <= '0'; ELSIF x = '0' then z <= '1'; else z <= 'Z'; end if; end if; end process; end architecture RTL;
gpl-3.0
c1691c6c173ae69fc29db52cf8076a67
0.379859
3.19774
false
false
false
false
Yarr/Yarr-fw
ip-cores/spartan6/rx_bridge_fifo.vhd
2
10,732
-------------------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used solely -- -- for design, simulation, implementation and creation of design files -- -- limited to Xilinx devices or technologies. Use with non-Xilinx -- -- devices or technologies is expressly prohibited and immediately -- -- terminates your license. -- -- -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY -- -- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE -- -- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS -- -- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY -- -- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY -- -- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY -- -- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- -- PARTICULAR PURPOSE. -- -- -- -- Xilinx products are not intended for use in life support appliances, -- -- devices, or systems. Use in such applications are expressly -- -- prohibited. -- -- -- -- (c) Copyright 1995-2014 Xilinx, Inc. -- -- All rights reserved. -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- You must compile the wrapper file rx_bridge_fifo.vhd when simulating -- the core, rx_bridge_fifo. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "CORE Generator Help". -- The synthesis directives "translate_off/translate_on" specified -- below are supported by Xilinx, Mentor Graphics and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synthesis translate_off LIBRARY XilinxCoreLib; -- synthesis translate_on ENTITY rx_bridge_fifo IS PORT ( rst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; rd_clk : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(31 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; prog_empty_thresh : IN STD_LOGIC_VECTOR(10 DOWNTO 0); prog_full_thresh : IN STD_LOGIC_VECTOR(10 DOWNTO 0); dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC; prog_full : OUT STD_LOGIC; prog_empty : OUT STD_LOGIC ); END rx_bridge_fifo; ARCHITECTURE rx_bridge_fifo_a OF rx_bridge_fifo IS -- synthesis translate_off COMPONENT wrapped_rx_bridge_fifo PORT ( rst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; rd_clk : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(31 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; prog_empty_thresh : IN STD_LOGIC_VECTOR(10 DOWNTO 0); prog_full_thresh : IN STD_LOGIC_VECTOR(10 DOWNTO 0); dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC; prog_full : OUT STD_LOGIC; prog_empty : OUT STD_LOGIC ); END COMPONENT; -- Configuration specification FOR ALL : wrapped_rx_bridge_fifo USE ENTITY XilinxCoreLib.fifo_generator_v9_3(behavioral) GENERIC MAP ( c_add_ngc_constraint => 0, c_application_type_axis => 0, c_application_type_rach => 0, c_application_type_rdch => 0, c_application_type_wach => 0, c_application_type_wdch => 0, c_application_type_wrch => 0, c_axi_addr_width => 32, c_axi_aruser_width => 1, c_axi_awuser_width => 1, c_axi_buser_width => 1, c_axi_data_width => 64, c_axi_id_width => 4, c_axi_ruser_width => 1, c_axi_type => 0, c_axi_wuser_width => 1, c_axis_tdata_width => 64, c_axis_tdest_width => 4, c_axis_tid_width => 8, c_axis_tkeep_width => 4, c_axis_tstrb_width => 4, c_axis_tuser_width => 4, c_axis_type => 0, c_common_clock => 0, c_count_type => 0, c_data_count_width => 11, c_default_value => "BlankString", c_din_width => 32, c_din_width_axis => 1, c_din_width_rach => 32, c_din_width_rdch => 64, c_din_width_wach => 32, c_din_width_wdch => 64, c_din_width_wrch => 2, c_dout_rst_val => "0", c_dout_width => 32, c_enable_rlocs => 0, c_enable_rst_sync => 1, c_error_injection_type => 0, c_error_injection_type_axis => 0, c_error_injection_type_rach => 0, c_error_injection_type_rdch => 0, c_error_injection_type_wach => 0, c_error_injection_type_wdch => 0, c_error_injection_type_wrch => 0, c_family => "spartan6", c_full_flags_rst_val => 1, c_has_almost_empty => 0, c_has_almost_full => 0, c_has_axi_aruser => 0, c_has_axi_awuser => 0, c_has_axi_buser => 0, c_has_axi_rd_channel => 0, c_has_axi_ruser => 0, c_has_axi_wr_channel => 0, c_has_axi_wuser => 0, c_has_axis_tdata => 0, c_has_axis_tdest => 0, c_has_axis_tid => 0, c_has_axis_tkeep => 0, c_has_axis_tlast => 0, c_has_axis_tready => 1, c_has_axis_tstrb => 0, c_has_axis_tuser => 0, c_has_backup => 0, c_has_data_count => 0, c_has_data_counts_axis => 0, c_has_data_counts_rach => 0, c_has_data_counts_rdch => 0, c_has_data_counts_wach => 0, c_has_data_counts_wdch => 0, c_has_data_counts_wrch => 0, c_has_int_clk => 0, c_has_master_ce => 0, c_has_meminit_file => 0, c_has_overflow => 0, c_has_prog_flags_axis => 0, c_has_prog_flags_rach => 0, c_has_prog_flags_rdch => 0, c_has_prog_flags_wach => 0, c_has_prog_flags_wdch => 0, c_has_prog_flags_wrch => 0, c_has_rd_data_count => 0, c_has_rd_rst => 0, c_has_rst => 1, c_has_slave_ce => 0, c_has_srst => 0, c_has_underflow => 0, c_has_valid => 0, c_has_wr_ack => 0, c_has_wr_data_count => 0, c_has_wr_rst => 0, c_implementation_type => 2, c_implementation_type_axis => 1, c_implementation_type_rach => 1, c_implementation_type_rdch => 1, c_implementation_type_wach => 1, c_implementation_type_wdch => 1, c_implementation_type_wrch => 1, c_init_wr_pntr_val => 0, c_interface_type => 0, c_memory_type => 1, c_mif_file_name => "BlankString", c_msgon_val => 1, c_optimization_mode => 0, c_overflow_low => 0, c_preload_latency => 0, c_preload_regs => 1, c_prim_fifo_type => "2kx18", c_prog_empty_thresh_assert_val => 4, c_prog_empty_thresh_assert_val_axis => 1022, c_prog_empty_thresh_assert_val_rach => 1022, c_prog_empty_thresh_assert_val_rdch => 1022, c_prog_empty_thresh_assert_val_wach => 1022, c_prog_empty_thresh_assert_val_wdch => 1022, c_prog_empty_thresh_assert_val_wrch => 1022, c_prog_empty_thresh_negate_val => 5, c_prog_empty_type => 3, c_prog_empty_type_axis => 0, c_prog_empty_type_rach => 0, c_prog_empty_type_rdch => 0, c_prog_empty_type_wach => 0, c_prog_empty_type_wdch => 0, c_prog_empty_type_wrch => 0, c_prog_full_thresh_assert_val => 2047, c_prog_full_thresh_assert_val_axis => 1023, c_prog_full_thresh_assert_val_rach => 1023, c_prog_full_thresh_assert_val_rdch => 1023, c_prog_full_thresh_assert_val_wach => 1023, c_prog_full_thresh_assert_val_wdch => 1023, c_prog_full_thresh_assert_val_wrch => 1023, c_prog_full_thresh_negate_val => 2046, c_prog_full_type => 3, c_prog_full_type_axis => 0, c_prog_full_type_rach => 0, c_prog_full_type_rdch => 0, c_prog_full_type_wach => 0, c_prog_full_type_wdch => 0, c_prog_full_type_wrch => 0, c_rach_type => 0, c_rd_data_count_width => 11, c_rd_depth => 2048, c_rd_freq => 1, c_rd_pntr_width => 11, c_rdch_type => 0, c_reg_slice_mode_axis => 0, c_reg_slice_mode_rach => 0, c_reg_slice_mode_rdch => 0, c_reg_slice_mode_wach => 0, c_reg_slice_mode_wdch => 0, c_reg_slice_mode_wrch => 0, c_synchronizer_stage => 2, c_underflow_low => 0, c_use_common_overflow => 0, c_use_common_underflow => 0, c_use_default_settings => 0, c_use_dout_rst => 1, c_use_ecc => 0, c_use_ecc_axis => 0, c_use_ecc_rach => 0, c_use_ecc_rdch => 0, c_use_ecc_wach => 0, c_use_ecc_wdch => 0, c_use_ecc_wrch => 0, c_use_embedded_reg => 0, c_use_fifo16_flags => 0, c_use_fwft_data_count => 0, c_valid_low => 0, c_wach_type => 0, c_wdch_type => 0, c_wr_ack_low => 0, c_wr_data_count_width => 11, c_wr_depth => 2048, c_wr_depth_axis => 1024, c_wr_depth_rach => 16, c_wr_depth_rdch => 1024, c_wr_depth_wach => 16, c_wr_depth_wdch => 1024, c_wr_depth_wrch => 16, c_wr_freq => 1, c_wr_pntr_width => 11, c_wr_pntr_width_axis => 10, c_wr_pntr_width_rach => 4, c_wr_pntr_width_rdch => 10, c_wr_pntr_width_wach => 4, c_wr_pntr_width_wdch => 10, c_wr_pntr_width_wrch => 4, c_wr_response_latency => 1, c_wrch_type => 0 ); -- synthesis translate_on BEGIN -- synthesis translate_off U0 : wrapped_rx_bridge_fifo PORT MAP ( rst => rst, wr_clk => wr_clk, rd_clk => rd_clk, din => din, wr_en => wr_en, rd_en => rd_en, prog_empty_thresh => prog_empty_thresh, prog_full_thresh => prog_full_thresh, dout => dout, full => full, empty => empty, prog_full => prog_full, prog_empty => prog_empty ); -- synthesis translate_on END rx_bridge_fifo_a;
gpl-3.0
5426e16f8e123d0fd25dff1a81c2affc
0.542862
3.324659
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/fifo_generator_v11_0/ramfifo/dmem.vhd
2
12,333
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block f8m5VwW5Y9D5L4K4jeLe1vMjtOunUF8tekE3JN/dm4Ej7iFxEVur5hbulK77HO4w14qdPe2KdIjQ BuD0OaiszQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block edVbRHeAk3REs+PfGEXpMbHiCqF7SR3aZTu1f570RYN6NEIy2emkDfdR6BaXEiRaavJ9jllholpb IYKuiavA6/7+xbz6gHm27uec/EPLMv9Ullnus3hTLH5OzbtbftjC/F2WX+LlEvw/e46ccVljyrg0 i1DdagJl5KSgNJNR08A= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 2gp7UMsbzEWTbJsTlX+d3PwbtdNMNpmOQg9m2HovA+rOCJIjAjPzf19w1rPlCLHT1FKWf9XxCL+5 d/zkBK7aX3zqvOOSV8vNl6WKzgNVz1+UYRg+TI8BbCim3LA47p3N24qGMDANDfNKrWTy4GNJVzsC nauM/TLO6oLgHe3wA7Pg+csdSLepEWUdOsZLM28zECFL3yeDtSh7V7xRo8FDaegE2Pbvru/swGpG lD/QecDdVBjyWrkwsv4hNfQDQaen/KOqz9OiW5iMZ00gU8tnztdqh32b8MXXa+K10Cqu4TCjDFIF 1pj3SDHArqnVBzAT/btL7zOWHZg/gfoTQTVDNw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Jrh59OJNdCuQM2lKh6y/AZX94gw98FKTnEf9/oEoI9xYTwioTYjQbhHSti9Un0ylliGGl+/OBA9J DStPioIYRdqepHe81nLwjH/1Nc+ch7p5igAgWiALI9qwrt2BEViqUkDALb6nlO9fVrvhWpshc1Ss eVLjDCjQnqlQwV30TrU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block d75tT6dgHNPhRDGxl1S51/huGNT3ZAblyhxEFMROwd7dfscjVbPiGKp352y05YiuFfVXPCMV6RHd N9/o+QL25V6NYMkOztiSn1Vpl40YeRpXQ7vL4X+xGb/ClvRcrT85AtiyzuBrpkF76gsKv+9Sj+gx fdvdYGajRaFP/KMDNk/Z1juVurHaNESoRKSidUbXsWE1nrkwIl0Ip1V/ePRTgDxOqRXt3JvPiwT6 o0RD47IMb+5rt3R5AHgrHRqBeNABoOf/5Yz/sXs6EpWnRy0ohcgFd1stb2btwfyfNmz5S+/glgzb PumezEoyhmDovohN2ORp1mPiLptuzV13choukw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7392) `protect data_block XQY6E4w4Y8icqglBhcbK1O4JO1qx3dNp3VO5cPa9E3BDbpfhXcJkIYbYdsB6YONrt20XmUnKl77D 5z/JR/YF7+sjQSlVCOqsadWT46Boj44UfLehMYeUxJWtAKKsJ1aGs/bBT/LpSIGehbR6nN44hEdu s2szgarZyhBLEKwJsHqcbVtcYQ0QDih5nhwucGj7NKE8ExKKc1jORvj3QKT1P2uwB2RWW6tEVfeM 9zaMLKD43QXAooT9gZG4/0tzSP20oAkDxODrPJoNFkc0kTRYbBJdvGqPW5sDIVVPCWkcGw9qYnb7 QlEL62/rIRUKoFNTt6DWyjaYfUKn8zUAjtJGJGUVolWScuVeCwfC6EL+8TWN0VydVXZifnpGRRhC s2izcyoDo9cWvdfBKhn94O7M9cgeGtTQepWnFTtnb8zu8nhxBGqqYJ0rurLqA+tqmzg76U0aT205 II3q4YUb9fUrd41ME21Ea+V8CAdc0giV/3nV5nWeFyfTlol76CdddqVMJYHVVBzgpkcBv7X+UzRX YAf4u3bmiQlWsZ4k8W7uZYRc7+xOHjec9604KNuFw6xbPOaJ+FhnV528KceoyxUvOvVfiddzNZlj zW+CVfE6xuWbM4p158wefuI+vEw7uYq0/BvuVeXWe3CuNy0qooaCswNvUtdhb6gA3YiVmY82vHvT tA4fn1werUxdbe5vAVoeugfIywzhcHGN3PMdCYbPWZ/eZ8F7wLfVi9Z8V9qDBzfU2+igrD62jXDM IPOjeJAXJTSc6GmoNU93WMm2iV8zZCGeYFHtEUnGtW4LI8qGwe6P1/pcbXj6Pehf0gj+K9CguDvT a7y3gGA7OK2alMzY27FB2s56xJevZC+Hs56y0rXO2Qy0eOe4JgK/iA2gxIG0+qMmD5+aKBW2pvkh XqwB1cQAlWrlOW7TgbdaWlD/wp24+eDXedvnCi8KEsotaWblTgbWM+N/TwJaSPTNaJGxxMr4JHhm /bt9x2xRMse3jM05gn8PFKUmPiwqK/84AvJOUuZV2WLhdgIcTJ2Eyry9W0qYe/mI2O/RNUiyX1JQ 3LXUQdn0vkRAG+FxPq82MuD85UauEI+8AKJpF0ZVCxZlk25+xKwxEuty8CjNzbwnxnnqFRlb03ma Tk0BF1ltsN+5Pjkw8KJpnRluN06h/pNUvBWHjgrev1LYBSPHOkgXvzSH4oyQa+X80Bvku2AIEeUA aIy8w7h0AxEcTSMfCT4pR7XFUUpmBYe+IJ4br4KABTmTueY27QRLnJXKb92+UmJmWjXYT3/6+JJp Hf0CXrb96PeKxO4JUCjIbpOat6OKmbbFVD+HBSBNFCnVvm6/+rw0AYXWXBEmuV52P4vrRaqjfMZp JmtDlCUvKacJ2X0pQmWSEILvKtRQUoEaFd3Oy3cqSk5IwutfvEtoV4PJOoxdeiWjpnRMG7d/Ol6R 4yrlX86CB2H96gHkmEJit3eP/xgzHK6BNvBFckK2cFa0da3nxwyApP0vG4wibcH985agRqyCtd5U G9NmFb+zynCT0c9E0KJoBQGz90Y0MyutZq6TZgd3CfTJAOlreZmcNfk5ytMEox08k7dU4GVSRLO/ N2tDt0CndCvuvBmBng1SPLVUZ87m+uLMgKMP4+/rlGB0Z7k/OnMuzTiEuvnz/8C3oF1nLUHQReKf mMWbU1HoHZO+hRBoUzT5TiyKhSKNYeIG+PqjnGpB0BTYVS8EnyIHuIhzRGh4V5HIe9m+bs0eqrmE MWFQSRYvbMYfewYd6nkc2GU9GKLMUQfPGSzkdovU54ikzMh1AU9LZ2oiktWGazD3i71JUyBt6NHx 4BuT5OeRDyKrrSsbfkZoam5K9LVx+SgWmUDJzEA1M9NV0QfzrZXwZD4LbpL1vBiAJns2jPhqmshA DkHv79lcgJoiU63gWcdYIz/vcRBUZDexORF0frwKkTkZZh7q8+gSp29UZ1aA6P+fZM9Oi8cugQZm 9lt3kuUWDsJuYPaDvWXUUt2C/e1hXpMwM2Izf8IhUEBFvNbsbDbPjcxPjVwjeEi5b2Wh7SD6eAHU k8cFl/URi7mGFNkAa+384Pd55LM6bseuifTGgLgwT6C3SOOaNgnraOI3KvAQQZz65cVPDdZKGvDw PWdjDMChSF9Zv8szu60JUMRfQnJDhOOQ1mvnFMUMgd5+N16dPkfzr7+R3bvqmAK/+KO3hMgbZ3m3 +uulf7Za1tq4N7ARagzvkmblYz23DCkuIiPEKHf9ssZL/cElvOcWyRPyuu3z2JnN7xK0OSlLIpXc 01k53XQSmYaB+p4FhPaXEHUY84KkqiuoIfo32YpqBF8sNPcFfGMVOgVwGNltdXZ9l5fuXNBRJsF4 GNlRV/uORAOJWsAq2LUoX2yf7F6Xcv38YIXWzOYniTzr5WVK6mdKQYb4O1TsR1sKbmDXyCYDtLED uPNicvNiOEBSPPXQpwTeUot3ziaJqyBOz8886vJ25b4e98qsQG59O9IJIw80pktOadaV6dKV+AqS vcFcu9V0TupxGsS2ySubSowyfuzkwzpyljeLFvz1hboearoB/ZqLYWpXOzVOC/MUh9ctetbQqp3t D+yzIsz6biu4o3nVoLiyJbpGiJb+7hC06M02o8q/1xgpVADiwlfETI9eO+cCzrtuLkvhCswGO6pj lTcLA11pOFiCv3iQp5UoRL06KMcCh4fWWWJ+MtBVI74Bl2XGu5QjWJJaIYKM4ZYmogBdn9tRSr1t TOWE7XGWC4kp1mFk3XR4K1YpJKF4+5klmvCSRrQjCH4W143qPjZa6K6SBFmL18SLNyLy5f2984XV USQYaGJm5tVEzmy18Nywy3ydIjKw1m1f8JZf0Ym0EImBUZ8DmoHqdKgtH1TKj89qtKZWqLtaYAVY AS6ZRtyUeefOId0D1xVxanUdxbw+iUFaroFwRd8Oo+XyFtyu7iEIfQJfmtAB4na5gV2gb+GJChBF YihfR5G52ftngrqXpMYWMr+bvXrjGib4xbo6/niY/lfRcc07naHa8QPzdvNH43PkiflUdrjZqLPc bPpBHtsObYFWmBR6FCYFMJvQL0wt3eNuFwoSnmXDkjH+EgiK8py48wKCpJG4k1xvtBo/uNDyHi88 K4p7ZZbbm7cDGDlfJHR42Mt4jmD5t7DgKPpa2S4NG/lsD1qdK9ACyQk/Ue/xWZSEA+dRjmG91dhW Sx7VIMxCDSyGX9oL1O6cJNCRhm1KKQWjkhGo/Opp3AlXjdt19zNuBtyDhfFkjhgrnHmZ4xSzu2PY MPjuFCjsT8opLd1larFNXirY6A/iB6fnDwvMfk4smpE7lnN0xMY7RlaxTG8HfnfiqWaHOe7vxWsF ZhNKkYarWVVy1CjtuIoW4IekOEbQqSi4L4jfX0AHhAMK2CZIEl1l2Fc//92BMEaako0fKlfmHRWc DM5psTfY9jcwP9YF7WuPOxNNnbhK3veQuk0Dkhy++nvdEXGYtJDiLGoDM3jywkLdFBa/RQTPaqdf HnUojycuIF3kM0/WKMJDk+Hb6jCUSzVcajLef5r4U/23Nw1w++o+TuWxKEctBegkPdOa8RVUUDEd NSCSaniEor+GtXrhcdgPAZ5Nv1p16ND8naz0DYjyp93Jh7FuynxQKglstx7Rl/+rMppkya0hxs0J bsga0YoXSw1H+29mLuTPSnI4crVMMWRdvRwAAYJAVOmqwpNnpzw9IWNt6wy9ApaFq/IsyNnUQ9VN ipR87bgFUXUr1tPkZ6wfiNwABOxV4sikCCjwyi4vrS42NhnB1qXmWZffzZ0AVOIp6Cw0Ta0sdUfS Mh7bVBsuUGGEBK+NnaTWh3rX8fzvDJE2bKCDxzXop9o+9mNnltbIQvFf3JRXGqVEzWzeW36RNgJ0 BQfrgKaQrTTQBMCslIWdDGuGrZ59dBPyjQO3hTGXIEEcGjJSIwjFI2i9OjsR4WotXwPoezr3G+cr 8g4w3w3cLDcWUfFNjBRkM3hcwhnmL25NaYPB8W7A5TL7+5vjpGleRsb0IWiW9pgd89e0B17Zf8HH /GXWhcxNgPml9xc77dfQBMe2e9DsAfuDk/eRdw5wbxJZdfALHHQWj5lFOn5RuoZvOKu3UWG2VsRX lo9WgWYzal2/ezaN1IoQymXnEZ23p4DT0OvRueOTzqIV7KfY+CENBs+uqIYcO7fU9jCzbnTia652 2601227wbGWh0DaDz5S73DEsa/Za2OORRMNVuu6z9EqeybZeKmLqBDommsWCJznKdGg+Ny/D/96J cW9GgAjUK9+LpyYj4uKTl8a3FJcxPspg6ibTpQ5+RZ+m3P9NceKc9AM3HWJ7TvdQvpPfXwohntv1 co7aSQg4eZO/ODE9eXXdNU5gMYlDm6j/LvMKBuRxszw3vwnl7yubCSJ/TBtGf+PIfoXcmhHhbMPT ydpwJJjQJk4MrOzzCtbAdFRVNRRgT1mY/4wBRRPIJFbFvUkB+Vo8y79PegBSzByObgyxIzgmXZg1 ga2D72WlhYbObX5RImOadnRCxE1gLpT5qxoiwn1LH0R5duOrISqBnptFYWgtVY8bthJrvuQu1Dzu 5zy581GrtQQsH5bJngidqd8mRRqhVllQskDM0eRQoGOJWTvmSD/gRE8d4qH3i9Q3Z4HV2/50VBQs tuQrIlMnSppZYv1dbD6qY9Nwtfs8MOS9IOkJHhICXn8q2Ca6po29URD1JG4AOoGy4TV4gyLL5VgS FwUpQRsVDGIASU0WnX03WRFVzxQMwMSsDPkgO4Xz1bFk1fgqln2yzpC100qDhHE9sieQn+CBJs9I A3PzNilzM3wBhdktVdP9AH3y8RYsb++I3ChdH5UQO4jXd7kZsRvTHm0S52qoxKox/b8H/2RtGfjA EumRd8astRVE9R2a8uVkFQq2OHKiN2HsHRU2TxLGj0Oy6d8RmZ5zsNPK87zAUZNrUVNDAu//2OGP KzuCO8+f+KRmx9C1DUIAPC3lqeUyP3b7OqqWBOeyPUDt/0cB38MIYdq3nvgz06SlvbHWS7ywplnt +iZI0y+WSbyPWZK77UhMSZ2XPnIa0dYQE5mHm9psB5NMx0lCgskvE6koIA8VDkw2JRPSvHM7a1x0 /PMLjnaLkbLmG7zkTfVGwxisadARrDQ4Oq1/GfFY7A2aM0KdGDklvhsyxLBexPs34Bv4mK2ppyHk n7Khn3n8pd87xYsxHjVt2k2bWU/n44kROHyRD/C93momv+/fuCLsBIm38Orm33TSiKwan/OCE4jC x1z7ofsVm57L0Sllu81i1clSgyCnh+dVH3DvghNGW6Fwi7kaRrlf9cWpDwPwMS991Fd8s3RXc+Lw rQjDk2b5jqFwgBrRUEr7yCoi506IP2CH73lr/3m9TzLrkG5mr03ud8yQwba2Tfd9AdtcDkVpOHDT wnILJt9E2wdhP/2sT0tOtD4m6X+3putLWl37EIPepl+qub0ij/4omvgTQNW8Ucz6UehYMzOMBrzo ywBoh3CcbHN0lIHJedy/moEjKsJOMX2fMKMvaY9L7ZBw8A1hXY1D0E/SZiZRq8gNcSFDjxNvNmdX ubtkshdi6r1/KjwAwHUo6GCt4huhhrRpLThWfU6oHhyLY2FrFW1OGZIteCqo7xeCgX0GS6onqWgU 3cr8VWzAkXMQaz5Uy/4z0oFHgwJZoFjMt0HUuD2H+M29mRzqERlI0Qkbx09xKgeIIr6yLOhOf4p/ oJTAeVkJP7JZEJgxiMS42dJIJW/F3+5I1SIL3HDT4J0v041OxuKXkjBlnJqF63YEdIa5B9VQjNh1 OHyybItXYJltlNpfbO4oV1j1PwRHaSF/I2d4BUjybx1EgzQfWzYHdTBsG3GodNgK2nVmhwgJ7dNH 53R7UCigi2eoOBkofzxY9SEWUpCWoBwUOEXgbpJeO50XqHSIYT3gkh7UfBgk3J2zT4+24aYtrfOG fuohAOjQhUyryypQPMD01Zv06RasouVlxIrnPEG4vVjQdGqOW1jvLV5c6ZftaV6qCNhMw5THrYWE ffBGECwO9UkrK+zKhgl5ULa8XeMupFR4UhABARrdv8mQvRFkrFBMx9odX8at4u0Mypr1eZSk3sBf aF5w3spDID6obYpBw6214VdffA8VaxivX8WbImL415KaRHgy8LdrvYuVVO0hsQ1A/Qhmu2yN/Gju tDR9TeE4AXExgjN9/JN0S0WfzVgjORedDliwCkYetJFBSsQzEgwWfWzlqqZyrGkNrkDWaYYmZsMr KuYtoD5kuFLjfvKV6Npq3q1KLzoNgf5h2xwMFGu7CYBQMLzyyYpIlkT/YoB1P4slO53PlrE4LLwi jCdHm4ntgmfs9Lxg1tzxPWg6BebsTcfd7FGjaBZ0PsFk6m2LK3Sbbus6DOxwAgWgg4Z7Lkh5HQb3 dpoXT/YxuYmOYNXPvbkN0P+8Hx+Ee+9b2fVdpo+74VPJqrZUUL3ow67ejn8Iy8VwdQ7oUCxjvLkR o2jXOTy4rdyjeSXuAJogjRWhBXNRLATRSqXdR448UaTccWWxvWWFM+khwva7Z30tUFJJyIR/CWdR Z5HFbehT420AsjAnW66PAbxWN9xLz2wh1gNLOd/NcYvs2q0xJijkIEpMRdkNjAMd8w/Wn/6aObH1 AQr4YRMflryRYOnnWEjM13bgzM/8M65qmq7dBB6e/s6gX2dkTTL18rCrvtlnvia31ZRAyeuVaPdH GeaSPNuOaJOQiU2uJSI5w0ASfUcDhm7X3tY90sJJZEuOsKO1v8h6tKyXjcMy/njkwVpuasVxvxO/ pR1hHUQeborVc8eL6S/A3ik1QJm8pIwtxW+z2hbXYYQCxYxBRejCyKjw2siqe52zDV2hg9QmK4d4 8LE+jw1lAMD+JgFvV7Q1hhdfzPexVtE02niJVZAQwVtpHdYNhtTnqe9sgaYpm00grQImjf1+ReIy a67cBVgyGiQQLWwrHBYXoH+62CJNMPuWDetm7hzWBy7J1tpbIv8EMj/yY1Hafpx+4e/VkB4R4kPK q3YuQUK1pALO93NLCwen9dp+4X7GUCqsPScBtvurJ5sJwvKJ4wGWmntqtfGkT99zF8LBbX0x6e44 GYnT2BMa2hRegzLDvkuWSkck+Z3HLtr8vm6MRmalDX7fkRC6zjh9r5VuUAgBWFw5p1axPmTM3aja gbzJjAX9bjbL/UEowHDA9HSe47bzQxV0Q+baMpS6LqzjYCdqodhYe13/7BOcg9VlvnnIHYIb7n3J /UET6NJhL93+/kvSnR3Y2kzkY36AOE5rPJqErF8W0k6uJGyb1o8QzJ5Je3lLVL08SiQAeGABMGa4 Pn5m38aTF5gj4LhyOu7ThRyfMlNmUbdpsMYTuwJ12xhmDBoFCmN5c488QWwV8zlY1AXH79KYAZXJ UryKJIHTHKBWJU5C7M+gvQgUSYL9GrSGIh0rkBYxSdU9nAWVg63ODVoMnfXJULpKbNi2QyzCRTHp 0EMQZVGfM2LaSczDY0icXRcOL0ug3Cby4X0aUKw6+OeISXLd8CQyLmSzU6cQkFT4Jz8BScH+wd6V yJ/lp8bN5T8kous6U5GKcdHJjBPzsCwhHUkjVxsfxU8QqREeZC3w3mdjmdc9FczS7Oj74sRRrYHj 9DXw+PBv98JFZnBQYvsB8HrM/ZrK4KuEjnmxv68Wj3+boQu5Xj+7qTZJy1LZ6P41F4U2Wzx3dc0t raQ5ZcMAX2Bz3EQPbbBDGerrRaKu+5XbXolwlCOg9VS1C7g0iaRe0J3E9M4J4WMUWAd70xqQbfoE ZOajKiQ2FU3fPIAn3GCGr0CvHalzpHhvNAjTKZ0rZg7mgmeHaRHCKbY/iLqtTCYFpt1Vq+8pFJvR y0k/UBkd9n2Hr8SgKi9p1h9Gi4LmJh511ewxja/ErKsqgrloP6s1b4wIu8Fi9CtY/Rd6Z/sW7hNs m6UkqWe50JgrTSDzZUpq/NjDUzAME/t6ltOoRPKSQ1iFDht0E47HFKg+5YVm579wI/H9FIli72DC bG7IjN3Wg9dw/P35TnxMXda0USTux0jeamDZvFq5XUj70EtsKwhckn/lFhKmbaocD8QFFO4OHlRk JvhJtqjcClEVN7Qi02w7jHgfGoAnIaEtpNQ8DjOHC0O54VWJhZMVGDG9F5QS/VKEqVb1kp5H5Q93 0j8AWl8E72sOOa+/ygpUzEuc8pyyIjnAtNrvf9I1WVdiZuLd5jRSrJuLu7sqZKa5SBltKJfgi4bw Su9MfHIt7EZGjR5sbzNlMmGioE5uWAzDzusL9b3oc4U+27DcyRgYde5UU7E97XYChKKkTRw2aThT diT1kSnb+sq0CAamFc2grDnkd4aITF+82y2DPd+4WfjrV01PSJA4C1NXps/knYIYK/W43STnBzNX PTRM+k/zcrAYYK4GSvWKBoeM184RwLSkYLMxK79oPWXzH6/Vej4YHt/Bnq+HpbD41K1934gJtUhy TWEiwvxwxnX0Vm6T0d/UU/SBfVBDNpw58o0QMC2zNCld5ce5FCONyOyOYC2KyXw1CRH2E5a07A5l GSklgRGdbxzfXmmY+u0WwQYNVmSunNGPiqJYPLR0fFvOv0IYdOsU01RG++uS/hlES0sVHvm0LZOE N7G3DL0rj6doK6ADki+sAT35DUALOcqZR4/QLNRI0uT2VetuVjCAsPEsqXCvNvvfXtsLQ2cE//lL HG7F/gbDUNIg3pzd59aD6Rcjfg2GzAtzznlwRehA5DyVebCKYkXsZ7b1pYbpvxczgV+LC+0ADoVL M5iiGv0p+q7VpV7urUUHzKG5TytdXabXcoVrGlJF8Agy5dg9GNsYji4CxGfjphAMP3g5LKc1EIrK ot7jEqdkpiXN+iV8/OYtdcU7CaJcrE9+ayiMpgSK4OdNV2S8sqkmAOvTSeuYWxnGbOfl51vlSRQL hdwe2BE21ixv49XaLJ6QBO+wzTSeH48IuAFDVBl4oZ/9mAkEZw3Z8APW70Fr4uxYFjoTZ8OPz2Ai 9ZE8nT0+SoTLDmiAcCsGrioYW0qkca0GXGxMPx3mUfTJ8CSupgvPKOo22kMrc2CuVKLxliff3R2e aH3SqpcZFOdKCPlpJE3nFQ/g4uFwELxXO/guge1BA5Uyel5jlE2fuXIsliEJZmOAdaTklznCNRRl UjM6/5ttr/KsXXn9xLXHLEy1ls2W8MvPCHj/45JW0EfyET+iSojUaI2zbm26WuIcYfmtezxYljUw 3ZQTFEoPnAVS5s7r0MvZYZiYkFmSbBBNaGoso1XBwYqrcX1mzsuKQYpVMb+kvnLCM9Nd5qFhiBFi xVp8VrWVzMYvIvQdJm8cJMj6mYiov51aUWaR+bgoRpn9QyykOzPsu9ZSyl69SbtlCfoxkNDQcL3T y1Fu0q8wDJ/nvKTXz9AhIC9YSpx8jG7eo6O2avIQx8MCvROXorjd/PHgCyVPehsEQ7iCK6AfD89t uSSE6t6bUmVdNbmTYpBObV/fBROkde8lJm3REsNyIf2RTMMoOkXEEymEuNbGJ9zWjttkmNmyIgVc D0t6hWBnaiHgc10r7T4HIKp3Cv0K5sKvKnyn+91TS+SGWDekQYy0cUVMtmqY/K9yVwC+V9pC80Kr 2CLOD3uH9My+Ij5CFbjEWj47UppjKEcof4FagluUyUazlBKZC2Fk4h5f2ycIMN2YzXUzltQcB0cl TIVQNjrTCGMhKzPBHG6dFnOvehn9TVNukeZblRxVbkj0D8z7nO9uzivDrmMdNdWdOSovS7WXxglS 70cg/EphihZymPwbCmaAIkpWuPNJREv/WZCKqE2xcDA5w1llX87ZY5S6ZGfCBwM+epFdvbBlx4YY mAoK4H8B6fWdvKBmwxC92B0iCIdDqsFPoDcdnXjnG8oA2tP/TNI3 `protect end_protected
bsd-2-clause
d96737ba840e13ed37b1ad25a8a4905c
0.932052
1.883764
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/axi_dma_v7_1/hdl/src/vhdl/axi_dma_reg_module.vhd
1
86,248
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------ ------------------------------------------------------------------------------- -- Filename: axi_dma_reg_module.vhd -- Description: This entity is AXI DMA Register Module Top Level -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library proc_common_v4_0; library axi_dma_v7_1; use axi_dma_v7_1.axi_dma_pkg.all; ------------------------------------------------------------------------------- entity axi_dma_reg_module is generic( C_INCLUDE_MM2S : integer range 0 to 1 := 1 ; C_INCLUDE_S2MM : integer range 0 to 1 := 1 ; C_INCLUDE_SG : integer range 0 to 1 := 1 ; C_SG_LENGTH_WIDTH : integer range 8 to 23 := 14 ; C_AXI_LITE_IS_ASYNC : integer range 0 to 1 := 0 ; C_S_AXI_LITE_ADDR_WIDTH : integer range 2 to 32 := 32 ; C_S_AXI_LITE_DATA_WIDTH : integer range 32 to 32 := 32 ; C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32 ; C_M_AXI_MM2S_ADDR_WIDTH : integer range 32 to 32 := 32 ; C_M_AXI_S2MM_ADDR_WIDTH : integer range 32 to 32 := 32 ; C_NUM_S2MM_CHANNELS : integer range 1 to 16 := 1 ; C_MICRO_DMA : integer range 0 to 1 := 0 ; C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0 ); port ( ----------------------------------------------------------------------- -- AXI Lite Control Interface ----------------------------------------------------------------------- m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- m_axi_sg_hrdresetn : in std_logic ; -- -- s_axi_lite_aclk : in std_logic ; -- axi_lite_reset_n : in std_logic ; -- -- -- AXI Lite Write Address Channel -- s_axi_lite_awvalid : in std_logic ; -- s_axi_lite_awready : out std_logic ; -- s_axi_lite_awaddr : in std_logic_vector -- (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0); -- -- -- AXI Lite Write Data Channel -- s_axi_lite_wvalid : in std_logic ; -- s_axi_lite_wready : out std_logic ; -- s_axi_lite_wdata : in std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- -- -- AXI Lite Write Response Channel -- s_axi_lite_bresp : out std_logic_vector(1 downto 0) ; -- s_axi_lite_bvalid : out std_logic ; -- s_axi_lite_bready : in std_logic ; -- -- -- AXI Lite Read Address Channel -- s_axi_lite_arvalid : in std_logic ; -- s_axi_lite_arready : out std_logic ; -- s_axi_lite_araddr : in std_logic_vector -- (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0); -- s_axi_lite_rvalid : out std_logic ; -- s_axi_lite_rready : in std_logic ; -- s_axi_lite_rdata : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- s_axi_lite_rresp : out std_logic_vector(1 downto 0) ; -- -- -- -- MM2S Signals -- mm2s_stop : in std_logic ; -- mm2s_halted_clr : in std_logic ; -- mm2s_halted_set : in std_logic ; -- mm2s_idle_set : in std_logic ; -- mm2s_idle_clr : in std_logic ; -- mm2s_dma_interr_set : in std_logic ; -- mm2s_dma_slverr_set : in std_logic ; -- mm2s_dma_decerr_set : in std_logic ; -- mm2s_ioc_irq_set : in std_logic ; -- mm2s_dly_irq_set : in std_logic ; -- mm2s_irqdelay_status : in std_logic_vector(7 downto 0) ; -- mm2s_irqthresh_status : in std_logic_vector(7 downto 0) ; -- mm2s_ftch_interr_set : in std_logic ; -- mm2s_ftch_slverr_set : in std_logic ; -- mm2s_ftch_decerr_set : in std_logic ; -- mm2s_updt_interr_set : in std_logic ; -- mm2s_updt_slverr_set : in std_logic ; -- mm2s_updt_decerr_set : in std_logic ; -- mm2s_new_curdesc_wren : in std_logic ; -- mm2s_new_curdesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- mm2s_dlyirq_dsble : out std_logic ; -- CR605888 -- mm2s_irqthresh_rstdsbl : out std_logic ; -- CR572013 -- mm2s_irqthresh_wren : out std_logic ; -- mm2s_irqdelay_wren : out std_logic ; -- mm2s_tailpntr_updated : out std_logic ; -- mm2s_dmacr : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- mm2s_dmasr : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- mm2s_curdesc : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- mm2s_taildesc : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- mm2s_sa : out std_logic_vector -- (C_M_AXI_MM2S_ADDR_WIDTH-1 downto 0); -- mm2s_length : out std_logic_vector -- (C_SG_LENGTH_WIDTH-1 downto 0) ; -- mm2s_length_wren : out std_logic ; -- -- -- S2MM Signals -- tdest_in : in std_logic_vector (6 downto 0) ; same_tdest_in : in std_logic; sg_ctl : out std_logic_vector (7 downto 0) ; s2mm_sof : in std_logic ; s2mm_eof : in std_logic ; s2mm_stop : in std_logic ; -- s2mm_halted_clr : in std_logic ; -- s2mm_halted_set : in std_logic ; -- s2mm_idle_set : in std_logic ; -- s2mm_idle_clr : in std_logic ; -- s2mm_dma_interr_set : in std_logic ; -- s2mm_dma_slverr_set : in std_logic ; -- s2mm_dma_decerr_set : in std_logic ; -- s2mm_ioc_irq_set : in std_logic ; -- s2mm_dly_irq_set : in std_logic ; -- s2mm_irqdelay_status : in std_logic_vector(7 downto 0) ; -- s2mm_irqthresh_status : in std_logic_vector(7 downto 0) ; -- s2mm_ftch_interr_set : in std_logic ; -- s2mm_ftch_slverr_set : in std_logic ; -- s2mm_ftch_decerr_set : in std_logic ; -- s2mm_updt_interr_set : in std_logic ; -- s2mm_updt_slverr_set : in std_logic ; -- s2mm_updt_decerr_set : in std_logic ; -- s2mm_new_curdesc_wren : in std_logic ; -- s2mm_new_curdesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- s2mm_tvalid : in std_logic; s2mm_dlyirq_dsble : out std_logic ; -- CR605888 -- s2mm_irqthresh_rstdsbl : out std_logic ; -- CR572013 -- s2mm_irqthresh_wren : out std_logic ; -- s2mm_irqdelay_wren : out std_logic ; -- s2mm_tailpntr_updated : out std_logic ; -- s2mm_tvalid_latch : out std_logic ; s2mm_tvalid_latch_del : out std_logic ; s2mm_dmacr : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- s2mm_dmasr : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- s2mm_curdesc : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- s2mm_taildesc : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- s2mm_da : out std_logic_vector -- (C_M_AXI_S2MM_ADDR_WIDTH-1 downto 0); -- s2mm_length : out std_logic_vector -- (C_SG_LENGTH_WIDTH-1 downto 0) ; -- s2mm_length_wren : out std_logic ; -- s2mm_bytes_rcvd : in std_logic_vector -- (C_SG_LENGTH_WIDTH-1 downto 0) ; -- s2mm_bytes_rcvd_wren : in std_logic ; -- -- soft_reset : out std_logic ; -- soft_reset_clr : in std_logic ; -- -- -- Fetch/Update error addresses -- ftch_error_addr : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- updt_error_addr : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- mm2s_introut : out std_logic ; -- s2mm_introut : out std_logic -- ); end axi_dma_reg_module; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_dma_reg_module is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ATTRIBUTE async_reg : STRING; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- constant LENGTH_PAD_WIDTH : integer := C_S_AXI_LITE_DATA_WIDTH - C_SG_LENGTH_WIDTH; constant LENGTH_PAD : std_logic_vector(LENGTH_PAD_WIDTH-1 downto 0) := (others => '0'); constant ZERO_BYTES : std_logic_vector(C_SG_LENGTH_WIDTH-1 downto 0) := (others => '0'); constant NUM_REG_PER_S2MM_INT : integer := NUM_REG_PER_CHANNEL + (NUM_REG_PER_S2MM*C_ENABLE_MULTI_CHANNEL); -- Specifies to axi_dma_register which block belongs to S2MM channel -- so simple dma s2mm_da register offset can be correctly assigned -- CR603034 --constant NOT_S2MM_CHANNEL : integer := 0; --constant IS_S2MM_CHANNEL : integer := 1; ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal axi2ip_wrce : std_logic_vector(23+(120*C_ENABLE_MULTI_CHANNEL) - 1 downto 0) := (others => '0'); signal axi2ip_wrdata : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal axi2ip_rdce : std_logic_vector(23+(120*C_ENABLE_MULTI_CHANNEL) - 1 downto 0) := (others => '0'); signal axi2ip_rdaddr : std_logic_vector(C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) := (others => '0'); signal ip2axi_rddata : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal mm2s_dmacr_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal mm2s_dmasr_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal mm2s_curdesc_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal mm2s_curdesc_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal mm2s_taildesc_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal mm2s_taildesc_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal mm2s_sa_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal mm2s_length_i : std_logic_vector(C_SG_LENGTH_WIDTH-1 downto 0) := (others => '0'); signal mm2s_error_in : std_logic := '0'; signal mm2s_error_out : std_logic := '0'; signal s2mm_curdesc_int : std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- signal s2mm_taildesc_int : std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- signal s2mm_curdesc_int2 : std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- signal s2mm_taildesc_int2 : std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- signal s2mm_taildesc_int3 : std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- signal s2mm_dmacr_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_dmasr_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc1_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc1_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc1_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc1_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc2_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc2_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc2_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc2_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc3_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc3_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc3_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc3_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc4_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc4_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc4_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc4_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc5_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc5_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc5_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc5_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc6_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc6_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc6_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc6_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc7_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc7_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc7_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc7_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc8_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc8_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc8_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc8_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc9_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc9_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc9_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc9_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc10_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc10_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc10_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc10_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc11_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc11_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc11_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc11_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc12_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc12_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc12_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc12_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc13_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc13_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc13_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc13_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc14_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc14_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc14_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc14_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc15_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc15_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc15_lsb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc15_msb_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc_lsb_muxed : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc_msb_muxed : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc_lsb_muxed : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc_msb_muxed : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_da_i : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_length_i : std_logic_vector(C_SG_LENGTH_WIDTH-1 downto 0) := (others => '0'); signal s2mm_error_in : std_logic := '0'; signal s2mm_error_out : std_logic := '0'; signal read_addr : std_logic_vector(9 downto 0) := (others => '0'); signal mm2s_introut_i_cdc_from : std_logic := '0'; signal mm2s_introut_d1_cdc_tig : std_logic := '0'; signal mm2s_introut_to : std_logic := '0'; signal s2mm_introut_i_cdc_from : std_logic := '0'; signal s2mm_introut_d1_cdc_tig : std_logic := '0'; signal s2mm_introut_to : std_logic := '0'; signal mm2s_sgctl : std_logic_vector (7 downto 0); signal s2mm_sgctl : std_logic_vector (7 downto 0); signal or_sgctl : std_logic_vector (7 downto 0); signal open_window, wren : std_logic; signal s2mm_tailpntr_updated_int : std_logic; signal s2mm_tailpntr_updated_int1 : std_logic; signal s2mm_tailpntr_updated_int2 : std_logic; signal s2mm_tailpntr_updated_int3 : std_logic; signal tvalid_int : std_logic; signal tvalid_int1 : std_logic; signal tvalid_int2 : std_logic; signal new_tdest : std_logic; signal tvalid_latch : std_logic; signal tdest_changed : std_logic; signal tdest_fix : std_logic_vector (4 downto 0); signal same_tdest_int1 : std_logic; signal same_tdest_int2 : std_logic; signal same_tdest_int3 : std_logic; signal same_tdest_arrived : std_logic; --ATTRIBUTE async_reg OF mm2s_introut_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF s2mm_introut_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF mm2s_introut_to : SIGNAL IS "true"; --ATTRIBUTE async_reg OF s2mm_introut_to : SIGNAL IS "true"; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin or_sgctl <= mm2s_sgctl or s2mm_sgctl; sg_ctl <= mm2s_sgctl or s2mm_sgctl; mm2s_dmacr <= mm2s_dmacr_i; -- MM2S DMA Control Register mm2s_dmasr <= mm2s_dmasr_i; -- MM2S DMA Status Register mm2s_sa <= mm2s_sa_i; -- MM2S Source Address (Simple Only) mm2s_length <= mm2s_length_i; -- MM2S Length (Simple Only) s2mm_dmacr <= s2mm_dmacr_i; -- S2MM DMA Control Register s2mm_dmasr <= s2mm_dmasr_i; -- S2MM DMA Status Register s2mm_da <= s2mm_da_i; -- S2MM Destination Address (Simple Only) s2mm_length <= s2mm_length_i; -- S2MM Length (Simple Only) -- Soft reset set in mm2s DMACR or s2MM DMACR soft_reset <= mm2s_dmacr_i(DMACR_RESET_BIT) or s2mm_dmacr_i(DMACR_RESET_BIT); -- CR572013 - added to match legacy SDMA operation mm2s_irqthresh_rstdsbl <= not mm2s_dmacr_i(DMACR_DLY_IRQEN_BIT); s2mm_irqthresh_rstdsbl <= not s2mm_dmacr_i(DMACR_DLY_IRQEN_BIT); --GEN_S2MM_TDEST : if (C_NUM_S2MM_CHANNELS > 1) generate GEN_S2MM_TDEST : if (C_ENABLE_MULTI_CHANNEL = 1 and C_INCLUDE_S2MM = 1) generate begin PROC_WREN : process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (m_axi_sg_aresetn = '0') then s2mm_taildesc_int3 <= (others => '0'); s2mm_tailpntr_updated_int <= '0'; s2mm_tailpntr_updated_int2 <= '0'; s2mm_tailpntr_updated <= '0'; else -- (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then -- s2mm_tailpntr_updated_int <= new_tdest or same_tdest_arrived; -- s2mm_tailpntr_updated_int2 <= s2mm_tailpntr_updated_int; -- s2mm_tailpntr_updated <= s2mm_tailpntr_updated_int2; -- Commenting this code as it is causing SG to start early s2mm_tailpntr_updated_int <= new_tdest or s2mm_tailpntr_updated_int1 or same_tdest_arrived; s2mm_tailpntr_updated_int2 <= s2mm_tailpntr_updated_int; s2mm_tailpntr_updated <= s2mm_tailpntr_updated_int2; end if; end if; end process PROC_WREN; -- this is always '1' as MCH needs to have all desc reg programmed before hand --s2mm_tailpntr_updated_int3_i <= s2mm_tailpntr_updated_int2_i and (not s2mm_tailpntr_updated_int_i); -- and tvalid_latch; tdest_fix <= "11111"; new_tdest <= tvalid_int1 xor tvalid_int2; process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (m_axi_sg_aresetn = '0') then tvalid_int <= '0'; tvalid_int1 <= '0'; tvalid_int2 <= '0'; tvalid_latch <= '0'; else --if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then tvalid_int <= tdest_in (6); --s2mm_tvalid; tvalid_int1 <= tvalid_int; tvalid_int2 <= tvalid_int1; s2mm_tvalid_latch_del <= tvalid_latch; if (new_tdest = '1') then tvalid_latch <= '0'; else tvalid_latch <= '1'; end if; end if; end if; end process; -- will trigger tailptrupdtd and it will then get SG out of pause same_tdest_arrived <= same_tdest_int2 xor same_tdest_int3; process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (m_axi_sg_aresetn = '0') then same_tdest_int1 <= '0'; same_tdest_int2 <= '0'; same_tdest_int3 <= '0'; else --if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then same_tdest_int1 <= same_tdest_in; same_tdest_int2 <= same_tdest_int1; same_tdest_int3 <= same_tdest_int2; end if; end if; end process; -- process (m_axi_sg_aclk) -- begin -- if (m_axi_sg_aresetn = '0') then -- tvalid_int <= '0'; -- tvalid_int1 <= '0'; -- tvalid_latch <= '0'; -- tdest_in_int <= (others => '0'); -- elsif (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then -- tvalid_int <= s2mm_tvalid; -- tvalid_int1 <= tvalid_int; -- tdest_in_int <= tdest_in; -- -- if (tvalid_int1 = '1' and (tdest_in_int /= tdest_in)) then -- if (tvalid_int1 = '1' and tdest_in_int = "00000" and (tdest_in_int = tdest_in)) then -- tvalid_latch <= '1'; -- elsif (tvalid_int1 = '1' and (tdest_in_int /= tdest_in)) then -- tvalid_latch <= '0'; -- elsif (tvalid_int1 = '1' and (tdest_in_int = tdest_in)) then -- tvalid_latch <= '1'; -- end if; -- end if; -- end process; s2mm_tvalid_latch <= tvalid_latch; PROC_TDEST_IN : process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (m_axi_sg_aresetn = '0') then s2mm_curdesc_int2 <= (others => '0'); s2mm_taildesc_int2 <= (others => '0'); else --if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then s2mm_curdesc_int2 <= s2mm_curdesc_int; s2mm_taildesc_int2 <= s2mm_taildesc_int; end if; end if; end process PROC_TDEST_IN; s2mm_curdesc <= s2mm_curdesc_int2; s2mm_taildesc <= s2mm_taildesc_int2; end generate GEN_S2MM_TDEST; GEN_S2MM_NO_TDEST : if (C_ENABLE_MULTI_CHANNEL = 0) generate --GEN_S2MM_NO_TDEST : if (C_NUM_S2MM_CHANNELS = 1 and C_ENABLE_MULTI_CHANNEL = 0) generate begin s2mm_tailpntr_updated <= s2mm_tailpntr_updated_int1; s2mm_curdesc <= s2mm_curdesc_int; s2mm_taildesc <= s2mm_taildesc_int; s2mm_tvalid_latch <= '1'; s2mm_tvalid_latch_del <= '1'; end generate GEN_S2MM_NO_TDEST; -- For 32 bit address map only lsb registers out GEN_DESC_ADDR_EQL32 : if C_M_AXI_SG_ADDR_WIDTH = 32 generate begin mm2s_curdesc <= mm2s_curdesc_lsb_i; mm2s_taildesc <= mm2s_taildesc_lsb_i; s2mm_curdesc_int <= s2mm_curdesc_lsb_muxed; s2mm_taildesc_int <= s2mm_taildesc_lsb_muxed; end generate GEN_DESC_ADDR_EQL32; -- For 64 bit address map lsb and msb registers out GEN_DESC_ADDR_EQL64 : if C_M_AXI_SG_ADDR_WIDTH = 64 generate begin mm2s_curdesc <= mm2s_curdesc_msb_i & mm2s_curdesc_lsb_i; mm2s_taildesc <= mm2s_taildesc_msb_i & mm2s_taildesc_lsb_i; s2mm_curdesc_int <= s2mm_curdesc_msb_muxed & s2mm_curdesc_lsb_muxed; s2mm_taildesc_int <= s2mm_taildesc_msb_muxed & s2mm_taildesc_lsb_muxed; end generate GEN_DESC_ADDR_EQL64; ------------------------------------------------------------------------------- -- Generate AXI Lite Inteface ------------------------------------------------------------------------------- GEN_AXI_LITE_IF : if C_INCLUDE_MM2S = 1 or C_INCLUDE_S2MM = 1 generate begin AXI_LITE_IF_I : entity axi_dma_v7_1.axi_dma_lite_if generic map( C_NUM_CE => 23+(120*C_ENABLE_MULTI_CHANNEL) , C_AXI_LITE_IS_ASYNC => C_AXI_LITE_IS_ASYNC , C_S_AXI_LITE_ADDR_WIDTH => C_S_AXI_LITE_ADDR_WIDTH , C_S_AXI_LITE_DATA_WIDTH => C_S_AXI_LITE_DATA_WIDTH ) port map( ip2axi_aclk => m_axi_sg_aclk , ip2axi_aresetn => m_axi_sg_hrdresetn , s_axi_lite_aclk => s_axi_lite_aclk , s_axi_lite_aresetn => axi_lite_reset_n , -- AXI Lite Write Address Channel s_axi_lite_awvalid => s_axi_lite_awvalid , s_axi_lite_awready => s_axi_lite_awready , s_axi_lite_awaddr => s_axi_lite_awaddr , -- AXI Lite Write Data Channel s_axi_lite_wvalid => s_axi_lite_wvalid , s_axi_lite_wready => s_axi_lite_wready , s_axi_lite_wdata => s_axi_lite_wdata , -- AXI Lite Write Response Channel s_axi_lite_bresp => s_axi_lite_bresp , s_axi_lite_bvalid => s_axi_lite_bvalid , s_axi_lite_bready => s_axi_lite_bready , -- AXI Lite Read Address Channel s_axi_lite_arvalid => s_axi_lite_arvalid , s_axi_lite_arready => s_axi_lite_arready , s_axi_lite_araddr => s_axi_lite_araddr , s_axi_lite_rvalid => s_axi_lite_rvalid , s_axi_lite_rready => s_axi_lite_rready , s_axi_lite_rdata => s_axi_lite_rdata , s_axi_lite_rresp => s_axi_lite_rresp , -- User IP Interface axi2ip_wrce => axi2ip_wrce , axi2ip_wrdata => axi2ip_wrdata , axi2ip_rdce => open , axi2ip_rdaddr => axi2ip_rdaddr , ip2axi_rddata => ip2axi_rddata ); end generate GEN_AXI_LITE_IF; ------------------------------------------------------------------------------- -- No channels therefore do not generate an AXI Lite interface ------------------------------------------------------------------------------- GEN_NO_AXI_LITE_IF : if C_INCLUDE_MM2S = 0 and C_INCLUDE_S2MM = 0 generate begin s_axi_lite_awready <= '0'; s_axi_lite_wready <= '0'; s_axi_lite_bresp <= (others => '0'); s_axi_lite_bvalid <= '0'; s_axi_lite_arready <= '0'; s_axi_lite_rvalid <= '0'; s_axi_lite_rdata <= (others => '0'); s_axi_lite_rresp <= (others => '0'); end generate GEN_NO_AXI_LITE_IF; ------------------------------------------------------------------------------- -- Generate MM2S Registers if included ------------------------------------------------------------------------------- GEN_MM2S_REGISTERS : if C_INCLUDE_MM2S = 1 generate begin I_MM2S_DMA_REGISTER : entity axi_dma_v7_1.axi_dma_register generic map ( C_NUM_REGISTERS => NUM_REG_PER_CHANNEL , C_INCLUDE_SG => C_INCLUDE_SG , C_SG_LENGTH_WIDTH => C_SG_LENGTH_WIDTH , C_S_AXI_LITE_DATA_WIDTH => C_S_AXI_LITE_DATA_WIDTH , C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_MICRO_DMA => C_MICRO_DMA , C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL -- C_NUM_S2MM_CHANNELS => 1 --C_S2MM_NUM_CHANNELS --C_CHANNEL_IS_S2MM => NOT_S2MM_CHANNEL CR603034 ) port map( -- Secondary Clock / Reset m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , -- CPU Write Control (via AXI Lite) axi2ip_wrdata => axi2ip_wrdata , axi2ip_wrce => axi2ip_wrce (RESERVED_2C_INDEX downto MM2S_DMACR_INDEX), --(MM2S_LENGTH_INDEX -- DMASR Register bit control/status stop_dma => mm2s_stop , halted_clr => mm2s_halted_clr , halted_set => mm2s_halted_set , idle_set => mm2s_idle_set , idle_clr => mm2s_idle_clr , ioc_irq_set => mm2s_ioc_irq_set , dly_irq_set => mm2s_dly_irq_set , irqdelay_status => mm2s_irqdelay_status , irqthresh_status => mm2s_irqthresh_status , -- SG Error Control ftch_interr_set => mm2s_ftch_interr_set , ftch_slverr_set => mm2s_ftch_slverr_set , ftch_decerr_set => mm2s_ftch_decerr_set , ftch_error_addr => ftch_error_addr , updt_interr_set => mm2s_updt_interr_set , updt_slverr_set => mm2s_updt_slverr_set , updt_decerr_set => mm2s_updt_decerr_set , updt_error_addr => updt_error_addr , dma_interr_set => mm2s_dma_interr_set , dma_slverr_set => mm2s_dma_slverr_set , dma_decerr_set => mm2s_dma_decerr_set , irqthresh_wren => mm2s_irqthresh_wren , irqdelay_wren => mm2s_irqdelay_wren , dlyirq_dsble => mm2s_dlyirq_dsble , -- CR605888 error_in => s2mm_error_out , error_out => mm2s_error_out , introut => mm2s_introut_i_cdc_from , soft_reset_in => s2mm_dmacr_i(DMACR_RESET_BIT), soft_reset_clr => soft_reset_clr , -- CURDESC Update update_curdesc => mm2s_new_curdesc_wren , new_curdesc => mm2s_new_curdesc , -- TAILDESC Update tailpntr_updated => mm2s_tailpntr_updated , -- Channel Registers sg_ctl => mm2s_sgctl , dmacr => mm2s_dmacr_i , dmasr => mm2s_dmasr_i , curdesc_lsb => mm2s_curdesc_lsb_i , curdesc_msb => mm2s_curdesc_msb_i , taildesc_lsb => mm2s_taildesc_lsb_i , taildesc_msb => mm2s_taildesc_msb_i , -- curdesc1_lsb => open , -- curdesc1_msb => open , -- taildesc1_lsb => open , -- taildesc1_msb => open , -- curdesc2_lsb => open , -- curdesc2_msb => open , -- taildesc2_lsb => open , -- taildesc2_msb => open , -- -- curdesc3_lsb => open , -- curdesc3_msb => open , -- taildesc3_lsb => open , -- taildesc3_msb => open , -- -- curdesc4_lsb => open , -- curdesc4_msb => open , -- taildesc4_lsb => open , -- taildesc4_msb => open , -- -- curdesc5_lsb => open , -- curdesc5_msb => open , -- taildesc5_lsb => open , -- taildesc5_msb => open , -- -- curdesc6_lsb => open , -- curdesc6_msb => open , -- taildesc6_lsb => open , -- taildesc6_msb => open , -- -- curdesc7_lsb => open , -- curdesc7_msb => open , -- taildesc7_lsb => open , -- taildesc7_msb => open , -- -- curdesc8_lsb => open , -- curdesc8_msb => open , -- taildesc8_lsb => open , -- taildesc8_msb => open , -- -- curdesc9_lsb => open , -- curdesc9_msb => open , -- taildesc9_lsb => open , -- taildesc9_msb => open , -- -- curdesc10_lsb => open , -- curdesc10_msb => open , -- taildesc10_lsb => open , -- taildesc10_msb => open , -- -- curdesc11_lsb => open , -- curdesc11_msb => open , -- taildesc11_lsb => open , -- taildesc11_msb => open , -- -- curdesc12_lsb => open , -- curdesc12_msb => open , -- taildesc12_lsb => open , -- taildesc12_msb => open , -- -- curdesc13_lsb => open , -- curdesc13_msb => open , -- taildesc13_lsb => open , -- taildesc13_msb => open , -- -- curdesc14_lsb => open , -- curdesc14_msb => open , -- taildesc14_lsb => open , -- taildesc14_msb => open , -- -- -- curdesc15_lsb => open , -- curdesc15_msb => open , -- taildesc15_lsb => open , -- taildesc15_msb => open , -- -- tdest_in => "00000" , buffer_address => mm2s_sa_i , buffer_length => mm2s_length_i , buffer_length_wren => mm2s_length_wren , bytes_received => ZERO_BYTES , -- Not used on transmit bytes_received_wren => '0' -- Not used on transmit ); -- If async clocks then cross interrupt out to AXI Lite clock domain GEN_INTROUT_ASYNC : if C_AXI_LITE_IS_ASYNC = 1 generate begin PROC_REG_INTR2LITE : entity proc_common_v4_0.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => mm2s_introut_i_cdc_from, prmry_vect_in => (others => '0'), scndry_aclk => s_axi_lite_aclk, scndry_resetn => '0', scndry_out => mm2s_introut_to, scndry_vect_out => open ); -- PROC_REG_INTR2LITE : process(s_axi_lite_aclk) -- begin -- if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then -- -- if(axi_lite_reset_n = '0')then -- -- mm2s_introut_d1_cdc_tig <= '0'; -- -- mm2s_introut_to <= '0'; -- -- else -- mm2s_introut_d1_cdc_tig <= mm2s_introut_i_cdc_from; -- mm2s_introut_to <= mm2s_introut_d1_cdc_tig; -- -- end if; -- end if; -- end process PROC_REG_INTR2LITE; mm2s_introut <= mm2s_introut_to; end generate GEN_INTROUT_ASYNC; -- If sync then simply pass out GEN_INTROUT_SYNC : if C_AXI_LITE_IS_ASYNC = 0 generate begin mm2s_introut <= mm2s_introut_i_cdc_from; end generate GEN_INTROUT_SYNC; end generate GEN_MM2S_REGISTERS; ------------------------------------------------------------------------------- -- Tie MM2S Register outputs to zero if excluded ------------------------------------------------------------------------------- GEN_NO_MM2S_REGISTERS : if C_INCLUDE_MM2S = 0 generate begin mm2s_dmacr_i <= (others => '0'); mm2s_dmasr_i <= (others => '0'); mm2s_curdesc_lsb_i <= (others => '0'); mm2s_curdesc_msb_i <= (others => '0'); mm2s_taildesc_lsb_i <= (others => '0'); mm2s_taildesc_msb_i <= (others => '0'); mm2s_tailpntr_updated <= '0'; mm2s_sa_i <= (others => '0'); mm2s_length_i <= (others => '0'); mm2s_length_wren <= '0'; mm2s_irqthresh_wren <= '0'; mm2s_irqdelay_wren <= '0'; mm2s_tailpntr_updated <= '0'; mm2s_introut <= '0'; mm2s_sgctl <= (others => '0'); mm2s_dlyirq_dsble <= '0'; end generate GEN_NO_MM2S_REGISTERS; ------------------------------------------------------------------------------- -- Generate S2MM Registers if included ------------------------------------------------------------------------------- GEN_S2MM_REGISTERS : if C_INCLUDE_S2MM = 1 generate begin I_S2MM_DMA_REGISTER : entity axi_dma_v7_1.axi_dma_register_s2mm generic map ( C_NUM_REGISTERS => NUM_REG_PER_S2MM_INT, --NUM_REG_TOTAL, --NUM_REG_PER_CHANNEL , C_INCLUDE_SG => C_INCLUDE_SG , C_SG_LENGTH_WIDTH => C_SG_LENGTH_WIDTH , C_S_AXI_LITE_DATA_WIDTH => C_S_AXI_LITE_DATA_WIDTH , C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_NUM_S2MM_CHANNELS => C_NUM_S2MM_CHANNELS , C_MICRO_DMA => C_MICRO_DMA , C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL --C_CHANNEL_IS_S2MM => IS_S2MM_CHANNEL CR603034 ) port map( -- Secondary Clock / Reset m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , -- CPU Write Control (via AXI Lite) axi2ip_wrdata => axi2ip_wrdata , axi2ip_wrce => axi2ip_wrce ((23+(120*C_ENABLE_MULTI_CHANNEL)-1) downto RESERVED_2C_INDEX) , -- downto S2MM_DMACR_INDEX), --S2MM_LENGTH_INDEX -- DMASR Register bit control/status stop_dma => s2mm_stop , halted_clr => s2mm_halted_clr , halted_set => s2mm_halted_set , idle_set => s2mm_idle_set , idle_clr => s2mm_idle_clr , ioc_irq_set => s2mm_ioc_irq_set , dly_irq_set => s2mm_dly_irq_set , irqdelay_status => s2mm_irqdelay_status , irqthresh_status => s2mm_irqthresh_status , -- SG Error Control dma_interr_set => s2mm_dma_interr_set , dma_slverr_set => s2mm_dma_slverr_set , dma_decerr_set => s2mm_dma_decerr_set , ftch_interr_set => s2mm_ftch_interr_set , ftch_slverr_set => s2mm_ftch_slverr_set , ftch_decerr_set => s2mm_ftch_decerr_set , ftch_error_addr => ftch_error_addr , updt_interr_set => s2mm_updt_interr_set , updt_slverr_set => s2mm_updt_slverr_set , updt_decerr_set => s2mm_updt_decerr_set , updt_error_addr => updt_error_addr , irqthresh_wren => s2mm_irqthresh_wren , irqdelay_wren => s2mm_irqdelay_wren , dlyirq_dsble => s2mm_dlyirq_dsble , -- CR605888 error_in => mm2s_error_out , error_out => s2mm_error_out , introut => s2mm_introut_i_cdc_from , soft_reset_in => mm2s_dmacr_i(DMACR_RESET_BIT), soft_reset_clr => soft_reset_clr , -- CURDESC Update update_curdesc => s2mm_new_curdesc_wren , new_curdesc => s2mm_new_curdesc , -- TAILDESC Update tailpntr_updated => s2mm_tailpntr_updated_int1 , -- Channel Registers sg_ctl => s2mm_sgctl , dmacr => s2mm_dmacr_i , dmasr => s2mm_dmasr_i , curdesc_lsb => s2mm_curdesc_lsb_i , curdesc_msb => s2mm_curdesc_msb_i , taildesc_lsb => s2mm_taildesc_lsb_i , taildesc_msb => s2mm_taildesc_msb_i , curdesc1_lsb => s2mm_curdesc1_lsb_i , curdesc1_msb => s2mm_curdesc1_msb_i , taildesc1_lsb => s2mm_taildesc1_lsb_i , taildesc1_msb => s2mm_taildesc1_msb_i , curdesc2_lsb => s2mm_curdesc2_lsb_i , curdesc2_msb => s2mm_curdesc2_msb_i , taildesc2_lsb => s2mm_taildesc2_lsb_i , taildesc2_msb => s2mm_taildesc2_msb_i , curdesc3_lsb => s2mm_curdesc3_lsb_i , curdesc3_msb => s2mm_curdesc3_msb_i , taildesc3_lsb => s2mm_taildesc3_lsb_i , taildesc3_msb => s2mm_taildesc3_msb_i , curdesc4_lsb => s2mm_curdesc4_lsb_i , curdesc4_msb => s2mm_curdesc4_msb_i , taildesc4_lsb => s2mm_taildesc4_lsb_i , taildesc4_msb => s2mm_taildesc4_msb_i , curdesc5_lsb => s2mm_curdesc5_lsb_i , curdesc5_msb => s2mm_curdesc5_msb_i , taildesc5_lsb => s2mm_taildesc5_lsb_i , taildesc5_msb => s2mm_taildesc5_msb_i , curdesc6_lsb => s2mm_curdesc6_lsb_i , curdesc6_msb => s2mm_curdesc6_msb_i , taildesc6_lsb => s2mm_taildesc6_lsb_i , taildesc6_msb => s2mm_taildesc6_msb_i , curdesc7_lsb => s2mm_curdesc7_lsb_i , curdesc7_msb => s2mm_curdesc7_msb_i , taildesc7_lsb => s2mm_taildesc7_lsb_i , taildesc7_msb => s2mm_taildesc7_msb_i , curdesc8_lsb => s2mm_curdesc8_lsb_i , curdesc8_msb => s2mm_curdesc8_msb_i , taildesc8_lsb => s2mm_taildesc8_lsb_i , taildesc8_msb => s2mm_taildesc8_msb_i , curdesc9_lsb => s2mm_curdesc9_lsb_i , curdesc9_msb => s2mm_curdesc9_msb_i , taildesc9_lsb => s2mm_taildesc9_lsb_i , taildesc9_msb => s2mm_taildesc9_msb_i , curdesc10_lsb => s2mm_curdesc10_lsb_i , curdesc10_msb => s2mm_curdesc10_msb_i , taildesc10_lsb => s2mm_taildesc10_lsb_i , taildesc10_msb => s2mm_taildesc10_msb_i , curdesc11_lsb => s2mm_curdesc11_lsb_i , curdesc11_msb => s2mm_curdesc11_msb_i , taildesc11_lsb => s2mm_taildesc11_lsb_i , taildesc11_msb => s2mm_taildesc11_msb_i , curdesc12_lsb => s2mm_curdesc12_lsb_i , curdesc12_msb => s2mm_curdesc12_msb_i , taildesc12_lsb => s2mm_taildesc12_lsb_i , taildesc12_msb => s2mm_taildesc12_msb_i , curdesc13_lsb => s2mm_curdesc13_lsb_i , curdesc13_msb => s2mm_curdesc13_msb_i , taildesc13_lsb => s2mm_taildesc13_lsb_i , taildesc13_msb => s2mm_taildesc13_msb_i , curdesc14_lsb => s2mm_curdesc14_lsb_i , curdesc14_msb => s2mm_curdesc14_msb_i , taildesc14_lsb => s2mm_taildesc14_lsb_i , taildesc14_msb => s2mm_taildesc14_msb_i , curdesc15_lsb => s2mm_curdesc15_lsb_i , curdesc15_msb => s2mm_curdesc15_msb_i , taildesc15_lsb => s2mm_taildesc15_lsb_i , taildesc15_msb => s2mm_taildesc15_msb_i , tdest_in => tdest_in (5 downto 0) , buffer_address => s2mm_da_i , buffer_length => s2mm_length_i , buffer_length_wren => s2mm_length_wren , bytes_received => s2mm_bytes_rcvd , bytes_received_wren => s2mm_bytes_rcvd_wren ); GEN_DESC_MUX_SINGLE_CH : if C_NUM_S2MM_CHANNELS = 1 generate begin s2mm_curdesc_lsb_muxed <= s2mm_curdesc_lsb_i; s2mm_curdesc_msb_muxed <= s2mm_curdesc_msb_i; s2mm_taildesc_lsb_muxed <= s2mm_taildesc_lsb_i; s2mm_taildesc_msb_muxed <= s2mm_taildesc_msb_i; end generate GEN_DESC_MUX_SINGLE_CH; GEN_DESC_MUX : if C_NUM_S2MM_CHANNELS > 1 generate begin PROC_DESC_SEL : process (tdest_in, s2mm_curdesc_lsb_i,s2mm_curdesc_msb_i, s2mm_taildesc_lsb_i, s2mm_taildesc_msb_i, s2mm_curdesc1_lsb_i,s2mm_curdesc1_msb_i, s2mm_taildesc1_lsb_i, s2mm_taildesc1_msb_i, s2mm_curdesc2_lsb_i,s2mm_curdesc2_msb_i, s2mm_taildesc2_lsb_i, s2mm_taildesc2_msb_i, s2mm_curdesc3_lsb_i,s2mm_curdesc3_msb_i, s2mm_taildesc3_lsb_i, s2mm_taildesc3_msb_i, s2mm_curdesc4_lsb_i,s2mm_curdesc4_msb_i, s2mm_taildesc4_lsb_i, s2mm_taildesc4_msb_i, s2mm_curdesc5_lsb_i,s2mm_curdesc5_msb_i, s2mm_taildesc5_lsb_i, s2mm_taildesc5_msb_i, s2mm_curdesc6_lsb_i,s2mm_curdesc6_msb_i, s2mm_taildesc6_lsb_i, s2mm_taildesc6_msb_i, s2mm_curdesc7_lsb_i,s2mm_curdesc7_msb_i, s2mm_taildesc7_lsb_i, s2mm_taildesc7_msb_i, s2mm_curdesc8_lsb_i,s2mm_curdesc8_msb_i, s2mm_taildesc8_lsb_i, s2mm_taildesc8_msb_i, s2mm_curdesc9_lsb_i,s2mm_curdesc9_msb_i, s2mm_taildesc9_lsb_i, s2mm_taildesc9_msb_i, s2mm_curdesc10_lsb_i,s2mm_curdesc10_msb_i, s2mm_taildesc10_lsb_i, s2mm_taildesc10_msb_i, s2mm_curdesc11_lsb_i,s2mm_curdesc11_msb_i, s2mm_taildesc11_lsb_i, s2mm_taildesc11_msb_i, s2mm_curdesc12_lsb_i,s2mm_curdesc12_msb_i, s2mm_taildesc12_lsb_i, s2mm_taildesc12_msb_i, s2mm_curdesc13_lsb_i,s2mm_curdesc13_msb_i, s2mm_taildesc13_lsb_i, s2mm_taildesc13_msb_i, s2mm_curdesc14_lsb_i,s2mm_curdesc14_msb_i, s2mm_taildesc14_lsb_i, s2mm_taildesc14_msb_i, s2mm_curdesc15_lsb_i,s2mm_curdesc15_msb_i, s2mm_taildesc15_lsb_i, s2mm_taildesc15_msb_i ) begin case tdest_in (3 downto 0) is when "0000" => s2mm_curdesc_lsb_muxed <= s2mm_curdesc_lsb_i; s2mm_curdesc_msb_muxed <= s2mm_curdesc_msb_i; s2mm_taildesc_lsb_muxed <= s2mm_taildesc_lsb_i; s2mm_taildesc_msb_muxed <= s2mm_taildesc_msb_i; when "0001" => s2mm_curdesc_lsb_muxed <= s2mm_curdesc1_lsb_i; s2mm_curdesc_msb_muxed <= s2mm_curdesc1_msb_i; s2mm_taildesc_lsb_muxed <= s2mm_taildesc1_lsb_i; s2mm_taildesc_msb_muxed <= s2mm_taildesc1_msb_i; when "0010" => s2mm_curdesc_lsb_muxed <= s2mm_curdesc2_lsb_i; s2mm_curdesc_msb_muxed <= s2mm_curdesc2_msb_i; s2mm_taildesc_lsb_muxed <= s2mm_taildesc2_lsb_i; s2mm_taildesc_msb_muxed <= s2mm_taildesc2_msb_i; when "0011" => s2mm_curdesc_lsb_muxed <= s2mm_curdesc3_lsb_i; s2mm_curdesc_msb_muxed <= s2mm_curdesc3_msb_i; s2mm_taildesc_lsb_muxed <= s2mm_taildesc3_lsb_i; s2mm_taildesc_msb_muxed <= s2mm_taildesc3_msb_i; when "0100" => s2mm_curdesc_lsb_muxed <= s2mm_curdesc4_lsb_i; s2mm_curdesc_msb_muxed <= s2mm_curdesc4_msb_i; s2mm_taildesc_lsb_muxed <= s2mm_taildesc4_lsb_i; s2mm_taildesc_msb_muxed <= s2mm_taildesc4_msb_i; when "0101" => s2mm_curdesc_lsb_muxed <= s2mm_curdesc5_lsb_i; s2mm_curdesc_msb_muxed <= s2mm_curdesc5_msb_i; s2mm_taildesc_lsb_muxed <= s2mm_taildesc5_lsb_i; s2mm_taildesc_msb_muxed <= s2mm_taildesc5_msb_i; when "0110" => s2mm_curdesc_lsb_muxed <= s2mm_curdesc6_lsb_i; s2mm_curdesc_msb_muxed <= s2mm_curdesc6_msb_i; s2mm_taildesc_lsb_muxed <= s2mm_taildesc6_lsb_i; s2mm_taildesc_msb_muxed <= s2mm_taildesc6_msb_i; when "0111" => s2mm_curdesc_lsb_muxed <= s2mm_curdesc7_lsb_i; s2mm_curdesc_msb_muxed <= s2mm_curdesc7_msb_i; s2mm_taildesc_lsb_muxed <= s2mm_taildesc7_lsb_i; s2mm_taildesc_msb_muxed <= s2mm_taildesc7_msb_i; when "1000" => s2mm_curdesc_lsb_muxed <= s2mm_curdesc8_lsb_i; s2mm_curdesc_msb_muxed <= s2mm_curdesc8_msb_i; s2mm_taildesc_lsb_muxed <= s2mm_taildesc8_lsb_i; s2mm_taildesc_msb_muxed <= s2mm_taildesc8_msb_i; when "1001" => s2mm_curdesc_lsb_muxed <= s2mm_curdesc9_lsb_i; s2mm_curdesc_msb_muxed <= s2mm_curdesc9_msb_i; s2mm_taildesc_lsb_muxed <= s2mm_taildesc9_lsb_i; s2mm_taildesc_msb_muxed <= s2mm_taildesc9_msb_i; when "1010" => s2mm_curdesc_lsb_muxed <= s2mm_curdesc10_lsb_i; s2mm_curdesc_msb_muxed <= s2mm_curdesc10_msb_i; s2mm_taildesc_lsb_muxed <= s2mm_taildesc10_lsb_i; s2mm_taildesc_msb_muxed <= s2mm_taildesc10_msb_i; when "1011" => s2mm_curdesc_lsb_muxed <= s2mm_curdesc11_lsb_i; s2mm_curdesc_msb_muxed <= s2mm_curdesc11_msb_i; s2mm_taildesc_lsb_muxed <= s2mm_taildesc11_lsb_i; s2mm_taildesc_msb_muxed <= s2mm_taildesc11_msb_i; when "1100" => s2mm_curdesc_lsb_muxed <= s2mm_curdesc12_lsb_i; s2mm_curdesc_msb_muxed <= s2mm_curdesc12_msb_i; s2mm_taildesc_lsb_muxed <= s2mm_taildesc12_lsb_i; s2mm_taildesc_msb_muxed <= s2mm_taildesc12_msb_i; when "1101" => s2mm_curdesc_lsb_muxed <= s2mm_curdesc13_lsb_i; s2mm_curdesc_msb_muxed <= s2mm_curdesc13_msb_i; s2mm_taildesc_lsb_muxed <= s2mm_taildesc13_lsb_i; s2mm_taildesc_msb_muxed <= s2mm_taildesc13_msb_i; when "1110" => s2mm_curdesc_lsb_muxed <= s2mm_curdesc14_lsb_i; s2mm_curdesc_msb_muxed <= s2mm_curdesc14_msb_i; s2mm_taildesc_lsb_muxed <= s2mm_taildesc14_lsb_i; s2mm_taildesc_msb_muxed <= s2mm_taildesc14_msb_i; when "1111" => s2mm_curdesc_lsb_muxed <= s2mm_curdesc15_lsb_i; s2mm_curdesc_msb_muxed <= s2mm_curdesc15_msb_i; s2mm_taildesc_lsb_muxed <= s2mm_taildesc15_lsb_i; s2mm_taildesc_msb_muxed <= s2mm_taildesc15_msb_i; when others => s2mm_curdesc_lsb_muxed <= (others => '0'); s2mm_curdesc_msb_muxed <= (others => '0'); s2mm_taildesc_lsb_muxed <= (others => '0'); s2mm_taildesc_msb_muxed <= (others => '0'); end case; end process PROC_DESC_SEL; end generate GEN_DESC_MUX; -- If async clocks then cross interrupt out to AXI Lite clock domain GEN_INTROUT_ASYNC : if C_AXI_LITE_IS_ASYNC = 1 generate begin -- Cross interrupt out to AXI Lite clock domain PROC_REG_INTR2LITE : entity proc_common_v4_0.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => s2mm_introut_i_cdc_from, prmry_vect_in => (others => '0'), scndry_aclk => s_axi_lite_aclk, scndry_resetn => '0', scndry_out => s2mm_introut_to, scndry_vect_out => open ); -- PROC_REG_INTR2LITE : process(s_axi_lite_aclk) -- begin -- if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then -- if(axi_lite_reset_n = '0')then -- s2mm_introut_d1_cdc_tig <= '0'; -- s2mm_introut_to <= '0'; -- else -- s2mm_introut_d1_cdc_tig <= s2mm_introut_i_cdc_from; -- s2mm_introut_to <= s2mm_introut_d1_cdc_tig; -- end if; -- end if; -- end process PROC_REG_INTR2LITE; s2mm_introut <= s2mm_introut_to; end generate GEN_INTROUT_ASYNC; -- If sync then simply pass out GEN_INTROUT_SYNC : if C_AXI_LITE_IS_ASYNC = 0 generate begin s2mm_introut <= s2mm_introut_i_cdc_from; end generate GEN_INTROUT_SYNC; end generate GEN_S2MM_REGISTERS; ------------------------------------------------------------------------------- -- Tie S2MM Register outputs to zero if excluded ------------------------------------------------------------------------------- GEN_NO_S2MM_REGISTERS : if C_INCLUDE_S2MM = 0 generate begin s2mm_dmacr_i <= (others => '0'); s2mm_dmasr_i <= (others => '0'); s2mm_curdesc_lsb_i <= (others => '0'); s2mm_curdesc_msb_i <= (others => '0'); s2mm_taildesc_lsb_i <= (others => '0'); s2mm_taildesc_msb_i <= (others => '0'); s2mm_da_i <= (others => '0'); s2mm_length_i <= (others => '0'); s2mm_length_wren <= '0'; s2mm_tailpntr_updated <= '0'; s2mm_introut <= '0'; s2mm_irqthresh_wren <= '0'; s2mm_irqdelay_wren <= '0'; s2mm_tailpntr_updated <= '0'; s2mm_dlyirq_dsble <= '0'; s2mm_tailpntr_updated_int1 <= '0'; s2mm_sgctl <= (others => '0'); end generate GEN_NO_S2MM_REGISTERS; ------------------------------------------------------------------------------- -- AXI LITE READ MUX ------------------------------------------------------------------------------- read_addr <= axi2ip_rdaddr(9 downto 0); -- Generate read mux for Scatter Gather Mode GEN_READ_MUX_FOR_SG : if C_INCLUDE_SG = 1 generate begin AXI_LITE_READ_MUX : process(read_addr , mm2s_dmacr_i , mm2s_dmasr_i , mm2s_curdesc_lsb_i , mm2s_curdesc_msb_i , mm2s_taildesc_lsb_i , mm2s_taildesc_msb_i , s2mm_dmacr_i , s2mm_dmasr_i , s2mm_curdesc_lsb_i , s2mm_curdesc_msb_i , s2mm_taildesc_lsb_i , s2mm_taildesc_msb_i , s2mm_curdesc1_lsb_i , s2mm_curdesc1_msb_i , s2mm_taildesc1_lsb_i , s2mm_taildesc1_msb_i , s2mm_curdesc2_lsb_i , s2mm_curdesc2_msb_i , s2mm_taildesc2_lsb_i , s2mm_taildesc2_msb_i , s2mm_curdesc3_lsb_i , s2mm_curdesc3_msb_i , s2mm_taildesc3_lsb_i , s2mm_taildesc3_msb_i , s2mm_curdesc4_lsb_i , s2mm_curdesc4_msb_i , s2mm_taildesc4_lsb_i , s2mm_taildesc4_msb_i , s2mm_curdesc5_lsb_i , s2mm_curdesc5_msb_i , s2mm_taildesc5_lsb_i , s2mm_taildesc5_msb_i , s2mm_curdesc6_lsb_i , s2mm_curdesc6_msb_i , s2mm_taildesc6_lsb_i , s2mm_taildesc6_msb_i , s2mm_curdesc7_lsb_i , s2mm_curdesc7_msb_i , s2mm_taildesc7_lsb_i , s2mm_taildesc7_msb_i , s2mm_curdesc8_lsb_i , s2mm_curdesc8_msb_i , s2mm_taildesc8_lsb_i , s2mm_taildesc8_msb_i , s2mm_curdesc9_lsb_i , s2mm_curdesc9_msb_i , s2mm_taildesc9_lsb_i , s2mm_taildesc9_msb_i , s2mm_curdesc10_lsb_i , s2mm_curdesc10_msb_i , s2mm_taildesc10_lsb_i , s2mm_taildesc10_msb_i , s2mm_curdesc11_lsb_i , s2mm_curdesc11_msb_i , s2mm_taildesc11_lsb_i , s2mm_taildesc11_msb_i , s2mm_curdesc12_lsb_i , s2mm_curdesc12_msb_i , s2mm_taildesc12_lsb_i , s2mm_taildesc12_msb_i , s2mm_curdesc13_lsb_i , s2mm_curdesc13_msb_i , s2mm_taildesc13_lsb_i , s2mm_taildesc13_msb_i , s2mm_curdesc14_lsb_i , s2mm_curdesc14_msb_i , s2mm_taildesc14_lsb_i , s2mm_taildesc14_msb_i , s2mm_curdesc15_lsb_i , s2mm_curdesc15_msb_i , s2mm_taildesc15_lsb_i , s2mm_taildesc15_msb_i , or_sgctl ) begin case read_addr is when MM2S_DMACR_OFFSET => ip2axi_rddata <= mm2s_dmacr_i; when MM2S_DMASR_OFFSET => ip2axi_rddata <= mm2s_dmasr_i; when MM2S_CURDESC_LSB_OFFSET => ip2axi_rddata <= mm2s_curdesc_lsb_i; when MM2S_CURDESC_MSB_OFFSET => ip2axi_rddata <= mm2s_curdesc_msb_i; when MM2S_TAILDESC_LSB_OFFSET => ip2axi_rddata <= mm2s_taildesc_lsb_i; when MM2S_TAILDESC_MSB_OFFSET => ip2axi_rddata <= mm2s_taildesc_msb_i; when SGCTL_OFFSET => ip2axi_rddata <= x"00000" & or_sgctl (7 downto 4) & "0000" & or_sgctl (3 downto 0); when S2MM_DMACR_OFFSET => ip2axi_rddata <= s2mm_dmacr_i; when S2MM_DMASR_OFFSET => ip2axi_rddata <= s2mm_dmasr_i; when S2MM_CURDESC_LSB_OFFSET => ip2axi_rddata <= s2mm_curdesc_lsb_i; when S2MM_CURDESC_MSB_OFFSET => ip2axi_rddata <= s2mm_curdesc_msb_i; when S2MM_TAILDESC_LSB_OFFSET => ip2axi_rddata <= s2mm_taildesc_lsb_i; when S2MM_TAILDESC_MSB_OFFSET => ip2axi_rddata <= s2mm_taildesc_msb_i; when S2MM_CURDESC1_LSB_OFFSET => ip2axi_rddata <= s2mm_curdesc1_lsb_i; when S2MM_CURDESC1_MSB_OFFSET => ip2axi_rddata <= s2mm_curdesc1_msb_i; when S2MM_TAILDESC1_LSB_OFFSET => ip2axi_rddata <= s2mm_taildesc1_lsb_i; when S2MM_TAILDESC1_MSB_OFFSET => ip2axi_rddata <= s2mm_taildesc1_msb_i; when S2MM_CURDESC2_LSB_OFFSET => ip2axi_rddata <= s2mm_curdesc2_lsb_i; when S2MM_CURDESC2_MSB_OFFSET => ip2axi_rddata <= s2mm_curdesc2_msb_i; when S2MM_TAILDESC2_LSB_OFFSET => ip2axi_rddata <= s2mm_taildesc2_lsb_i; when S2MM_TAILDESC2_MSB_OFFSET => ip2axi_rddata <= s2mm_taildesc2_msb_i; when S2MM_CURDESC3_LSB_OFFSET => ip2axi_rddata <= s2mm_curdesc3_lsb_i; when S2MM_CURDESC3_MSB_OFFSET => ip2axi_rddata <= s2mm_curdesc3_msb_i; when S2MM_TAILDESC3_LSB_OFFSET => ip2axi_rddata <= s2mm_taildesc3_lsb_i; when S2MM_TAILDESC3_MSB_OFFSET => ip2axi_rddata <= s2mm_taildesc3_msb_i; when S2MM_CURDESC4_LSB_OFFSET => ip2axi_rddata <= s2mm_curdesc4_lsb_i; when S2MM_CURDESC4_MSB_OFFSET => ip2axi_rddata <= s2mm_curdesc4_msb_i; when S2MM_TAILDESC4_LSB_OFFSET => ip2axi_rddata <= s2mm_taildesc4_lsb_i; when S2MM_TAILDESC4_MSB_OFFSET => ip2axi_rddata <= s2mm_taildesc4_msb_i; when S2MM_CURDESC5_LSB_OFFSET => ip2axi_rddata <= s2mm_curdesc5_lsb_i; when S2MM_CURDESC5_MSB_OFFSET => ip2axi_rddata <= s2mm_curdesc5_msb_i; when S2MM_TAILDESC5_LSB_OFFSET => ip2axi_rddata <= s2mm_taildesc5_lsb_i; when S2MM_TAILDESC5_MSB_OFFSET => ip2axi_rddata <= s2mm_taildesc5_msb_i; when S2MM_CURDESC6_LSB_OFFSET => ip2axi_rddata <= s2mm_curdesc6_lsb_i; when S2MM_CURDESC6_MSB_OFFSET => ip2axi_rddata <= s2mm_curdesc6_msb_i; when S2MM_TAILDESC6_LSB_OFFSET => ip2axi_rddata <= s2mm_taildesc6_lsb_i; when S2MM_TAILDESC6_MSB_OFFSET => ip2axi_rddata <= s2mm_taildesc6_msb_i; when S2MM_CURDESC7_LSB_OFFSET => ip2axi_rddata <= s2mm_curdesc7_lsb_i; when S2MM_CURDESC7_MSB_OFFSET => ip2axi_rddata <= s2mm_curdesc7_msb_i; when S2MM_TAILDESC7_LSB_OFFSET => ip2axi_rddata <= s2mm_taildesc7_lsb_i; when S2MM_TAILDESC7_MSB_OFFSET => ip2axi_rddata <= s2mm_taildesc7_msb_i; when S2MM_CURDESC8_LSB_OFFSET => ip2axi_rddata <= s2mm_curdesc8_lsb_i; when S2MM_CURDESC8_MSB_OFFSET => ip2axi_rddata <= s2mm_curdesc8_msb_i; when S2MM_TAILDESC8_LSB_OFFSET => ip2axi_rddata <= s2mm_taildesc8_lsb_i; when S2MM_TAILDESC8_MSB_OFFSET => ip2axi_rddata <= s2mm_taildesc8_msb_i; when S2MM_CURDESC9_LSB_OFFSET => ip2axi_rddata <= s2mm_curdesc9_lsb_i; when S2MM_CURDESC9_MSB_OFFSET => ip2axi_rddata <= s2mm_curdesc9_msb_i; when S2MM_TAILDESC9_LSB_OFFSET => ip2axi_rddata <= s2mm_taildesc9_lsb_i; when S2MM_TAILDESC9_MSB_OFFSET => ip2axi_rddata <= s2mm_taildesc9_msb_i; when S2MM_CURDESC10_LSB_OFFSET => ip2axi_rddata <= s2mm_curdesc10_lsb_i; when S2MM_CURDESC10_MSB_OFFSET => ip2axi_rddata <= s2mm_curdesc10_msb_i; when S2MM_TAILDESC10_LSB_OFFSET => ip2axi_rddata <= s2mm_taildesc10_lsb_i; when S2MM_TAILDESC10_MSB_OFFSET => ip2axi_rddata <= s2mm_taildesc10_msb_i; when S2MM_CURDESC11_LSB_OFFSET => ip2axi_rddata <= s2mm_curdesc11_lsb_i; when S2MM_CURDESC11_MSB_OFFSET => ip2axi_rddata <= s2mm_curdesc11_msb_i; when S2MM_TAILDESC11_LSB_OFFSET => ip2axi_rddata <= s2mm_taildesc11_lsb_i; when S2MM_TAILDESC11_MSB_OFFSET => ip2axi_rddata <= s2mm_taildesc11_msb_i; when S2MM_CURDESC12_LSB_OFFSET => ip2axi_rddata <= s2mm_curdesc12_lsb_i; when S2MM_CURDESC12_MSB_OFFSET => ip2axi_rddata <= s2mm_curdesc12_msb_i; when S2MM_TAILDESC12_LSB_OFFSET => ip2axi_rddata <= s2mm_taildesc12_lsb_i; when S2MM_TAILDESC12_MSB_OFFSET => ip2axi_rddata <= s2mm_taildesc12_msb_i; when S2MM_CURDESC13_LSB_OFFSET => ip2axi_rddata <= s2mm_curdesc13_lsb_i; when S2MM_CURDESC13_MSB_OFFSET => ip2axi_rddata <= s2mm_curdesc13_msb_i; when S2MM_TAILDESC13_LSB_OFFSET => ip2axi_rddata <= s2mm_taildesc13_lsb_i; when S2MM_TAILDESC13_MSB_OFFSET => ip2axi_rddata <= s2mm_taildesc13_msb_i; when S2MM_CURDESC14_LSB_OFFSET => ip2axi_rddata <= s2mm_curdesc14_lsb_i; when S2MM_CURDESC14_MSB_OFFSET => ip2axi_rddata <= s2mm_curdesc14_msb_i; when S2MM_TAILDESC14_LSB_OFFSET => ip2axi_rddata <= s2mm_taildesc14_lsb_i; when S2MM_TAILDESC14_MSB_OFFSET => ip2axi_rddata <= s2mm_taildesc14_msb_i; when S2MM_CURDESC15_LSB_OFFSET => ip2axi_rddata <= s2mm_curdesc15_lsb_i; when S2MM_CURDESC15_MSB_OFFSET => ip2axi_rddata <= s2mm_curdesc15_msb_i; when S2MM_TAILDESC15_LSB_OFFSET => ip2axi_rddata <= s2mm_taildesc15_lsb_i; when S2MM_TAILDESC15_MSB_OFFSET => ip2axi_rddata <= s2mm_taildesc15_msb_i; -- coverage off when others => ip2axi_rddata <= (others => '0'); -- coverage on end case; end process AXI_LITE_READ_MUX; end generate GEN_READ_MUX_FOR_SG; -- Generate read mux for Simple DMA Mode GEN_READ_MUX_FOR_SMPL_DMA : if C_INCLUDE_SG = 0 generate begin AXI_LITE_READ_MUX : process(read_addr , mm2s_dmacr_i , mm2s_dmasr_i , mm2s_sa_i , mm2s_length_i , s2mm_dmacr_i , s2mm_dmasr_i , s2mm_da_i , s2mm_length_i ) begin case read_addr is when MM2S_DMACR_OFFSET => ip2axi_rddata <= mm2s_dmacr_i; when MM2S_DMASR_OFFSET => ip2axi_rddata <= mm2s_dmasr_i; when MM2S_SA_OFFSET => ip2axi_rddata <= mm2s_sa_i; when MM2S_LENGTH_OFFSET => ip2axi_rddata <= LENGTH_PAD & mm2s_length_i; when S2MM_DMACR_OFFSET => ip2axi_rddata <= s2mm_dmacr_i; when S2MM_DMASR_OFFSET => ip2axi_rddata <= s2mm_dmasr_i; when S2MM_DA_OFFSET => ip2axi_rddata <= s2mm_da_i; when S2MM_LENGTH_OFFSET => ip2axi_rddata <= LENGTH_PAD & s2mm_length_i; when others => ip2axi_rddata <= (others => '0'); end case; end process AXI_LITE_READ_MUX; end generate GEN_READ_MUX_FOR_SMPL_DMA; end implementation;
bsd-2-clause
0087dbf012ff1f2683d5467118037dc4
0.438016
3.716465
false
false
false
false
Yarr/Yarr-fw
rtl/spartan6/ddr3-core/ip_cores/ddr3_ctrl_spec_bank3_64b_32b/example_design/rtl/memc3_infrastructure.vhd
6
12,267
--***************************************************************************** -- (c) Copyright 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor : Xilinx -- \ \ \/ Version : 3.9 -- \ \ Application : MIG -- / / Filename : memc3_infrastructure.vhd -- /___/ /\ Date Last Modified : $Date: 2011/06/02 07:16:59 $ -- \ \ / \ Date Created : Jul 03 2009 -- \___\/\___\ -- --Device : Spartan-6 --Design Name : DDR/DDR2/DDR3/LPDDR --Purpose : Clock generation/distribution and reset synchronization --Reference : --Revision History : --***************************************************************************** library ieee; use ieee.std_logic_1164.all; library unisim; use unisim.vcomponents.all; entity memc3_infrastructure is generic ( C_INCLK_PERIOD : integer := 2500; C_RST_ACT_LOW : integer := 1; C_INPUT_CLK_TYPE : string := "DIFFERENTIAL"; C_CLKOUT0_DIVIDE : integer := 1; C_CLKOUT1_DIVIDE : integer := 1; C_CLKOUT2_DIVIDE : integer := 16; C_CLKOUT3_DIVIDE : integer := 8; C_CLKFBOUT_MULT : integer := 2; C_DIVCLK_DIVIDE : integer := 1 ); port ( sys_clk_p : in std_logic; sys_clk_n : in std_logic; sys_clk : in std_logic; sys_rst_i : in std_logic; clk0 : out std_logic; rst0 : out std_logic; async_rst : out std_logic; sysclk_2x : out std_logic; sysclk_2x_180 : out std_logic; mcb_drp_clk : out std_logic; pll_ce_0 : out std_logic; pll_ce_90 : out std_logic; pll_lock : out std_logic ); end entity; architecture syn of memc3_infrastructure is -- # of clock cycles to delay deassertion of reset. Needs to be a fairly -- high number not so much for metastability protection, but to give time -- for reset (i.e. stable clock cycles) to propagate through all state -- machines and to all control signals (i.e. not all control signals have -- resets, instead they rely on base state logic being reset, and the effect -- of that reset propagating through the logic). Need this because we may not -- be getting stable clock cycles while reset asserted (i.e. since reset -- depends on PLL/DCM lock status) constant RST_SYNC_NUM : integer := 25; constant CLK_PERIOD_NS : real := (real(C_INCLK_PERIOD)) / 1000.0; constant CLK_PERIOD_INT : integer := C_INCLK_PERIOD/1000; signal clk_2x_0 : std_logic; signal clk_2x_180 : std_logic; signal clk0_bufg : std_logic; signal clk0_bufg_in : std_logic; signal mcb_drp_clk_bufg_in : std_logic; signal clkfbout_clkfbin : std_logic; signal rst_tmp : std_logic; signal sys_clk_ibufg : std_logic; signal sys_rst : std_logic; signal rst0_sync_r : std_logic_vector(RST_SYNC_NUM-1 downto 0); signal powerup_pll_locked : std_logic; signal syn_clk0_powerup_pll_locked : std_logic; signal locked : std_logic; signal bufpll_mcb_locked : std_logic; signal mcb_drp_clk_sig : std_logic; attribute max_fanout : string; attribute syn_maxfan : integer; attribute KEEP : string; attribute max_fanout of rst0_sync_r : signal is "10"; attribute syn_maxfan of rst0_sync_r : signal is 10; attribute KEEP of sys_clk_ibufg : signal is "TRUE"; begin sys_rst <= not(sys_rst_i) when (C_RST_ACT_LOW /= 0) else sys_rst_i; clk0 <= clk0_bufg; pll_lock <= bufpll_mcb_locked; mcb_drp_clk <= mcb_drp_clk_sig; diff_input_clk : if(C_INPUT_CLK_TYPE = "DIFFERENTIAL") generate --*********************************************************************** -- Differential input clock input buffers --*********************************************************************** u_ibufg_sys_clk : IBUFGDS generic map ( DIFF_TERM => TRUE ) port map ( I => sys_clk_p, IB => sys_clk_n, O => sys_clk_ibufg ); end generate; se_input_clk : if(C_INPUT_CLK_TYPE = "SINGLE_ENDED") generate --*********************************************************************** -- SINGLE_ENDED input clock input buffers --*********************************************************************** u_ibufg_sys_clk : IBUFG port map ( I => sys_clk, O => sys_clk_ibufg ); end generate; --*************************************************************************** -- Global clock generation and distribution --*************************************************************************** u_pll_adv : PLL_ADV generic map ( BANDWIDTH => "OPTIMIZED", CLKIN1_PERIOD => CLK_PERIOD_NS, CLKIN2_PERIOD => CLK_PERIOD_NS, CLKOUT0_DIVIDE => C_CLKOUT0_DIVIDE, CLKOUT1_DIVIDE => C_CLKOUT1_DIVIDE, CLKOUT2_DIVIDE => C_CLKOUT2_DIVIDE, CLKOUT3_DIVIDE => C_CLKOUT3_DIVIDE, CLKOUT4_DIVIDE => 1, CLKOUT5_DIVIDE => 1, CLKOUT0_PHASE => 0.000, CLKOUT1_PHASE => 180.000, CLKOUT2_PHASE => 0.000, CLKOUT3_PHASE => 0.000, CLKOUT4_PHASE => 0.000, CLKOUT5_PHASE => 0.000, CLKOUT0_DUTY_CYCLE => 0.500, CLKOUT1_DUTY_CYCLE => 0.500, CLKOUT2_DUTY_CYCLE => 0.500, CLKOUT3_DUTY_CYCLE => 0.500, CLKOUT4_DUTY_CYCLE => 0.500, CLKOUT5_DUTY_CYCLE => 0.500, SIM_DEVICE => "SPARTAN6", COMPENSATION => "INTERNAL", DIVCLK_DIVIDE => C_DIVCLK_DIVIDE, CLKFBOUT_MULT => C_CLKFBOUT_MULT, CLKFBOUT_PHASE => 0.0, REF_JITTER => 0.005000 ) port map ( CLKFBIN => clkfbout_clkfbin, CLKINSEL => '1', CLKIN1 => sys_clk_ibufg, CLKIN2 => '0', DADDR => (others => '0'), DCLK => '0', DEN => '0', DI => (others => '0'), DWE => '0', REL => '0', RST => sys_rst, CLKFBDCM => open, CLKFBOUT => clkfbout_clkfbin, CLKOUTDCM0 => open, CLKOUTDCM1 => open, CLKOUTDCM2 => open, CLKOUTDCM3 => open, CLKOUTDCM4 => open, CLKOUTDCM5 => open, CLKOUT0 => clk_2x_0, CLKOUT1 => clk_2x_180, CLKOUT2 => clk0_bufg_in, CLKOUT3 => mcb_drp_clk_bufg_in, CLKOUT4 => open, CLKOUT5 => open, DO => open, DRDY => open, LOCKED => locked ); U_BUFG_CLK0 : BUFG port map ( O => clk0_bufg, I => clk0_bufg_in ); --U_BUFG_CLK1 : BUFG -- port map ( -- O => mcb_drp_clk_sig, -- I => mcb_drp_clk_bufg_in -- ); U_BUFG_CLK1 : BUFGCE port map ( O => mcb_drp_clk_sig, I => mcb_drp_clk_bufg_in, CE => locked ); process (mcb_drp_clk_sig, sys_rst) begin if(sys_rst = '1') then powerup_pll_locked <= '0'; elsif (mcb_drp_clk_sig'event and mcb_drp_clk_sig = '1') then if (bufpll_mcb_locked = '1') then powerup_pll_locked <= '1'; end if; end if; end process; process (clk0_bufg, sys_rst) begin if(sys_rst = '1') then syn_clk0_powerup_pll_locked <= '0'; elsif (clk0_bufg'event and clk0_bufg = '1') then if (bufpll_mcb_locked = '1') then syn_clk0_powerup_pll_locked <= '1'; end if; end if; end process; --*************************************************************************** -- Reset synchronization -- NOTES: -- 1. shut down the whole operation if the PLL hasn't yet locked (and -- by inference, this means that external sys_rst has been asserted - -- PLL deasserts LOCKED as soon as sys_rst asserted) -- 2. asynchronously assert reset. This was we can assert reset even if -- there is no clock (needed for things like 3-stating output buffers). -- reset deassertion is synchronous. -- 3. asynchronous reset only look at pll_lock from PLL during power up. After -- power up and pll_lock is asserted, the powerup_pll_locked will be asserted -- forever until sys_rst is asserted again. PLL will lose lock when FPGA -- enters suspend mode. We don't want reset to MCB get -- asserted in the application that needs suspend feature. --*************************************************************************** async_rst <= sys_rst or not(powerup_pll_locked); -- async_rst <= rst_tmp; rst_tmp <= sys_rst or not(syn_clk0_powerup_pll_locked); -- rst_tmp <= sys_rst or not(powerup_pll_locked); process (clk0_bufg, rst_tmp) begin if (rst_tmp = '1') then rst0_sync_r <= (others => '1'); elsif (rising_edge(clk0_bufg)) then rst0_sync_r <= rst0_sync_r(RST_SYNC_NUM-2 downto 0) & '0'; -- logical left shift by one (pads with 0) end if; end process; rst0 <= rst0_sync_r(RST_SYNC_NUM-1); BUFPLL_MCB_INST : BUFPLL_MCB port map ( IOCLK0 => sysclk_2x, IOCLK1 => sysclk_2x_180, LOCKED => locked, GCLK => mcb_drp_clk_sig, SERDESSTROBE0 => pll_ce_0, SERDESSTROBE1 => pll_ce_90, PLLIN0 => clk_2x_0, PLLIN1 => clk_2x_180, LOCK => bufpll_mcb_locked ); end architecture syn;
gpl-3.0
6db9d408b8078138ebdc6e3abb766fcf
0.531181
3.967335
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/proc_common_v4_0/hdl/src/vhdl/coregen_comp_defs.vhd
2
52,359
------------------------------------------------------------------------------- -- $Id:$ ------------------------------------------------------------------------------- -- coregen_comp_defs - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: coregen_comp_defs.vhd -- Version: initial -- Description: -- Component declarations for all black box netlists generated by -- running COREGEN and FIFO Generator when XST elaborated the client core -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- -- coregen_comp_defs.vhd -- | -- |--- XilinxCoreLib.fifo_generator_v9_2 -- |--- XilinxCoreLib.fifo_generator_v9_3 -- | -- |--- XilinxCoreLib.blk_mem_gen_v7_1 -- |--- XilinxCoreLib.blk_mem_gen_v7_3 -- ------------------------------------------------------------------------------- -- Author: DET -- History: -- DET 02/01/2008 Initial Version -- -- DET 2/01/2008 for proc_common_v4_0 -- ~~~~~~ -- - Adapted coregen_comp_defs.vhd from proc_common_v2_00_a to create -- this file. -- - Changed instance of sync fifo to use new wrapper file that will adapt -- to FIFO Generator primitive. -- - Replaced "edk_generatecore" with "generatecore" utility call -- - Removed the CAM component -- ^^^^^^ -- -- DET 7/30/2008 for EDK 11.1 -- ~~~~~~ -- - Added component for Fifo Generator version 4.3 -- - Added Block Memory Generator Component Version 2.7 -- ^^^^^^ -- -- MSH 2/26/2009 for EDK 11.1 -- ~~~~~~ -- - Added component for Fifo Generator version 5.1 -- - Added Block Memory Generator Component Version 3.1 -- ^^^^^^ -- -- DET 3/2/2009 for EDK 11.1 -- ~~~~~~ -- - Added new Parameters and ports for Fifo Generatore 5.1. -- ^^^^^^ -- -- DET 3/30/2009 EDK 11.2 -- ~~~~~~ -- - Had to reorder parameter list of FIFO Generator 4.3 component to match -- the corresponding Verilog model due to NCSIM positional order -- dependancy of parameters in vhdl/verilog use case. -- ^^^^^^ -- -- DET 4/8/2009 EDK 11.2 -- ~~~~~~ -- - Added blk_mem_gen_v3_2 -- ^^^^^^ -- -- DET 4/9/2009 EDK 11.2 -- ~~~~~~ -- - Added fifo_generator_v5_2 -- ^^^^^^ -- -- DET 2/9/2010 For EDK 12.1 -- ~~~~~~ -- - Added fifo_generator_v5_3 -- - Added blk_mem_gen_v3_3 -- ^^^^^^ -- -- DET 3/10/2010 For EDK 12.x -- ~~~~~~ -- -- Per CR553307 -- - Added fifo_generator_v6_1 -- - Added blk_mem_gen_v4_1 -- ^^^^^^ -- -- DET 3/17/2010 Initial -- ~~~~~~ -- -- Per CR554253 -- - Incorporated changes to comment out FLOP_DELAY parameter from the -- blk_mem_gen_v4_1 component. This parameter is on the XilinxCoreLib -- model for blk_mem_gen_v4_1 but is declared as a TIME type for the -- vhdl version and an integer for the verilog. -- ^^^^^^ -- -- DET 10/04/2010 EDK 13.1 -- ~~~~~~ -- - Added fifo_generator_v7_3 -- - Added blk_mem_gen_v5_2 -- ^^^^^^ -- -- DET 12/8/2010 EDK 13.1 -- ~~~~~~ -- -- Per CR586109 -- - Replaced fifo_generator v7.3 with v8.1 -- - Added blk_mem_gen_v6_1 -- ^^^^^^ -- -- DET 12/17/2010 EDK 13.1 -- ~~~~~~ -- -- Per CR587494 -- - Removed blk_mem_gen v6_1 -- ^^^^^^ -- -- DET 3/2/2011 EDK 13.2 -- ~~~~~~ -- -- Per CR595473 -- - Update to use fifo_generator_v8_2 -- - Update to use blk_mem_gen_v6_2 -- - Remove out of date components. -- ^^^^^^ -- -- DET 3/3/2011 EDK 13.2 -- ~~~~~~ -- - Removed C_ELABORATION_DIR parameter from the component decalarion -- ^^^^^^ -- -- DET 3/7/2011 EDK 13.2 -- ~~~~~~ -- -- Per CR596052 -- - Added removed fifo generator and Blk Mem Gen components back into -- coregen_comp_defs. -- ^^^^^^ -- -- RBODDU 08/18/2011 EDK 13.3 -- ~~~~~~ -- - Update to use fifo_generator_v8_3 -- ^^^^^^ -- ------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; library fifo_generator_v11_0; use fifo_generator_v11_0.all; library blk_mem_gen_v8_0; use blk_mem_gen_v8_0.all; PACKAGE coregen_comp_defs IS -------------------------------------------------------- -- Declare general attributes used in this file -- for defining each component being used with -- the generatecore utility attribute box_type: string; attribute GENERATOR_DEFAULT: string; ------------------------------------------------------- ------------------------------------------------------------------------------------- -- Start FIFO Generator Component for fifo_generator_v11_0 -- The Component declaration for fifo_generator_v11_0 pulled from the -- Coregen version of -- file: fifo_generator_v11_0_comp.vhd. -- -- This component is used for both dual clock (async) and synchronous fifos -- implemented with BRAM or distributed RAM. Hard FIFO simulation support may not -- be provided in FIFO Generator V10.0 so not supported here. -- -- Note: AXI ports and parameters added for this version of FIFO Generator. -- ------------------------------------------------------------------------------------- COMPONENT fifo_generator_v11_0 GENERIC ( ------------------------------------------------------------------------- -- Generic Declarations ------------------------------------------------------------------------- C_COMMON_CLOCK : integer := 0; C_COUNT_TYPE : integer := 0; C_DATA_COUNT_WIDTH : integer := 2; C_DEFAULT_VALUE : string := ""; C_DIN_WIDTH : integer := 8; C_DOUT_RST_VAL : string := ""; C_DOUT_WIDTH : integer := 8; C_ENABLE_RLOCS : integer := 0; C_FAMILY : string := "virtex6"; C_FULL_FLAGS_RST_VAL : integer := 1; C_HAS_ALMOST_EMPTY : integer := 0; C_HAS_ALMOST_FULL : integer := 0; C_HAS_BACKUP : integer := 0; C_HAS_DATA_COUNT : integer := 0; C_HAS_INT_CLK : integer := 0; C_HAS_MEMINIT_FILE : integer := 0; C_HAS_OVERFLOW : integer := 0; C_HAS_RD_DATA_COUNT : integer := 0; C_HAS_RD_RST : integer := 0; C_HAS_RST : integer := 1; C_HAS_SRST : integer := 0; C_HAS_UNDERFLOW : integer := 0; C_HAS_VALID : integer := 0; C_HAS_WR_ACK : integer := 0; C_HAS_WR_DATA_COUNT : integer := 0; C_HAS_WR_RST : integer := 0; C_IMPLEMENTATION_TYPE : integer := 0; C_INIT_WR_PNTR_VAL : integer := 0; C_MEMORY_TYPE : integer := 1; C_MIF_FILE_NAME : string := ""; C_OPTIMIZATION_MODE : integer := 0; C_OVERFLOW_LOW : integer := 0; C_PRELOAD_LATENCY : integer := 1; C_PRELOAD_REGS : integer := 0; C_PRIM_FIFO_TYPE : string := "4kx4"; C_PROG_EMPTY_THRESH_ASSERT_VAL : integer := 0; C_PROG_EMPTY_THRESH_NEGATE_VAL : integer := 0; C_PROG_EMPTY_TYPE : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL : integer := 0; C_PROG_FULL_THRESH_NEGATE_VAL : integer := 0; C_PROG_FULL_TYPE : integer := 0; C_RD_DATA_COUNT_WIDTH : integer := 2; C_RD_DEPTH : integer := 256; C_RD_FREQ : integer := 1; C_RD_PNTR_WIDTH : integer := 8; C_UNDERFLOW_LOW : integer := 0; C_USE_DOUT_RST : integer := 0; C_USE_ECC : integer := 0; C_USE_EMBEDDED_REG : integer := 0; C_USE_FIFO16_FLAGS : integer := 0; C_USE_FWFT_DATA_COUNT : integer := 0; C_VALID_LOW : integer := 0; C_WR_ACK_LOW : integer := 0; C_WR_DATA_COUNT_WIDTH : integer := 2; C_WR_DEPTH : integer := 256; C_WR_FREQ : integer := 1; C_WR_PNTR_WIDTH : integer := 8; C_WR_RESPONSE_LATENCY : integer := 1; C_MSGON_VAL : integer := 1; C_ENABLE_RST_SYNC : integer := 1; C_ERROR_INJECTION_TYPE : integer := 0; C_SYNCHRONIZER_STAGE : integer := 2; -- AXI Interface related parameters start here C_INTERFACE_TYPE : integer := 0; -- 0: Native Interface; 1: AXI4 Stream; 2: AXI4/AXI3 C_AXI_TYPE : integer := 0; -- 1: AXI4; 2: AXI4 Lite; 3: AXI3 C_HAS_AXI_WR_CHANNEL : integer := 0; C_HAS_AXI_RD_CHANNEL : integer := 0; C_HAS_SLAVE_CE : integer := 0; C_HAS_MASTER_CE : integer := 0; C_ADD_NGC_CONSTRAINT : integer := 0; C_USE_COMMON_OVERFLOW : integer := 0; C_USE_COMMON_UNDERFLOW : integer := 0; C_USE_DEFAULT_SETTINGS : integer := 0; -- AXI Full/Lite C_AXI_ID_WIDTH : integer := 4; C_AXI_ADDR_WIDTH : integer := 32; C_AXI_DATA_WIDTH : integer := 64; C_AXI_LEN_WIDTH : integer := 8; C_AXI_LOCK_WIDTH : integer := 2; C_HAS_AXI_ID : integer := 0; C_HAS_AXI_AWUSER : integer := 0; C_HAS_AXI_WUSER : integer := 0; C_HAS_AXI_BUSER : integer := 0; C_HAS_AXI_ARUSER : integer := 0; C_HAS_AXI_RUSER : integer := 0; C_AXI_ARUSER_WIDTH : integer := 1; C_AXI_AWUSER_WIDTH : integer := 1; C_AXI_WUSER_WIDTH : integer := 1; C_AXI_BUSER_WIDTH : integer := 1; C_AXI_RUSER_WIDTH : integer := 1; -- AXI Streaming C_HAS_AXIS_TDATA : integer := 0; C_HAS_AXIS_TID : integer := 0; C_HAS_AXIS_TDEST : integer := 0; C_HAS_AXIS_TUSER : integer := 0; C_HAS_AXIS_TREADY : integer := 1; C_HAS_AXIS_TLAST : integer := 0; C_HAS_AXIS_TSTRB : integer := 0; C_HAS_AXIS_TKEEP : integer := 0; C_AXIS_TDATA_WIDTH : integer := 64; C_AXIS_TID_WIDTH : integer := 8; C_AXIS_TDEST_WIDTH : integer := 4; C_AXIS_TUSER_WIDTH : integer := 4; C_AXIS_TSTRB_WIDTH : integer := 4; C_AXIS_TKEEP_WIDTH : integer := 4; -- AXI Channel Type -- WACH --> Write Address Channel -- WDCH --> Write Data Channel -- WRCH --> Write Response Channel -- RACH --> Read Address Channel -- RDCH --> Read Data Channel -- AXIS --> AXI Streaming C_WACH_TYPE : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logic C_WDCH_TYPE : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_WRCH_TYPE : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RACH_TYPE : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RDCH_TYPE : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_AXIS_TYPE : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie -- AXI Implementation Type -- 1 = Common Clock Block RAM FIFO -- 2 = Common Clock Distributed RAM FIFO -- 5 = Common Clock Built-in FIFO -- 11 = Independent Clock Block RAM FIFO -- 12 = Independent Clock Distributed RAM FIFO C_IMPLEMENTATION_TYPE_WACH : integer := 1; C_IMPLEMENTATION_TYPE_WDCH : integer := 1; C_IMPLEMENTATION_TYPE_WRCH : integer := 1; C_IMPLEMENTATION_TYPE_RACH : integer := 1; C_IMPLEMENTATION_TYPE_RDCH : integer := 1; C_IMPLEMENTATION_TYPE_AXIS : integer := 1; -- AXI FIFO Type -- 0 = Data FIFO -- 1 = Packet FIFO -- 2 = Low Latency Sync FIFO -- 3 = Low Latency Async FIFO C_APPLICATION_TYPE_WACH : integer := 0; C_APPLICATION_TYPE_WDCH : integer := 0; C_APPLICATION_TYPE_WRCH : integer := 0; C_APPLICATION_TYPE_RACH : integer := 0; C_APPLICATION_TYPE_RDCH : integer := 0; C_APPLICATION_TYPE_AXIS : integer := 0; -- Enable ECC -- 0 = ECC disabled -- 1 = ECC enabled C_USE_ECC_WACH : integer := 0; C_USE_ECC_WDCH : integer := 0; C_USE_ECC_WRCH : integer := 0; C_USE_ECC_RACH : integer := 0; C_USE_ECC_RDCH : integer := 0; C_USE_ECC_AXIS : integer := 0; -- ECC Error Injection Type -- 0 = No Error Injection -- 1 = Single Bit Error Injection -- 2 = Double Bit Error Injection -- 3 = Single Bit and Double Bit Error Injection C_ERROR_INJECTION_TYPE_WACH : integer := 0; C_ERROR_INJECTION_TYPE_WDCH : integer := 0; C_ERROR_INJECTION_TYPE_WRCH : integer := 0; C_ERROR_INJECTION_TYPE_RACH : integer := 0; C_ERROR_INJECTION_TYPE_RDCH : integer := 0; C_ERROR_INJECTION_TYPE_AXIS : integer := 0; -- Input Data Width -- Accumulation of all AXI input signal's width C_DIN_WIDTH_WACH : integer := 32; C_DIN_WIDTH_WDCH : integer := 64; C_DIN_WIDTH_WRCH : integer := 2; C_DIN_WIDTH_RACH : integer := 32; C_DIN_WIDTH_RDCH : integer := 64; C_DIN_WIDTH_AXIS : integer := 1; C_WR_DEPTH_WACH : integer := 16; C_WR_DEPTH_WDCH : integer := 1024; C_WR_DEPTH_WRCH : integer := 16; C_WR_DEPTH_RACH : integer := 16; C_WR_DEPTH_RDCH : integer := 1024; C_WR_DEPTH_AXIS : integer := 1024; C_WR_PNTR_WIDTH_WACH : integer := 4; C_WR_PNTR_WIDTH_WDCH : integer := 10; C_WR_PNTR_WIDTH_WRCH : integer := 4; C_WR_PNTR_WIDTH_RACH : integer := 4; C_WR_PNTR_WIDTH_RDCH : integer := 10; C_WR_PNTR_WIDTH_AXIS : integer := 10; C_HAS_DATA_COUNTS_WACH : integer := 0; C_HAS_DATA_COUNTS_WDCH : integer := 0; C_HAS_DATA_COUNTS_WRCH : integer := 0; C_HAS_DATA_COUNTS_RACH : integer := 0; C_HAS_DATA_COUNTS_RDCH : integer := 0; C_HAS_DATA_COUNTS_AXIS : integer := 0; C_HAS_PROG_FLAGS_WACH : integer := 0; C_HAS_PROG_FLAGS_WDCH : integer := 0; C_HAS_PROG_FLAGS_WRCH : integer := 0; C_HAS_PROG_FLAGS_RACH : integer := 0; C_HAS_PROG_FLAGS_RDCH : integer := 0; C_HAS_PROG_FLAGS_AXIS : integer := 0; -- 0: No Programmable FULL -- 1: Single Programmable FULL Threshold Constant -- 3: Single Programmable FULL Threshold Input Port C_PROG_FULL_TYPE_WACH : integer := 5; C_PROG_FULL_TYPE_WDCH : integer := 5; C_PROG_FULL_TYPE_WRCH : integer := 5; C_PROG_FULL_TYPE_RACH : integer := 5; C_PROG_FULL_TYPE_RDCH : integer := 5; C_PROG_FULL_TYPE_AXIS : integer := 5; -- Single Programmable FULL Threshold Constant Assert Value C_PROG_FULL_THRESH_ASSERT_VAL_WACH : integer := 1023; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : integer := 1023; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : integer := 1023; C_PROG_FULL_THRESH_ASSERT_VAL_RACH : integer := 1023; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : integer := 1023; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : integer := 1023; -- 0: No Programmable EMPTY -- 1: Single Programmable EMPTY Threshold Constant -- 3: Single Programmable EMPTY Threshold Input Port C_PROG_EMPTY_TYPE_WACH : integer := 5; C_PROG_EMPTY_TYPE_WDCH : integer := 5; C_PROG_EMPTY_TYPE_WRCH : integer := 5; C_PROG_EMPTY_TYPE_RACH : integer := 5; C_PROG_EMPTY_TYPE_RDCH : integer := 5; C_PROG_EMPTY_TYPE_AXIS : integer := 5; -- Single Programmable EMPTY Threshold Constant Assert Value C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : integer := 1022; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : integer := 1022; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : integer := 1022; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : integer := 1022; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : integer := 1022; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : integer := 1022; C_REG_SLICE_MODE_WACH : integer := 0; C_REG_SLICE_MODE_WDCH : integer := 0; C_REG_SLICE_MODE_WRCH : integer := 0; C_REG_SLICE_MODE_RACH : integer := 0; C_REG_SLICE_MODE_RDCH : integer := 0; C_REG_SLICE_MODE_AXIS : integer := 0 ); PORT( ------------------------------------------------------------------------------ -- Input and Output Declarations ------------------------------------------------------------------------------ -- Conventional FIFO Interface Signals backup : in std_logic := '0'; backup_marker : in std_logic := '0'; clk : in std_logic := '0'; rst : in std_logic := '0'; srst : in std_logic := '0'; wr_clk : in std_logic := '0'; wr_rst : in std_logic := '0'; rd_clk : in std_logic := '0'; rd_rst : in std_logic := '0'; din : in std_logic_vector(C_DIN_WIDTH-1 downto 0) := (others => '0'); wr_en : in std_logic := '0'; rd_en : in std_logic := '0'; -- optional inputs prog_empty_thresh : in std_logic_vector(C_RD_PNTR_WIDTH-1 downto 0) := (others => '0'); prog_empty_thresh_assert : in std_logic_vector(C_RD_PNTR_WIDTH-1 downto 0) := (others => '0'); prog_empty_thresh_negate : in std_logic_vector(C_RD_PNTR_WIDTH-1 downto 0) := (others => '0'); prog_full_thresh : in std_logic_vector(C_WR_PNTR_WIDTH-1 downto 0) := (others => '0'); prog_full_thresh_assert : in std_logic_vector(C_WR_PNTR_WIDTH-1 downto 0) := (others => '0'); prog_full_thresh_negate : in std_logic_vector(C_WR_PNTR_WIDTH-1 downto 0) := (others => '0'); int_clk : in std_logic := '0'; injectdbiterr : in std_logic := '0'; injectsbiterr : in std_logic := '0'; dout : out std_logic_vector(C_DOUT_WIDTH-1 downto 0) := (others => '0'); full : out std_logic := '0'; almost_full : out std_logic := '0'; wr_ack : out std_logic := '0'; overflow : out std_logic := '0'; empty : out std_logic := '1'; almost_empty : out std_logic := '1'; valid : out std_logic := '0'; underflow : out std_logic := '0'; data_count : out std_logic_vector(C_DATA_COUNT_WIDTH-1 downto 0) := (others => '0'); rd_data_count : out std_logic_vector(C_RD_DATA_COUNT_WIDTH-1 downto 0) := (others => '0'); wr_data_count : out std_logic_vector(C_WR_DATA_COUNT_WIDTH-1 downto 0) := (others => '0'); prog_full : out std_logic := '0'; prog_empty : out std_logic := '1'; sbiterr : out std_logic := '0'; dbiterr : out std_logic := '0'; -- axi global signal m_aclk : in std_logic := '0'; s_aclk : in std_logic := '0'; s_aresetn : in std_logic := '1'; -- Active low reset, default value set to 1 m_aclk_en : in std_logic := '0'; s_aclk_en : in std_logic := '0'; -- axi full/lite slave write channel (write side) s_axi_awid : in std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (others => '0'); s_axi_awaddr : in std_logic_vector(C_AXI_ADDR_WIDTH-1 downto 0) := (others => '0'); s_axi_awlen : in std_logic_vector(C_AXI_LEN_WIDTH-1 downto 0) := (others => '0'); s_axi_awsize : in std_logic_vector(3-1 downto 0) := (others => '0'); s_axi_awburst : in std_logic_vector(2-1 downto 0) := (others => '0'); s_axi_awlock : in std_logic_vector(C_AXI_LOCK_WIDTH-1 downto 0) := (others => '0'); s_axi_awcache : in std_logic_vector(4-1 downto 0) := (others => '0'); s_axi_awprot : in std_logic_vector(3-1 downto 0) := (others => '0'); s_axi_awqos : in std_logic_vector(4-1 downto 0) := (others => '0'); s_axi_awregion : in std_logic_vector(4-1 downto 0) := (others => '0'); s_axi_awuser : in std_logic_vector(C_AXI_AWUSER_WIDTH-1 downto 0) := (others => '0'); s_axi_awvalid : in std_logic := '0'; s_axi_awready : out std_logic := '0'; s_axi_wid : in std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (others => '0'); s_axi_wdata : in std_logic_vector(C_AXI_DATA_WIDTH-1 downto 0) := (others => '0'); s_axi_wstrb : in std_logic_vector(C_AXI_DATA_WIDTH/8-1 downto 0) := (others => '0'); s_axi_wlast : in std_logic := '0'; s_axi_wuser : in std_logic_vector(C_AXI_WUSER_WIDTH-1 downto 0) := (others => '0'); s_axi_wvalid : in std_logic := '0'; s_axi_wready : out std_logic := '0'; s_axi_bid : out std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (others => '0'); s_axi_bresp : out std_logic_vector(2-1 downto 0) := (others => '0'); s_axi_buser : out std_logic_vector(C_AXI_BUSER_WIDTH-1 downto 0) := (others => '0'); s_axi_bvalid : out std_logic := '0'; s_axi_bready : in std_logic := '0'; -- axi full/lite master write channel (read side) m_axi_awid : out std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (others => '0'); m_axi_awaddr : out std_logic_vector(C_AXI_ADDR_WIDTH-1 downto 0) := (others => '0'); m_axi_awlen : out std_logic_vector(C_AXI_LEN_WIDTH-1 downto 0) := (others => '0'); m_axi_awsize : out std_logic_vector(3-1 downto 0) := (others => '0'); m_axi_awburst : out std_logic_vector(2-1 downto 0) := (others => '0'); m_axi_awlock : out std_logic_vector(C_AXI_LOCK_WIDTH-1 downto 0) := (others => '0'); m_axi_awcache : out std_logic_vector(4-1 downto 0) := (others => '0'); m_axi_awprot : out std_logic_vector(3-1 downto 0) := (others => '0'); m_axi_awqos : out std_logic_vector(4-1 downto 0) := (others => '0'); m_axi_awregion : out std_logic_vector(4-1 downto 0) := (others => '0'); m_axi_awuser : out std_logic_vector(C_AXI_AWUSER_WIDTH-1 downto 0) := (others => '0'); m_axi_awvalid : out std_logic := '0'; m_axi_awready : in std_logic := '0'; m_axi_wid : out std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (others => '0'); m_axi_wdata : out std_logic_vector(C_AXI_DATA_WIDTH-1 downto 0) := (others => '0'); m_axi_wstrb : out std_logic_vector(C_AXI_DATA_WIDTH/8-1 downto 0) := (others => '0'); m_axi_wlast : out std_logic := '0'; m_axi_wuser : out std_logic_vector(C_AXI_WUSER_WIDTH-1 downto 0) := (others => '0'); m_axi_wvalid : out std_logic := '0'; m_axi_wready : in std_logic := '0'; m_axi_bid : in std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (others => '0'); m_axi_bresp : in std_logic_vector(2-1 downto 0) := (others => '0'); m_axi_buser : in std_logic_vector(C_AXI_BUSER_WIDTH-1 downto 0) := (others => '0'); m_axi_bvalid : in std_logic := '0'; m_axi_bready : out std_logic := '0'; -- axi full/lite slave read channel (write side) s_axi_arid : in std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (others => '0'); s_axi_araddr : in std_logic_vector(C_AXI_ADDR_WIDTH-1 downto 0) := (others => '0'); s_axi_arlen : in std_logic_vector(C_AXI_LEN_WIDTH-1 downto 0) := (others => '0'); s_axi_arsize : in std_logic_vector(3-1 downto 0) := (others => '0'); s_axi_arburst : in std_logic_vector(2-1 downto 0) := (others => '0'); s_axi_arlock : in std_logic_vector(C_AXI_LOCK_WIDTH-1 downto 0) := (others => '0'); s_axi_arcache : in std_logic_vector(4-1 downto 0) := (others => '0'); s_axi_arprot : in std_logic_vector(3-1 downto 0) := (others => '0'); s_axi_arqos : in std_logic_vector(4-1 downto 0) := (others => '0'); s_axi_arregion : in std_logic_vector(4-1 downto 0) := (others => '0'); s_axi_aruser : in std_logic_vector(C_AXI_ARUSER_WIDTH-1 downto 0) := (others => '0'); s_axi_arvalid : in std_logic := '0'; s_axi_arready : out std_logic := '0'; s_axi_rid : out std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (others => '0'); s_axi_rdata : out std_logic_vector(C_AXI_DATA_WIDTH-1 downto 0) := (others => '0'); s_axi_rresp : out std_logic_vector(2-1 downto 0) := (others => '0'); s_axi_rlast : out std_logic := '0'; s_axi_ruser : out std_logic_vector(C_AXI_RUSER_WIDTH-1 downto 0) := (others => '0'); s_axi_rvalid : out std_logic := '0'; s_axi_rready : in std_logic := '0'; -- axi full/lite master read channel (read side) m_axi_arid : out std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (others => '0'); m_axi_araddr : out std_logic_vector(C_AXI_ADDR_WIDTH-1 downto 0) := (others => '0'); m_axi_arlen : out std_logic_vector(C_AXI_LEN_WIDTH-1 downto 0) := (others => '0'); m_axi_arsize : out std_logic_vector(3-1 downto 0) := (others => '0'); m_axi_arburst : out std_logic_vector(2-1 downto 0) := (others => '0'); m_axi_arlock : out std_logic_vector(C_AXI_LOCK_WIDTH-1 downto 0) := (others => '0'); m_axi_arcache : out std_logic_vector(4-1 downto 0) := (others => '0'); m_axi_arprot : out std_logic_vector(3-1 downto 0) := (others => '0'); m_axi_arqos : out std_logic_vector(4-1 downto 0) := (others => '0'); m_axi_arregion : out std_logic_vector(4-1 downto 0) := (others => '0'); m_axi_aruser : out std_logic_vector(C_AXI_ARUSER_WIDTH-1 downto 0) := (others => '0'); m_axi_arvalid : out std_logic := '0'; m_axi_arready : in std_logic := '0'; m_axi_rid : in std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (others => '0'); m_axi_rdata : in std_logic_vector(C_AXI_DATA_WIDTH-1 downto 0) := (others => '0'); m_axi_rresp : in std_logic_vector(2-1 downto 0) := (others => '0'); m_axi_rlast : in std_logic := '0'; m_axi_ruser : in std_logic_vector(C_AXI_RUSER_WIDTH-1 downto 0) := (others => '0'); m_axi_rvalid : in std_logic := '0'; m_axi_rready : out std_logic := '0'; -- axi streaming slave signals (write side) s_axis_tvalid : in std_logic := '0'; s_axis_tready : out std_logic := '0'; s_axis_tdata : in std_logic_vector(C_AXIS_TDATA_WIDTH-1 downto 0) := (others => '0'); s_axis_tstrb : in std_logic_vector(C_AXIS_TSTRB_WIDTH-1 downto 0) := (others => '0'); s_axis_tkeep : in std_logic_vector(C_AXIS_TKEEP_WIDTH-1 downto 0) := (others => '0'); s_axis_tlast : in std_logic := '0'; s_axis_tid : in std_logic_vector(C_AXIS_TID_WIDTH-1 downto 0) := (others => '0'); s_axis_tdest : in std_logic_vector(C_AXIS_TDEST_WIDTH-1 downto 0) := (others => '0'); s_axis_tuser : in std_logic_vector(C_AXIS_TUSER_WIDTH-1 downto 0) := (others => '0'); -- axi streaming master signals (read side) m_axis_tvalid : out std_logic := '0'; m_axis_tready : in std_logic := '0'; m_axis_tdata : out std_logic_vector(C_AXIS_TDATA_WIDTH-1 downto 0) := (others => '0'); m_axis_tstrb : out std_logic_vector(C_AXIS_TSTRB_WIDTH-1 downto 0) := (others => '0'); m_axis_tkeep : out std_logic_vector(C_AXIS_TKEEP_WIDTH-1 downto 0) := (others => '0'); m_axis_tlast : out std_logic := '0'; m_axis_tid : out std_logic_vector(C_AXIS_TID_WIDTH-1 downto 0) := (others => '0'); m_axis_tdest : out std_logic_vector(C_AXIS_TDEST_WIDTH-1 downto 0) := (others => '0'); m_axis_tuser : out std_logic_vector(C_AXIS_TUSER_WIDTH-1 downto 0) := (others => '0'); -- axi full/lite write address channel signals axi_aw_injectsbiterr : in std_logic := '0'; axi_aw_injectdbiterr : in std_logic := '0'; axi_aw_prog_full_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 downto 0) := (others => '0'); axi_aw_prog_empty_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 downto 0) := (others => '0'); axi_aw_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_WACH downto 0) := (others => '0'); axi_aw_wr_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_WACH downto 0) := (others => '0'); axi_aw_rd_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_WACH downto 0) := (others => '0'); axi_aw_sbiterr : out std_logic := '0'; axi_aw_dbiterr : out std_logic := '0'; axi_aw_overflow : out std_logic := '0'; axi_aw_underflow : out std_logic := '0'; axi_aw_prog_full : out std_logic := '0'; axi_aw_prog_empty : out std_logic := '1'; -- axi_aw_almost_full : out std_logic := '0'; -- axi_aw_almost_empty : out std_logic := '1'; -- axi full/lite write data channel signals axi_w_injectsbiterr : in std_logic := '0'; axi_w_injectdbiterr : in std_logic := '0'; axi_w_prog_full_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 downto 0) := (others => '0'); axi_w_prog_empty_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 downto 0) := (others => '0'); axi_w_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_WDCH downto 0) := (others => '0'); axi_w_wr_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_WDCH downto 0) := (others => '0'); axi_w_rd_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_WDCH downto 0) := (others => '0'); axi_w_sbiterr : out std_logic := '0'; axi_w_dbiterr : out std_logic := '0'; axi_w_overflow : out std_logic := '0'; axi_w_underflow : out std_logic := '0'; axi_w_prog_full : out std_logic := '0'; axi_w_prog_empty : out std_logic := '1'; -- axi_w_almost_full : out std_logic := '0'; -- axi_w_almost_empty : out std_logic := '1'; -- axi full/lite write response channel signals axi_b_injectsbiterr : in std_logic := '0'; axi_b_injectdbiterr : in std_logic := '0'; axi_b_prog_full_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 downto 0) := (others => '0'); axi_b_prog_empty_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 downto 0) := (others => '0'); axi_b_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_WRCH downto 0) := (others => '0'); axi_b_wr_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_WRCH downto 0) := (others => '0'); axi_b_rd_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_WRCH downto 0) := (others => '0'); axi_b_sbiterr : out std_logic := '0'; axi_b_dbiterr : out std_logic := '0'; axi_b_overflow : out std_logic := '0'; axi_b_underflow : out std_logic := '0'; axi_b_prog_full : out std_logic := '0'; axi_b_prog_empty : out std_logic := '1'; -- axi_b_almost_full : out std_logic := '0'; -- axi_b_almost_empty : out std_logic := '1'; -- axi full/lite read address channel signals axi_ar_injectsbiterr : in std_logic := '0'; axi_ar_injectdbiterr : in std_logic := '0'; axi_ar_prog_full_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 downto 0) := (others => '0'); axi_ar_prog_empty_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 downto 0) := (others => '0'); axi_ar_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_RACH downto 0) := (others => '0'); axi_ar_wr_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_RACH downto 0) := (others => '0'); axi_ar_rd_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_RACH downto 0) := (others => '0'); axi_ar_sbiterr : out std_logic := '0'; axi_ar_dbiterr : out std_logic := '0'; axi_ar_overflow : out std_logic := '0'; axi_ar_underflow : out std_logic := '0'; axi_ar_prog_full : out std_logic := '0'; axi_ar_prog_empty : out std_logic := '1'; -- axi_ar_almost_full : out std_logic := '0'; -- axi_ar_almost_empty : out std_logic := '1'; -- axi full/lite read data channel signals axi_r_injectsbiterr : in std_logic := '0'; axi_r_injectdbiterr : in std_logic := '0'; axi_r_prog_full_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 downto 0) := (others => '0'); axi_r_prog_empty_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 downto 0) := (others => '0'); axi_r_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_RDCH downto 0) := (others => '0'); axi_r_wr_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_RDCH downto 0) := (others => '0'); axi_r_rd_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_RDCH downto 0) := (others => '0'); axi_r_sbiterr : out std_logic := '0'; axi_r_dbiterr : out std_logic := '0'; axi_r_overflow : out std_logic := '0'; axi_r_underflow : out std_logic := '0'; axi_r_prog_full : out std_logic := '0'; axi_r_prog_empty : out std_logic := '1'; -- axi_r_almost_full : out std_logic := '0'; -- axi_r_almost_empty : out std_logic := '1'; -- axi streaming fifo related signals axis_injectsbiterr : in std_logic := '0'; axis_injectdbiterr : in std_logic := '0'; axis_prog_full_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 downto 0) := (others => '0'); axis_prog_empty_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 downto 0) := (others => '0'); axis_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_AXIS downto 0) := (others => '0'); axis_wr_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_AXIS downto 0) := (others => '0'); axis_rd_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_AXIS downto 0) := (others => '0'); axis_sbiterr : out std_logic := '0'; axis_dbiterr : out std_logic := '0'; axis_overflow : out std_logic := '0'; axis_underflow : out std_logic := '0'; axis_prog_full : out std_logic := '0'; axis_prog_empty : out std_logic := '1' -- axis_almost_full : out std_logic := '0'; -- axis_almost_empty : out std_logic := '1' ); END COMPONENT; -- End FIFO Generator Component --------------------------------------- ------------------------------------------------------------------------------------- -- Start Block Memory Generator Component for blk_mem_gen_v8_0 -- Component declaration for blk_mem_gen_v8_0 pulled from the -- /proj/xbuilds/ids_14.4_P.49d.2.0/lin64/14.4/ISE_DS/ISE/vhdl/src/XilinxCoreLib -- file: blk_mem_gen_v8_0.v -- Verilog file used to match paramter order for NCSIM compatibility ------------------------------------------------------------------------------------- component blk_mem_gen_v8_0 IS GENERIC ( C_FAMILY : STRING := "virtex6"; C_XDEVICEFAMILY : STRING := "virtex6"; C_ELABORATION_DIR : STRING := ""; C_INTERFACE_TYPE : INTEGER := 0; C_USE_BRAM_BLOCK : INTEGER := 0; C_ENABLE_32BIT_ADDRESS : INTEGER := 0; C_AXI_TYPE : INTEGER := 0; C_AXI_SLAVE_TYPE : INTEGER := 0; C_HAS_AXI_ID : INTEGER := 0; C_AXI_ID_WIDTH : INTEGER := 4; C_MEM_TYPE : INTEGER := 2; C_BYTE_SIZE : INTEGER := 8; C_ALGORITHM : INTEGER := 2; C_PRIM_TYPE : INTEGER := 3; C_LOAD_INIT_FILE : INTEGER := 0; C_INIT_FILE_NAME : STRING := ""; C_INIT_FILE : STRING := ""; C_USE_DEFAULT_DATA : INTEGER := 0; C_DEFAULT_DATA : STRING := ""; C_RST_TYPE : STRING := "SYNC"; C_HAS_RSTA : INTEGER := 0; C_RST_PRIORITY_A : STRING := "CE"; C_RSTRAM_A : INTEGER := 0; C_INITA_VAL : STRING := ""; C_HAS_ENA : INTEGER := 1; C_HAS_REGCEA : INTEGER := 0; C_USE_BYTE_WEA : INTEGER := 0; C_WEA_WIDTH : INTEGER := 1; C_WRITE_MODE_A : STRING := "WRITE_FIRST"; C_WRITE_WIDTH_A : INTEGER := 32; C_READ_WIDTH_A : INTEGER := 32; C_WRITE_DEPTH_A : INTEGER := 64; C_READ_DEPTH_A : INTEGER := 64; C_ADDRA_WIDTH : INTEGER := 6; C_HAS_RSTB : INTEGER := 0; C_RST_PRIORITY_B : STRING := "CE"; C_RSTRAM_B : INTEGER := 0; C_INITB_VAL : STRING := ""; C_HAS_ENB : INTEGER := 1; C_HAS_REGCEB : INTEGER := 0; C_USE_BYTE_WEB : INTEGER := 0; C_WEB_WIDTH : INTEGER := 1; C_WRITE_MODE_B : STRING := "WRITE_FIRST"; C_WRITE_WIDTH_B : INTEGER := 32; C_READ_WIDTH_B : INTEGER := 32; C_WRITE_DEPTH_B : INTEGER := 64; C_READ_DEPTH_B : INTEGER := 64; C_ADDRB_WIDTH : INTEGER := 6; C_HAS_MEM_OUTPUT_REGS_A : INTEGER := 0; C_HAS_MEM_OUTPUT_REGS_B : INTEGER := 0; C_HAS_MUX_OUTPUT_REGS_A : INTEGER := 0; C_HAS_MUX_OUTPUT_REGS_B : INTEGER := 0; C_HAS_SOFTECC_INPUT_REGS_A : INTEGER := 0; C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER := 0; C_MUX_PIPELINE_STAGES : INTEGER := 0; C_USE_SOFTECC : INTEGER := 0; C_USE_ECC : INTEGER := 0; C_HAS_INJECTERR : INTEGER := 0; C_SIM_COLLISION_CHECK : STRING := "NONE"; C_COMMON_CLK : INTEGER := 1; C_DISABLE_WARN_BHV_COLL : INTEGER := 0; C_DISABLE_WARN_BHV_RANGE : INTEGER := 0 ); PORT ( CLKA : IN STD_LOGIC := '0'; RSTA : IN STD_LOGIC := '0'; ENA : IN STD_LOGIC := '1'; REGCEA : IN STD_LOGIC := '1'; WEA : IN STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); ADDRA : IN STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0):= (OTHERS => '0'); DINA : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0) := (OTHERS => '0'); DOUTA : OUT STD_LOGIC_VECTOR(C_READ_WIDTH_A-1 DOWNTO 0); CLKB : IN STD_LOGIC := '0'; RSTB : IN STD_LOGIC := '0'; ENB : IN STD_LOGIC := '1'; REGCEB : IN STD_LOGIC := '1'; WEB : IN STD_LOGIC_VECTOR(C_WEB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); ADDRB : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); DINB : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0) := (OTHERS => '0'); DOUTB : OUT STD_LOGIC_VECTOR(C_READ_WIDTH_B-1 DOWNTO 0); INJECTSBITERR : IN STD_LOGIC := '0'; INJECTDBITERR : IN STD_LOGIC := '0'; SBITERR : OUT STD_LOGIC := '0'; DBITERR : OUT STD_LOGIC := '0'; RDADDRECC : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0); -- AXI BMG Input and Output Port Declarations -- AXI Global Signals S_AClk : IN STD_LOGIC := '0'; S_ARESETN : IN STD_LOGIC := '0'; -- AXI Full/Lite Slave Write (write side) S_AXI_AWID : IN STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWVALID : IN STD_LOGIC := '0'; S_AXI_AWREADY : OUT STD_LOGIC; S_AXI_WDATA : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_WSTRB : IN STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_WLAST : IN STD_LOGIC := '0'; S_AXI_WVALID : IN STD_LOGIC := '0'; S_AXI_WREADY : OUT STD_LOGIC; S_AXI_BID : OUT STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_BVALID : OUT STD_LOGIC; S_AXI_BREADY : IN STD_LOGIC := '0'; -- AXI Full/Lite Slave Read (Write side) S_AXI_ARID : IN STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARLEN : IN STD_LOGIC_VECTOR(8-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARVALID : IN STD_LOGIC := '0'; S_AXI_ARREADY : OUT STD_LOGIC; S_AXI_RID : OUT STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_RDATA : OUT STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0); S_AXI_RRESP : OUT STD_LOGIC_VECTOR(2-1 DOWNTO 0); S_AXI_RLAST : OUT STD_LOGIC; S_AXI_RVALID : OUT STD_LOGIC; S_AXI_RREADY : IN STD_LOGIC := '0'; -- AXI Full/Lite Sideband Signals S_AXI_INJECTSBITERR : IN STD_LOGIC := '0'; S_AXI_INJECTDBITERR : IN STD_LOGIC := '0'; S_AXI_SBITERR : OUT STD_LOGIC := '0'; S_AXI_DBITERR : OUT STD_LOGIC := '0'; S_AXI_RDADDRECC : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0') ); END COMPONENT; --blk_mem_gen_v8_0 -- The following tells XST that blk_mem_gen_v8_0 is a black box which -- should be generated command given by the value of this attribute -- Note the fully qualified SIM (JAVA class) name that forms the -- basis of the core -- ATTRIBUTE box_type OF blk_mem_gen_v8_0 : COMPONENT IS "black_box"; -- ATTRIBUTE generator_default OF blk_mem_gen_v8_0 : COMPONENT IS -- "generatecore com.xilinx.ip.blk_mem_gen_v8_0.blk_mem_gen_v8_0 -a map_qvirtex_to=virtex map_qrvirtex_to=virtex map_virtexe_to=virtex map_qvirtex2_to=virtex2 map_qrvirtex2_to=virtex2 map_spartan2_to=virtex map_spartan2e_to=virtex map_virtex5_to=virtex4 map_spartan3a_to=spartan3e spartan3an_to=spartan3e spartan3adsp_to=spartan3e "; -- End Block Memory Generator Component for v7_1 ------------------------------- END coregen_comp_defs;
bsd-2-clause
3f0eecfea6a966f87b92861fd692e802
0.459921
3.73273
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/styles/jcl/c16/BaudGen.fixed.vhd
1
1,548
library IEEE; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; use std.textio.all; -- Uncomment the following lines to use the declarations that are -- provided for instantiating Xilinx primitive components. -- library UNISIM; -- use UNISIM.VComponents.all; entity BAUDGEN is generic ( BG_CLOCK_FREQ : integer; BG_BAUD_RATE : integer ); port ( CLK_I : in std_logic; RST_I : in std_logic; CE_16 : out std_logic ); end entity BAUDGEN; architecture BEHAVIORAL of BAUDGEN is -- divide bg_clock_freq and bg_baud_rate -- by their common divisor... -- function gcd (M, N: integer) return integer is begin if ((M mod N) = 0) then return N; else return gcd(N, M mod N); end if; end; constant common_div : integer := gcd(BG_CLOCK_FREQ, 16 * BG_BAUD_RATE); constant clock_freq : integer := BG_CLOCK_FREQ / common_div; constant baud_freq : integer := 16 * BG_BAUD_RATE / common_div; constant limit : integer := clock_freq - baud_freq; signal counter : integer range 0 to clock_freq - 1; begin process (CLK_I) is begin if (rising_edge(CLK_I)) then CE_16 <= '0'; -- make CE_16 stay on for (at most) one cycle if (RST_I = '1') then counter <= 0; elsif (counter >= limit) then CE_16 <= '1'; counter <= counter - limit; else counter <= counter + baud_freq; end if; end if; end process; end architecture BEHAVIORAL;
gpl-3.0
479eda30e59935d09f043606a17287b5
0.614341
3.424779
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/vhdlFile/interface_function_specification/classification_test_input.vhd
1
3,230
-- Test generic clause package PACK1 is generic ( -- Test function pure function funct1 parameter ( signal sig1, sig2 : in std_logic bus := 0; constant con1, con2 : in std_logic := 0; variable sig1, sig2 : in std_logic := 0; sig1, sig2 : in std_logic bus := 0; file fil1, fil2 : std_logic; type typ1) return boolean is <>; pure function funct1 parameter ( signal sig1, sig2 : in std_logic bus := 0; constant con1, con2 : in std_logic := 0; variable sig1, sig2 : in std_logic := 0; sig1, sig2 : in std_logic bus := 0; file fil1, fil2 : std_logic; type typ1) return boolean is func1; impure function funct1 parameter ( signal sig1, sig2 : in std_logic bus := 0; constant con1, con2 : in std_logic := 0; variable sig1, sig2 : in std_logic := 0; sig1, sig2 : in std_logic bus := 0; file fil1, fil2 : std_logic; type typ1) return boolean is <>; impure function funct1 parameter ( signal sig1, sig2 : in std_logic bus := 0; constant con1, con2 : in std_logic := 0; variable sig1, sig2 : in std_logic := 0; sig1, sig2 : in std_logic bus := 0; file fil1, fil2 : std_logic; type typ1) return boolean is func1; -- Remove Parameter pure function funct1 ( signal sig1, sig2 : in std_logic bus := 0; constant con1, con2 : in std_logic := 0; variable sig1, sig2 : in std_logic := 0; sig1, sig2 : in std_logic bus := 0; file fil1, fil2 : std_logic; type typ1) return boolean is <>; pure function funct1 ( signal sig1, sig2 : in std_logic bus := 0; constant con1, con2 : in std_logic := 0; variable sig1, sig2 : in std_logic := 0; sig1, sig2 : in std_logic bus := 0; file fil1, fil2 : std_logic; type typ1) return boolean is func1; impure function funct1 ( signal sig1, sig2 : in std_logic bus := 0; constant con1, con2 : in std_logic := 0; variable sig1, sig2 : in std_logic := 0; sig1, sig2 : in std_logic bus := 0; file fil1, fil2 : std_logic; type typ1) return boolean is <>; impure function funct1 ( signal sig1, sig2 : in std_logic bus := 0; constant con1, con2 : in std_logic := 0; variable sig1, sig2 : in std_logic := 0; sig1, sig2 : in std_logic bus := 0; file fil1, fil2 : std_logic; type typ1) return boolean is func1; -- Remove formal_parameter_list pure function funct1 return boolean is <>; pure function funct1 return boolean is func1; impure function funct1 return boolean is <>; impure function funct1 return boolean is func1; -- Remove interface_subprogram_default pure function funct1 return boolean; pure function funct1 return boolean; impure function funct1 return boolean; impure function funct1 return boolean; -- Remove pure/impure function funct1 return boolean; function funct1 return boolean; function funct1 return boolean; function funct1 return boolean ); end package PACK1;
gpl-3.0
1f75f9fb0396b22eb0b968c1e7c3c01e
0.594118
3.621076
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/fifo_generator_v11_0/ramfifo/wr_dc_as.vhd
2
10,866
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block jVsAzLxgpQmm7+ojrYqXIidYnylvtv4kbTBSP8M9gok6/Xzgvc8DzYfj8xNjRkq0CCH+AL0SPAkL t18NVNr1Ig== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block M5QLG1PaO+LyJ54ceRUavK5y3nmwtpxY+SC+6MI8E2/0/iV0q9Ea2KVgTcjiRGQ25pkI1ImL+JsL mK8k0QEmrrsNG67HwHXT+hsRTR7G2B8/SoVDJlSrpjKZBmVWjsnIQi7RMwaQ6UwgoSNWQ7Nwdjov aYoC/YqBmFOGnOKdEWY= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block pU5zPVr7Br9ZqC5qPZAAJSgBQYbA2VEf9Xxm3EDpiIwofFp2czxnjQlk4xbLuwVcvlAcOwJGqBOE AJOmI1E3f/Af1zsy1n96wHI8AXm/xlSyFB+dZXef+RbxVp+HgT1EGm1KIqk2uymX1d7+QYCncm+j yVGYSxT9aW982v+W+4iMep4fkpLdZ6Daxzj/qbrRSrL/G1FvX8CjIFq4gKjBl3ojH7GWELGakRlu ThvbvPjfBGfQDwK0wL5Vxq552swlCN1l8RBSW2oovHb6cyVN06kluBIkYeh7bHmZumd3AlunZ0tC yAc4ZESLwodNeQ++lO+AG6ifgOVSXlFZTsLAJQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block j8fUKCQEQiQh32P/IRFTF2lAmggcxfWzyQK/ncxCF/zCg2W3MVTcPKjhNLMFbBbvBaij46u8sjb3 sbaBo6dykfCSUcEtQgoDG1vfuVvZUw6/LqZ5vfwAiLGhe2unL1TXp3b9q/WFhlhTf8MMIEg+TwUC pdWFaTvZ32zaWqGMLd8= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block EnHa/6N/dhrMHr5CqFcQJ2vL0CW5JMDMZhWd5RO8a5u70uTKIwWqE/NxtrLg5y26CUlbjvJZoi4K Pfnuek0rarHRhR0mYT8RfuO2GScmeoRjd/nQOThKVDkdc81QM3Si0oFrg+UlET/QvWEqOfwDMk3e pW9nC/2z01hjEOzZXKXqpmZ75xqB+DvVkSK4lnuSJoKFiqUlgfyuTam9NT9u7yZsiazCXKQStN92 5tKO45Vo1LwDdYabBpX7ylrf1Nw39Gb7X8VmRFEs8GHUuZ4O4Zdrd/XfOGL141R0uS4x76swTaNq o7n7Pyb1uahsHvg+oJftHQdUMYzIHucUIPKEpw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6304) `protect data_block OPfUPyN5lgj3kQbRUQ7lLhLN2X2q4aExhZ79CPmtI8tPSMWsybo9u+7Woeta971sVrKE8lffub5E wmxgvCGhDHccJPaNCXwUEcg8J9Oux8pYd2gjbfAhe44PhaUcvTnN3jiYVeH54L5nyXS3Hxean+5D IB/HaIHc5FHCrtlhB1OdfcaSUY9ldY45ymOah40g29gKTYboaOM0uzTBNu1yzQOOwlmwGyth5tRB w+qxV95JlYZrASEu7ZyQSZm65nRnarlvQnDaOv/EfHBbZgl2guShgswygdZ7r4+6zpx9fD38XV7B mgVHYy/3bTBbXniL5Ivw+3RqgEzl5USZm8MsBm0DXt+PTig06s4atbSU6MZEBaXgERt7S9u1HgmQ xe3suyKZ9xET1tWKo3m5weKCT38AAD5ZI/mzxspkCyVcmSDiu44klyUjptZ7wlTVwedwPZDB85b3 /k0kvsdM+MGcdkc8clQxncTs8Ef7cfBT+REkX2wVReP7MIfBp5ixK6W3LGYDJtC6yiffpFtnGJFn 1MDWyS+mGg2cK3iNMiChaivQ9LHpZO2mJ3nbyYUvgDGKWaKxWqugnqUutoYyWOlNInv05XLDi/QQ 7IrpoZX3MRpaZTzm61JPP+2MmLv1V6u7WjEm+4Rw3KauO+d5cI8ZCPM8Y/5y1bxkqBXkqV8gMrfA VQkXF1cTPVjcJLMbXt76wl5+w+n5FCNZs2DPrB2Mn5ETJhqjYfdIZmybz3YAPfbjBFgC4r+MJLF+ Z19LPHEmSTrEXDoUcH3xXaxWOgeMSd2SuwcXlCH8GLiiB7iUUIGSxXfV0M+8CetcDwq0FurEmVyD FCr9RyVWIVqG4wLMSfuEQY6RDbsQdjI3UAuAJ8/TNBbx6M31NEAQRYtQxN/xHQ9wgsMRNbhrQGUd SsajwVFYRAoNM6g2WvksAAkZDE/E0LVWEIP2e6jCrs9jMrkKUUZ4upAGGDLbkPJn1Gr7KPVD/i+Q N9VfuYoDPstlR00jJPX965yKeLMbyH1gCcFjKZ9whlyll+agAhjW6XfhQoZPP3IPBprRyhB8kE0m R/TP7z6+gqApum9PnGPXiEeScX4sD62OABpxM0XwqZqs9ihRp5UV+Jbc6CdR2bJaRdrB8j3Qj79s 8UFQNTVdv2Yy4uxarXe7inOi99RFnpAMAbuknLTNQW4a8lcfmcsGna7Lli32/ZmJYv8enkeQNL1Y 95zRNtC18ImUCluErs3v8qNStnaJXFsppESojDQpSno9O0mOFd1C27ipCQUxXJM+XGkXFDCEZpj1 a88FU6t5h+tB1V8NadyV78auu0RpGt0cjtYmvl3YKiDAo7tBOXoXu0BUs5oBxZGBOKkSTW+QhAic vBXJV793ifMWm9v8Ef0Q98kHDmXqD2O9i6+Q26nHKRexveC6I4+qYWaMUsCWHXc08rCgLAere1JO 0lr+N0v7MY+5HIvXFeveb8tTlWEpualRta1TnRKaQKjMwmYSR4g/jeegSqaepF36/8KGB6l/HG2P ONB7praU8JXHpQOu4/j2mb9pm81RMAH5Lll/ePY8k0p463YSnwEya1LbpJV2oM5AALbdKuVSFHZM OhAPTJC50hggrUz0eaNvpe1bgwSKhgw5wAsYl9p0gl9RjHyOZNIps6lW/qlRd9GeqMrNixZva38X 6Ny9x5jDeLkO9hb5VFQQyYwWIPltS4HBX2UNyWRnrnkZj03A77wpyoJQWz3I7nfgHEsE8d4UW1RG ZETWSUQ2DIthUcOINvWRy9uvYMnJQV5ei6hNYNfwqJMvXuLUnxuZLs9EK4QyZuU1Vo2LGE9Lh5AP 3yUDPJYZrv47cZvwP5u9Y7Xlr7lEV5+w6wdsQxl6uF74VzYB/c9cVmplOL+QKNUD7rYirepWnMzL Ix5UDGfn3ZtsY6//tE6DSjyBa2uwymljeRfuWJC+HABl5tRcn7g8vfxf3WpEThYlhi4J6AwE0rEE tCsEB+37ODYmi+uEpN4Qrz7adZTfLu91uJKEGjLznFPQLPVh7ct1Xa3a3v3V5UY44xNE6auawhCj qn98JOiEPA9NhThGADlOqaKcsoeoHf/sPuHrARav9s3tKkUHQByOEZW/lqHo4kPAO1RWh6EXaQlJ Jp4xoOhIDaXUd6n6pdNTWHPJ1xYwOz8R1gcy+heDi5RhwGvsSaMJMvrs7E8+r7GLJykPnLJLz5HW 0EFNyL0xk/prAKV693Wt8F+6Ns7ZY7S9VNr8wzohtOxtmlc0RDX89oGx7RCOcluiOX/lPUT9XwGM 6ew0GY+nXB6PrIKLyiKyaDsGWqF5R1o9oABZpqegXHtPEnBR02PSrRiZHKBxIZryu7r5Qb6/7XpU j7e1XeoNNHrottNsm9uH2+DNpN4lU7yiwoyiM0zalsFD+s92tGnYkAy3wKeQfYBX9JO4aJDe4RIM Ep8OZFwq21T80LA4Xt8ZPJ0UZoNZTAwaromMEHIt/kZ7tp+xROeFghSJJ/3obO4PJ65r470L2Dul BHo+eiCG1pP6r+nlEdlM7uw72Ha3fQwq0bCEUVkgZJEp/+/qczBjLVG/WM9qDhyYUs79dEtEeRPJ uPPTdLFgra1ODshaNgSzB9UP994b/rdXFpmM4rCl7+Vc2asp1RHKZ2/lk6GvVVzM841ZaemQFTch 6sVYmAPPR2XYvoDZw5HDa/gEUrSenzFUpeverYuuy57gq02KCniYXDLIcjgSex5MqtB4ZNnCspm8 3WbRsJdaJ93X6xNr8uOYEkvz0Rg3s9tSs49216D56ukXViZBYsMpwWl9lRJbre6xqrMztCQqhlNn b+s2NJg9bkV0G1Pr+933sNUb5msr5Y4dNl4DF4ah6klIDlKIuwmfq2D9Wn8S3Ct+ZzkJ4CCFraTQ sfNtWdn+DAyoV2XwcsznbfHXeQHnoa2NIIF7zdDIHrewhdEsUIDYjZkEM9pWWmFBYFgIXED+EAgx Ft3FUYMZXFLXgrudbEBF2RGnQQeh7A+B+3RlixBLRCXPR1+4IZkSSEik3AZdJP/lFfO1fAYn/lLw 1OIiyRADk+DXE6dVF3A3AQLqhV+RwocO4viujuRDlj9x56BKc0a1gRR5l2kJqiZT2TLDNZKll3Q7 poBv+GU7kcJlsVbD5pXu+TdJfvV9dgPFo67GxnRe9PZrKzSvCeYgiqLVkx5ZMqQi0CWK+3yq4uaL CpX7QtjmagEdZtCRcPCmqZTLETRI0Csf9RvSmNdRdjW8yXUutkwPwskxSemDjCp2vjrevWn+HKvR afCNaKeHqZbjfWi0NWyJ9nsUAA9pdlfKubpoDJO04YA/3PT0s/L0XagA2rqtRLZGh/avk4rftYJk b8Gz4w7Rbg2aqrhP3PmE1KRdgLgQERKuJvzUZHzUAi6s2tQ/zzUALtbuG3ukmnaP7jIuQS7ox1mG EKAcnjTEj2bi/M2Uwhm+L8znmXwpO8Zhwtmfr0ol6fClgRQSlrkQenJTACmkkY0wXOF8G3tktEp9 NBOKO1cK1xhPQfXILWOT8ns3iLYJg4hmwGwMlGp2gBeuySkA+9iNPozqJSWXE/ebeQif6GgUhbyN hoTwTZ5Hp0uYud75wydc6SJaEc2YBQxsNEFtcixWF5Z62+L5N+Ayq1lLTNYGo+g7Us0MxkO3t5oN mzDqUuKqnlMiAyMEz67qaJ50jol1OpKD9FmlaGQuZ+DPMw3XjRXigP/A6cwmrp1EnDlbXKMqMumq vL8K+16ipHf7DhhyoJHiPPicdZrH3D3mo+NRTnS5sdasNdR/1cess/nbZGrBa3CH0HscUHVsZHwL HPTeK1lT7zBXQm4yuPd6Li6WK3jCiKOyDhaOlJZ5Q89GdZfvQ5ad2jHzIiyIyehBK2O7MCajTan3 Uq+xtv2RdKWqh+AeqGeej/F2XJYo0MxPRKqGM96fOyd4do5KLON6KsBlAzw1ewBRQ+2Je/7IALNW ntsd8VKy9iwV/VFLo8gRGWVa4swHX0pNWvya31hkaqe5CILPhV308th6D4oY+7wKtZ1oR3Pj++dh amst5nxXGT3D1byiu0fvVnRQ4ccOhzHvD7gEvo4dQwd6jNGOt3GOsclWnyk9gTvQD76cMaC2pbN6 3A8ykVUaO3WGPcPkfQIe4JbMP7k68NIs9Wex1R5AZjZH+AraM5tmTbw/PwEKREhYTu16FgkxxohY id0tBeYKVCbk+yw8vlVX2yfvHh9TbcbGB33pAuyrIUexJETquDWvKoD0233ZI7z6PKk6WdnzS3hb 7bWztrkgkAufzA9vq//+qD2fqHCs9HKx+KvJ9jdrJddzNvdkDy4ZKFT25U7x214WDDwxtDRW+VHH V1jcVE2XsJ6tVIOQ9oDuZqpTxzdiLruyu+Dq0l3RMJNrt++ysfjiJl+oVY92ZFs715+Quo8NcJXe Opc+pezKzMxPbx8IVgfW+fgsfXw2nBfeEpFCguL62JTePoKc5OJcCF9MfD3Z2xJGqxO5K0t/rVh1 /W6Q2dmWVUjZ/a3LER2l8k1/IofiMPtgX1RTomFk7nTcdC6rXBTllAar8NG5FyGl7H7kYR1p7T0y XSL5mvy/GmbS7c1VQxonLhkU2+W9hF8wVgSbi66Nzdc2KWBlOTsTw4JAgKqeFVfFyia5WKM2SnET a4E5DGAyLC5aj/O5L+zL1zj2m9F9L4PZA3OOxXW5oSRfrT1FWa2SXAPfotslGunspnkNEhlofdIx bIvUcEGcziTtD0WccgZOOaZ3hZg2nEDsTu00C5aDrJUNpEEoHmoYhnuTjXw+Up/1p3l3gSPFQvs6 y5gIiKObgHY5e2oVWYseWxrWM5YYbuI77bB75YBtnVv2pffrzWe53cVU60waOHbAsQPwM3542A2M mSHRA4nLS16Z09AYWJrv4OuBMSza7U5LgHADta64HMZC2FYOM91UqNhQEGvgiwlCCRNnpewWfvK/ pt1xBrVkv+f0jR7aApSV8EuyscqS1Fh4zRQgAonNCPTZaGsHHjtavLCiL10UvdmdcBUN49+3anfn HCX4If2KIhgcT5NS0T24a+hB4t+h8OZVotjXBuSXd07vaUWZ6RFPzVVffBN831soReyHttDX63q6 qPxDRMOtZDM06O7wRvFWF4cZ5cDdwMRxxnDb19GfMPiRXkZmaJufw76S0+YgNUoW3SJxz2iTX51+ vPLQYRvqVanHeaR2nmddTq8sBzPd7yYNrEjXHyknqb0SzVymv+n6KTdA8p0FwFkLQHImQoIet+e5 WSYdXD33uIqdK56My0NheXjEhMf672MG+ruQleJg+K+uJAgEniebu9TJRadb40deNXOCpr0kUXs3 HJk6NDPQnuKYXi40m+VFbS9/AwjxgzvOfIrqU/B428nxRxLDeMc+vb1OfAJ8HXQl5scFYFDU0OmQ Zps9OGwUgTxQdPtLRcUyjBQKLV8bGCKnsZGcWp/clYJmWNV0k9d+KFZYir/bYLogGyEFrwiJiD+c hINuk4/vrua0fv9bYyGg1CsgsF61ZpcqPTLPD3jSAfkqNQHvyRiQ5ctBl2GmEUMdKNm6wjt4ADg5 R9phacWbrpLA9S3srC3zHrz995LLYQKzHCyB5aW5RBeymtqklahKZdI8/gv4n0EvW4RFykQcwndZ EIDd58JYHkU3ZoGfUiqVSFUdI/5RjCZv538pu5AwK+D4davZlM7keU55Bfc2K0d19/32d1jqnohc ytyw11+OuBTN1lRWBg+tSu6BFaEk7cyrw8wdU3zcBAbOcBs4v39XJYNLL1SMHOqktrLvT5xOVrBv 92/rFyWyAYPdoNhRrXBd7zyX11xt64vQgRagRgz2wvVl7RRUas1zuN2xAjKekPF3MVtwI7IW9gC2 mbDSpBejx5UbrnsEpYyySbYIn+SCOhUWTp4mqTHHenTtNU/RgECCQ8HsmSf/MY7GHVHc1mawFnlD Lz7xWpEqU8JkyzgxI/cABmD6k2X9s9PhIVO53whquDEd8FHlttrVQbBVYL9YZDkEkDwRbZIizOUQ SfctJMLRFAUwu14D1LFrPcZhY7uje9n+MxetIJ5liHcugAwzoPOgZZ7uCul4Dw7TA4uNddhSEsNU VHcRr2NdjVJs7Cc7alSq+ZdeExDJ2jE0cMhttwJtAg+XC87OnoiT0Swi2y6PaKXPDnIxnU04n2kM e+rxfXo86CUakswX1HW3apnkY8w+VUMncjjsroqIlHkj2rShi6Yw0AJAW2r+7Gc5TcC+ils8Q2GE hMcVoK2R6k3OSAZfuoN/N4JE7s7W7n8VnheBjMkX12cfrEi7dJzIvS1S9NyLZ7gDnBSmM1WjBFE+ /1MIy4qX6pWTd+IE6afDjj+p6TustmrL/74mK4QnO5tgpQfen5FhNSfbKUaJyhsJlNi4tAMzRBhr znbNMjC2W4Q5a/+AEPEgMFz46D8JMbF0uXypurh9UZ7zbShDbDSO0JIUO2n10M35n/PmkEI3mKYI l4Nu/VIyWkR9FdcuRTAbcBs/q6kFtWaB/zxcoGcfljFUvxwZat1Om3g7A/k6yn9vTu9WP5kU1387 xjyU+9ivpEbsqsJWtTHS7Udc6fVbh7zwIcGZUrqAaX9V8AsmYsOvNedyiNoK+7XTQcpIQco88azd cfLlvFxzmhvZVF9h/1PQtQW4sCbGQn2q3eqWVScB+HQCvHsT5CBIrfeHhFiSHvXSVv5NxlF0nTlc rKwcORUGMueZXo5Snftu7vaoAZqqmlmImQA51FizGVuZPoG9bKRic3llKwihA5NRa8kAmSSRQsd3 PrckC4rbECxX1Qgloag0p24dkVDyxXZEwvh3vxYEajT4rmq6mCMnDBOYyU9a//Uru5CT8DhimX7d eyxdLdZM2dwKwgpH3sGKy+XTYj9M/trLRP3fg9r9sAxbws5oru+2rFut4rw/vqyRlcjbuxU088yQ Mgo5lyXfg1hrqcoM+mltseJt4DznIF5u65z4PtVIHyRbRSNuFrpWD77atkh3yfh+o3MOn+ZY8tK7 SmWEHVaKBmnbgPYZdWU36nGi7EEor0ozHsQTL0LM3hSfZ/r4470ZvqSsqW7AdmF0nMQrMy0ar2Kd 8rhWyRHeIFT6xogzifQYEilCgYVumfjgJdhUPU9ytAjF/y9CCzA0f9sSnlv/GD39XNyV8cJa+FpP cfIi4TTGgACdZVTobaUNp2thkenQflKS+jQJV1iy9JkE722az0PhQghiKfR+wC592ZI+PVJzQI5D ySZ9pQWBzb5wPqqLKpOOEdYlT2aO98kpARiddij+Qg3VNcdz3oAI6769/DNjFtxFFaeXepdWUJJl ZovCWKPdpJhYN8rT/z/Xm6bO2A/TKD8qA3eqrhux2ALGkRxsWkNSwrtXv7Ww30O27eDLXZFpiEOg AXOzlqT1wL+yAunedezWHxpW6YDTM1A7HA0LstYantJ7uFQIlni5BbuZ+duGqkg/IYl312rWPv5W BRYZOv6ThCibkMclk35ggnrgBOIoGxA6blKJgioOH2m3kmMs9g2KC5o62dmr9csizC6aavN/8SAd MyBPemtEOk1pFmOfaKv+0tjedjbc3d9JQfSdCUAE6O31El2KXD7Nj94EDSocdGSQdeDbbq1diqMl l4uRuE5q2VqDFkm1mt+16iI1rl3TGqZJNwMnLAOv4ix16tCtSPJwrXy/YElN0K3MyRS4nSR33Er6 pzGA5Ui80l9ZLqlMIqVCdYnyZeUfhSLbrnli1axvIt0jfIyztBLhfGVtBAihwnyEwgsvPYvCg+Un Qvsnd7n3XhBhShy5ogrEJ3zfNd81XfNozfwpvXUatUbzR6rV/FlpCm/2SdP0ilrSmdwWeQqy5rF1 FyKDVmRAZ21Cnk715VzvKnBdiPzaTmb+MgQKCiEM/4ULRsCxPPZh0P+VyFwCHe+KEaKH/eZkGGzb UE42NksZ88R0NWnVShAAsNH1rB1XMTeRCfOB5lqWokKg96lyh85Wlm9PuEs33DAQo4Sd39/PfmEl aSRhmbfSnG8OVyZZh7ZC/AdmgVr3hFGReoEso16FFfG/CySs5IAEVTAJ8oDVKUJBfDk+m1+nv6LT xSY8dtGKqnHPbZALHbv60VZOF60h375f4caf/LmpvEBQZlTKm8tc9+7/Yo1KJcxGVaCCnRYi4mRK NJPCUrklDg0h+6lugE6qOzFy619cHa8di78RIlVUBqktH/j2N+95b3fqNyIDk7iOCtN+/nk8r/th EJJZMufyfROTo/l6SMVXScnJ/WSRvbVqUNTqFMTwDhW+P6i+4xkDOII7HZzB+SAAtK5m+FEVOS04 AsGz1D6YRn7zYX5sr2F1+I3+kfthuh+K5WUE5HWwVM0aj1O0oR3EJagNUYPNHpj/P6n4U5m4s/qq j3SOa1DxgdaOrSknNzmKvCP3hSFjAB2bVt2ToHdXMh/IBw== `protect end_protected
bsd-2-clause
fe7e402a2f914bb2375c5846c1f74f35
0.926284
1.887769
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/generic_map/rule_008_test_input.vhd
1
601
architecture ARCH of ENTITY1 is begin U_INST1 : INST1 generic map ( G_GEN_1 => 3, G_GEN_2 => 4, G_GEN_3 => 5 ) port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); -- Violations below U_INST1 : INST1 generic map( G_GEN_1 => 3, 4, G_GEN_3 => 5 ) port map (w_port_1, PORT_2 => w_port_2, w_port_3 ); U_INST1 : INST1 generic map ( 3, G_GEN_2 => 4, 5 ) port map (PORT_1 => w_port_1, w_port_2, w_port_3 ); end architecture ARCH;
gpl-3.0
603a590fca63b22cad7416d37d4eedbf
0.445923
2.731818
false
false
false
false
cwilkens/ecen4024-microphone-array
microphone-array/microphone-array.srcs/sources_1/ip/lp_FIR/axi_utils_v2_0/hdl/glb_ifx_slave.vhd
3
13,849
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block jQaCPTiztfaXrSyWXAfitZZ8CLVwEmg+EpDr8uwqszWw/giqeg51wD+bu5q+oblNCSHuiXr2w0s+ xDec5KibAw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block BaxCIIeXgSaiNsvw6hPDEmu8bbNU3jOUyrbNCWBzwbzYv6eSK/cM9h6r2Sfz62Y0An3IyYpYq61q 3Dgx+OIfOUa/ZkTitwUK4iNxC5rN55KdK2ABU2uBrwmzQbtIkTgjLK1PTV4QAnRcRFhIHezPq5W0 f9UO+0Hv/UkfRl3Nf+g= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block UKjQml6+uZRjEr3sVHqodC4eDGwToZrXwitpmzssYfUamc1/7kArtnuYSUBaWQnwZvCDicPxTI4t NPff6XeaZ+kmn21ErACZ1C30R/StauY5SjMne0y56n0GIvESa5t8Ri1EenvoHh0YcCoQpPAQwWlC 4NzoylBhb32W2fLyyhzXvPBe0iyXiz6v3C/fTk1YBl7Ra8jHFbSD8RYoYSH9yHEwyWoaUKHf35Gs 5ASTf8KjFv9pzBMmLCQHdr5i6a8xUt/3LwSTxFBR1uXzxRqVSoncQYQfCVRYP3H5lm6nawegv0X9 uWXO1+L4q6E5HxIC8CtLKfUFG7PqnysAF9WezQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ob/YJv3Y1cmOW42vpVexXrXhcCSVNoG7vS9Irc6E4vQzsvgvaxVN16y5ZlQBCRcihQ6P6OfPulzf cD9yrNzUZjY1sz02ec2mETjoeKn9YlZRgYLD2a0lBbEDfZpUhQYNAqOVOIlPyYtTNJnDKrfSk6fT y2cQqYLOULLSVOmyHlk= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block QiY7y9ESSUserd6jDF1AAtasfGteJqOaGh7KlTzv8ZtE2cVGd5sebjMGqg3Mw5wV7wVmpYpM5Azb dXQxkiVIdpOUdigOpVZBVXQJptYvgaqMU/6XJz9OKQyQPBvQTIQXwUDTYK7RuOkD33SYnn3gScpJ GlWvNhTnqrFMjVOd3SVbJMSos88lRHR6ZwbASrWJSybBHiJxZ50/Z2g+18PWyWGzla150gDODRab O7FY3UARgp8OfUPmdWNRfnrjL6ZiLJH+Ea2zXc0ajw7IemrCvw5NFab/V22nrq4KHCda3znQmqVb B65Liqj7Yp/oIOjZZ37lhXKnoAs6Tx6RXURkxA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8512) `protect data_block lwzV+RLnnvNVxtWnbFnUCz7Wy8ul0pmfyY1BH33KKTnIEkFuOhFPtZeDKgTzJ0t6FvkY9vg5KM0y e+ned4yaYuK7h4QrKVitRyDLqk7ECbnvhG4BYoaKhWam9Qlq1DqymVVyZLXW7FFhttRnYmZS/OfB jFnsXGhXHMobhhNRZbSpiAgLYPDNR3rS9HaLfUolnqjUKpthonf5RSZiF91dirrKvHSCBOtcTFRf 7x01/CjPvDAFCHp6P66LMZu8Bmum3fcdj1GvR4ueHQssybTN0lsoQDTPUKBVD7EAjlLBopyCTMdU FZ2A8vDSUNc9jUBtnax3TUYEq510hHtjzIjKBIXmLrIqf4S15O3L5Wu14KDCyscTc3JfurqSoT4h 9xv9Wgiahd42iZdbQITKwZpbIy5r8E/AQzFMfKHDQ5TtUTkrj4EoD5ovgAreo4IntJft6xoTIjnC 6FhjXrVdQXqpkbshzV+0XimRVQ6a+0MOQwKBSyIx9G+F6pY9ny+KDCC/CzEG1PIUjECM88xV/RQZ lrcar3WxCihvLIo6FSVgLBkrge1avx/VwOttNGGtBphByChOUi6QOKN2JYNiaepni9mj+BKkb346 8op3SKyfBepxwPomEC/u1JnBVHvND79+OuIT54faAlNIipTGozN14TjJD6LBY3r3m/P68Z+40lBZ RkNvVArSJYGb8r9cH+2xWfwjAfOtwP1I0Z42qawqu1W6YQOcdjByzdoZEMUAaxDVD1UC1OhbREHK qc42WzxNlRU28i/nYimXGl4JLsHi9LUg0p3MzDGy1epwehgmcTUg+I7q2WnTTllKxRymHIcshVMe iFuKYuY9ouM59QgFga04DAckIAU3THqGVAuVIHqkT5y/8lpzDulyw4DYqm5fW1TU7CQ7M6nAkyWq pYOaleFBATcQzuj8PQMsxqgG9EIdCmh+zqzyhPmjltGTshkTH3zrHpCGAwCkZnHtJdB1RSICKaDK Jvul+98UWQl1qn5LI2TnnyUAS8nN46wDgwRs99rn0TPrAS7QscOCack2TIIDSBjdpOM9fGq1KK8I 6oNZQsuq3UdUM/peuLCvunPiAsdj9XUqho/SdMLMlxY3ASFUJQyDZluGxg+TgF8d4XUQVFD0X9mU FJXt1AxfajMnh5gxDDm/dxrwCNEfy4GTJD9YIqvMpt3eTMDILhdqwC12/58b1yCCJ4KA40oWSEtX Dna0jV0GzFKsMHZykX8Sc5PnqLU01ulPQX2+sfMiuNkaYVAZE5w1w73iBld8jx7CwdURAQ91KprE LZJMuXExFw8qKZL+Uv21cZoBozhgzmAGCfH+qXib1hrcOrILFeXfvFEZAQoIpXaQgfBHFmoZzQtC Plx0F8pAq6sRLR12aYIIhzQaRNFKM/J9eDDIQ7KPYnUzvu8za0WU1PphWaqDl0dBY2FHpWPnJNbr 8xu8El1KTRmRmzyT7gu+FN8T/r273Dm03cTu2I9YluxLVQQq5zwYitoRHrC1ThzBO8aPmmtFqJvy vVMRqVthPILeoDV4064lf3zs/oEbjBXVFuSrtG/tC4PxeJPYFhfUbwkqVT2wg+5odBCFOgepKfag ss+y9hTcMkNdqSMleHUDHmEBTbtUx6u5VUz5nDpm3/SBlOJJCJd9+zmDhYxojYr17XHOsf2V6nV9 b0x7VCfs7ZS/ggqivfHhNxDTzMJxqHSxzwZ4smSxGjAGeJ4wK1l4AYEn6Aeps0aQLu8FFYXaIt1D Ak+hFmM8WssQaGSaoEFvBfnCdSmR22++n4/bCTNatiySk32E7pgVibvxRY+7+fQgzzkBIL0MNuc7 wc08wJRvAuUOL5bSoa/42/+arkBI498c2C+GAZXiOEbmqslCSFLojd5YMqnfmQCwjDGoupHUPwwW Rkd87vdpjdieW/wSHdbgXfr0GFXCZDC8dpOJ9nav9+FD5bU4xM1gl2ndwEKmMk9jLKChlNu/+tRJ 8YGRslp72+hfwWMt26KafFnapJ+FHaZZaX2ZL9/PDw39jFPhRuFCghWRIeaHpUnfobXtNTiQIgBi cQ7Ke0WwyyvNYKRmAeOBP8fXeGtAaF8xn4N0B/lsTvIAZMDebMmBAtebUxtUgGLnFXZDv29pqKzL E4LAn5H8aSG82eyjWnyZEIBZjyoN1GbtuNtCxylOH45UpinHWoxk8MNJNR4nqPn5MLOHxZFNnIlK ANCId3H3OkkageAGI6rq1DsmpzoH5VX6xCy97V+7bcK1SxfCm0MHyufi0tDEqlCjTWg1xAEv1pJa rmdSTBv7mhE5ISLNWrIG+/mcg9tEM6clIr151uGb1MND1MktUp+Juq+fSDv7XjPKUCIlT4GS2YNH pqF+xVgsqNimsHSoz1OmOMBg7VmYZAJMlrtvEYmQJyLHMSNF6FRRFOtIcBD2tt8e1AqPCOe/yAQ6 WSzGMNO6+khWCd/g/fy2XQxQmUxhrXElih0FicjQ3yTtiOwRCXK3uu7FFxwHsqSM8Ovp+vGa3+Vn zWlpzL/zzV9t7qXUmaVbJ+eXBJ/tP0qxILDGAZsWeJBqMUHG3rmRSrCEo+eNMJXJku00uqTantiG ImOe+HQV153qbU1wEjyn2DJVwIBLCezmL+Qjrp63jotn6RlXHSHw28eRz4fT8gKPorG6jV1qa0jz dn9hSKJrLQV+V8w5rb2MnJQ3bxNq0nF//VWctHIqyZlfLgrukgb/KAfp1er+pQAKkP0njj+ExHfy KtYkBRxIpoDs0lLj2NaAGqPKo4gcH5v7v0+2MvWFFVcn1M+uE1zmqljl58kdrDdyQT7nXMwrAi6t bYc4waWMvamc4tVdzpsLErZNls78V3qHWbnW57tROHzxf/DZ+6iw52SYbOKAMtjmy+aeYEn1G3p4 DtFnvl4T+kXTfmnydcOnKaAjfj2zOLAaHX6PUiAXPSQp2DT/AGNv1z579Ws/gR5JwEqjtadWsLrN 9K0gIAtsqoEscURO6LpzREMXOOlb6VUIbdkKAlcez4FpcpXEsBYMp/sIoFJju9FMAnyRRmzGLiZc vzTlTUTcSgRxlDeEqTkxCyu61S4pDIeFQRqSzJYrqFfSnCRl6pTAhvKZRZmjTZXRzcWsVCeYRbUD ifz6/McHOm5ybnd9lKeoVbwQqlqdHQKZNdy7evacqAFM3RCAA5laSU3l7p9SM4vhEdoAPxSF8nwO xTsAu+o8+PvlGQePO5DiEc3B/oxwfi8HDDENJBFVcypeY5KCXO1XjsjNuTv22yrWzFAU4xmtP44g vM/wPsQBb1ImXza/oHfPqKKdx3bLhW+GpLeEOzSaM9vlpdtjD5/Cr6QZnBAZ2HPa/bsdOr2h0Xnf AQ3dFJNPvyTyW2WH22RRmWaNx7X3xhv4PWnGGseZ1JcSBAhKpNC5VZsZrmGBhB8xoqmJo/Qarmyo b57Qz/vus8JM0QVygNoK+QK4cf72ICmwqF1oK3q+HmtdsYYTLZkzLRGdh+Yfi/F5MV38z7ZW4P/9 3Y/T3XclNtIbG794PdxMwqp67ADbtAlqbaoN4QjbCvnDGGptKRmP9qTDfKQHUsuFtFKikFQrt2bb RlbMmIRn3vDxOJfnV6xP2Wl5BOC+UCAzZqdUKSf8GSs9iU0VqWm3q8RoWZH6S2Z9Z8eOzE3p95kA O/RbetvIfrwBQcvTLZXyhIZvnY42r+R77yhmhyQBWZ0pmspr/gOefQp8dJX05ynSQ0CdDCJ0GRs0 g+6QdTBbpLzInzXg8XG4iOF4U2gxI3gZXgaOcqWub1jC24Ca9W6WsKWRGZRf+5ZjXHkhN8WIb1ku LNzUVjQ5wN22lpeY92NCoFJ+cEn6k+SphNeT5ANzrWjBlfESXcVJnKd5N8NkmK6hjtiSW4q5f+N0 ay3tEhB+K8k86+TkpfnJR60BC7PpvE8hpE0YtkRX/tBC2MxrDh6itJurFI/M09djcz6dJT7V1I1s D1QxnUO8jxBO3WLpw4mx+bgSeXXF8q3/GpiR+DiEs2qpq671XhIaudzQG76It56L5TW5pim2dHrN zA5hyEn8TwZGjIcD6rnMYHAYuti4mPgTOs9uWYDp/zApDzwPTcWYGLkR+Cw9ryq0eAJWbNYarcyj 3w5C62cFzD6UwjJtygbRG7WCOc2SQiox3Hr0A3tNpVCn8sYcK0kn/i5bt/+BE/mwFKRB5lHp531f qHFUm6K317PvsbudtmSG1+5Ikm6R6Z+IWTpZffyk5SnIjoT6Jqu93HL/DAmfj4ZKAmeQA/kmz3q9 EV49LPtimbaMGE9ICCwMHJxi/z4sDPmTREAnS/TW8352rNVQ9q18yTxbDO65nO8cndwSVrldELJ2 aF/yYs95Xad4gYfHtPAuI/2gIeplEX6H+z+qUN8ShUDoUjgjgDjqgIdki1zd3YzD1TAmYf993i4c ZL6D938yVvwiYc4LLxbtrWDSMnEVlWy2SksmFiIbim4fmAimxAnq97SJtza6uXPnAtPmOyJAPfvj cPH8+UKDGz9Acx3hhsdp+tnx8VNSZGzf2MSeA4XTNIyQGQxk5wzOURu9e291/fnZjKebyclHKgrf 2hVqHa7a3OU9JuSq3U+MOvJpIxA32kJcYFVlwb0x99h4QBSLmW1OSnYYULiLaZReXCOeEQyloaU6 5FcJkUTaPU5lBNOJi13XLu9qVuaSSvrYBEZ7S3Kv/VPp7qMFZ4Rw0S7HOnEGPjaYif9f1dFrJtem kg9YHN1Wm1niKKXpsgXOSnKGNwaFIRL6liwMQ9vkll+3AwsBedRPOodhS6+YgwdCt9DR1pXJ5uWv N/m1dRo5NZOIqSQRd41/Ufl8A4dwhaw2xAM3a92GORntNXrtzSl6GVzgkzSmNaOfNegjQYlWgVy1 gtha3XVGfywWs/IoC1dFUX114PUYlbPHdv5ANoyP0st/AE/dhGr1LniT24tKwtuw0YBb+aZb5dZ7 i4Iwb0F/djSAfjCKgPCWnxpgneMpYXxUVCALm/tr0Kjqx/ItdsmlQivM/bkuXEK57qZbhSAqMzb+ ZYF4YyhYSV3Eg7DC2li+NJo7z5WyvvbdI/+EEOABWDFOebCT9VvweQkvrd0NICGIcEu5OHHx5rRf tLf7Bl50KfL2Vyyp5XrU2xEop3V3Pa1+wgvcb1n4Lpyq9UqI3iVfn2JbXGd2P0VfDspcyOJlQn2K tIUq+ixBCP9k2DAzEI98n+AYEunb9rtTAMcP7mzH0aeuzjTZ8K4cTDpppr3JYBAT71e8ob6D3Zlz PDpi0Ai1pt35SmcCTPefM/9TUBKmIlWF1RHtjHaXunaSM8wtEK3cz8FBpv0w9g+GwlSW0yrHr96G dabeKneg7MVfDodDmRfEvn/sAo9XgK3eiBUAGzvxNQ+MPRlHlOHMRGM7zaTHG0LXxJk/3W8lOCMC 2ScztZl9x9ccE1uJnRwvALKyW6L2SvdoBHWRVAQN6PguU5eKLSMesEomlWMAF6lxddZFy+RLjpM8 hT/1UoT1UOs/rX92TzOWYseRZjdrMYq9DTjzo2ikuxfnuQbpBGaNlNkSQg2/oaRMYHuHpN7ZaEiU fSr8UziHCADHvXrBke0hgxL04l7AWYKwFhfOZWKIppY5mGT20BDlN1DIG+DYjPH+eRfWacMsfy4Z HH91UlISGvbbmiN4UnD8m1yU9YyO67R7VK43LxdmEFDmU8QIp43i/uyMoAHZkCaz2Stwapinp/Vh TmatVKMan6gq0su4j1brbM0UDn9hWuG2JHezA7DajkRk+o+N9uZY7Wo3kg3fbSNFn/cKXae2TRNW h7Qf0/oG8Fh0gszLLmd9rbyR/9gfNr8MK/ouC6xnFi51KngbROf8O47+9MXiUKHviupI/KTmiix9 3n1tQHSxhUyBVbFw6zJKwfCgdVqLn3VQPxW9765zCjGGziioJq3H/xy9vWpkqFKnQ9IElFtzgtWV AoSXe8OjeZS6Gp5WALnQDtZflhVAGOf9dZdD8YNGK6RkDIL3FPRLp2LHJUcJWVnP0ur4Ix29Um/k Jp1Me9EjPQFQtgdAGL/KZdiQBxXNU86plgScrlhX1ADtnpORI5Pu88qLVFUei7tK0ar4s3yqyzQ1 O9DSQ06QuiWoc1ILON6Had17JUIVTPbz+6upfmtJbdmU17eQVN3izXNh5TxirDoONsa+oIp7/IY9 +vK3Ysxh9jvCGEENUBcc4LS2cmU/heH68T4iE1I6xdNEBo54yKPoqszafUKJZXSk/iWOl73PTxPi 84YcmgGRTWAUw0GuQjgaoSODaDFTFDRDQ4rNmElTf8QjJVU+flf+GXu4o0XQn56GT1J7jkGu947h d6J4pT82caczdwz4XtXN/4rGP9YBke5LfZd/SYv9Cn27dEZrwozTVUP1qIWmP7KPjAg9FBjSGm8h sbDdTorOf9u3hBdN/fzHY2CL4f16tyGSRvTDrAUdTtXFjdand39L4mF1INa65eYxMhVUvbxgKPlM IMzjC49eMWU22kvmgDoQxKwRAH/OioFGDGhKhhQk7NLCSEqscxFs++PIDzkXkUnnJMeRrkXLoUP3 /vQkxHUuZ/tj/zHalioobNJ5a4RSoMhEJ/WR3qFyr4sgeTPbu/0/dHE0m5kzP3OwInADU0oJyFjO ncAfBYmVjGFnAt16MleiFanbptjxVETXhE7rDRGOuSvpUnorgTS8A20si7ej3ZdDM++BOIK0tqqB F/ENp7pqZV8/6ldyQXBpnEePjW0UUcwfoKP5/ik7XQ75CwpF+4/3uT3hiGHOY1KNLyvvyxPomkJs l9NONz1dffKWCKMQjKx+u6p6yqGmQcJ8PM9RlKSZGNSuUardzRWFcxhkWuMOYw7KQVdc3iBb8z6g weOo3s4sxMznpjzVwhuKynTB4sqz20Te/Y3RIydvvXvTWtURDD7UNt2gSK8JMNRP6WvCyBYmYtFa IGE2jrrlKEH9YeMJp5X1jsW2jWa+tARgpGdZY1DYpdLvWKPV86rikrzoSVEc9f3JzPTiSxUIo7y9 YJToos2aTvpODxdKGhBOaQHEoJxZGtDbtcRiloQF6q0+HZwprvNJLh/nBQZFhpaywwrivXJm35Dk F7bN4VDa9Hj1s01qhIbE1nHe8bOiqkz4QaJVOy89Yz/RJLssQM0KEVYamfFgc9kQeORu1sgnfGTW ZN+9zd9esHtjyqtzV7bdjl4UX+fCMQtS83KhTuHwtwVILCk3ib6BLUhVOzn1T51KtQ+3I/plM4ju aVsVgDE01c/wyu3Mr0CuqKq8fiCK48CKPIhcAoyPW+8S/FBUKo0mpq/7K3aKAqKY2YvRsiJLj4oK ZZNn7saNWBacJ4NmAtQK/k1SjClQtbl6eZBYSD6GEvPlAApHdNmzdVVfhnyrNPmQucsMAX6+z++n bXMTxPG+bb44qsC85+586zLAr7uz0lwMrObECfJmv2jo1+p8R4SHa5Cti4nMASNXFqSpYgRGbMwU Ax3aScqshmugp1ygTT41B3S/gXCS1GFPEjn/6NIfffx5NWzYI+aRYzWZncpU8yUbE/zZoCiMlAnp fe2qsloqR816BoS5P29Xq9WamC5FuqYSJ2DJ47WBW5oyPPV0l35wvD0OpXeOYh+7hALZSrG5TPbn jTSmMvAyvp22Xc4eyC4kmEEKGAvUuJejC1pR1uVVf+Taul3zbBlOd0tC6AvMXxP6x/i+PsP2uUF8 Yfw6pzn9B+VcOwkVE83r7WadY3lsCL5kUJ0USaicNwoBkByMjGUztuEHb52iwoYqw2mk+D5TWfum ZJsVUJclmQcjI3SOB1emS2J733RQCYEcL1euymRl4Pw+ExxsniRy7rkreC9cszqwI9EYfH93wRGD PW0FMkbaZCNOGaqqasPaK3jedLrQurZBsnf1vy+FqShwvoJkeRBRAXCH9lbiZEm2jsM9B6JbZC0w WET7JrnnaRn6P2VCSTygkD0EorJdL3oBDGV9tXMUvpZ1S7Pa7tvxE31pHV0rdsNUf0ylWS3znuGV +A9NanUsRWqAqb1eUYYHaWcy2WnNWuay7UFtTtBJIiodgZrC74swCE68twZu+2S7BkIWTYDukdP2 pRBQ7w6uqLcxm5v6Sb+r66duvEhG/724/XPwiwIwXQj8HT8Qsm+XtsXbw7q+t147pX2i7/8Dcri3 fMu5aIuh7Spp+Sm7fOAFSRtHjb7EdlRKKIk9tEgAyyT1sXX/5KmEnQD2nklcu9HJwY5XMH1ti/R7 5BrCv0FOLjceFLd6WL3tiQgxwKP42pFUNEIDF1Ju7+mblibDe5bT2xQ+eik60SvwATzSaHzqv/C4 sco24OxYrizPYTEfyCjdEiKdTPSv0RxS+1wx7P/XTvGgr6vA5cgPMsw7FKEmWF07zFfMRses/BLx TMar08ecCtN47hU/gWoVaf5TK+3Mf8Hfnd1mP+15AldC4yZUvWc5CfWFJaIZFB9s5icjmQYCVFqd 8OZXE9RbwcsSqVKIstEj9Yh7VVoa5FdnthDeKiEJgegtHB7A+wqrVZc01KBJ+pz4zgPWe6sDZnOU iThbN7RjHr4rQW9yMd2iXMThtBD2IU8dA8gd4wKOtt3nntTfF2yk49ZZ5tQ4Lc1sOwkrIioYm4+T 6DRbYO4FsqYGwDGXBtynE/t7H+U7wu9fO8dQC1+Fj3M5iBpbNInVo2xgiR3XpDNMtRc+BV9FHYGS GT3LMws/R0MVwrYuE6/tp4ZXOi+JJHkf4UCvVyklkmT50/+YtWsyVoAlWgxgwET7znxmqo9CI5Vz gJ/wDALxOZszrn88U7IzT999h1NIWJ/Wr/2Q6UwDXLARyGQ4Y1EjYSMxO5EbeImE/92hLg+S+Hh+ oVjeHnOdtVlNVDwkjsRfB8s3dCStNleW6RbZUHkLCzPNGKo8/+VCj/73z1CHrHMbZzPq3iwsZQ8T D3Azlbe7X3bqK37mWUdPT8wBXFyRT7LxknG9iQQKO9KZcJCA6SDo/2PTnZttosaO8+lgPcepkWNc RVqOsMH7Kt3z8JE6Ybv9f5/oIMAGN7yHl1+w316LdzEbyymUX6uKKzvepzT/8Ij4EKbOqHX8PYAm UKcUs2CaEKNOpSH06poyOf6nF3tBKqvEThW94/bfZOWJ5EEs7fzH9KbhdHtvtTtQZPqpEcEh2KJd 9afYQ5MghzPXwnuQ50VMT/iPS1gjztSd6rHRk/Bs/3mR3TvcpiC/AY07lJWiQE73xKrZ3QbPpMEz QeEO4GUvalxBqK3kCY2gbByThmtGtrWOk+Cey9uo1dkPiCyHPzrLOgpdCRQlwJ00A/eDyZetUTOH mxtCNZ2CcalrPyCiz9hyIeDOQ8Ge73zQ4tVhYg77m0oQTwgBBLj1s1WfCSEWKgv9/NnITXu7Wr4F pTewdWskh32p5jvOH86PCs10zaZIIcGOLIHEIY2aMrk80r/BeoNVqvIWZ/0+bJXn84CREmBLh315 RxE0Kl2PcIwx+SeeLupfuPp4z9gT+tSQgjkCBPTwuoyNEl3wzdXyd1u4lllkq/SA3PlQd6kKwaRM uqBfBIts9kb8WHE2sDifIrrnuJAGl6twzoVHX6FGPSKoVAPmvPcCM4bRXG+66sazSnwK0AqsFrSB VC8PtAixfrTp8u1MnsKtvyP1S8iogcifLNA38vUrGedbHiZZZ+GpMeGEegeLt5GeBqSviXW9Eq4E lQV36tOm+Pxu1ud2B8WP+oyrBKpzB8RvewRiEmpOlwQPNavuegtIcW7xyRanQ/Mt6rliDS6NN4IA 2FCMigBN25DDJjOCtiV1VfA/QagVU7PaBVQY3oBbXbiJwFWimy5yVnE3WGQoKovox8BSzKuWQ+iv oRTyv68IYJrkanwsxsaQxEsFqFdNXJZbuuDtZUhFL6vKu4gnzrtyVmKlbXNlutINtx+xdr8vV0Ut eZ08WGPo4yTeFMNe+4IHk6wjxOYQ6z+ZcFIjzGIcvtGeUFaXu+XVOiyEnScQ51UuZ3dKvKjYG//1 9aqCF4oVClYsj3Xd8R3cvgsLcjt3Aaklzqmvip/DS0D4rGJH9ISArGZZh/7f3DD4iQnaukYdJToo gkECiz43ONTiyWp3UJbRR6gw/2wZNdY4aZz3c7Tj/SlitazuenGUBhK8iq52TJAhjDY22O/4nHUB 3F7ZncKO1Li+n2EXrHkn1T08vfBtsCR5jnwg4FrxhdFTe4RvynOdJe05jGiZd1MpSH/uILT1lxpZ zxkARovDlspMxiV3mkgfsQuBJy91HSahGfb2PE8wJ6ct0rV8KD2Ux/UENifDPk6+BnTY3laj1rpx +0mbEET2Ak2KNvwM8ANgtFppnLixfGOGQBjAEXp/1ejjaHC/Fw8zxtasulMdF77S/PQ83xzztd9Q Gmk4pQdBh3crfSCA+t/PfdV4PZX2noAZE94jCXrgikn1LUou9ziPEBzF3+1PvI0AuF1Wv0ne+RPi 94ezZzV2IkkAirIZpJ3ProJqdx85wiK8rbGyaZEoaQqaXpqEAvZeIVT1HgAKISfqPztSjyJX3+Fk bbRmGuW7xhLopIh2mOgwfQ5zf+kU1q1kbpOfTG2LY6nZbwqj5+3TbI4jSTsq8+n6Pk/NuvqPvC7B VaDFLT1XKexvhKG8KK2TjXfhzHDBrku9QFHEbPaRCv95LgMIbZzV6T1fo4X+rBhs7oeTzIRvfuZR sdy9J/58N6xrC+r5CRhkhO1BCy6kZbP5vtmoA8I4PW8lDWO5RZZ+R3FBX6jATaJ0jcxUJCc/jzj1 zcTEu27WoLFWkymOsiFqZyGKxBM33qKEJIOL5vWezg2uwPk1PRW9o99Y0vGWTuX4wC0HDKarnrbl Hk8eB3nVj89JaUCuyNmwUIly8B5qP72AmzpC8t+XgMa9zqGFdJGIfYBTkYT72emAR8OkIqbQwllZ HgQCjd+3AvqN7JtpDGc3LJrA+p2o8hfffzIPNyXeDe4xyJLRqnGrMqIWE8IvSie4yhlTJERyZg/m 1PLrJeDBM/c2Hc9W/JmRQnBZAL68E04jn61n0+sNOnwtixaIyh+RIVrj9gG1peKBpNd1cNG/S3Ye 8dDwnl0va357lbCXvZud6ToNjpqMbpt38uQWiLjn/p987CmJx28/9G3ql0NVJVd0GuPb48wGlNJP aP7lZeED1N1rNpkz+QY5KfnPwMYz5eMvag6nuw/hO0qEcde7kXid1/XecTW1ii1HQBkHmlKEtaH6 dDb7yHSrVsY5xYq/KZOaVNKta/qWHOFMDW8m4puKVVM9trzRmTKG/Wb9WLCGh57oZ6O+ltmgy5ah EM8FQtyF1CGXpLi3bHZL+QjmV1/1df3Mdqbixzlawwxtp4eZS3K9in2DYZ5/DJZpOt1vRf+5TpxX 6JmRQb0EgwQIXvfkyTOZqBanhw== `protect end_protected
mit
43b7a425bd37159fcf5e9a6c6f80bef2
0.932775
1.887042
false
false
false
false
Yarr/Yarr-fw
ip-cores/spartan6/l2p_fifo.vhd
2
10,707
-------------------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used solely -- -- for design, simulation, implementation and creation of design files -- -- limited to Xilinx devices or technologies. Use with non-Xilinx -- -- devices or technologies is expressly prohibited and immediately -- -- terminates your license. -- -- -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY -- -- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE -- -- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS -- -- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY -- -- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY -- -- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY -- -- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- -- PARTICULAR PURPOSE. -- -- -- -- Xilinx products are not intended for use in life support appliances, -- -- devices, or systems. Use in such applications are expressly -- -- prohibited. -- -- -- -- (c) Copyright 1995-2014 Xilinx, Inc. -- -- All rights reserved. -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- You must compile the wrapper file l2p_fifo.vhd when simulating -- the core, l2p_fifo. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "CORE Generator Help". -- The synthesis directives "translate_off/translate_on" specified -- below are supported by Xilinx, Mentor Graphics and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synthesis translate_off LIBRARY XilinxCoreLib; -- synthesis translate_on ENTITY l2p_fifo IS PORT ( rst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; rd_clk : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(31 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; prog_full_thresh_assert : IN STD_LOGIC_VECTOR(9 DOWNTO 0); prog_full_thresh_negate : IN STD_LOGIC_VECTOR(9 DOWNTO 0); dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC; valid : OUT STD_LOGIC; prog_full : OUT STD_LOGIC ); END l2p_fifo; ARCHITECTURE l2p_fifo_a OF l2p_fifo IS -- synthesis translate_off COMPONENT wrapped_l2p_fifo PORT ( rst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; rd_clk : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(31 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; prog_full_thresh_assert : IN STD_LOGIC_VECTOR(9 DOWNTO 0); prog_full_thresh_negate : IN STD_LOGIC_VECTOR(9 DOWNTO 0); dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC; valid : OUT STD_LOGIC; prog_full : OUT STD_LOGIC ); END COMPONENT; -- Configuration specification FOR ALL : wrapped_l2p_fifo USE ENTITY XilinxCoreLib.fifo_generator_v9_3(behavioral) GENERIC MAP ( c_add_ngc_constraint => 0, c_application_type_axis => 0, c_application_type_rach => 0, c_application_type_rdch => 0, c_application_type_wach => 0, c_application_type_wdch => 0, c_application_type_wrch => 0, c_axi_addr_width => 32, c_axi_aruser_width => 1, c_axi_awuser_width => 1, c_axi_buser_width => 1, c_axi_data_width => 64, c_axi_id_width => 4, c_axi_ruser_width => 1, c_axi_type => 0, c_axi_wuser_width => 1, c_axis_tdata_width => 64, c_axis_tdest_width => 4, c_axis_tid_width => 8, c_axis_tkeep_width => 4, c_axis_tstrb_width => 4, c_axis_tuser_width => 4, c_axis_type => 0, c_common_clock => 0, c_count_type => 0, c_data_count_width => 10, c_default_value => "BlankString", c_din_width => 32, c_din_width_axis => 1, c_din_width_rach => 32, c_din_width_rdch => 64, c_din_width_wach => 32, c_din_width_wdch => 64, c_din_width_wrch => 2, c_dout_rst_val => "00C0FFEE", c_dout_width => 32, c_enable_rlocs => 0, c_enable_rst_sync => 1, c_error_injection_type => 0, c_error_injection_type_axis => 0, c_error_injection_type_rach => 0, c_error_injection_type_rdch => 0, c_error_injection_type_wach => 0, c_error_injection_type_wdch => 0, c_error_injection_type_wrch => 0, c_family => "spartan6", c_full_flags_rst_val => 1, c_has_almost_empty => 0, c_has_almost_full => 0, c_has_axi_aruser => 0, c_has_axi_awuser => 0, c_has_axi_buser => 0, c_has_axi_rd_channel => 0, c_has_axi_ruser => 0, c_has_axi_wr_channel => 0, c_has_axi_wuser => 0, c_has_axis_tdata => 0, c_has_axis_tdest => 0, c_has_axis_tid => 0, c_has_axis_tkeep => 0, c_has_axis_tlast => 0, c_has_axis_tready => 1, c_has_axis_tstrb => 0, c_has_axis_tuser => 0, c_has_backup => 0, c_has_data_count => 0, c_has_data_counts_axis => 0, c_has_data_counts_rach => 0, c_has_data_counts_rdch => 0, c_has_data_counts_wach => 0, c_has_data_counts_wdch => 0, c_has_data_counts_wrch => 0, c_has_int_clk => 0, c_has_master_ce => 0, c_has_meminit_file => 0, c_has_overflow => 0, c_has_prog_flags_axis => 0, c_has_prog_flags_rach => 0, c_has_prog_flags_rdch => 0, c_has_prog_flags_wach => 0, c_has_prog_flags_wdch => 0, c_has_prog_flags_wrch => 0, c_has_rd_data_count => 0, c_has_rd_rst => 0, c_has_rst => 1, c_has_slave_ce => 0, c_has_srst => 0, c_has_underflow => 0, c_has_valid => 1, c_has_wr_ack => 0, c_has_wr_data_count => 0, c_has_wr_rst => 0, c_implementation_type => 2, c_implementation_type_axis => 1, c_implementation_type_rach => 1, c_implementation_type_rdch => 1, c_implementation_type_wach => 1, c_implementation_type_wdch => 1, c_implementation_type_wrch => 1, c_init_wr_pntr_val => 0, c_interface_type => 0, c_memory_type => 1, c_mif_file_name => "BlankString", c_msgon_val => 1, c_optimization_mode => 0, c_overflow_low => 0, c_preload_latency => 0, c_preload_regs => 1, c_prim_fifo_type => "1kx36", c_prog_empty_thresh_assert_val => 4, c_prog_empty_thresh_assert_val_axis => 1022, c_prog_empty_thresh_assert_val_rach => 1022, c_prog_empty_thresh_assert_val_rdch => 1022, c_prog_empty_thresh_assert_val_wach => 1022, c_prog_empty_thresh_assert_val_wdch => 1022, c_prog_empty_thresh_assert_val_wrch => 1022, c_prog_empty_thresh_negate_val => 5, c_prog_empty_type => 0, c_prog_empty_type_axis => 0, c_prog_empty_type_rach => 0, c_prog_empty_type_rdch => 0, c_prog_empty_type_wach => 0, c_prog_empty_type_wdch => 0, c_prog_empty_type_wrch => 0, c_prog_full_thresh_assert_val => 1023, c_prog_full_thresh_assert_val_axis => 1023, c_prog_full_thresh_assert_val_rach => 1023, c_prog_full_thresh_assert_val_rdch => 1023, c_prog_full_thresh_assert_val_wach => 1023, c_prog_full_thresh_assert_val_wdch => 1023, c_prog_full_thresh_assert_val_wrch => 1023, c_prog_full_thresh_negate_val => 1022, c_prog_full_type => 4, c_prog_full_type_axis => 0, c_prog_full_type_rach => 0, c_prog_full_type_rdch => 0, c_prog_full_type_wach => 0, c_prog_full_type_wdch => 0, c_prog_full_type_wrch => 0, c_rach_type => 0, c_rd_data_count_width => 10, c_rd_depth => 1024, c_rd_freq => 1, c_rd_pntr_width => 10, c_rdch_type => 0, c_reg_slice_mode_axis => 0, c_reg_slice_mode_rach => 0, c_reg_slice_mode_rdch => 0, c_reg_slice_mode_wach => 0, c_reg_slice_mode_wdch => 0, c_reg_slice_mode_wrch => 0, c_synchronizer_stage => 2, c_underflow_low => 0, c_use_common_overflow => 0, c_use_common_underflow => 0, c_use_default_settings => 0, c_use_dout_rst => 1, c_use_ecc => 0, c_use_ecc_axis => 0, c_use_ecc_rach => 0, c_use_ecc_rdch => 0, c_use_ecc_wach => 0, c_use_ecc_wdch => 0, c_use_ecc_wrch => 0, c_use_embedded_reg => 0, c_use_fifo16_flags => 0, c_use_fwft_data_count => 0, c_valid_low => 0, c_wach_type => 0, c_wdch_type => 0, c_wr_ack_low => 0, c_wr_data_count_width => 10, c_wr_depth => 1024, c_wr_depth_axis => 1024, c_wr_depth_rach => 16, c_wr_depth_rdch => 1024, c_wr_depth_wach => 16, c_wr_depth_wdch => 1024, c_wr_depth_wrch => 16, c_wr_freq => 1, c_wr_pntr_width => 10, c_wr_pntr_width_axis => 10, c_wr_pntr_width_rach => 4, c_wr_pntr_width_rdch => 10, c_wr_pntr_width_wach => 4, c_wr_pntr_width_wdch => 10, c_wr_pntr_width_wrch => 4, c_wr_response_latency => 1, c_wrch_type => 0 ); -- synthesis translate_on BEGIN -- synthesis translate_off U0 : wrapped_l2p_fifo PORT MAP ( rst => rst, wr_clk => wr_clk, rd_clk => rd_clk, din => din, wr_en => wr_en, rd_en => rd_en, prog_full_thresh_assert => prog_full_thresh_assert, prog_full_thresh_negate => prog_full_thresh_negate, dout => dout, full => full, empty => empty, valid => valid, prog_full => prog_full ); -- synthesis translate_on END l2p_fifo_a;
gpl-3.0
0f10e89472b2dfa0deccb99961c52c80
0.542355
3.30565
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/whitespace/rule_006_test_input.vhd
1
346
architecture RTL of FIFO is signal sig1 : std_logic_vector(3 downto 0); signal sig1 : std_logic_vector(3 downto 0 ); begin a <= (b or ((c and d and e))); a <= (b or ((c and d and e)) ); a <= (b or ((c and d and e)) ); a <= (b or ((c and d and e ) ) ); a <= (b or ' '); a <= (b or ' ' ); end architecture RTL;
gpl-3.0
4139ae0d6882542b0743c688e0867422
0.491329
2.768
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/vhdlFile/constant_declaration/classification_test_input.vhd
1
407
architecture RTL of FIFO is constant StartDay : WeekDay := Sat; constant LogicalGND : Bit := '0'; constant BusWidth, QueueLength : Integer := 16; constant CLKPeriod : Time := 15 ns; constant MaxSimTime : Time := 200 * CLKPeriod; constant EntryCode : NumericCodeType := (2,6,4,8,0,0,1,3); constant DataBusReset: Std_Logic_Vector(7 downto 0) := "00000000"; begin end architecture RTL;
gpl-3.0
45adc879a79c544b4adcc869c08c0582
0.685504
3.449153
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/instantiation/rule_009_test_input.fixed_upper.vhd
2
407
architecture ARCH of ENTITY1 is begin U_INST1 : INST1 generic map ( G_GEN_1 => 3, G_GEN_2 => 4, G_GEN_3 => 5 ) port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); -- Violations below U_INST1 : INST1 port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); end architecture ARCH;
gpl-3.0
51e8cd7f2b7ceef17e204f02049a4e0e
0.481572
2.787671
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/generic_map/rule_600_test_input.vhd
1
492
architecture ARCH of ENTITY1 is begin U_INST1 : INST1 generic map ( GEN_1_G => 3, GEN_2_G => 4, GEN_3_G => 5 ) port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); -- Violations below U_INST1 : INST1 generic map ( GEN_1_W => 3, GEN_2 => 4, GEN_3_WR => 5 ) port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); end architecture ARCH;
gpl-3.0
6be230f9d417f5c802219b03c8773d6b
0.455285
2.779661
false
false
false
false