repo_name
stringlengths
6
79
path
stringlengths
6
236
copies
int64
1
472
size
int64
137
1.04M
content
stringlengths
137
1.04M
license
stringclasses
15 values
hash
stringlengths
32
32
alpha_frac
float64
0.25
0.96
ratio
float64
1.51
17.5
autogenerated
bool
1 class
config_or_test
bool
2 classes
has_no_keywords
bool
1 class
has_few_assignments
bool
1 class
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/fifo_generator_v11_0/builtin/builtin_extdepth_low_latency.vhd
2
43,742
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block ENTIL5ypm4/UschYnsfbJ2+BAKHgNWGxujPJaCSNepNzpxjDe7Z8ZSYRTFWO7rdp/4k7eNUzIsFI +/ihsn97lg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block VBW1x0V9zcB21FQQ1bna0AQ5ObRmIM3q7yI8eCRRRKIAK7aPFICymYhpGpH8M925rcG7KODH7vG+ UK0Uq2gVo57oTLpBodOYT8Y+0Zs6i+0ddU0w+X5ES7h2yxxefAjyk6y1wh7WOxmqoJ2VPmojBEgK GFGsC2CD2G5rnqbUPOo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block fHKC0ZtOioiiWWVG4g6s4bFrskqTrUjWj3gYpE+OHghL+epg5UVWPpvfAT/6pnxCIHooTUFjLhrX TnuhK0YAPgCgQLMYLclCXmnBOz4JO09Z3bJKE2/xK5CqsBqhM8jiM8M2UDztFOiCvD6DH3/mq/nT URfiPFRSJJaxH+fTpG24KUY0g5LYmP0m4IbYmyGRcbWaWadQL+Sa/tt9Kf59TWOUSzKys3eviYE8 GwL8Xzkyp4PfzQCwf/6uvysUCLmcgYYrFNveXgniCDGDyrsnlFUWfOGl1Km2nVysZQ0CSsTm04EH qeNmdzc1mfoQpn3BlTOy+W0zibgMljbZzkFs/w== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block he3WwcXyN6Jgwhs/amsNzdDe9MpGL22QOL6fuh/Exnpl/wndUJ0+NtX/oYg5QwXHkA/tTIx+vLcd Vb8anRo9Sy5dclDU5Zm0JpTW/lrYpAXqiu8AoouBk4Ea9pGCE5wzTl87IcDRcPRAupl7w7RGNzCB xEY1L1+sUroG+JNWzg4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block UdbwaL8a7bjwdq0adOQNK2eMx1Llfo+2oNRHit8IsDCKSianrr1RFuVyAyClPJbJsMhvejVP2MD+ eGylT5eLiT3rF8woqHC5i2Xwr7iUKltluuLgMF2m/6HkFImMl+gBvQr1JiQ0VrTr8byqqEVRoA63 uU+lJz7O0vAd20+NJB0rwjZ1jF4iH75L7yuXTcs9EzDUXoKhSqcayZ/JQl7FKBpa4ORZj7w08UnM 6bka2+9rk7/Uv8bemBWBJ5Ajou0Qza2GWprKy99pi90TkM0uvT7G9a5d88Ripap3whJxeJGnriei JHBGiX0wLILjm3Ch5Vd/Uq+BJ5tSHWRPPlvCyQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 30640) `protect data_block uLjoBVrUMcTnbgAX5sacKilsUjiH5EE4W2qgi/8NBB1Mx0Lqivo24csyfZEcobV9fdtKIfU6LUOv 7od6qoFIe4Wp2lp4lDZ4NE88ajdB8AJlFd1Z6iNNN0M2WXGoZDB25Tsf40005VBCdudEDtJ8Eoyt OmI5Toisc+GjyvjYzUXhv0FDorp3l8xJ0sqoiocj3rbgJeTRTGHxxD9yLjG/BCZ6H9ALyMXDMxTb 1TArzH/iqbQGDxOLG95C3EDEEbBq+WDeJMs7whF3fjRS6zDBdzzX3wDhVjCcQ3jODycMIcZaxOST V6QhLpkeCcyy/YswCjEAYvfuxSw7C1aH2btERv2v9lAS95NGNPttDiHHo4p/InC3yTIGzu6GqZkN k+pbgPFhvqL7CT2mnfjok3RoGMFq/0d1K8McksI8NfOFCYIZfNdhmr/84QMMb3Yf2/iq2AfMPqw3 0Rga9ozqTGHUJCDN65sV0lgg5q/2ksPZlz9anvMfb2ze3mvGug4TEvQvgLhn1YMuBKfkLnAoR+LG dT5cCVIqNlrL8MCr/GVP3rtoIs6mdZtIQ4rKqrYgMY4PNNVvAkZa3oDlzX97evIiMrxERqFH85aB vJzICO/Xi5ptqVRI8boMcbB3NGuB+S6/8jLIRIVYzIB688LkV9hVpoJPAvLWOzjoxL7JTmxn7s0r rd6TgOfqQ0OCXBndzoKLRZKPaxOLWy5EfP1bHiQtAgtzbL0siIAkKB86Rd4uG3/vqCjUUOMn10Jp MJB5DobsW9bc49YXiSaApzoHpHkjW0qcXSBFYFajRrRT9K+UjcUd0VZpyzI5z2vozBv16ilcAPGp sP9vUgi6flWGvr5edb2mya3E0/b4mv85hgS0YY6429LVvsLXwNATeTK6f2eQutRkWGz8LG80f0yD h9xHNGwH77J10bkpfGfUKGb+hH5/6jQRT1Nx08uuxrhu92n7V/+0Z6NiSeihpPE89MozzKeogFt5 4x7WOVpYNUTE0FQ00fdg1wdvwhnM+YyYtnyXI+uycknZKIRHKHKVXKHsU3QSO3dEVpk/ysqLZsUE 7RtZ0NAHKXU5vs+IVkwmjDq5OYF31iWsvY8nd2OiBp8qY8j00fQadJaVLfP3MbHaZgBpXErc8JMP miXrXEykg1/W/dWJhxERZ1pbRDeK5KeNEGj+zThmq9Ode8OaPy1b4G+qoYXxQkuMd7qnvriHytr6 IOYmBT5GSEsypPOfnYqvp+WCOuveBiYnMfoAiUqGvqY0QsT/AYZc18RbjlLRgSNa1EVhCsfwR0Ml p6Qg+UrMIctXZoUZYQmtESW0miIfH38iKlpqim0C4GqhdjbLEOP8udYmxXOVNe2/vdholq4j/FT2 cvjzTIzA6kfR60YGuHLjcSiwikORb0/n5YoNTn1Er7C1Ag3EeMgqya3e4tHCqqltXDYOgGVDUlnu gEkcS+cw4Dk3je/t3Cy3gNiZw27FguQqF6IY31Fu6W5+wIETztSScR2TKp90pPXcGvXjydgIE2pX jbIrhTYhRyMGpC53Yfzwfb8Ll6amS5fEXb7+7N7a3PcjdwwQbGoqCQAdIpFA7qSpvg3VbpWXPOSY iB9CpEG24MOWIhr9FPsbZCPwz3i/JravOHLRqwYpfFuIAEUb8Tgav2sjQYYhDnrnW+m7pmZz5ycA wE5idn7RcGPjtHykrAnZD21AwqG7ZQiFTpfQnk0ejorO1iuk7R+TYDz0wfFWLtG7vz3cSZaVNZVb 1YvJtbdnjMIuUH+7wfjltjOm+X5q0L/xyzslMbJGc2/TI6i6OTp1Gbsv9PbJPvqUZWotm8NDQigX rYy+9hkNFnjAC2oxfk3ylR4+RpMrskT96RyQvK/4ZRp4aE2jeDiGaq3/U5pNoWvgmj/PI7wOaKGj z1RVNsMKjPuiwStFtRAxSg2GHBIS6yMoU+ij/evQaVUeROfkMUYWDGseCdZkN/4Ehrm/PKV7oksI Rw4dtVQF7wF14nAjcBuVwQUdaj+nkAws+bN/PzlTBD2rt33At+6Sq/C0cyjtlcGhpQUPH84QRZKA cIX7y9qp31IqeQPBUWtG5VtJbsxRfQck11W7cnnfhxDtmxeqNLrEl1GOONENOoUthRw5dzw8Eg7A k4PQwtBep3Dzni1IxzD0dxkXZQUq4KJ7pcX/TQe71ZvJVc3eOLnQm4ixqKTR1ad76wv9RneE/MSM /LkOSfMfbLG6i/Q5RKpFEjlWVBzJ82VnxgXpsqluuGK60ZNrVpBWTW1dGjVrlfIBLUa+le8Si7xJ UC0V6TP0WZVifHxdcOghQwOhHnLksFi8vUJ8JEMGpCzbl4i32iakFY0mNLCZ/2ujsRg3XJMp+fpv wQIXYrPfJBLVyybwp9myQVbShfJu4suwtbtJ204scjuUSF4+UupgcpJQ8rmIy8Yl3QWyvku0DK7/ sIzfaXkSOXc8CnHJVkEm85YMRacwV+pyjDR1ybrqhzE39cyZhmPNqu7js14HtYk8iTBrT4YN3zbn PHRb4cdtfiRXY/swS74TusKFIpem3mL4f9CWdc8SU4EeUox2P8OU/QgkBMaEKBk7B3QAhQJFvgK+ k+McDBdQBHnUu3IzGqr4SArx6a2Xyd9kcyzoiuBkN0Z9RFeRT2En+NQ/KuwDyRsbUQJ1zaUCiJfi RhC2P4xVI2fq5tO+u8BxIl2heOLhQc9bKta/aPPk32aBWpPVzvHZ7v0X2ynkqHRovH8AgC0mcQi+ 88mrpwgmX/mxAygQZjNW9dsLvDdkITCs4CMM3Y7D2GIF8DZP5hIjS3ppTfd+rwhhRJkDAZC5zC66 fa0YwVw7xAan1LtuVizd3JCSrlDJnhiFPikDtSD/53nu4sf5gL80CI21tj8LYVFj7ramisbRPBdD SeaiquinlWvCqOrJYaQkOQhk7rCfnPYUDCtXS1uIWKcRxtif2cK2fSMJ5Ex7Ve5hOIAHcR2n58uI eLULSLCaGjKc2cXFL3501Py9xhDLYKavxoys2os1WmtUa6n/ETiweR2wZ6xKBBXwt9guEr8nZo5Z 0nDgwa41MobCjrYbHVkHjM7Z90gOVJU6yPNQrOKBMqQ4Op6jRK8U0lT3LsTkdA69MSBnMlvtxMn/ F2Z35JDb+7d9JnllchWo4dk/4rmQUkyR/xD+vjrMTSLQnfTrdO0EPgNnsrvjexQN72ggy1Di4al4 u3lMKvwoL1SVmXsZpWt5IvNK8Z8jPDKlwpx0cSbyUcKh8Gmx125Y0Nv6NoT5jd8lb55SawwoyXeS LJbZk2lwBIk+0+IbkxP59fYG37LAg755XEPyhI0vC33iJ7yUP7k6i6q5+jpZSBeMMJxKoq2jgiC6 mQZEli/OaQEMo0+XF/yd034/jlOE+A0fLaNeobhh2I6layNx0+bGqIcPtPBgH7JH6KZ95cex32X1 lVA4RqcdzyCi8t9nQBi4uCZq9lSB6B+sPYHrRJiYTZibawJ5aUOyfxyjuNZ3I6G8KqXk7NoFfskg k+cdhUk4GlVgH5cGkvrI4Ux+JXDBvmbMs/63w+l7B9lkZuFl71CikoqaiZzvoOG2gAq7NutINyMs jsAWcrlsrnYDyFasoWnAoIHCsBzZJ52dHmWFRLqvy+QQmr2F7lORE5tEK/EZAk1Hpx86ejy8WrES Ie0SoUaF3Fw33GvU40wb5Hc336zR/kZcXl59xle/ajEdH2CXtumoodyHENjRJb+Jl0g9D8Rj9g+Q UpmEgfCy/2h04IENCx543ezLrK7Ijcmmu3ST5SpwP7ELw7Ga28IaMzhmeyRkxryjvLaR6pBVcpJ0 aGm/0adqwfFrSK27Gjh3FJFiNPLvDJ80/5Pi2kBxkluG4ODLNFdzsHneN3L9r2xRejSwx9zroRBZ 4l5QPhzGoe414jdetsexf/07ZCBJk4TvdlmPr+XosrfA6hmeWjrzErXUPcWW9fAZ+uqEQm1Dg6lO r/Od/3/0QxB94b7EphS+t0hwl7boRJ3LGHcoVlWumbX0nf+6KPrKc2ENMCir/A/HMN92SggQgEAb iElwRCiVkbewBgl8bc4aU0aB4rFs9H+QCxNsjbm5BEdQvCbarV5gSx/TnZeXu7risPYqMv3g9aGo JKtdtkVDpbvdPZhSH+ii3KuQZp2bHw7qGCCnpsncwdk94xmDJBL+Cfk2y1kCNxQZ85xb8ZlLPJ6a fSETKF3ZBT0uyXW3w7HKeaS0AHBfZl0DunFE8zuAmdX5JPc8AmoF0XeDrBwfh4OV7INKhn+zEUW0 V4gVZn5D2LVr4NQa1JHv8y5msHm8CVtZs/uc2u4c8W2hdmw0MUot8sV0JSepUMbA5fJ8636OD0Sj jEvMqNEVykUGFMzT4i7/Ghmd7HZduCahKLK12Bz5tvaD2+4edr5BXrOBhVDNNuF1YatiLWIj96+1 60G30smPFBBkuPnA4qbQhvOS4T48mFFdGL/7HhPCaSNoZ9PEvMb7URjH5itU7vG1H9fkazqnKe0+ o/1RpxU/FqoKgiD7+r6d+oswB5L2frw7KXoiTw1eaoy6s85hbyj8u/OnHX5q81NoHwlltTwXdq+7 3l1keDpbGL9vZWya9xm4MqJOamuyjtfirAlWzbC1ii4JKtUSMdPBFRWivQzlOJ4iD1TA8HkmThtO oO5s7Qkq1SWiJtNmsxWFMhP8VRzUrc9k9K984uc/GV0D1NZnIyID0gLWDR1j3X2JGK1M5JIAWIk5 e5Z4tfr4VrLWNaI+EKj7F1hY5DOFkxLxEOM6pWd99fE5e43YgwjKys6xbvVmKn43Z9ZwhR4c7v43 CSU7+choFgBDROTjbniM6DQaMKfN4UlEp+8AkZtql95uZke4r5OWS171wW3GyQC6dfXVHBRYjGDE DAGHvUvBcrrpyz6AUidxCAjzLvWDiSQ98dtWupq92JhsrZPdXfL/GocCtUzsOSfLYTlZmFPfTcoz wIiWADojpoDRMkzQaSPojVINKLbUWltCR66WT1QcDZz2TiOZ21tC2/rux+7e+/JPNh8Fkee27GeD T1Uvhoc8mgtglPV4LXo/ejBsGiK3uMwfW0FatPpp/sGeFcWO5rVFdmbco2HC/oBC+pd5ZV+/jQY2 Lut4ygKxruAPeAxpTBM1gkU+RVLtvIVe4tMi2COVdaOP6LcNppGpbHDJtzYDUVNZdRARM7NjcHWc HwT/TXhBkDX8jcBtnsjYOqFY1Fc/yVNqti2kLbBLF8MmoDqG6ZCrOVx4A6Nk/udQKJYc+cQ0wUHD TOI7TNh9XTE16fIXyi6jGMgmVV36mv2CsPXUwrG6mhNQsuVU4n2tGWof1SnX4JV60tAJWVj5mV0e 6E0pk8tIUBWLtlidyF+GIfDV/gTSiyhNq0Hr7Ljy7cF22tejAZbpv7/zOLl+gcdjH1KM5+B7Lqf9 r8azOfm4+LPyQObvs75CAk24ktC5nVb3ZE9d/au5C9JXXUnohNyH/eYhdpaN+9GJT+nlKaZ4q9Gc xvieDodYeBpwb1TGuTkHeb6isTu/pPpntzyQVw03Av110UQcsGTiBKzlRIyE60kqTbO/GdiH9wNm 5sW5XWLIhnuQTMC1PSQu0U3fDVGh/sS7bDZRQjcOI3PxFXknIOV82sr/6Mr7v5JkMGmrAdH7a4Qo Pa8SjIOiCfyfBRGimW/9i/gQK9c+PslNngwyoXVTmgsFEONzpRYD35i5b6Igu+hE+V/9Hg2WARm6 63DGS01/xvZXNAgwpDKAIFnC0xZEAAPhSuIQ9e/xi5y4PkLPdLUs+4wydybPhvwWmiJo40rqYtEE rRAaQCopq1ctjmTJg/TgxLJAKarA8lFOx4WokgErSmqemySOigkc8d5YbHCL77uVhXkWgoCm5mcj hcYxG1XzdCjTD8nUNs2ByDR5cuL+xU2D56lgD7EzAyj9HyKBMrNb5u79CZ19v26bCvCd86AX2f3O mEbZy9AhevdZ1M8v0ic9XlJ7C/w5nyz+BEUewo4CVGf+apnr1sd2odb2lhymPXGqyoBjUR76kOtW VFUzc3FzwvslPQnEyRDldL4L72ULFFnIhroSbdPomSix/lyDmd8bzUwLu5z0xk/7SBrHUS3mosZ/ smZWkbpD3n9J4Ds0CTpmnE6S9gUHXTpiWr8c+Cl0pBz4ZecDhvkmKlfRwH3fN3c3h6EDRGLuBPJ2 UL+Sqa+zPTApOTSyiJwuff2+jfsfV1w1t28LgCRM0y+tyskgQcqI0UuaJ3QbDw6/wt+kSPCWgr1F euQff/AWYiF0rqVqSRqzfNSQzdAh3X5DfnxyugJmd0txHW3xJWM0+108lILcPSnGbtjDW4zMxxxe 9vKkNwJPWIIrBWHVbZXaxakoW6fkWlldILjMe2XGWrWo7V8w3pXvbwAlBfDRKuSgjpVOlUWesVFJ KDFrQF/m32cVmEAvmqxmaEW/QsU+rE9LzMui+rl7GVFJ+4BsW5dG6O5fC6RsR7uAMcOVZHkhJ4I2 C8u5uImgak2YHCi4QmfT36qoZPb7G7MrfI8TeaKecLSFz9rVf4zdXH0ZxDdvN/Ou/OzpscTOaEW/ vvJoiHrZnINzsuvAbIwR6hzXphmbNF/sijxUzc9QG8X9YmVhLWkYh1uSNcKKeHAAWCZvlIiF7H+/ M+ZUxAe4IZgJqIs9BFxIVPVY32dIbNuldBaibQikabw/Jk/aJtyLp0H1P9eR+U+eL4XxVejWErHu eJ4QWZUGjZGBOA/UkRIGO3JijrIi4zPkLrA3eYHhYem6P8oY3BF+uVy/03Drv+zHEJvlsRxdQlBz 7vVTvft8ssG+yq+XVVvd7a0du4JN7zf/sQbUId9lv08UAIncSYMD1aBV7ohjAOS+s/kVYz4bEU87 0OP6Oif+OmzOEg0nhA/9Wbztwx4jsVnnUskxDKCecJ+l8EGvlk+PrjvXOERyODpX5qwyMN0MZ6bd bbuKce24hYVbxE2riGZswF519cl3h2gN3+7NNg3AJSXvcTlbUHR2p3hzDpu7N6la1sORDHro7SPS FETo/zqxdZCE+PsC0J5CzrHEanyEiWx3K/nlcGW7w/2cb5k+J3cQMixw1FNshhsae8g12kn9E+lQ 5/agUVc3wydx5Q6uvQY/bGUbLC24XRa3go/Er0Z0wjhjG9RNjFe+9oTlWDCOkEmmtW+WasHi430u 4wZBoBwPx+IJsWUYLYoh+NfhWEN3mnzL0Iwi/J/QgSi0ukCieHcqmzFdQcwO1eN6HjJi8LHVRO3Z Q8Fez6IMTVStok2mucbvrzQDDyw3neaWsR++MuKASFMaNX/h9nHaYv/dFpfHdl1e/GcqpttCrV83 ZPLGP/AcK+0Fn/+4xOGhRP1S1p2zb9ZUe2FRY45GVg+rFK0h/h2TxHMMnCRKhCYlHZsqMwa8zp5V pAgTWpbSV2tIaMejZU+dqOrFX2hw8wKSELeAK/djGpib9ir0nySnQV4+TNrT5WAgURk3gSK4kFy9 Zuw/lfts7Fl4goqvy8E0/uIDa/QPV7Z1z0lr0ayPqTxu3Pi7MWB0zrwrgmHwOMeb6Pxtq+XBCl4B WMTBKeL89X3gvlYuyQFNNaRorVrSgqLjW4gRvsjCIbePQRfW+WKRIRTK5GJ6nBe7iS0oHZE3og8R UBHgIoxwaSiqEJqozvloFueARjqfiE8maEPaQ/U0HXy5m1SpesJKfLFNZl3Qlv9a8SUq5n4tHTo8 UmITmkbTCNZ8kjWGUhaGyS8IuC9LVdwqzlq9xsOnsh4Zus75eNkSo1H5tedjwB6GBtFSGSY4/eTV lWWFP3yofqkj4ze+UoTGJx9a2nuyvpAYt4v8IVZpNG1K+ysax48TPlpMNvXA57cGLsOuNAgqwQ5M 7/hhCuE2DbbN8mcnnwKXueEFci9fyZ/uMAJIzqrGr8RzscsSCh0eW5tCXBPY/LyCGbCFid2Mb8Mb 3iKRVpW9cOPjEMfziOGUZndXAOshdVNsWs9mJ8+10HUhcUyxw+bU6pbGIpiOz2u44YH7MapKHk3d C5nv/iMFXiZwidwFExP4P9ZqH3+hIrvW7vJ8VuIMW472mJeoc/A9dOlzEkWVFR7DAmK3CVm/EQbr OWjjwon9T1Npw/Gbevr9WaMkRkF2tbtC/UWq7jZsXSbqrIIFoSVvu6KvEdxoozapIMp03ayj8M/U Tny1NShD0Sa0b85IYbW07FAFMoSqF1suwjKj2IRKs4qsF9kN/hQSuakqd0gECay9486c1jmVFFnn nN3SZ4BKCJ1CX1xT9kksQanb26jRSEIMHXgCjDkoEvADISSwvxWfAUv13dX+eXotdwlXvl9BwyTI w2+hzOfh/FxqSE4iDu/2c510dXJH7XWatsB4Wua6m/nlO23YMDcDjy/KXc46K3kCr3cCQt5AILm4 KzFg2yc5pbyj/WrgrGSCq3j+rEkfa2zPOfbc+bDtF/uzPNh2bx/JS8gViGj3DnuDssOJ/FouimsT Gv+xyn0y4W97UhJ5siX9/CYULlwO7vOjTCLpbO34do9GSn6hTk7cRspExklcO74CnL+8OduIjaR5 uLU8+LbREgI+8oSockBPXY3jtAizbxpcKrqsKiEJk1rmI+ZYcRKmpECg4Y5O4wbI/ZBiw4SP6HkG ebQMEqpc0esbNQ3LAJ5zuRvzUsXaQ/9Vpp7Q7P+ilil42CQiTO99ikVbLtJCrPRP2f5Ps/8QYAgR fRA5FVXrKFCGOvGFXCmOZTLlmrXAQ1uqdPQLc3LqMEyNSOj9A7Tf1nBx6S6RbtSL0D6JnAbHu4WL c26NCUHMISoUm9mquueCnwOLhumVZL/v0he4+wJ+pUMFJgk53hAq7hZQvt32qhvI2saX32iE120k lO96CHbSjJ5ZNoOZz5XIDib/S4ORo11l9ChDuXjPXujotDCPxdYn0IQk+FUM6KCTYLoOnduugiiH EGv8NjtMdrXQZlVa/W2WQvRDz79EYw/I8FSqXNJZMWJknsK96j2nhnGZedGNx+m/vfJanHNIFd7A P+ubaXhiPiYk3sBHNPrCvAx97noXtdh2trGU59tnVTfwscBOVyIjfhQKsUw4a0Mb2TEo6cdhc/gQ CKJBLFHmwLwot9yLE41yclOPS2R4ijMDJheEEtiml5j2krw4U0UH24x7LRmhbdFw0UuOZMQ5j4BX q+Ky5Wxj4YaCNNsxpXd9dxewKcWMDXAJjoBRKIxBcpNvLBurLlNTVsksUvykRY1LaQyLT8sYoLp8 TmYIcWq/HBv4FVu9m0Ce2NsymwWfGgNLbe4wFFOBsLJ/RgfBaypnn1Kv3EGkMcfXwtIjDAiKcvud APycRs+Yoh4uxbZmv7CiuOF2CiD7RZ0hU9jUqnSgO2GehD5eLuXGPLQ1JjiqVdbRPgLvkBC5iMsU J3/ZAV2UnTLwwZWDPDweCMQvtDKf2OI6rkUenAZ5toOs4dHQgKXvocRCyVAwJ5L7CroIzf6MHOL/ KcO/qitdUMPR11phkjdv8lImPfuoIQTPq2NOLgOXt+JL+8L826E0vkOCyqK21srP3WuUbp4nBJVx 2eZ9ZV5u93UsahP2ClLpzda2tO9TYUssHs5VKb9vD79fsEeoQ8zfl5ytVmH1b64aKZLH9bi6qxS3 6cXpOx4dponcnASHPdf+pKL8VGkK6gJTCmiAlsdsM+fGTI5Rn+omzAlJj0TDkbjgmsWWLAJtW01e IEe5/3SDBlKiAPz/unpj/izslakiewFyylwpEVF2Yg17CUvFOy0ArKO8e4TNd9MeVe01cWLkmXo9 wQDr/ReqW46oAe7lJzfKK+azUeD2HklyiBGtdVdQWv+clR2I6hZcDubG81uJ3M5Of1OW8upGwg9x tXLkV6r2mLB6X3ppdfgrJHdUflq2VoX/y1MKjTAsQA3PVZgp+l1GlUbV0ACbSVdzYlm6sqZOCwKY Dx41z36O2eqDMK1cj+yPz1W3AKZ+2mRgPsrKABZe8uo105bEMycAYqm7Pjd6GP576OSINrdlzeKb sJ8CR78kHAsye2pMlD8JGWd49h6T04dDmSMb0QE3hWjI1Tbx3g56UHcXX04uL/l64nS7h85HBugs kAoAzGVFJeH4KxOhEyBishPvl+ftNbdZZWmXmXIDTO5sjPvyhoFoAu34xvxbE8gyPv4IaGw4PLDy iTW/eWvBqguTexAAjmRYuMkCqADIa8ZIb/Ofs0UPGLWYBsWrZ597RGc1TmznbNFkNjK39ci9wYNT tglzYDPT4R1aE2LMeudlgSIaVLMrJFV7RzrVPAZNPLCw9fHGLQSIPOu8lS1OsarMk0tZjCxQY4te jiXA2P0porxsw6BMhgky4QjKuAwbI0QwMAqC9hiW54vcjXpEklpApeUCkX2r6SaBpxcxL6uc0HWn Z/gmC+GyCufW0sIRTvaYvqzG3Cex1lDmXCQfRe0jKeZx1b6KVPkXcufhCOFtAGqa2QUF8I3AZBfT Dz00y1uFCz/9YFdaHotWDJoFgjkBYylHgBRpt3+tWY+IxrPoN0+KGRHo+SMtTS+uWgQZtDqRjG+P BGBIZpqmyRFl0nqbqQ3s4BAyTJ+z9bXB8tsrOwMxGINecixYs3xXwjWKamBQxwQteIseqkrIkuw2 wQIumYUKIZMJfKTRhOKnxqGxGe6IlRScRemSBQdkZ1vSApyIuuLQ0xYlXzZhw6kFCY542ttIXdfn AgNFTG3jMvmPEBV9ofRS6gM7i75gt8yKOXnGr8scAQHy5VDk6KVviGQ0r6xzsTnLiCwj3MNNGb0m UiPHiG3WZoXG3Uq9u+AEknYGfy9/GkklupRAzhyYrk/iK11A1CplIq6Ree4AW29nBl/7vVBiUAYL Jfq347zc/KajU5+3VXzqaZsXoab005I5N46rEOol16Z7ZGu8lpu6NYEQ1oNDGGh5y5bNpLAnP+vW nHmDhoxu168rzUljk6IiLG3zhMPlAC3Aoam6s3BPFCwlMuubL8mp8MsVSwyF8GRHUOa2RhhQc/91 IN/uzgfFdUxOpObIhZcMZzoTkngWIflJyoGhQ4/r968QAJS0uecWN0hXUUZkUXz0gT32VXChbIoD T8FvwwNq5z43Lc4lQNnKbJP01hmCDpMSJxrqLWz/yvUdMpbrpbPBGnhH/OrTB+02sN9qGBhgIhgh KKbqeNhlEGdJXuwbNAgKxsmugezUwhBqLB+ER+hMfLUWEM3A8jQTY7awO09QRzyjAw8lP7OnLT30 tL4SF2cO56RcKrBj3BsOAH9cC4ZKTavu55IDU8sJVxhbcnS1rhjrdo4lOeyZcWK59AxB5jXB0qcC i+QyTU1PrL/fpPL+yiEZi4sAAj6RfAmiGZuuCyEVzfAR7L+bvpwscy+PClDm2RZpx67Mar3FfdUo FFZKbebgjXGYUxYp3ZYwykM2HeN+IkuBcawyipp6C6BGNONfHJtopJIzQosW1xFcmHiwedn22mME PBtqfjpIYsLTsD6IlzvNu1lVgMKwCIrtmdEFV3mB+oaV/fHZZ2PUXWtEfXbGVXwW6evHR5BFGbQN OdeECs5LaVCCqVR81JX7X0bzufrOD25iSLwj6vTQsCqIqn7TUpecOKgka+vjaHagQbRL7JhTs2iN fxI39qZJkJe9VAK+FkEd448bU4qO+NgNwzvriLmS9hjrv77zvQSKUlWt5DBiyInKYhpQUfDDCPdH q6zu/K6LwUZkDMrjQj+5Fluc5xqQRx5ZtV36oU5hJeXcCSWm9faIp86Gn+FKNMkW3iSAWhjAgW1U R3I+fRPEl5msFWHeKbWQVdZhY/y+UYDBc75YHQy679CRWhURCzfeQYyqPKDewCZq6Fi1tlOCC0IX KuWkvm3MIC9GqT1k3zicKQfir51H3szXGOVN2p4AENbNorC7mxpqcAgu/TG/9qhszOWM+HWAQVlw LQoAFdPwCs12nFsIO3tXzMRfOIMaGFRNRdvkwzvv6wY6/2wSdYle+J4E5p+L2tO2zX+RPT58f7qq 2KOi53W/4KuStz11lrxi2/DvVRho+gkBGJUjg8CMHJ8Qbz/oxUnIAhU9voLBy/zvMS5pPRsraeKV 1n/qLExO97GvCa7IMsG6JIx9MAUmExlENM8adUaJLYywfDUFPWo4jNqB1RT89GKZLtjok8YKBtKN uO6AjYIH09OGNH5G1LIIiYOThfr8NpMjgnmurGl55u+13M/+B0eMch4ufij6KRXTO6sa2kH5H9qd 7VgzUgLYf6fo/53hlwwBMmv+0EpYSop+RwxvhHEPQNeBDPAKC4xcuNltx3HPv8s2t2QuTIOF3N4+ Y0OZr5ShzbhW1pvyv50ss9EImBo+ATuBI7Wb2S5lGKlB89xCLwy5pdVElKho305/sqESTBfBIJ+A IeRpdm5ptUNScHJ5+tx4MsIHo2MzJP+SiPLwc+JO//EFPpTOAKwC6mEY98+5qfDGtTVrAYoFMzYq wVh6vNhvkbk4kf+pBrt9GBiO3SwoHok+lebTlt64NC+mlrpwD2GpwFAamm1uopvDKXQd2GrLVYv/ x0q8/SO2qyCNsatLz5rFTJV6fb158XSy2L6o1A6AKvDP/Noa2qR9gK+HMIDquyknjXxd6Y06YQMj 8gFQiXFLj6D5aSEMzm125IfGGJTRd9O4D26I1PSa9tfXq3wpeGRGxhKVifYzS534pgMuYxzwukGb LwnE/gEpzpZaHscCvcqStia0q42jgFqhRyHRGNMJBtFoP+Effx2Lc2TmlPlAPvfW+3lXwNWREWg1 hw4/VgSw5h4j2NWatmwIH1terqJ51k0w67O/y0k2i5zc6XlnXdsW9CF0NSND0WGJjpeYglGyRSwL GRNgvhEThHmzJZmk0l4z671NcbJx8lpK6/QW3I0pIk0lNrSrwMZIYAo01uO2kiaKagsK1YuB/oPi a1ryUyMqqApat5D4eo0hTorACTTL/Ugnh5iMJfzYnm6FxftVeZGWfrEqqCszXdInvv/V5MiZL7j/ dOs/a/sJAdky7rW5TUr0qEiaOV87Uyvhx61/M9jSqUcH3dSW9/4bgsk9PdEgTqUvGxyOo55VCC8T 8e3yCnjYoLzZGb/+vbaDDHHXGlfQNwM+p0JkgR53o8kagExYiDzD/vUgrKszZd1p5iSG8AEmtbgO Pc6H1Q9FQsfypHg91zbGGOuefu9nY/uvJyCab1fbnz8FI13KGh8TpCMXeHW6qgnBkCXoh3QlfdAb ff28axOmPeiugbwAJ5NMFQnT4TGeDlCfqVCohbrSM4Ep9BXB8GBWUfdhZ2djL1wZk5jw8OxfTnM9 BK6Hbaqhg3F1dGFzZv2Eta2Y0dToIWvLh820MskSVWTMrukTQCV1lH4rd4E/PC3XKUYgOU2ASOh7 S7aqjPAVo816LpAiz5PTaSP5tlX2EtHAI//dUnEIIbm1K6Nn8d764f1irLJSImC63XzMG6sZwkTM CCtwGjyfxsNyprCWXH/9HAeOPtVM16MC0CAqrmyu7NTWpk3oCMS9FiJ5ydYxeMb9odFtQggZeqKT mUxOsDhvTpHzsd72cPlz0+iDI7WrQE0hF83uYr+jZnKR37FrF3jb30enqT6f5erP8N5tpsR7mo6d AEnqCqL3/XY49pS6qsihvjZjh/bFDv9hjU4snFhIFvP1BIBpNC1yP8/eguL4c4aMQP8Zs0gmwbqo f0vOOIstV3k9XgCU6AOOLZ7XXGcTqGWvnhY5lAEcoxVWp27NrYGRPWS3OOye6gm3/mlA1uoWLy27 s30WOPeOjYLuGEUeKBfa6/mKlTd9JXwJBY2vlDwNBl2PzfVQo5b+JR0Z3+gFnz8/JcmVcrigP51I Kj6fQu4b4/Nidi25h4jJ7v1wEsAlFxg7CTrunXJfiq8+psouQKZY6ZiBYEx+Th2JDn3hjt7guZI1 vtfBbcgN48lDAT4i9m3FDIz4byIBVMxzr7tG4/xxDHp/hV/McLMILt+aG+4f352lWcFUTeRdPoGL FbkxGQXY2rr+BQIGK58PkDslKdyEZQ1cjOxuEU1wIE4X2j0dsaKpaWHa0eRNpt3qFuoopvdbTPxP KZMnYjMbgawcDRaK+bQaM3Mf0j18qgf1Z/2DN70RQ6yjTQU81xtd+/y+czON2e5F/A+eQR7dXmAk Oq769DWANojLFHPP5lutB1oBbE73zqXNU+7jS80eVtxGyGUdwCGP9JrNt7Vqr3CUbLVEpP5K8iXz GLSRKk0kSgBosaKf/xNZ+yScuSepIokBTcbI0GACFZBI4mRVJi8AJor/d6JmZT6meOmvz8y4IhM8 qktl+RWZDF7wfMwSFv6xb28QF4rB77NRgyBIeNIN47Nke0Ld8kIDWwMaFsfrfzW0jEy7xa5YP7Qu KlYjW7Z/mkpFtEnom3tQ1kzaFW5jIzFhmUbe1ELiog0rUc+bgL/V63z7oJoGcZdT96azCuJnPJWN /uhnXZg+s/IZKRQfiFBF2Dc4nxg7gHeGKTNMOdERru1TKt5rXXQsZYvKfGahx5+DrSKL/laeDSUy sIJ33T2fG9DrzvGH4/I6YWnfe2+DpqlebEUghX/P9rDO7WSfNAgheMWotaCNG05wIdSVK4BUstRu OvzLC31iWlKOna5rA3vAPfseHpO54DE7dd5eZd5J4oN6HUYsGsK0Ben9mMiFtj6qvjthuc6bb+gh 7jtHP6nJrgHJWENWGGK2DSKiaAOh45+g/OBY61uyuF83IGYM8a/tK5jBxCN61Ts0phEgUsfmkMqJ aVWyPQ7Bopoz6qN2mGl+VJywiZcswQr2ogfA8BVgqPl9TF8TD15PSSLsM2Y9dUej2Ao25okYCJGZ Tse15bjZUr6dAMSDcuPgecsIqZ0NBwOV29sVi6fhjuoSRQfNdZPTeMYt8rvK50HqXpGuOENh8gU9 fMklMH9ehEn6DuWRdcuIiim3euQG2fGjNdxGBOo2lQvwrjmDkx2YpCfWUBFUqontn4xhATm6Wmrf /37jlyyNeWypZoVmZswNhO1dBf9FrPNJ2Rt5CNUC47Fz61bi67R3zmwMTOVSd1dsGDM4mkROqVko 3RFM88u9qD0BOcVUZT3dFHZIHVA6wJCOenhhh2Y8A59lKNBfV3JeeqGMxv3rUZfIo8lz/zS18NhV InFhyyFecvH50koyy09eeR43mEVlZLrZTb0JhzMsBJvljqmq5qu+YycxxZ9nuVp4UYiKHVZH9K2e WOSxJZ7WXLx9M2yJeLUO/KEVKdDLy1pefpzXGekWg871U6ObL9G+Wvcww0Uo0/xlniCiKPlwZhXa Nc2I7S3hKFsEQgU1kLVJoABVi4xdf7GGC/113oorOkjkwhb56dgtXI5e6fPJ9c06EiDqwMLf/I/m JJXPS7UD0gFroet3wXuiPmH0fzdmZL6bQ8OOy95cHDSM1ORFC8nhMKMAognI+cPuWz5HrETN8ZoU Fo0+pGBDLtLn2CrpI+6sNLdBDtLD3y/3OXKpYUIaB1Z5RSFSRZrXeUy8tyV+Htkd9f0gHewPQFjW sREVJw1DA9YNSCB3/xBUUNpTENZWFS9WQTQjYwXervcY927L34kKPiFOeROX2RGjNLNDKyTWs2Yd zkKdH+AsoBXZdjJRDKBLyoxmep/jYzRvOxwerI/NxCTgMCRVC6ryB6XpO1w7Pi9dIY/vwYY0vlQD 0ELJr2rv7NS8PUP2wyxm6gd4jnmbS+63qcr9witxlJa8fbvQzRTkwX8tTERxrrACrG/vG4c9kI+p Eu9kd6K3SO4nLXP2L6JjHg++5rCRWq8Pl5sdmPJB/AAZO4S4PBYDiQVbai4zmCuAmTkyHwJqAwUF iYLSAagUIFe/1io6MwutZj87na9P4dF88aynDxTqqlYz0VSMopIcX15MXoWRZOSSzGuK/ME1AQzl b6i/Iagz9UPi8G6uyM7YGoWe+g/38uffBN9JNftZVk74BSpCgy3QAi1ebX2YQhLDr0IdQfoJZye9 7l8UoaN71973oPpgwa5fmPrwI+jX0YBr3XylyuUn8mcJmJbZ1IypNBKRKAKeiGqNoiFC6aFkf+gX f3IX/+hCIw21sLiGVS+sqUnI3SGigM75VFjb7l2+n4VSNY8O9+Us5KQub+PpIrOGc9SkPUgMK2c3 JISPZlp9q2Yv6pTVW6QVk63HQZ8KJ7ETy5nb0MEOi23saFi6Uju2rycpZa+l0Iirt0q/SPWdcnfI Are7pcgSXpnkQVkysjKCND5HxjdKYayskcjCLgy3q0/vowgGjEpBfMtSVYnmUGD6a4qtxiMA4QMz YC/fuvez9+7nWrzyn8wgqNj8upEC8KH4r9d4/vxmbc592ghH4GEDxsKl5yNK2rRJsqMXHxzwOVEg xFEbJPeDiGjbvGA8dx95OlKESTCH1n7nWmO1pI3/zWR5UnmkryDOffCafZ4eW1HI/0DLi0j3K7Vo p7a8f1aJCpxHRpknSN3V1ofLbxPX3qSBG7AmVGQXguwMo5r+oUOlMPcYOHWuxD8EevNURKoak3CS xzgjlatmGm4WXJOGzXKztBVUdLoBlYYg87zGqAQA1BtqMnV2YpEQz03e4mfOVL71biFypstlKBaV ERkaGiyEptGluuu2UwyJxM4O/Ulv2FsAdMGEmfDcFx2CXAW0IrwLBZ3hPEOneuH736xkDHV/pVqE oiC8WJTXcqLYSfCuv5UFqRkfMKg44ltu1psMBWEDEaJPB/zbD88FcHe9KC9argISQ6XhzxmR+9lS WSM8OC5UItqaR2TJpe1qm3pyU+XuQwN1Iq/hu/xNir30mbxnRoqwdWulgFdYnISiM6yBe2uyQcPO QJqwashqyMl+GtF2NVt6gsVmdemvfygChF9kysPbYGCAodnxwHU9qssGdgIWA0F+uH3VC5DwJH08 /ULqFRVR1bfbjwKMBw4/dSEt/iOLb+uvr3+vxvB7Y0ZwWY3v5RtNjRlxWpu38vL/sR1uSL0mfoC+ JZV4AtX73kS06nWhFW1dwv4Aj3EKtJoRGu4ETC8BLkJRSOhkhEoT+Ke+ke6S2cACxUKH861hZK36 C5aFHDok5cNUJLRg0lLu27cTdwTU40tXg3+tpCGrY67FAZCWgqyBLwHluGyglml3ebqU4XkXtG/7 K5O9CJxztcuO0aq5Euc+wUtKY6tkWILBkdCh2AcIkbkrjLQ6OP6DK7o/n2feR0X/acIpHm5lhn3O gRCwkWQVulcm7zPmKQSUR71hnRSWUz89GOYo7fjXSpWRu3YK2qfajyg1sraZbMZ3aGJ5wKOFDm1e EyIc22SnO6V5hQ3K5oA5mMFExQ+hvFbmS0y80cDdL9i7vSMy/dklFmhUmhhohlXPhDRzT8vqol/I aDSIxomdgDdrHmdr3HMKe4KG4f555vOKeLLut7trm3wfX3c23L7MIDz8A8SaZojjK91tn1720M0+ Mb2D3jLq2hrg8EsFWA0UQtHGl1r7/eRgUoZW9an5IRmdrypnFGKFQpThZ9ZKPSQd7S3AHGEcUHKB QD1uhpNn290mYKA42kyor3Rf7gExxw2ItSHQE3i6eBxNenM4T98coFJKIRafSh2KSO1XLrW1K9kJ Ix5auY/KAtHldZqhi4pqtfL+t1QzhOQvfoJQu+U10Vbmw5hlsqxMqtZmKFASuCljYvbh+TKdJQXR zSyWRSGxFl7Rw2c+rlFwlipDfQaVdZeNuQMWqNrmCbL5+e0djiXu3bmAYVdiZMA6qAlSEl6RkAH8 mONm8gV+rj+uFzHWzNvM6AF9evNBrkjBEJMZbgprgls/iiacN8/RaGMIHOUm2bhLdNic0M/qnxmL n8GA7vgJ3OULQBSd8ozwcRFkqBIx6VcH7xUf8x8t8UtkAJMoFWClOivFEbOkHkAJbBS7SZH+DpHW Ct8aPg4WgDgziv23/cW+mp1S4UXlzCirB67HJHFe279+q7j9pjm3jJClDXTRlzfVbDaNx13gAq5o R7BFdjyQe8VoDi/DEmS6OeVR+l+J8ok5ODHlBhkH9cvRHL3hARedyJmYrmOqiAIBbFttaPkAbHzK v5dxlud/lJD3VTjW4XmC8k5kOrmaSzuLMA/AR6W+ovA7Ds8g7/TWog/X/wQMW+0cOraJk4m2NwVD t0K0tFNrLnn2PRhxcNLLO0jX8QqL/rFXsjNoT2pEOJNd/NInRWydr0I4F+jUdnezYeEKsf0Uh5Ox 3jCJOPnhOgfPWmneLGw7f9OSIuzpzewzdjqQzSoN6YysngGzIzCU3ebhd8+yqgv6SySOD8aeyA8n S+gxVQDivNDvm8WvA2LNxzGwdFXRVN/cLPRr9Ti5iIDFea4WyFTTUTZSg3OF7tUYh62P4ZNgV6Ph KrYpxSe0BzIZjXkyTfCST8Rfb2EvNqEp/up2Rybhgww4CEs10RF9981aYxgVFXo7+nbR4IQ7D2QI OYBgVjDJth8I72nYbfzveKUrdV/5fH6KyO/r9qKw9cvlC3CL4EvAANyeDkGqYhYmFCcl5dtBcPDP PWv+UJSD6jx259J68uTK39Jl4NGOSshUIFc3pxrDxSSJkxZnaFNh4Y7ibi8Mheb718aHfjJgitqw 59DktDFzZSlu29vb0xeOEf/oPFzA4fxPkCM79hzkVXflEnEoSXny8QWubjWtm+abzdow9OkXtduY Gs395WMjP78wNv5LRgAolAes29inRcqJdlAOA7OdhbO5jS59CJskCFhmFHogaOqevU6BE/VrhGIp gNuJ0pTFcgOLq0jX0qYfqerfwXYFtq+FkWMbC6YaPijjEUH8BLgsMf+3CS+7rgMDgJjhHLlLlysM 0EKqWq846UTXHhYOYzxSoNU5xU252xe57vMH2gEll425iClEEwft6NUaUg43O7XqZO8SSsyL9eH4 /fZ5O/0TWRYPyE4Ozx/y6WAy1W5SzZJ04gpYfH5FCLluTGy32V/mLBRKUT8c622kZwiSThagUQGu T98CMVdzdz+e3UbtDtn2Sap5F7Fssw4XzwEV+8c7zwYza5MqbOJ9ch587ypBHPoYeCQAM09Qbtiz xXRSvHqqVFjd3ZSwEw8mJtd/v6RfT3lSL2cyp44qNffkjUEqUSjF2od4HOl9JEVuiVcjCcWYANSO TJArBpCGpy4dCy86xtgxu2Llr+d/Wa0/5gnG9Reb38hb5F/L11tybsKwvlh9qk1RcU7B3RUw/U6z klrfN2wUaXEvzTnmYrc4c/8DIe4TDRD7TVe5soHUFgw5WVrB0LRKGASQyys33/+rue+wI2FnSQhg TllTCSbvi7SIpBVBYR87EtIMIawtNlNH3QsqfrWV9Fjr5/7IdHRBfHDmoiaw4vT0eR1ardHSQKC7 C10c0kRbj89Z+/JuuJJDJNO82MzlQ8yFMBcvg095kwjSexm1TZm4AafbLYJo4xQdyxjbFvhAXV7p WU29HwCve6bOAcJMmqpYuK9jfHUhb4TM4aVGim3pnm06m9AmHUo+8bToG5+Gx0YS+XWfX+6O0r98 c1uxuIjDtIy9tV2+MmdtQpsc8E+0Ym8QoO4c8EXwZVzvpgloF6gB5aqWDRt/3QO5DsIuGSWFwlX2 SrxFuIQZsqs4Mu0vpvKMG34USMzeA1FZuswhJ7idLunEnUzWeNTaIUYDrasXkYeTRH0QNn+U8P8O 3OWtCOA4zbuOqjRxep4Ga149juDIbv0kkevZ0sJIhVKj0NJPLHXyMdsru1gbTeJYM1uzuTWRqChS uFdFEAMMbhTqZ57q2g8qODwg6f5uyUt9P3VKcaqY/QQAULKIqvmfiozskm3cmU3Y9QwJ+8MENzqh 08bsrAvVOqJHYPmucumwWZ+yX+GuycsEgmaDwnM8U2rvHcvP/Hd2bD4cWu2jvUqxlW+j2xB/gVa6 IbA5/ciZYKerHUB3QK8qqPw4JHokDin8QScYmkYkuz3Hq/3uJCZBTL/F29jkwekfLuU15alpyfVP BksPoCxJAsgmeW8KGc/k4qkJ69O/4blpLhv7cNy7/w09Z5/UjzzeIYTCI0CoXsPpfOkG4c/DMRet yWlgjPCauGmtpq4/UsUwQqgdz4OzvbGU2yugWAOQWPeHWEZduCvyq17rpQ5/XlCOUGI7JNJ2jn7y SEWG/NLJXRmnGloC3uur2MP1ad5mGkdAX2Rbr2mna78w3xrDk6QtogF9n12zHATK+iTh90ylFfTj ycJ/MprMdVe0HaEX64ZfDFN8mdBmdSTh/ryeCOkyKhSYnugWu7JcKMQXrl57THoioNC8XwvZi6ow buEt8JcViLkrXjeixg7VVOleWFE3j8m+XoaiLCaGZ0yt7c/IIZvZVYyX5jFTxc1/gf9Oio7cUJjH VFUbiqMLW0M4JV14KnaM+PPo5no1FnNWLx5sh1fzYuDE1vVBKxiD03CAgA+7uvSYPXZm5DBRl9Xi M3gIQXK2PJ/dLk8vh0e2LunWZo37SMr/6+jpm4y38mSZVNrzwxygVRVgSaQtOXUGPkysTJZFOXXz KixsS0+MTBQ/OAmOtak6Iy7zsmutBoqk0DFrT+GUeEnUIfaOKqlxGqQJPId/eF9+8FjzWOlfkZ+T E88B9Lzy3cYhZkQbysK2QvdmUiE/uNrUjdojYKBrzr4gDIezYbd9O5IVmBma7IB4b/Ba52+6GshF v7xenSbBLpRnI14fN+DLB0AV29l+0GTO2XpgEOBlcskEtXJCAf4pTQRfWNDPN6I5P6VFwGfqeeJB wdRhtgdcgNaWxTluz/NlQwVmVzlDhMwCLFlWLQUTiQ9iqoTDUMC/SMZFqH+Z09JhoyltjhYTy9Ox F4eOEVbLK5iBxrw/eyGrGAlZ7h8Ig3sXuu8JtOVZYsm0Ch9WnyCZnLzckEFvwRiSvBOHR2cLTqA0 PMfbwdUL3Q9Q59bnm6ynK9KnRfr8flXhygQF0EcP6hUQsbhiS5GJOqb4xXNZFmpAwJv0rDAGt0Wi xxID39HkImNqhk1EcOD7SfVO/qctc0ryjSiDCJNTAKofmLFmUoG5CqZqrC8xag3WX2AMgIw2Ehht FrUMx1H0uemiZ0zo8b6N4QULaLMVVNwjPzkkK3zVbdeJPu3f5sIWRdFEyJismjfjpS9uNEU3PTwv f6KtjrNiMTtzBnql/5RsRmg6LcQLvfhHNhr9wNkMVrB+yT7v/6nNEXSbdG9ry3TOwy01rB7EfuF0 5fRLQreUSKJKTC7KAb3JtRZwIh7t3xSuVvwwBg62qHR6lu7Dm8W/nuranQpSEGw7gLvESvMUZbLL 1R47rUiV01c8LvEtcVIWIkxF5zJt8rdYg/5TH1KOcWbLX6lqDwAzNPNzFJpLZBYvzRzx1PcY8870 L55bo3VhgThtOc3IBv4ukx9s6DnB+UUCYcrVXQmR1Hk99s4w4039JPcm7ZspHyKMOB6ickMYX+pP VNRLdhUga2clhpR78xuDcLXm5QkScFUlKVvKWVE4LYTeRdvnUCq612znaMHP6FF0ug/na25LXZPV +XGHtEFosr3U4AFKj320EIXAvUZP1/OQ+gCFzgglvhhGzdnzLq9vp9a0tDDOSlPUJ8vcrxCHvOip hb0hbhG5Gdo1ACTqSZrVViaDH0ALCGwmEaa+3MMc1zBYfIcl5LErRJ8EqjjmeIO1A1wAFBAJzfXi Iy3DbrvpDWFzEor9eZ7vaA3Pf7AbCc2Zp4rLDvIgLA680jTXE96km6IRCQ5HesJOKaXnfAr2gi+S w2CA7/KdR12qkuObSjuWW/PxIfCp0GWhNwYeWaxttwtVuc2eYHYEE0IoIUXg1jI0USXaTjKLydZP zA3TuUX5jf+0E2YiSU3afBzCBhophXiyUx9+tZ18nmolXgkHXWRJ7COSY3bxutq4mBbwYVi1/8cR 5/wycXpFDBfOe6qi6EDr6kFvhbYBsw+Fa5nlgkgjyXZdmfLHJ64J1ZQDK7n9bES3689l8mCxCo+V SV4ARRFx+7dSnD25Z+xJuzdeqJqMjiSMpzI0eywN1Vi/DS3EE+uQm7A2qV8JxQFrnGxSCIzbIAwF blwYtGV9mQgpJHWW+vcsmo9TF+iesC8nQLL0vy6Ze3HhIYSBs+yl6jLm+HZgh/fKSvivkGrnIlt7 JRa40f1uXaDuVbdFbnKCAf1x+ChEU5YVoJITcHPwRfOni44y37WsuQ5iXw18ymEjNLh0+HH2tD9u WONK307RwdbqzUymdt1UbUdRn16J2Hnkxx9V+uiTO2dpmwfC3nMajU+hdEQSrXhXR5kq7H6ETkWF y+X0agoFo5VlFrl/Oo8MsskfPrVZeJsdZKIy83zUFfkLlWVpykjYl8bn30KVgBoKeZbnQfBZQuU2 hUT5TCjU+YzK3ZM21fIiBwa3zkJxeBP6cF3YWccoUNhpwEC0SsX6Abicucu29dg73snPzMUFjzJx CJFF5WY7tWU1iX3JjPdBRWBfsRYgD4WB1wZa994Ba0TVjlyJq+xCn+1t4oNSLIy9FZUvMcXWJW6K BkTqd+GT65Lf5ZSH6Nrc4DeRR9AbiBnBBssqePYYo+TXLAEc6OK8qBa63HktwUkPQsH/eS8eEQaR /SqT715w+YHYtLuumWP2mBQr6a/hHvbdyVVO+IuHoss5Gb3ZupkuPl/v5+8SKP3tpejPzjIL8zZN qu6SMLdztBdCPa7YmUujQgKusYafrxyWDCPa6L0I3MFBbTCf9juwZmwLEgPzItWkML0kf6ULC7MF cHP/ujEe3c3HGtjN8SOXjeGTUU1GBR5p/McfdA8q/TVGXewN8mIl5aoWBB1ZDlSoGR4vaKOzqiny JAXi+uJqb3vv+7mGK0oh3dqFVfXoKvQ/1xCPgz5wL5jfvRts3g8RTrlLnRudnSP+1daWF5TLxfe7 T6jnhQt1KbeI4DVTz1qR1D7Dk+QhYH2yBObPBLP0/BZzgPyUD+4nRt1ER8VQM5FIoluiemlLeBu6 0g8bPSMTC64IzEuJeMWpg7UiyJoOArQVXnzLHzjKU/m/VrBYAfu+r2L+Uk8CVvJYzPcxWy4fJLTy 45h2T7As8K5hhZwZipr8PUZMZ6ZBqqwVPTrQ2J30jZh7zrckDTGdLOwUf2RBx1FdvR21mUIypLo7 TsthUsBseYCr7IXf58LdO4fEMuU5NUXWTL96uql0bnGp3QF0MqyPLbG7XpnK+NCje3SQQ0MwZJZY 73bxYn1hgjuza2cuFTN5QPccXPDIIJelhzcCG9KrGTX9i8s0qKppvvghlyz5p9nQwj4uDqv6yq+p cTcN71y/A8P799glwFFKKB0ZLXDfwDt7T3QtPKHrsaWfzyRXLI/yV9Fu4M0DOhJn6qvB86OiAbD2 m/vtOzFRA0J4+0AdEE8LnvoC9Ghx8TINUEQmCklBnI0FbKuA0tIONqRS5ekstrA5HeWBv1HoKV0O jqUDyCnfzl96NsttXmQGvJvGvhRutnLGLBscuVwN0WbBv0wOa5WmRGi1bVKXanFH/6+pUAz7Qe4S dCEGDphv1SnUNcCaGdyy+tMtx/2irxSMxXTgmNxZRdUS6f9H8P2zdpDsoPckE9UiGWrOtmzop9gj cJas4HbyOnvEtLBt9bobL1utOO0fyCJj1s/yE2LsHEAEA+g9J7BQ59p7oZr6DsqDYeKVC3TaDw+B NQRn+5zjwCKUpZRw5+Jf63rbkuSQb9nkydoABmYzf2lCdGa3K/tqce4hcG2UhADa2liRbpJtmvXF LZOILpKLadf9KWJ+HdXt0VQsXUO5qx/GHXm7kezClGkOR8GpYC1Qrz+IOC3UqT8IIG74u/Le+Gx8 6eTm44hFqtc8+zcfwEKu+Xb0LzuNLBKPos/EjYeQCpUJRefnsQkLoYZ2iHp/r1UCd8RFn7oRu9PI TkoKDNZuNPxDvg2zPX/Gg0PF1S3FHBt3uowmYJABRFFgnRkkg5zC2xNwfwHe/HDsS3eCZ3Mb0C15 Hor06yfA1MjdnYyDd3N8QetxKHWt21dCaLDrbyH6GstfEONdte5sjwB42T6eVszUQ2lWuiAOexCK s2hTN6Dcw6rj4QDp4BbgazZdF6B+DpTAdoptgWC5XAvRyqgb49RWZsAhZBxpwITDPhtCRbcD9pJK UH9UnArK+PSB5fR80Pc8nQeerkXNvM4dLYCew3oyaRlctj58xwAnoX6wuFnekSQAFu0OOCdHNuh3 VvZLa0qx57NN6s/tzQjihvC1jlKxG2myBItsCZWbHwntqJRVq6XAsEfl6vnb97FukIteNjH5EJvZ +Ue5zqyuIFoejOq2iU4kvPIXjBD2mURdWLNUrQKwHF0CWcEb8se7ZqpQ0c0K9YPfykcbSLdHB71P a6w5G5xVgo8v59nHIudmVVNhB4nBSUaYSwdCnmxGj1phZea/8pBrrb9NP9+giv90KPIEDjuFp7LO BHNWRmupNzv7TxhhRXKXvUwVDk8iITAyXPp8GoyHP3ihw9xhQC2ilQtp7kH0J1c/dHItHOQ5E6F0 jn0l97kr/3Tadlc58y9h315/VnaUPaxe7oxwEwGzSImvmvmWKfynmd0kUl2lrn0AOI0h8fAy9i0Z waGykwCnQvySGUJMgPshkk0MQTKl98htoSZGfqivvrfWHFoQJQnzqezwVEKrOD737OalzuVOP/cw Ip0a04tO/gmRn7x6JMIihnM5IJvSHBW50UcD9MBl93I4oTm/1wItg5hwqFEHcMU+mXg57Z/bSRUp D2mv9z9EnOz6HB5Js80LwGH3iXWlObilrBm52qvVDCDqucQazLsX/Yd4WXfms12YSHsPANTN5TeI RA9fbaB8rv7oZZegSkwmnyR6R/DbYQl+ob/hnYW9Y3yINd6wUwRTBHo6B3L9gaFsBHcgmgL/1SQf 3jQhd5d88oeLum3MoxGAHQ2CQb+GYWL03LtJgbNCjjA/Jy0QiMkuT9Di0+1lucXL+5ABUiG+WTzd Zw7ZCbZ8AwXbnV9ZuPaabHkHu1WkpD56OCsLwqlUeHnWzoGCbj9GxfMISxO/pmEs72WVzQwMUB9J waOcXlv496MIop+dRDn1LrVjirIc1SOONHv8AJmHtBfKF52zkAqV8JueOi814TOZCke/Jfkb9DhN TYimGrLMeKPO5mC/HczNYXuMyJCwv4RogrAzIaHCEKdyLUi3kabyFA93hkd73xkXJNsTLdXXcPgq TCDqoF1gsjhxTNFfL9xG+wZPkuHUzR2zKKboUzJX8svECufwWhU++fTRn0/qUI7GZVKqpecXV6gN HGI4VlCjqyqgGyhkjkpC5tRg95lKJ74yxPA/U1JQ1MYBt1dmxpoUEn7tULfWtbM2k1mnX/eWMImp J1MoSJn8YD2287w7b8PANpPcGoq8WCm7cY1nqAyVD+HCJCL7EBNZf7S95lyEs24w5ih04tewe2RD QRT1KtNckKV7vBcX/QwcMbD1Ms/9+fSiymYVBzp0Pmnyi5cQHoLziXEBbK2tG45w+k5ac8p7BI9E f8g2BbM3wTZV4VObFwjislPw6iDryd/ydzcRT1UICy3uv0SeEPvSZSN16EyOpAW5BQ/VUzX2EZqE iVN/apl8Mg9AYjhodPjJ2fM3JJTD6meecPliWGj74MjDzuSese+2H4AwUnITsfBRomHK3NXE0+ks yf4bQdq5csY//ZaRgj2wSQAxVduo+hnfuJN5k48lb+GMCuRb/BW8vnscDbwfyEUTYjuqyEt3OkN4 JOSZ8SS00SgvBXNJ4A2WZ13WNENNGOZ37j1MXfDxf4Nm53AF+EPcTq4UhswWrGCW8rendlM4blWY auuSDWWOLxaVZydD15x1t2HqpUtVf/YTmjqfsXAAi+Bc2R2eDXTNAfAAzISL1xeVbCKtm6CM4XpP geKiygG3atEE+WCwusUCEhpPVDtZioPpE0/Ap2QfZV8IRjeLYkDc0oPYFQqQg3Ntqc6JVVICMhD+ B8BRdIwiGn/1OeJhs0ZQ6IrmNTMqMko5U6OLuw35uTS8q6sUU27ONtOAIe4xELMxNcS5Lcmq6K6t Nm0kNAm3jEZHTntQIWLQGxQFJPcBqqy5CoBPVRCldMIzhd081Tpms/BwTITYk3BNfmxQEVWMVU6F jIdXeKVd39tPrFNqHbDqRkM0jtzx7WBLcrwt4O0Gz7YAk2I2POnmv7mKRJUv2RFKqJ5W0oyIhk1v GfCRp8gr2CGQ5R5P2w1bMGY1yPAEHVFOuSjACCKeKUS2Xdl4YJfhvCPk5D0d48fGOIFw/t6OiDGh Zhw2DAjmnIuSeUXuY5cUWcnQaM+uA3RCSJFrOaxF/QLMTm503gKfMkzGwt0ikyItWZGSJbVW7GXn cGgoGUAFyN6sbsFZxzlb7wNd6HRWI9mljGTdCPeAjqq7WOYMKz7paAmqf/VBj2K6gATNbtPGr+5J hUQur2P1JJBpp/UCdK4IIpsZhIpX7h3wruiwm5UjsUlv7MvfdvI/D94XUtCyoxsqarSbPgtUyqiD LhmYNrmA4Yf+jaw8o49Zv9YFFmbJWC9Dm8FDWQ8mAYXxeU6j561wu/l9C7WtXLIgUe1427cCM3wW Zdl4Pc4tNumdt2hTfTpbe2X/y8d4q6csi3UhdHh4ulrZ+RqL+rWyZmrR05Y+Jz0I2Tp24MbYw8Bu f5zd7Czkd1qJ9/6z4eNvCDxDV8tQ/6jEzFIqH4Qiytpxqs/YsZThWopDi2U9Z2kDI55IDfpLdGMq /O/ZEX1mIgMrGU5f1r2lVlgCaqzmq4/qNSA89JsOWi4S0LJYtLD4vNUHm/D9apElzbc00mxXTJZc NImWkaGBk/YB0wpqu+lrEAP6hBlNPFK+K0XyFRVPH38RZcmyjpfbT6WwCDVypNY+eC0fO0S5VMiz G9WRhOA0qCKZkNfhbta7Svy/DAhlBvjXAzeO0C3o0U/S7vEUTN1y41dGGF9zpSEcBrH34uQk7Sk9 JpVzCLzZpUQkkXKiebUDdsw8Qiic1LUzqUiWgRST6ocYy7hOBNs2Z8IfaJOCtly+ASKIYRql2le8 1F+xt4c1UZcB+4YUdswiQ7z/vjElHqbiTrHVyenUwaFKLyKFTVkQbvcfVv0VBu3rNvDGhdgs9N46 3I58dFrbrmkvPEsB2vP5xrvmaSoKjodacaHUr+u1a8GPe8+oxrCxYfOdnuraKDPoSMW4iFbUkdn6 TZY2H4dApmEhjSKGpeDMfGcoIoA9IgmZnmXHD3I/ADjSrhp2zpZNGjAq86qt13QEXtGAJlbH3L2K UzvU6009dkm6XVPua0xkFlboatoBL2jilNpcyjGjPtOLz1Hb4taQUZB6lYx1aVGTZbwlkuEWQjzl EaZXFmTqkk172+jNCLkvs+JW/kjQQgKYDxY1/lHamEbNyeVOUlrBmBnPow6AHfVsOg8Ogkz6WlNU sgZyfnVHup1u3LxWm/gVqn6OasDBz4r6RBH9vTWMDZQSpND39gzwz5WXeXYPPBOQOr5f8d5tTlP6 5/iiw1b6zvipAiGaPvV8SBwS+wdQfF6ksdhOFDG7Qixl1lpeVUupB3W9dMtIhSu705sVY4BRm/39 fdBJrrX8POFjwUQ/UT3oEuuGiimTfyvoZNDnBozQi/TT8/hlYgJEAZt8H2JvBswND8A66OhUcu4H azoMoHrjkuBCja0PFiQ9vIFBP/mY+ACuS5n8aPZQt32s/3I4Gg2Mm8PFjGBQEAiV+vv+Kw+zVpXr yLvW+vpE22ZSzmbAdkzvZbBroUbo57eHYkD2LfsqZEqfqqod113+BCG+fG27aoFzA95bN+mcljY4 EkVFcSO4tUFjyQiY8cZOdV1wAeCbwZ63OYbZKfzYtomW7/Yl3GDP9J+fnZ041yQG+lnHYwhsBw/d mzdhzTVsT6FxvwZ+HISl+GRUPQFPAiz+0V1iMA4QpLcWMYYxtc/I932rqTVe1LLHGF1DLBsxU4o7 vVuIwyLgVKvlEyAXfXbe4AOwxQr55dajTGs4uyhf+qManHlzU+lFU6gj9Er9RcpRCKEqhn0KF0Vd tcDYgBgvLc9ONTYDhgQf955au1zk0kzNGQURoVo7rnIQvJaHfItqlKf1wtNSx02WhN9GHiO2kOK/ osW61267Z0EtiPRu+Z1JlyCSCiCnRnmilTQrK8q3PeTxTURPwWlXtyvTdSJMVYa0PJmqpoWsh7Bl q3zgJSSHDfjOu0r/37Kef4/XBWjAUmrUcg8x35GMVYPYYicbTJeN0ic75HzcgOJhreABpzephqsk /z0aogx00w5M1OH0nF+/sDdjzSCk0zl3YzI+lM0SiY2tbiloZaetSJCG2R2EZDxsXzS9g+Jo9GO6 dgOiombqnFZX0TPqIb9P1lcyO/kI6iuegW59xjYgvO+cYBFJnBFNCoHROlpmSnaGWf/Vt3ZOX0em bMBGxGDt9jWmaP3hk2bZuyjYau7uYiUiEgNJzP3vaAW4V06Ae1fuxDeIcaOgGHXfMVicl0A0RGGO m2I5e7vMibR4wWm5ttGXAHCxPpqvLDhpEmqlCw865ApAds+JYxWaC/BQ0fM6zLKtoZxxzjVyfUdw 8fTXS9+iyrE/k1oor625/MYDnio7BWqmUZueYzFNKAc68SIGuB5R5R1v3a2HXa0w3692sKs8WTwg ekHkYQOPeczDVhJb2IYo1xCPkJNSWHjcOm/OgFv7MxBmuerBcBo4BDKUm5VxWVkLcy2UD3EpxlNY vLFPxonx/amszc1F0Ty0c2CPkMesOGnQLIkouDLR/kXIlPSt3Cpp9TEzaa1e5FWsB/wkR2aNk5Li jzuKZ1g6uuTFWvnL4Jid77CHQhvclxZBRjK6C/bIZsiaF9keE/wG0mb/fy1Mu5i83hsCHSk/3b8/ QFufoQ6vvushgJEn34XF0Qk8yAsvS1gxRYhmvTQQPFgEi1jHGUS4y7VN1u5gB29JRP/Ny/GyRLie y1RRuOIlH7h2DWX+iwGIV2Hs9YiG3+XzgRvII7X4YVxNGVneJ8WegYpk9lKoYJaIXFC+7lunBGfZ pTw8K17FpwnkgaAFac/v3QC1rbVYbXRcTH2+QtvqlLSliy9bSYf5+HhG/DRBALmopfBFUKYfsmnN +2B9+4HMkZ+IDZeGb+HP7S5snt5/FodmF/U22QRqNylVTFoby/9pH+459jhUn3FtOQN/IPfyL7QZ Tsn0X+v2dZJEu1P0JpEU1XZCc5xkMakMbff/AhtCRzMobkTRRDclq89MBS1Cqo3AWR2mhk10uxjt lAviJG9RLOslfHpDf4WyAkhSm72PvLZxdN05pJikh+x+E8sAIThx0kVdq3N0Lx1QjMN63dZRQ3To jKmvguAcXL87+XdYSpR4B6znt7OGkPl8NzytzyKH27W9u3VlQCrAvXfD7PFbTLqkg6fC0TiQmIPD UIEEJVvDR+STzim4eWo8+yJgS2A4uSO31b+qGBs17zYli7jBW9FwQUSiy9hilC6B0STj12VX0OlS fa5NUv/yJ8OlxhVWVkoKOCPNAb9WOT0ijt59AruxFyk67RcownkqN9eoH59VBuUnEPsLalnlxgX5 VNHr4LzutdqLYuVhVGfm4UFoB9jFEHlp+RQvSp7SgTQM0xyBHIPkryc/KFRm8f9qAxGsy1CD6XH+ qkt74hBGuezvv9iAI/xAiDeLQ8Wl165blm66Ej8AvBYQMvT1cUZpQ+TMXhCT9XHfAxpu/YCycvHc fUV4zTWTkEEn8Kimd0DL9W8QcV2vwyt3qizbNZb8lGLI0CE8kLgjgnS3wpc3TnkI6usyf2ur3mSJ r5tR6al62fEOqQlh2RIF9J0fs7Is2l9r/rcgpy9FFL1hsGEPqVD5sd/3AjyJUwm/EnBMu8Ks1toY nckYYsf0TmaPjYnQFF4qeydGplVzEMPaKLZN0T7Jg7gWXYkPAxdqTPPNSKaeY1DvY70uYnJkp9BE Drsf+zVa30O21xdruDOx9d5yVnpZ1f4LjsPLMvlftAIrCKGmAIege8sVzKDDhurCYzDjVITVFviO NYFD3SpXyylPlMNUu8i+ZQC6Ov5I42GDFJ1IRtpJjaj5BHsjcg3jcCu97ADpOXl8PfDzxCkgmHXB 3SiDNjAXbdDLKtCzTIEXzTp8ogWaPQjAPx8/LqCzLiOSFuqT6PU7rD6KRvq+cbUD+8qcR97QkIpt i5Mw4dpEFdIFrTiKCFM+OJMwt9i0SemE3JGyDi+Sy7+VTf1gH4o3GNqq7GN58cha8sxrH+SVTPZi JdsV6kbl/V84NUS4X6mol5l3A2Ex3vVFqzj7wecVv/aD8/BOQs6WYkIegrkpLKwfDH59pUgqtJrj IET9u+mJXXOJUwJec8uG0x+uq48gDfQo2mdyE29dP7yNAcdJZEyo9TyrVaXrKnG2tUPD4q8saEs/ E0cTiFA3QVELS7QaaEU/6ygWb+ZNPWPEUa1m86HUvWnnJRHWHol3hm2E9+ERF6oCPhorPP2xpiz9 wLdY3tPw8uocNBOZZyqitDafDTgQSQBQ82C3kE998REj5KEQbqEZAv385qBUHPDh8zTXJepIP40m 7+DWB2fnvxtI8YZKpfc5NjnUNzlG4BEzQw0L40XmXOdewEOJXR50CvkA1wIji9Tga89LDefOXOQ+ CKMpF3eQnhqSYl32SKWF7TSF/2wJaOLKfLYzZmkDaDwsi4vyk7+jDX38k9XA9jYZlnhf9mbBAVGo BhAls78X0Mn7Uh5TD5nHqTXbdZk/WB9Q9W9dhSAGh3VZJNoMLEz+zSj64aKJvjXerLrKR+ziAj6+ T/hRme6aRKJJaYTbFiE/GrOSf9sdwCEDWorN7CBIfxvZAhQ4EdoX6h1ZpWBgnl94/VbXWIIoX+gY WMZUXyNtGxgwcwIHj7eLDqugQm2O7ygEnKDfzqZm9Z9cgqBnJElNYmb5e7RRBMbuM9jm7/U9rKTz S8OjCjrdLVjezbaVFzNlg1qAaNwGNQU7cFbDvRKsRkqdXZnqzOJKBZ+8mBFbo1eEjGxX2sBvUhwC y1c5Q5omufyGV9yd9bKvix4kL0tt2FkRAHqkbgoWDRWuBaScSbgzha52fitzucQCss5Ozrg5aMoK +NfgP922RbT5/v0VoAtA8W5z9gZf/XJ+bwFdNMDy+rt5dz5HRCHQoAk8fNu0bGIfx2E+nSskjbAF F2TqykEjyh+OPdddjL/oaGUhNmi4b0Fyaq/QMJLg4fVpTZnPpipgXNIsUNAlGSml08/aFyj+E+60 9HxYsYSGz+Jko/VVaTwnd6/WEBUvEC1rmxTQ8+1WDk4U90lribOXwSoe1FC7XQyMMcEXFphStv9Y 7wq0FdpXoukB1U2mLBIF+EnGwnhL/ciX8Hz3ZncAanx4aSRVfVem/yDcCkxbCvaU5O4b8I+WLJUH YKC37cqm0mp6BVXkp/RWrxHMrZ/XYT+u4y5bL2/ABTG4uyMmc1FvNppqxavABI67PQJ7qA7sKerG 5wjlwegHk7XRAfIXUiaqvfm9XSw84WlWBXNB3PoJMUDTfLK3Pj9PnYMM+cBCs/0Hy9hde5IBJhD4 CJ7Pd4JXrKF7SkBdjnZ5C9DmrBhSABuWHYKGLy97F2q28spfzhyXR8yzq6mHreKZxZcveGpPctNg UuGH5pwf40CSn3bQRrGLxYGQiB+MeprPgxP/SMHXyVqKR6Rz+kvNlpoMa1iP3aJNNioJWktJfboH kWOBBx2aw4eUb4YwRPRNURv0SCCDNwjt1tpmUFEqBKKDOFfOpthUfxFMgz1tsFgEg3hO8zcXweth uBRs5bKfXsZsRee1VUv1loIcFoIuiu1HrVo+kW9jQiXepmsRg+1gJTbqPEIX/co53D7ls7yK816x 38ATy8T67uHTiEPG0WWnr0oEzeuF5e/ZcUNKBaI8NnOBDZschzapv88J5BUlp484Wir6DAdum7wP g1HAdNABfKWEaZMSJ3M17Sus1JT5hi0kYe/9Q2RVvKpUy3udG5opV3OYJ1lgbKGv2/nIIFYt+0N3 4Iw4UFyuvf6Y4uVrzwEWskNJeKbdrogOotsHyLM2Ok4z0hRJf1iOgVQ7qmXjzsH7/LAwh29fSifM aAtdg6hIfiaI4FfvK8nCHEh0x+CSm5/xS0NJQNkA+E1idhStE/97f8xDpGLdFv7NKKK0wj/F9tp6 iLFCP961gXdfPYu7i5MOIalOKBPtw7hTN4nnxmcUNeImXAKgT6SplvCyWl0JKvY3PDPc4xluURVO Ezq1yl1kKn+d+1PdEz/zNwu33J93rcUQot5z3Ru1MFF+ErhCxWO9cnLQOR29xT7yL7M/oZ6SSthQ zZ0whNgYTGhDqQ2zH7YrgSY1S11r41O0Aw9xxPl0SsUkZuzPRATKA8+Y5+T+UH0Uf7mprDD7RnSg CaNwOa5MZlo6ZtfyQlUJ+VnEA6JvZjKNUzSQo2C4aqYXn4/QZZAYlhuEPdW0VO8mD1JohFVTre+G J+iQgeZI3te+uZpvOQYHH/lAoUbnwggBguELT9lydx+edeooUmKRS8SEir+44gyAcwmW45AUptCZ ygBfXAvTRGtr2SJI2PnUPd9f3gWnMKQRlwATtgtzGgyiBwmSKRKaxdXaCD/wA07n/BCxOUQoYuwF vllkqPoD0R8go+T4ylBER7htqdVqcWL0+A5OIA1iJT9La5RKNOnChzn4FxWahX4HSf2+3W2EvC1m ylNKmYMnm/lYJRWti4gbIZmN5geelBb8/K8Y7vR1KfL0OZeCeXVWUE5HmaPLGfLi2OGsmnNqHjCD /q7vCMCGFoUjzeRflpjX4CprMINfTjkmGdzReVjoxdKrGOUaE4ezXYUm4NRh1Ik1924X77UbiGMy 6FYn4K+Xo2uJY501x7t+P9zU5hLMn2qcrS3glynsk2UDAcpou//0GX93KtmlC03zG42oQJ3smqkk x9zUfnNcctdwDty27aaObRumJgK9ZDsvGyB1z05qmR5VHIiHkfvl2eRONES2j3mNpZWe27eRLP2F tPuiPgUhUOjv5AZ9GCzb7NK8CDQbWE56/jdbudDDMYTsXvUObKP7v9og0QJqxJ8pj2umbhRemwg5 7weKIcoo07EeCUjbKX83IvRIkKweT6xOOh9FjWT2Sg2gh+NAwLm0pCQQ69f6txhH6J9QHy/DTc1z 12OILWwMdJUeVAbGa+pd3fTtYL0TpuOHP7iEeXcrUVGWEdIVk2loPI/aCqXH3WUUKahbMogsHfW+ Q7dwb8h4xKMRT5wsI6ww6bpoFhfZ1stOCD0lboFJ7BY9waYvYzmdNMZmex6fbj4biukL/l3YvFep 4wnbRytFuKgSrs/D+9AF+1/45euKsddwXIPJO35K7hyzXuG8chvugY4TbHAv6sGcOjnyYWMJDoPm 2sGvZ7RxSuLTPTKIE1K/SE7NK7b6aGV104ivtwJH0OafH54nHCrzhcMMtZmMcdyBGsPl5ty4rKFF zFPHFXZ0KPOvfzXeHyg+MCvfeCE0snPrXdW2/OaS+DKu2DzhAVq/fyb3YQ2xtZLTbN0U4fJ9JLwD RGsgnmfWCpBZwlz36fvWFn4zDaHMDlpZ7ws/XYAnx3MG3yDV2cwh/+HETPGCV2x+myA0Wepq8eqI IMsLf0Rfp8SiyBFZuSp70kng+qVasD4NneoYQOdIokp/3Ndmra/Qo0srw6YgJZ7KVsNpGmt5yv0+ faAtPihirW5oY7yy6uC51MC+jVb0Uu0UWG6r+lIlyzvGWLyOeheA3liHpBhEKeIsDuCpsIfssM7o MfYWJziGXf4ceImp4RCTNZWlfcan4tNmlJ4rHFlPBsSmC5YOyD/saDI6PwzjmeYNaTx26e5BYizq JCkxZG5YypL0R75IKqV1mLQQ3D+uPkCbItEprjfJgJQArk5CPBXEVsNguRNH/fv54gnlejlDCvqq d/kp0sHGlTfsho2q3rkwvcx1diS1a9lzN+uxJGT4Vi8UAURpJh13nGeSOyOKUVN5lkB6xt6SGgKN CVduezLU6IqNJKYtSMk3f4SM7fdceP6LCKZgzqpkOhLBt6O70l8FHcOHSXNMjrmUuXEIqWjA6Jpd k4Ltw4i7uz4KW96lCbmvMKnYbb74aHBKkTOaXiTrBLEi/x/ROoYN7KhxddQ8+ohHTLm70yKsTh7r f5XUybOM4hC+CQsdEKD43g+WXNV6d+zGKtZotnx04utqjl2Q+IydsK21yaQL7TLCm1Bfd8HeBAnI nDV3RHCfP1ACjkhcJtIhLb0ok/RFYpll/aPtZVDRukxxKX3NWwT/HoFjkeJfg/n/eiZkAE38rril oGonOHELiyIGKx+/iBFOSgJiTc2ouMB46YcqtZ6kce97Mv8zkzhE4bfm3xbxgXVmDcV5+8aVsdkx nTEaGLjwYz3sJBxeh0SAQ8HUK+qokzfRGZqTSBGy7ZyP6mnjhemgSWp8rq6r9/B/l2rmUQQbLgB/ 7vqHyFHiWBkPZMDhBI/LuSaO6Y/YahrOPvZz8MlDuJE2dg3SmpVXsMGO0Im7/wSsjy/k0xmY64NC S1Ce3uz8kgEVM8pDYVzaosP/T2/uj/7vhuwk/I7ffU4HTtpsoa54X0RkwB8xLE2sNh8QfUV2ZMGm 5Fn2X3CugP/gCgNUtNXWSd5/ZHC7FIWLopJ06J4+gIuK392kmybag+Gn98PeKV03qwc6yqzbhcBO qcdVuPqc6/7dijJkHsYRGjNhde9nub+hKA/XLWu78ToPeQyMBBEXWg3Cis1obmhXiR1Yw3RNbTLB 3IUYIPG/WJbdJCKb8BYIFdFrENhcIZX3sGd5ptrmOBu/54O9+cRIgK/z96+xMlzxa5UDdo0bImnq guPI+4VIOP2U08ZLgAlbA3k7iOSh/vlQbkxnLreD6OOq59Ec8FsjqeFycBSfxqTPSdNLnJ04fi2U wcucgtl3FkxLqt2MNYP34l9r5mCp+XjMfQQD+JWVhYKrKG8GX2OEEE/nG5MwKEedP91E3yfkVRqh MmN0YK1sNtV6OB2eHQAk5vHR76DGQHIpHW79QZpA/KJLbN8dZK8gM4LzVvFOFp+fTDMCXvxtTDm5 ubuHFpQ5tZUzir3re4K/ConF/G1QH0bgpe58j6AlhOPoeGFOXnzuysfWFHaA4SB2tbIcdiCkWy/Z TUp2T5ll5RXr/b2aQZlYucQxueKI+9CdzfLlTV78bzwsY0e9d0tNfP/vDGERsAS/yW1XdHP0qEdB kwoIQ8Vi/ICQGlkuiNLPSlWpKouldSxqifgqa+byBcB0UWmlEdF4IT5o41m2cPxOA3ECVSCETkGv +XfFWoATYmPioicYYsXTdSPPiC/nuZkDOUYOefkZgIS4+/qgn9rAOTW30MD8X3EaRpAdXhNOh+Lf 9NNbFO6ARN7DAg1qoWe6ekHlgDVHzjyRFQ7d0Ay0xdqG4udkO1WJbOuYnI7KVNXAxOVZ1JBisN2N N1uzOuGB4egeulk2eqxCxkarai5bv4RaVTMdx6Z5to3AalZEKFA7bK3pbEkgfaSg2t8uNgpeQqdR 3qZiSP82YTguVcH/TCiu2Z4iLLQvV06mkefmAvSrmSSoqbhLDjwRnI5wx7ICkVMSvg6kmg4GrmcK NvbHD9lhhAW/k03gFujVIbuzUIufs8cEAWcuukT4ngZpG8o9OWXrgFY4WQirDvOrN6Cl4QDMoLKu 9cuo+vXHT5t65syHMYLuEEm86E9EKB8NALs+Sjv8RN6lo7Gou5HokF+5DTGRo4anQUHvh1AIfIws grRAmk38n2CSeVfuJR7o33CwZ3zIGUVNFdt6l8dfBJTGBuZWaJRxn4SdNf2cNnqhVQudRWETXSKJ s7dgc4TFMvyN7HtwPsfSbUrN/SXllK0T5WhFjCbtsd4ljxkfxN1zV73Wy/4am9ng1fGGoKAFtK8P dh/Bm9L6NxhfegoLp8k5KO1sjgkVojlK7VE7KiOy+4vwwKRp0ECj0jqqjvELXcHV1UF2lKxwqBzB h+/uhGlCt1NKB3DWvD9tKdL6Q3GaqTvO9ElLU0lsIZiOJc99rC+bkENQEH9lk35lEmb0GR/Z8aSR 9dsSNsPpkdBpK2zk+LcP9ONmBAD1scX0e4SkIU52FXAO3wydBs9I4hNcA40s86pTdWobuwqpfGcY kE9r2jjih96MPu/QVW41LzebqQn1g0X09aMh5+M7nvLpvEbNA6jntuw7BN1Xwo3N/Hz3tldU42mb lcdUegv1P5awKiv8zoTHHLmpKTrrwDfQHyeJRcAVH4eCMObsVUJygVGzDCeEajj2k7ZCRAs+XJLS ahF6uhd2k1Vfbjlva3Z2W0XiHgJRspqrl9g1WPABPS3wgOIWXCWjcChr84vfCAqZGGMfSOmwPMSF M2ri8c51t4qs7+DaOWLrhW+moWM6GzjPMGDkgYBhhwAqueVvrTotTfKfvuxJ56SSXKDZjsG8bzxo b4tj/9FkxtuGeFaaA1KNxxRyltv9zrsCcHIvlqMmnSzjuhKJNoFJDhP+DKyjKRXtG7guVqAUha5C y78J88yyT03OkvZWLYaT63BgOVoEGiw5PckdWUNiXWOUF6cbg1C0Rntqq4iCExprNZWkwKFmOY3q rWRFfD4BP+sltOCgewgCGy6Elb8+1n5SpIFeCOLF5+iRr92Yh9vN3cNLb73qaxA20n0A3+Hgnoyy Ucc/2i8dvj2xraRmKXA9Ku+MZ1TWVbRdSR9NCrd3pm7VD/j7ZJsCXUXMty39A3VuYdH6zYWk6Srd lKnHG3+1GyGnUMhu7z73R7m9xU7Thl8TndTgENYK9NIi1qb/ONYpsfQvlwogNgQqnagDmXQcs6ne Wyyaq7i2CXesaZUs3oBG/6gt6wWhowgfDnoGOp+RFnIJ0fAlOTzroGjdL0ldVLhl5GZIYmv4MoUI rT2KXMSMWU/QGgLeGa1u9D6ltOVifr9CCxdTs1JQMLWulYDAOfimocn524wK2FMSjFGJhkpIok3t vSj6Pac2qm6YH9Y8hBa8J5k1gSavBnI2xJL1JQ9M3UonuzjZP5obbjdkl4x9+1ZpJw8klyH9tCFP 1QHrE5aLAaJkSf4yeVjo6ifxxqN5sCTJbBN7ZgZWEzPq5G4pnVmjMdTp/auGIAoQTHGqK496zwuN 1Tf27DJ2BTvqai6zHuT2bcgYWqlJ9izFoFqZ6JN1If9Q8SASOAUymuHsO++6l+79BzeZytsasfoS Lz2ZFmbBGZV51mhqKmF8Wm/Wc2XBiQTTfxGAP3idLNbf5CvvhYgZuB1PMrAKWDV3FGdYPKff9O3T 1dh26h+UVtQhfsqU0beSh9IEWbu8YL8CPOViiifhNUFB9ZacfrZD7pnJ3wJ1KXV8YCV+XiOaMp2u DKELae5OnCjZKSZV4jFFGtJtddSHM4glwFWlLjGj0so1HWx0dKvehqiPyrxh2Avv8xkdM0z1eN8C Oev4AXrHANueouZJ03w+Bh4qKWI8tPEDeInxoou6WT76SfscyhuVWSf2/lQ0t/jdsyOh/6HlHoBa 0Kw9TeVoeGvQgsQhjbG4SZ6SQ38JYOXr4Zr4Yg/xNoCQrKj5FCJ4b6gBwbaXZrEa4XxSNjKyUOLh 13c7DJWcSJnfHGJX0oRJVp+vrhUp0ebxVuqtfayOUaRvigRS1DE8HY3Eq21uqj9QosbhWjQuvoXH iVWptYrpGf4M/oQzqa1b29k0K5OvP7QUnkWFLRDpqNsgILMDhFuqx2ni8i5YgC35YbD+rp4BdgTs /w9D0OPy/WMwT5TfEjKhUWQISbbfwo1a8Hp9kvcz5cFMPocPbHA9wdime5BLaSnThskXAZXK8VTu a56SUtrWaqi22kkyny3TDlgF2ZQx3fue2TeUkE08qCDpatP0eeqicS6lUPwJqNfBYWxLNcwi4qCE qkQ8UjkbL9B19cARcv+t2G/HKVrGtAXmc67rsLMsS/HgxoSsZhuKKzc5XiDtwjpLl1IiXcA+gjDa jplWPlxJBq85M5j1a+DxxcuijSV8wp1cu4pb9QW4zcpkx2sjCMMrDZLwfKoiXQoKM5hcylzCvA/i qvmO1zSQX1McYhiHcioGBn3uUfWCRpsFnkyP5JtMjifRPrhkRXBlHOO2APFklyY8xKpxYJDaI9mi 5iCu9qGiUr6pZZnD8o2tdvm+St5h1msNGZJ6lBEktF+CbOLEX7/18rOtt1O1grY8ElGLXM7o9OGy uHBY4XzumjA31utWp1fd8OI8XBSgcStzrvosGIMquWmMDkD5Ae+bDgazUdUsrdzTG2rmCsosDiWb oHMSlh3PiuSQZn2LqbUS4t1v5B1+z4gj6gJQNRiT0oErwTFMPWYEEE07IJjXqmSzJrZV+CvYk04v 1+NK6wj9GwPiaIJP6O8YW+JaZ+Bid88IVxLpX2d0HLl0pQ1kadMQEk2hk3AZYlLXCsanQEorgrB7 XMTZN+xk1Z/XE7hEMF+MacR5kF0ujYsFZsCbOhU8+XcKLJT2eUNXdyybzlgICI9HIhxCQE5sqA7M NzHzglX3OVMJR7nOcgeN2P/g7R9+Q8+MuFNNjlGQ9gxyclKH+gHOZ3avUmjFLeBZhiaWSLMlxedY Ga62vgjX5jzrW2RzIJGjXzEcpFFNXn5wZkZTeVfDdA1+2JQaXgPWap16yD/5E/x4RnqE7zBm6e+5 3dmdq8TQELVbK37sE4Q6bDBX8QI9PsZGVIuyS3nnOKyc/wFIwsm9I4kljhCBeXSniH7mtqe1VbRG vOklgJW5JqslQKqW9oNh6C6grKfLR7zaUY4VBoQJIx9437yydKIhWsB9W9ahBVsFORlTVbhh7F55 vQ2/6png7SRJ7y4nk8/Cuoe0P545EFs2TKEFohWw40auKv1Hbzn+2lu6TFUyKJiFytZwetY0Ojg7 fg6XN7/pce3TJnzkPiA6gIl7QCkMSbana8DU/mFxvSnqtI/5OXxpIuFlzISNFdLRYVY6xF3bH2ji NTffJqdNpYlrKSxCkGwDDS5Cgt4r4GAypcvWJIbhwpKW+r8ASAiS6aKvhvocLdBUXSqjDWHOsw8o dxez8uEIbxtZO6G7/EbnwYbqk19/29BiH8Uxhrdb5r2OxRbMobPO1DwW5yy3ILaj8dTCVXVE+jzO JEJ1vjXL6xqgQ9pXEGU0ygBVGePrMETq/gRzcO9MNGwawEZYNMU1Q6TeV2LV86o6mGuztebMyaCo XN0UCMRYD5rnC1/PQdbu2RFJ78HICdvMyNr7b0RHXO8LSjdSQsVnBcbKJyjzbR5SDep8UQKflEHb tBkmiKkVPlExJLeTAyzyL8bKg/XwGt5O0riNW+qdbu5pavAEQLo+Nk9QZCWe0oN/4OhhTZmzHpYU /d7wTCwjfNBWbYA7QAmxE4y5D9p58vKQGo5Ypxwvub7eWEPtLgiwmDuzuWmIxYKGSphPBNhM8+pI 3nRIi+rBfqNlKv2wUUc2HaG3rl9u3VDdFBhgZ0HjdMUR+nIWsHQGsVpTGz3FYg19FXHUDuDwMcYG gJtWw9GULa/IgkwDoxV/WGqLLPiZFCflnSD/F6lWqVQCSXukwK8XeIVdC1FfI6fIYm1EShrG0t7x lR6fdmc0XGeLE4cu3q7pIilIeCsWM0rEZtJ1TSlhiL+GjJn2iaGofH4WpN744uhIttQ7UXdH+Evc +3iCt+e8PCe4egSp2L+uQU7CiqjuPnmAnCzFsm5K6/4LM2mYN++olJalVeV/JeypgOUsLdJhcz3b ckEUvdrPgy8F9XjPsHkepBM/AU0z08dloSEoGsPJ0fxa+Axzd7sYP6Oa0a1CbGlKn1hPSOmAWbNc 8cIsgND0yv6JgAuN/K/VKt3MUfkvFLLv8gatKhpuSHcRdxtu6ccO3SP7x7tcf0rSZqDsy4gjqf48 axzBGxUJEdpXEonlvXfUllp4jQD0SzQoa/WJB2uXPnI0GHI42Bfpfc9/dgZJZ5jg5wZkwo60ix3E 2WFoK7f3LJpOKr6/OUtqG65COheim3F2tgkFPBNTXSSjy2VqH+/bhXVzGt6Og4T9tXH0cbQlKhEx KIDrYNs6HXHKew5WM+3aQHFzpcKIp52VxX/44sGBsDMsiQ1yvX/qeAB9rq+IOhEC7lgBvCtHMJk9 YMb1Fkaj/r2k0gNjuxc4X/b2vcWmeLmoCGsscuCsvyHWRvPvOIbzXuWCHC8rQU6BcKrPBBbMQctF olPH5E/UePZgaNL+eVGyGIm+nEslwi5LI1QxyK/CoyNq5HcICi+PsU2gaK2JqBHYqxMWyKocgMEJ C2GJT4vlsl0wI1//JfhPu23iDqLtfbPxVa1xT3mLrnLmQ+nrmiwKghOSqS1uU49rKWolpKGqI66t gXxp1NBhCnyG5/d0pCulH9W0U3d+ych9nmyGUjAPYmnzrrNNc5nyJd7ag9KGkSzX+XNGWn4StyWJ V68KMGET5PAJg3ZLpafJSSC5A4Y8GM2M9LxIbBQT+/jPk9zAF9hBcjVY99VkeBu1t+LibuOWUPmy 5ugwqv8hlAhEphrJnQzxmu0cd/MrFRawhja1x2FS7IDSlj9ZBSGkrB8tGaLZ3yHaxsMLeGBDbGCI hw2y8bADpGHoQcfJHFUkpBFNX73dZEueZhpuuHT6LEgOz7ZtCvQv+IiuRQrID/h6FM3469ByV34K sVehUt3hgAV4HDNwjrk5//gDyq+vRuc808RNKqaEuFCFR783ZeA8p9H1aqYQDvo84vnF8R5xZTxE B2t8+seiAaHXZdho6RgRa6DA3T4ueI+RF4WDmafgKVKrc2rsCgw+KBp6xb99aujfuw5jEoWodsuZ 9HVVyMNFI/sp/qQahQfmJfpKYD0WqwVh4RC4GJAMFw7aAgJzhW9hjjB/iTiCvlxCmRvFTzLD2L88 MBYaeWrfnB+6kXeWq/k5iqaRslhc7I+GIFBmM7KYj2JYv6ToaIb91xSvbnR8OTa1BZzsmpdLu303 r22J0qoLyRasmuoeM++sWFNhZ1bY0tI+Qz4/bRoY8ao+J8LBCM2ZBFLKL7WuVMbiSeGk4IMSdaPP Kh9b9J2MAy1IPcugT5wNni4F5VL8k+79U0tpbHR2T6R8o2SF3fk/vdfTs3CYvhZF/W62RwSan1oK dAHMuFPEil4F9pNejLcovv5IGkEsgDApdUQAviXDKQ== `protect end_protected
bsd-2-clause
9b21a644fafd614f3445df17a146ce9b
0.948082
1.822583
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/fifo_generator_v11_0/ramfifo/rd_status_flags_sshft.vhd
2
19,232
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block dKlcaVfzH/xoow+1y1MPeOWD/ODZeVcn1HtbVAUn+IPDFlLcKtpd2onYBUqluzLsUW1NLuGmt1Je VtbepH+/EA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block FOjZqByUsHRxCadc1zRYH5PmVWCS2KdNvr8R5I1ptEvYWPiJ9ye0b4XW9IHoKwzJn7h4TDIOSTyV xygqpIWl6pxPrewNnx5+txWo5VR+mg3jsv3I5u4fAoAAN4VXTjqa/hu+48tb7bj5syyklqK2ibme bMdUzVOm8YhEC72o5V4= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block h3swhxSL7EZCeQ/lmT3RJAQ+skz/RtRXM6wiQcFINbkNORzoXVAEloL1wWnumaadkX9pCJL1TuwU NrMIfe4uCzlzTp9/1DFakOpt5959b7vqXuU3vH0Rn08BCd7U04WPiq3TlBOxWk4fPhdth5ibRkxY 2GvP4DYEMVaZCxWHbgazdpOehnw9zHAWBX87jCtrjc0tb+j9hXKrnMV6Xpvmk/e4FHHKRpaUu/u7 wQZpOoJ1ww1vnBRoiuhsh9HqqMymRdyuwCacdUwsVrMkLE9muzmLMU8kQiwRfmGH6kUWpGxiwsC7 2epsW3a3xd4jL5SjapJJP+4sZEO40GwCfKOFEg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block uOmpnUYv4+W7PdHJgssM2BfOzFs4RtOFcdfPmYoo8pt1cOqAVz36YzcIUyMqzxJqnHiT/xVwrjJV y8EOfsp6j0iBT+RN5UUXIP+lkTCvAnojnpp2l1eVaMh/BJymHRyTKkPkM2RJqGvcgWHw7mI3+nke QNsc0ZI1cRRnvXL5JFw= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mPNYKMgA2jKCcOHv5sLsHSAvCcsQhFM1P1w+8br6eOH2pG6Y5tnEV3213wV66C2aS1S+J+TdwTDX 6BHQdeJuNOEeGi1TB9sXU2CkoKera2YnyNTquNaUSuX4kMxSDtbulZlvUR7hRg23AkcBnywbpMSv kV+3clmglY+N37m2BAVzM0OQ8EZLiHAY9Ugiv60MPHA6WKtnjv092iS3GKAkE/CKeQjj4y0CEhCI I1LVc/7oFz8v9dGQCihM13tsmmr2pWtVDlNE8k4rGCdh39C5DPUUEXMgmekjY5Y56Ha6qrQOPQu9 688gd/8UMuKH7ROfvuZgY770wCM2FJ3vgIB6wA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12496) `protect data_block dhIGqJNqBWuyJBeMlfE7E7mWTgYMRFMB/k8JlR0MOfqg7y/OyGMq12da4+a0m117xUkbZkBSHZoz kEJ2SpD3hgHmMtckoJLuXNChLPsu+UlZWatZVbm2jUw6H25aBLbs2ZN58A+h6VNEesqwd5/ucrVX ichDZLKcxyQCz/dQNJMRy+fK8ynuEyY2K2XUsvSb3Q2xAOEoGWpiujPaH7H9u9fgPKm76nDHRHo4 p2J48YX7GgJYYpyY7oL7/OS/hDDx34BFU+6cZ8q79IKfhTnTN69235yDxiTEh61M6SMaZ4egDc3H Z98Qq3DdUegQKFnZXebpk/Z5RLeLZe6q9x1Mc6CrmNPKHimX3nGEM//b9Cy8FrN2n+dN0FGu7wPc bl6CGu8rxQU08eGLAyGP3FFuhunIvkeZxp670zP4LNBUW3wixLIvPvpyvsgsGLW3VMLRsSvyHHRn R1A4mBalKcvZO+7eciGKU0SriqM2SSj5KvcsqvzvNOUqkIAJ5/ti0Zi60VecRujM4HHoMuEC4VrK bW5lEe+9P/NiydJOfplVJamsLbEtnD/FkY6NiEpZQLcEMumLrrNjGBvuUFIP/dgLn9ONMBANj2gW jAU4qmwBxwJ6OFRptQTuqiNx7hgQWT90+jGBrKlc1hlgsTeNxKlEf1AHE9vsE+c9BKOcyJp7NnQR znJGivKxF4TqbyaNHqXLYWWHlW3B+yWCPClcjTRcVWC7Rf91iKSRaIK24XgtruClcDNDiU2tIfw2 WALJB5gGSxQwSpXXitGifbSyA5QmZP09ombWXutA7sE2DYfp4ERw0MxJz3j8LnWUvmYeB91Pqq0H CnwqAOUi7GlmJMgQ/JvJe95UALwyPWRcRiM5MzfGHRoiLPw9BzPfxrP0Kb1V25NpQIan3hqlqBcX mYI/qBQAGK2BD1dhzbsCE6oMcQ5xuGnI22mRnQyYoiOPnP9jKo+DUJdYqi1jWqKYsrNwme5Z4a7E 3sSH9v6NwWIjLcvix/BTds8aiAfj6O0+JsfKFvb1Qal/t09MSyQjphlHgP6krkEFq9bNgkrimisp i/wc2J5Nk+9wdk5hhLk1FVOvi/Er0h+wWivb4r/9QKBwahdo8v9ACRA/RFpfn3SaU4KUtOPkJEJ0 k43x6WGjqE3FRCpyNbtZQRfOo9b2Af8xBB2eRjp83d7KfENBCS6teyrT6S2YpmOUraDQ3Poi/03D LO4DnLcIooFMqQdRjL9l7vj0FK8RSHWbs2C6BiTjwnPB5AkXt2u7teqh+BBA1s4j+WCsD3Wf4P5n MPRqUNDiFxy/bHaXYCUGKZ+UzrkFEBIFpkLKm6tGTirdUeFfSP0DFMaX6fQags6wkm33MOY7YSNo oNbkJ1e4SywkLtXbis6ZPgvEru2tER9oPpqSgtCBEj/5RbQD1rIwlv86Dz7hq8vwJtElGajpX/sb zfdDxkz2OovVrdXD//azN3pjZjNiXCz6NeQ39jdrDuX6hOQxdx8GuCKG9UvEKitR8+v/mDaiCdwa g7ABzEp5JBECWuQbadGkjYbSDUvnB4g148+wF8XvPE9MFXqAg4vkINx50ZXp4NNm7Y0SRuuGK8x5 zGNhh/mvz1xLbM6RZ01JGENtlhbj2GfUUQs8lsxqmn8rL8HGug0yLWq+j+g9EsXevVTTtp1skOAc 09ir13ZHbOnOoGizMe/zPzfvDcXRnjRmw66Pr5ObIkX1KFGxAZaJ+JdDPCn/cUvg3BGRmZ6REcFa Kaz4B3a+xRrdL1lZkHex1dB95ptbOH+/ocydQqmSIi4eZdAwLDI8Bv/CQqvEKTdQb04b2zcrXHfQ 4K0vmbbdMZfxrH6D4RuTSGG4ceAKOyhnfmIG3XpHuyagrE8wIwcZUc1yMXbXVNtfbxpoJjwohKwa VgkOqZ/9icVa28Xkt7iiDYGKE7mBI+NYWhHOTN0fSEhUxIp+cazU+ZrTP/VsLPgEUJQlBDi/7rbf By+kOMf66UvoQnNHLMqMOhdHTpm3dR6XbCWf4gp9PwMbps7edSkN/Ul7m9DB2IMQ/jCI5qQwPmED OIYM6yCHUO2sbGJG3pGXKujuw4C0z0SwJFTX37Q5HJhg3Y/VGOzfF01UMqJw5roIwl1gocAElnaN wzPXL/1R0RzzC7lXmwzMNL/PmOntcQT2UVzF6iTkVty5z4mcDehlq0/Fp9rJww4kdViIE3sQpVn2 m/tvoQRDRy+xrlRBWneeg/3Hxp4A+xUXvpjY+7jDwGI2ZQl+HiiR8F6/mhWmFUpjfmf7Gi+1UoYd NG8DLF0Xzg76i+a16OKLC7D3joK8HWjpsndz5GqSC058GAZACvhBiL64fRLG8gUFJDEOFLs1xcan XaT1O5dG0bBP3IUshsImR+LHfHdzE2MFnMOB6O7/0FueYlAd6YSuRg7GQ70fPmu6adATN8y716v2 Mg7gNiPX766+jIQYsZ9q6cQriKuGaIn3v3lCEMdn9mXO33zhMA3Vf+bYTfVh56X0SPdFBGuK1Axi GzeKnh/gUFzqXwb/dMUDAVgU/1uF4KClKZ+6RgDoOnHEY1cJXlyk3l88+GSSR2qovpz1xuFbKjpY 2Vq6WFSTSBZxAK2xbxjqcy3GORmvWZd1tnxSMUM1vQZ9dNRow7v4kbgK7WKJWDDyJJ82F0xZZ4F8 PUaCFlKfikD3tZ83Lk6AHVDHVi/qWcP7lMP9Ye9VEXcG7sns2rT/DNs8vnclvyTrijqSGNOm0zah qBsNrICADK16TpLFTFWbdSdgckRgd9zZK5WpHq3cvcakSAbm5WAoNpjMA7TLm3NIb4uZ2M1ZuFFV owuq7RK0jhqOJBHWndPGNMXVCj9aI9qLU5LYL7X4GKeTVxxFWr3eywZsRu6Czu7VVzFbUMKBOgig W2xxdSLA+g8rU5nKkKXp9zQoEIVweWmX/h0AZbd+0rw8QZrubNXVn+OImDGB5Ly7W1wJUBiKhgFY k43+2+1MUbuKmQFShOVNx5YA1Q+RxU5fXch3mkd2gykcBy20LglL4A17adVxbAKza2rOMMO/8hIv cB6k/yByULvskCOj8TI3odc4lWwu8K26I4LhgKwt/PzJNv+IFlwkVFscds4h9jMXeopqTWX7AxX9 XbXzeRoYjGmkcw3kYddmW+hSubZ0fxZPhsR1IIlVo/hB26qPIjV7QKY8fa7DW8DgKbOq1W1kNTMw AaSv8Ouktarmj01k7XZRtskdGtgtO4vrzB53jW6ewVbmkKml0mhJYl+pe4UPCg6ouP/2M42Y0Fc2 VzORi4wBvSx/nG300ojeqgVSWrYk92ygdWtZt/JcpuGsd1llMkK/BuudBAkCsyC3A56j2XhKVy5z iqZD/LjIXblP2JhRr/OFUxoeIuHn9vrupRr2M80dNdBF/9rJteO4DHhqtGw+9OMvyIYqY5PHjlVu ai6OZ49ey0rnuNZLY8xuvJ5xYsa2SPNgNVYsMWPHPI6jrxj3top+b2fvOs3okpZPok0y5WEImj8n PQYZrufDFOPKAWXls8XyDLAwxdFk9BQ5cUUnWDAYzphTZ5uni6bkAwM//xV6MUSPW/Hww3lS9tXe V2pnht+BQpT4x7Q7FXwUzLG0ChDq6amN6gvniNDae9bahZAwyC+KKj0050h4m1WA3TVqEHu6WR0i UXEWiTFBu8L8u0/9xANbpiie0YQoRfid+J5rI9WimVEmxAqtSwCH5DEEjZ2AOFo4fIamL6do1Jpm 3IedEI3AprJqbwYBpshiHpu/vFnQp+KqBwFIyXFowFk0M2Bjav3dU8BcAwS3V3jizZOH4l+hdt06 DRWKtGQmqznaX2G1sUl8y0QcNksvqolBET7ONGATN5akTit61k/lSaWfTvZ9sqaBtxdyuxVzOtAL 2n6SweAR3uW8fJhXg/F5bYigLDP1nqgwW8TvUWwxOWwquQHxD+BNUVbjYgzYCNxTVaiekhvwVXJR 2ksQy2pNEUyP9QuV3y1yDeHJkoA8gqT8rtMNVdXBcX2EuGunliTgMOUgr1f0sA9yUdRBTSpIRGhB 0ts3HhJoLN2sWzfxf0ge1vDKwiia75FvB3m1z/fZTn/SO0vHiW9VDLwEAoO/EBZLr348hdZ5lUvi VQbrVwdbWCdKzf24IO+QpCniM+gY2pHPhGB1kePm8Mr/kKwgHlivh+EIB6U+ZDFLKvELrKX+nzQI VhDTI4Blg+damoEm0Vm+P/qjklFjThGHDp7u0lgf4zmuCzBBHlwXoqiwfttfBiITMOzzOBIPFqzS 3TArGNtnzX4LOUqTeKe7yEuIA/cerSopPwgSAhQmA3YbdHyYzUX5NfxBcVdcsVSoQWREjsb8/Ff/ Q0Z7W6sEVeppDLoN8wCcDX2yj0wOpHBpFhkEWPcVqaXuhRb2zad5B7kbRYg/ZRNZOpkwrgqJ8jXo 6tVVU4y5zhO42zl/pEb9DuSZRRI/Nhr370stkcCCDLY9I9aPB2ykMkN6CcP5sZi7Qe7eeHm/tZZo ftjhXo6atPVXGI2PtcuSd5TqMjPhODBxxHESiJyw6yg68irdMyoGR5wnxSAqzS0weJzCtx2P/2C2 KpAj8wKma3y8pwl/LIJQOe1U+72vNhxRFza4AJJj5niKvPgkXY1xbsfcpdGiNRY/fTXxr9wymY8Y 4cqApb0nmd842qqYIUdL4LD9fRSNIjwWHwcBxrSCQ1stf/V/tXKbTYq88comFrrbI208MQr+kD8m gZZE0wgUjlTPdGbXdFvUYhMbotF8R9jB+CnqUn2XMX7D/4Z9UEfGi8BjJhJ+7ien1bUiCYTPfUQH Fa9xAl3K4w6BWHvye5H1wvOhXiL+YD3eFiHCu3Gn020/iveKL/Vq9YQ5qKeUvYYi9r5DvO0bSy42 DXLeZvUmj+G6/om8K1UQzKNhZm2B0UXg3fmjgMg+nY9LIrce2tOBCrWfCCmO1D5VQOlG2nI4LZXP ODrLlwVvh1EYEXl56qihGmxzr/Zqw5Gf12/ofxE2u76PwsBbFMrA7GLVNkGA+vyoUDVKlVlunkOU SGp1vARl8oB7Bd1zGaeKAEBDigfk8cazB0qwaXxGz6AgG6YtJaJ/GHhW/jv7+h7G61f4bBlHX9cM l92htW0U6eW50vsIXIyn1j6II1xmzVJ94Gl33Xjzl8a6Pxcpn2mkvmd0XK+5UDtR2zR3VWlwWpyq IzwCDweg0dX8nXPHvOzkU3ovZHqiLGsq20LAYWMz9/2Ci9NPmkgXdZz/j3xPHevzKECjkQmbdbcx qU/e6pC1OHegGim5uA63RM2jXKr4/rpdIyFBGh+dlPjRNGnLHayH7OMPIeaqg+xwp5d3p9lL9eRq 11dvPRwRTJFtJGLdnzf5gxnwH+uiNWjHaPRGbcGnAcbiKdE1fNmbwpza6v+ECfGv2BFh0GSRZNoi 6sdjd5U8u4MhI5po16DrkkFC5sx2PEDNmuyS7eh+aW2fvJZsGs7UDFSUJ+d8Z4g+fkc1eJi3iQJh NddpTNyV9vlTHre6aELEtoHlMmGrCm8/+bvyOW37+954TY+J/eHqMM5NjrWBhKqvlWB539mxSFAu 652SuBTc3f75zjgFH2bsZVLUxTXUfm9C4S3pD+Li8tN5tMB1w+nbLGAF71dv07QUEQKTicuP9Hbv aK/QxqF82N3I+LiEc0JudhDOEwwthkgv957L4i/DMxssD18C6uU98AyFUSn1tfY1m8hgFtp3/gbK ZbD7VStVx0mdXIN0plz1pvc4iCOFBWdf0FUniB4hAA9qnobOhAEfBsgtnF2KZ2reTwspLnXEdFqq DDdUa1/Am5fCbAVK0px9TMbqWLRE31Jj9wDQygv944Ee+Rtoxk3U4oWiffAAYRoO/2pZL/MGvxWE lVk3KbL+QinqpG8vf7tgMq6OdJwEpe3/SL9daAIa8w1wyGfcbS7aI7k56y3VDn06iCjUbMKT7Vd7 xySlaCOe2ln2tgR6TNfbtWNyCX8t10px/PiqGWoClprAHBl6Sauhzso7/NV+NI0Yg3+KysdVE8MD cYv0YP7xFSYi0U8Ku+rVEWo/3YXgRdFJXiKGiX/tf7x8BigmPGcsdiyTCLKSHuMHgTGvmiaHA89g AP2MVq0KDC52toTihBxwcWhQ/QmAIn2AuZE2vbzKHlflggyL4HFvyVKgyS7+XtUmo2zWY1QLtJ7P dFn+vyHy5MGkc2klrnXwiCvx32IQu1+kwiNfiKT2ZNOBnXcKaIRykumQjOwnJVoF6ccZB8QGkj2y iYxyF57h6KYD+QKGK2rdL26F2Dr9n/OLr/gdqAmOz5nQZWkyabn8nMkL7Y7TSxF22mfpcrHBav4N qgRncTEqeLDqN6taH2OvZWmGV3jnpIuNUaLcgPQ1ITyYkvjrE1gF266V0pLyAEnRr0y0acTMLfv+ QSQGYeT3/qaH8XZlyzXdyxWgcyDhMoqJJYyRwXVwNLzWj8rnMQ/sMksFZolvPcMn+ifmjdarqiiM 9u6FqCe5yGK8/VX8Zp5TZpUuHwjAIlRuZ0SLla3koHkASaONBT1YI6FImX2eganuqaDYIOy1KFHj tvwoVfosNvnv8Loc9lfnAe1NLUobeLJzBKB/hJO3JW+A1RMi4O1zzRGyKCCCETDWM49aVr8wQkdK 0/YDMjFNDJdn8JoYrbq2XW6VwtJFbB+P3G6+t1QJ8hnUGWB4ll/WB/wfResvsJkroCsHYnGj1dxB FuuTuCyJsX0P5AyirJvLnia8bUkcdxHmbRgJ1KfcF8zgFFTB5w839l/SD3ZsQK+fabmKyxjOcIA4 6iP6zLnk8YeUHyFjUtCmdR/T86ZQbK60E6Rqs5efrH8lrKGAuckVOuvli75qShFuVELALN4jLkkZ JBRF9R4P5s5OlGwAol0JYv1gJznO3Na4eE4rbeYh+6LjXfPznINCeRhcxPTmy1Fd5G44bhySuKIz ismcAY2rdJn+YUbKf+1XeXCVexGl4HcBb3py8DHTTdN+UoT9yTGQ4puewqOIvHSz2/C/+YpUJ98X UDsmi1t7i6BBqMkO+5zbd28noS6z/IijEhbJXcZAaPPHMbj25eiaj8TCzLPFVbApJFWPnWOC65tP Im7V4Fhacz7+iB1QRmWuaheV09kmMr70ihzJlIW+Y1TGe6NF/vzvNFOT6Bbt7nUF6itSpoE+mPXz Qbddrlsq/5cvomDjs/gJ/rQXHYkw9r7QA2zL9FnY1Lf0cany+cUGRvq7wZ44PzPeHgIJUa8svHNd g0D7zTCK9eRuNLaqPz8cYBhTn3hi1kh/Al4JvztgdugO7AU1rHKdP/9Aq4ywNG2WJ9p2O9Yn1iRP D3JwMMhpuUNhjGz8UGB39mm4b4sw9dS2FS/CmAd8AaVmx+MAK8Xt77x8lD2kzx0f9eXg5hC2aRv8 lhThWj2BjnGM6wx+pAi53yJ6BtG1lPlRyjafBPAfiZLezy2ja3yaovudS5tPpVkDtZH6zj0JgNmO 4Nr0XAwJ/NCPyxlHutnpH36hp2HArKivpeIEPe5yHtUYcWlx7TC7dqQAI31tPzV8aFDN9cQRdQUC jkkNrvWwp7LOtIpemkNRzpKDdJGZulxsXFCzmnnMuk1T6a7qtKKrLTrhENcorDsZo2DmfZyVeZvf 0tXVb4YLKvZU8WFKRQORiK7L9lf28orjTnSL+uBREFrMESLIsxE4EuTw8OpPv1u9H3x1v+0DnRgv ipSrOJaGJwdV1T8lWqVbQBZb/XtBM3sBASKVCb+xX9o2OadZYQdoAdjQp191lB7ZXD/zFshCdx8n Qzs1WubqMVsTDH4/HUWgFu9y23k3p7+6q4ZOXHo3DtsyrXVg4I1L4YclhhCacxmbxHIwe3Y6eE7Y 5eWJ1wMWM7dZ08HtySDUkUWq/i0oUbuBttykYFGWZvrgU+hHoREyNpR7z50tRgT1p4X7fwxgVjyo ZGjwuXD/4gxgM82zrR+fvVkdvECJDmlapOb7ki26SuaQz/oLqprpCpy2L/3hGeVXqoiNPwyHX9L4 G5gsVyMQZ80HPqWx6G6u9jAwfgJo5Ypx1Y2HKD08k0UN3zCHEXoEs91bbS9kvnvVPbjswp0Rpn5P kwfK1eczdiB5KECtlSz2TCpfkiiaHJwNXR15T/9dxMA7iAWcR925AqznAScvQjdxKgGJbvZotXIF 5u/XGC448mHOv+3QyGuvODMrGFpuZ4sjG547O6JaXBrJZKhn9p4fHZGc5er3RnNyY3W5Nf44r4b7 kFBdgD5vZ5mfGoRGW0Hr9HTKrPWmIAhFpod9zAWIHp3tDYfyCe0rB6jeB6pm4LUY6JzFtVioEXMU eaXUsiGNK/x8ww16txSby41jBHONHQF+JNA7CNQxyGWHUHgw0Xp4xvN9BaSSJc5BSEda0kqojgMQ cVoA6LK4Xq3n0VlDAzxLgZ8u2hKzox2LOU/40O8CVZ9K7geKZXV/pu3LuBIbo7XOm3spTN8bXGSW 3B3tK91sBzVARuKNZtOBVdC/COMDn0X0Rcmqj+cZJobsVprNoEcJYIMOt3hCvKPFXNzWP0s42p6J I/OGXcrsQhHFjwp7vXugDNrA7MMb172tSrsN1plGDwtfwY5uCl5AMH+OnaJwPLp2pbWk0THhMwQx T8OO5eSJY9EoYLf4d8ura+rz4cw1n3bm9ohA72O24XmN6ZXJSvktEm4+xon1a5RHYTkwFhFhmFNp RGWAunIYNzBNsoEsFOfqRJ1eFWPC5T1UaKlJOGTdkSzCDYFpr6aMe0NH5+uvXwkD7dU5gbyLYlT0 o0Ki82W7VVSs+S5aiWsLjSMAiP+//yArFR+323ttDrmwSH33KgRpkyDEc6J4bGkYe8WVsANbGlVM VVNIF5KnnQzQALLrz5S6ZD6CCKlTI41tSJtc4Ya6wTgFCisYEBaSr4GI25xbZt5heFNVDEnWlseE jnJ/Rjf76kYVkPqLLSEkm3NEypPs1X1VYgumYvqKL2icYOE/0kfSK5EoWvulsblRAt3ldzoHKjFg 3Kl9KYYPrLkhdcek7MfH/BzakNMtDhp76A0VyVUAeBHm18aXDdcdGFAE3NkFW2+wSccAQPP9/GW2 QEVLB4OvXSNDavHmyWKtknmXthPxSNIRlfqE97lFkuG7YlXaLE/7Hch1VnNjK7LCs6TTMxPVeD0y d4PiHXbcJ+wNmu4CweXgdaILzn3OAKlMJM1gRmJFWm2MxiazwbdoAtzNtnxGBTgwI28QGhHqVeZq Tzco1507Ho4SZvG9G+X199sDL9ihcvRM7F7aee7VkEpghVAXkROn7g/VlWzQz6Cm6WquoNdn31fM 3J4HyHfnrZEA/vdIIifMqFGA4YWZyiFRD5qBbmL+a8Ed6xQ5cVN3RYiXMlutLzCOQUVZlpZpkYe4 TBEOgPmdZdPBheDup9rVVMsX3/7X7HMGXLNCjENO4DGIcVQ0lZ3DnQqDEgXJ/czmzjTHBd/QNITz s6ZJDbMGvqq7sMSiEXRp2GqCE9xyLQO0ndRnOESZQoUbCyWuXcRDlJeh/nfbt+QD/XnS9xNlJhWC Jv62WwierqXRXtRlCJGCnMBtgZxJeVRUbeyudGsH9ZcCeVOSmCcK+jYOVzVhEF4V8vIBrfs+pBaY j5s3H39otfcFmfneE24+8RRxZtPTQhL31PidxN7+Ph/A0Re+00ZevYCgeMOvzIfKgC1Lw5Qc+yto 1INXnLVUzZYT+nniEm0z59FsRB8EnZU8n4QrYRK+ARljb6vITf8WS369WQrHVHyftU09Jp0sfSGt BMtjzEnu88eqXy3niIrKnMN/g0n+JenQRGWGRAxQAoKXPj+vNBCtUpaFvKxyu7WbMD78d+itd74Z q3GQrmVLAXPaAnGZHx8crWxW5nAof9xKQ2kRFPfbHt7Rk7wtyCrlghI9ozoDVAAeDmc6880SpqNk E42T8VKPv3DBcJcWaMmGX3OXLWvi0Wyq0a3qaIXta2cL0y7ymg74nBO70+Dekc5XLXD3/HRdC8JZ /OV6196VtjSy0rD1GOoLI7B7m3BIC7k3hOgZqmiNrviM+jc9ZpdYqre8dzi9fx1/+1UgWF04YWff QUnaz8fsaUnikrAlbMEKLHdSSjnLkkXeLBP6LVnQadwTtceV+zMRMD9EAbVqmygBauV2VxuFma24 QaEmLO5cjC7A2DiBM780jxHxCKogEXo1OFHtGj7pWsD6wDcukpk3Z5HBpA9JoBSB6LKkS2OIGYzP VmE8E7QUJ/H+ma3+i8EfAz3PT+2zZtpDdJnOZan5xIQaGzK0aPZdeqs7g0EAIUa+GF0NtuJmFj/N 1weNWNcpGF1yKVW7wfCJ1V+xrmBEetqS+CnvHyAYnreEHsWWFsL1ovCK4fazXc5QP2qezyPw9kQc ywi/ALGqoPbsj/H5/7UsDkUssx/eg2yg0y4gh3bRXjWlXAJJaSXFtcFziEf3n8fwPU0MOZcFvUHw rsD4hDqNo00dbc12DVNvuS7NG901srxrHy2C68+hsYKWnM/6qV9M8vlCm+pD2qRHKgFyUS++3qWm 5OJ2q30m3bmL8L6bE0cC2SWRA6rxKupGfNgPpTtH5fTUl1lo3feIdyEqVR5eldrKdzJfRKfg3wrs aAHkBasqE3NoLhp8rJvaz2PBxWqm5thpPOD6A7qZQn9fRAMQdNqHhDRejL0o16Ref/XfauhYWzuY OWb1UZYk7SleSrNEFsQ8ODvPr8fXBVKP3SmbVgob+HV4LLULG7RQrpTzxMAWVfRQEAVXuPlTPe21 ceB8Rd4HrgKXcu+NMryhzuMp+ac5nZXc/Xk9iv9Z9nZPZIIVj4rPaVn5gtaZwslW2xuDXhpZlf2+ ufpUqWH7J98iXocwHgei7EcM3QCFKs/v3ucg65A50VpPz0C83Ue3Dtjz/NqjM9ARcTA0DSvtomxs 3+gv3fkRfpiy4k4yes/mdgF1TXivk5H2hyJDsE96iAXViLpGGdrZWFqtMpRZfd0FfJABE2PZuCOk uhqadbJhnKLRJgK0kHKYFmyV3/MXxCtHOJ64HN+O+wX9RNfEjCPh5lf1608GiWI4D0L9ZW8+MFaA OULFTtxzpkkt+l+8FpPQnWRanFhjBQg87GTJz8BMWbd7UetWf0tRDUB2gyok9HePeKSfFAsgpqzP 6XR9I3s95IljMOp8MeB52nT1WgtyNlCjepgcm7qxIviKcNbVM+3LKAorTabtd1hukPGmCUzLPaxi MBsIN7iZ8288nroa5UaOI+dPsP+rmfA5AKCx4ZOw/5dFfuKNmmqERRTfcu+cOlix6d7y03jxekJv hUhhHc9lGs1hk2FZUmS+u+fNVIncRRSc2EqhHbRJ0ydGYPfeOH1MQeHwGKkytEXpOVtNgSmzigTj Q75ZJ0KzkiKnjInRqQCuGaytKxYM5h0CvfdF/w/6XIcLIemQWc9L+SvgeKTOkXsMIaS6tHyfuQZn coYOnCrfQizOj8jFnyqaYHD6LFgUto6wY+FT5tdlQDxetWVJAvSeVEcsDm10qfqQwIgYaUpgYOZd +3TcqCd96YLc8/nfS/pL/M+EfPWxC6RFIwdyJmcxiOk2YU6tDZdz9APUeWos0dRSwpWutd+TJMit nAVqrzcl9LRd61wLUrgUzmA5eAzjaNQCfL/rd/mpdt8jsHZ6Bash98DNj33iXGj+3ddborO/RPpW PpXi/8tbrt9pcSt8KcuD0cB5k5IPBrRHHaYhfk/cB1R+G3wjDMwVbqZ1fOuzMSJNuoyGSTqzr/Mh sS7fKqIp0MqomtnIu7O78Jb7NP9kXbu7AD2x5R0IP34XHuf39/pBGDHT94+Mg/yhT3A9HfmDgFf6 k3B6aFET7de1fz61AGn0ZUmD4GpEH4F3TyG5ibjBkX5GD1K3e7dk5U0rFnO/xdhv5ijW5QMyIrlg QaMJv1dfYo04s8EBwZY+x7n0IWIG0OgMalN8reo9uKpa1bEGmpQWj2jb6Oxy8RdOIir/6WgzAnzS aoQxF2xiTTRgizda2oyjNYexxMGsVNp7IwTtaKxxvqFsktEFi2aFqxhGNmlRTkoOmu+/YcbJVPNz +TWXicHHz3057j/J3hYdUmPrTPHwLahSE6130f4zB9JCBTxcPZPXiOa5RV7KTo9LdThM7i3O6j9H Qu9DnY4vu4ywl4Y1pMoO7hMifDr7FM1o06GjhLua/RxOsCGz3DQPxTfYdgcVFxSDsbL68GAK08Ga vbvkzTIFAhsb2GAHWOYopG/gqgZXHkr9YsUNe/M9797WGXzSy9ueGou030Y5hq3hIWuabtxYpI7v 2coc1FHncL+Bi2RrXxY2GxwPqV33kBGj82P5eNrq1vz8V4J8BcOb8/Qlg2jn8IszqAkN53wvtlSB zfCcNp5GD3dpw4K/8hdwAJUNzdPFd9JU76fqBrL7CtUjPIKFY1Q9FMAfpFCxUVZpZ7TdnnzjbRci h3xOk4b5lsz5CpMYjgHJ/zfL53S9LEcR6fyXUUVnR9GOkS0otfQGTom7dD38Gy0CQwSKKCgm33N9 TlkOHWezo7+i/vvEu5xJmJjwUfGU7J8ze4j3ARAwHpg0VIIkIIKoyHJMlyhDQ4CdBXNkmNtf4gnf 1TO8p/fIUlNfAyYewzZon+U+c+8xqj1FzmzCWBZjywix2mBhAtn9FTvdGCrzNJzNktlWXFFK/3Sf 8iaz3pW2fFxZoaHeMboFL1AiZqslHI/UjAz+zJ7pocRiwaVL2wGDtCMPrSwNFniN64rcWvrnegjh m1ONab2wFnppcN+f9ASh2d3gfjCqQD74Y0F1D6DKv1Z10fERshqaht4gF4OoLCNGOkKh4A9SxEIo CzDKXmn6k7yPV2Zm1EX7B4I3WF13HmVseentjjW/eK495oyGejdhlluZEg2qaHOFGNOr19iEho62 aFx5yvb2ZiSGdKTuqa4Ob/w9QLIrF/PIMhltFWYkz0cpiPlQuRNqi1hdRjA2GsOjVZvrZTxUbgil Zf9Ob4rw/DqExF+07TLXNYANNJHji+eAwPpOt8pae23ipiDPQwuUiG0fzxP2FQKSF3RtRzLCuU8o jfVcNQfPWVeuVWYS1yVeHNoz17NJp9z+D9t9kz6ZSJT5MARZOkqHtSVBPSzBowue5tXtfFgYuKTW cYhI5XE9maTiRRAW7gGPUtmQh6n/8RUH3x2QqahPG+eIIve5/URHhTzkLiLKa8CC6zyGiZ9EGdch e0YGKp5GQhPHfBb8112rFmGDP/rmLTSCtSC3LrRABLVWzS7BGX4HsU0qHJqqH2zqR1m6sI+VvMpt 8SMNiE/pXy3SSoUXX99OUmM7SDcWKPExPP4puwofDQ5/OnJ41GtsZQm5tPjsP7JBoqaZvCkKdsVd 1N7ZgxW5BqTHr7NlD4ada77CYY4tG3zTQs9TJwjf/5kzslJYXyWtfFbcdzpPKPjL+6pd0r1iV/l2 1sLiD7pLowYw69yDyz0kt85yvL7MSqjkqIbNcKP2TR2QNTZE3RDV10VKchU9tK3GZKMXxfTyrQGS eWwVaf1j+s4Zgi+YczvOP0BoFS+YuJUfaFHXl4T/8MoDuoShnssoWFfE2pTwyoKkTNe14GxUYTga lAlWSVESoDpLkt1joDB6TfuGXzQ003/I31S1267VS+5wuxDhFQXv28DHWdBeP4ro29dUTZy45uAj 5p3SZwgYdp6/AkNPIiG64SMxAE7jJz0z4fV4SsXwLzkzpzGrPE+tGaDK2joIpBgzsfYQu5Opc6w8 f/cXKwgl1zgnZ2/4UuTIiWrcZ87YM3Fz8DpJl2F3lMEcmFL0IMTvYkm3eFhKO5UGMUpEJNOYu5wT DPBiHolKdbJZclSrXWA2tIdHtun6wemVw75CT2pKYk8dYU6kaeaThTOtDg4yOg3UIPHaQQ+tQ45D VU6zDOdhnNY1mXpPmE3fI2nSsDQsZLl2PZALxfkCFgnF+OdRbCOsyBwS6eK+jSVyrtu40XiP1h/i 8WiSRdcKzmDSbCfBe9hI8452qu6XzsqEkBCHDUfhb08pFekTL7whQ3QD0/o45bxuiNivobpJgrPb 4mGKOPPLC1VoKe2FisjiFBqjG+6W37FW7A1GkN2Vc8AIuEgaTpMzXt33Z/m+Q031+jU2OnfSVSUR cWHZT/2KAytRm87D96aksMGadzB9V8uG50Y3H578VOq4qCp6Z1UszePNzciIeJZEHDHkABRM5myc U2yMMpbaZNhoAZK0pSbhNhXhv51s8jqj3pjcFxtygSk5zWEqq7e37dMN/1oHh1hVvYAhn+NPqEqv 83NsJ9vA03jjof2taPPt3SagRnzTrzjIH6tW3PPJgwcy0keXAfLRDngXNr/eAK3npZ9xN1Qy+uHL yfMPebh4cqKL5+ge4VkjFICPycHhbjC0nivrafWuHUPzIxla80f9FEAJsRFoJA737H/RiF4J3wD9 cmSH+cUGsA0dLepexsSIWMYhS7iHmganOVh0GvZLtgK/itiVceLi8j+1OC0K8CeN3ka+uySSoGk9 Xk6eRWssziIWySHH57cTWSqNdCDxtYOQZ1jpOy/itIVPTlMA5MAII6SGhl1NuQPb/736raer6K3h OLnxhvq27ig4eipzbtBKRKAYiauTjRTvkz0XkmLOHbU3EXp5OZwsEnYV029z8n43av6MH7ZDEsG/ wmYEaGf0IOf0KRyV/g4o6NMT7iKpaf+OkrXLjHig2TZFw4tV+k16tUWGEfnEfFx+UftY21kKvuuh QIqwrsmCieQ9Ez6+go/NwpKmpZp8FCTPhG8CGT/XsqF9/rGly4tgDOoM+61USZ3wrwvDDJw3sE0y asrdS9urG/dZ5n/pIfdJCvfg5x6rwck6ms0r+kJBPnnUpzMNgXlPUA3Ee7chkuCoCrUSq0oxtAp6 idFpmj7ucl2IjruqEff/wZbTMUMFxLAOUpauDi8HE8Uhg5faYarvx5lxiAeOKzQr3MW8noDW1CRM jNJfys8A346oR/z9sBNFHQmlTLntSSmGiXzDdUd+Sj1wqQdjucxrsqQMCNgQgBm1ySJMsMRyc+38 1oPW76PnzeV6rOWoKh2gyCI7r4+4GuK3lPjodIlaVEoRKmSVeNUjtzp8S/XVmPiItm9YPxwyTF0+ ipogyg8Vd6pbqVfNheui70bZMboSrXxfyh9AcIIZx0C/D2oFdsXys2y6ncliGfgsYgI0GhzvI9XN Dmah8UggXKu/T0cGXqC5OY+DARKkFjEPxwlUP3PqY2cUy14fSWUV3CphJi4PID6935YZzCQ9xaFn om0DlIsftunj4VdtDix2/CGIhoWh3pjFylTq69Gg7Q2xbEcfvwlDEmKd4bwXAoUFvCW430Wnztay Xf/8wxRgY7IIWbkprPw0BfvB/Ktycu0/XWdgqfA181W32ogJpbw9t9RRcC+dU65H5YmoVkyKR0ku kuEJLxaJGX7pmJRIAddzwnT9/VZ01Y8eW3vxiDDqi2KiemKqmBlKXJD2JBAaG30NwOgMKDuSAr1C IR6GOza6tdHXYU11ZnVZwgH6Xiy1pT8gLrqbJnvqEsrduucIQ+s6RxS8WocXKOphPhiiPc134Y6n AAhR8fUJMugNn5NcHTPJC/SKAdOETpMQfdlyuzzw3+UEoAHfpn1k1nDS2I19nE+ZJ+efAjpFkKdx Y5ort99UxIKfJRiIjlu+mleULR/S+G4bF1wf68RGL2LA/dYCRo7srbNle6ch06Q7rUntGGG79FlO 30UOMaCTt2EK47wklL6W6Sa05kIB5hMFZRfaoZCR2EnIuTF2FPA5Ja8L44D5GaOuwquCLa1AUE6T 3uWI57vIrGdlXJPwuwX5Wqd1Z34OZM/58eamY/ffhUeLc1v3aYPJDFVDdTzuEUDhYT3ku0iQRmf+ mx9w8vaPbe7eNzV2Ku65Afzuj3tUnCjW16UzJmny5QKLPb8GREcKGTtrnPOI0HIEwJ8EdNSCWtJk ALBGKLTXry2Ubel4m8Tb3YsvWZulwhfnliR/fN3L02G9T2/sVZ5IKByuZ7Y2AjNVLezD6xGbD/x+ Nqv5kEbFgw1lbP9w71uBccPZpBbbejk9RFF6h71QdTRwY5dfYKFYkviH9mNO5JqeHzlQ9pb+9QeO CUpy3ukvj9hR7q1QN78D8LNVuafZEmIn9SBsSfn2SCj5llF/xJf+AS+ss+ceXFlwxsVmyd73RMtS oy/QuaFsyIPRb/jTzz/1hbk/DEO+jVANrqcZi60jxqZlI8vNI+HAPzh89/dtG5EQJxFqGXJ6D1MN nsSUojqjPYMQMI0bWgqXXPQ+YsRyy+t2cE2RH0C8fpA4q6okTSncPQFjJyo51beFdaWif22paNCh bk44ugi3TECk70T9/3td8OlTTuR2qxKhSTdbve9uJ8HrTbELBYzrQDtI4grJBF0V8NdVtx30oT4G PYvnrouh2Q5u3/A5RFmUFs6Iq7rwrgvdhWW9JKhQSJQ/oQTiND0XJDxLwm01UfGOXpJAC7h6OClS HeaZ+HxEe6XaalP3CW6D07QMSCM5hvKpOK/hCZ1JIGaK9NFmN4sodVihcOqouTAlOQaoHbbeOjLH 51NgBmalRDX70KPVUBtydf0/k6k3VM8b56w0GOk0vshZStrhJtgik0yJSTtZeXv7G1TDrTcCd8/m JTvT9wNeXqpIS2SJHe1NUAGCwWg2oV1AlLZxc/CqDbc9b1kLEAerEhYpqfziFol4i+aqAU08zzyx W+GkHqaXi5jqLysymQ== `protect end_protected
bsd-2-clause
98e08f9ba2fef03fdb6a6e9adcfc0aa8
0.93984
1.855475
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/generic/rule_007_test_input.fixed_upper_with_lower_suffix.vhd
1
1,897
entity FIFO is generic ( G_WIDTH : integer := 256; G_DEPTH : integer := 32; PREFIX_GENERIC_suffix : integer := 20 ); port ( I_PORT1 : in std_logic; I_PORT2 : out std_logic ); end entity FIFO; entity FIFO is generic ( G_WIDTH : integer := 256; G_DEPTH : integer := 32; PREFIX_GENERIC_suffix : integer := 20 ); port ( I_PORT1 : in std_logic; I_PORT2 : out std_logic ); end entity FIFO; entity FIFO is generic ( G_WIDTH : integer := 256; G_DEPTH : integer := 32; PREFIX_GENERIC_suffix : integer := 20 ); port ( I_PORT1 : in std_logic; I_PORT2 : out std_logic ); end entity FIFO; entity FIFO is generic ( G_WIDTH : integer := 256; G_DEPTH : integer := 32; PREFIX_GENERIC_suffix : integer := 20 ); port ( I_PORT1 : in std_logic; I_PORT2 : out std_logic ); end entity FIFO; entity FIFO is generic(G_SIZE : integer := 10; G_WIDTH : integer := 256; G_DEPTH : integer := 32; PREFIX_GENERIC_suffix : integer := 20 ); port ( i_port1 : in std_logic := '0'; i_port2 : out std_logic :='1' ); end entity FIFO; entity FIFO is generic(G_SIZE : integer := 10; G_WIDTH : integer := 256; G_DEPTH : integer := 32; PREFIX_GENERIC_suffix : integer := 20 ); port ( i_port1 : in std_logic := '0'; i_port2 : out std_logic :='1' ); end entity FIFO; entity FIFO is generic(G_SIZE : integer := 10; G_WIDTH : integer := 256; G_DEPTH : integer := 32; PREFIX_GENERIC_suffix : integer := 20 ); port ( i_port1 : in std_logic := '0'; i_port2 : out std_logic :='1' ); end entity FIFO; entity FIFO is generic(G_SIZE : integer := 10; G_WIDTH : integer := 256; G_DEPTH : integer := 32; PREFIX_GENERIC_suffix : integer := 20 ); port ( i_port1 : in std_logic := '0'; i_port2 : out std_logic :='1' ); end entity FIFO;
gpl-3.0
fefd2241d730edaa25ba8c35f748a06a
0.573537
3.120066
false
false
false
false
zcold/fft.vhdl
src/radix_2_fft_control.vhdl
1
11,076
-- The MIT License (MIT) -- Copyright (c) 2014 Shuo Li -- Permission is hereby granted, free of charge, to any person obtaining a copy -- of this software and associated documentation files (the "Software"), to deal -- in the Software without restriction, including without limitation the rights -- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell -- copies of the Software, and to permit persons to whom the Software is -- furnished to do so, subject to the following conditions: -- The above copyright notice and this permission notice shall be included in all -- copies or substantial portions of the Software. -- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR -- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, -- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE -- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER -- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, -- OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE -- SOFTWARE. ---------------------- -- N-point FFT control ---------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library ieee_proposed; use ieee_proposed.fixed_float_types.all; use ieee_proposed.fixed_pkg.all; entity radix_2_fft_control is generic ( -- data width of the real and imaginary part data_width : integer := 16; -- points number_of_points : integer := 64; -- stages -- 2^nos = nop number_of_stages : integer := 6; -- number of bufferfly operators number_of_butterfly_operators : integer := 1 ); port ( -- system clock clk : in std_logic; -- system reset nrst : in std_logic; -- all operations are done done : out std_logic; -- x0 for butterfly operators x0_re : out std_logic_vector(number_of_butterfly_operators * data_width - 1 downto 0); x0_im : out std_logic_vector(number_of_butterfly_operators * data_width - 1 downto 0); -- x1 for butterfly operators x1_re : out std_logic_vector(number_of_butterfly_operators * data_width - 1 downto 0); x1_im : out std_logic_vector(number_of_butterfly_operators * data_width - 1 downto 0); -- twiddle factor for butterfly operators wk_re : out std_logic_vector(number_of_butterfly_operators * data_width - 1 downto 0); wk_im : out std_logic_vector(number_of_butterfly_operators * data_width - 1 downto 0); -- y0 for butterfly operators y0_re : in std_logic_vector(number_of_butterfly_operators * data_width - 1 downto 0); y0_im : in std_logic_vector(number_of_butterfly_operators * data_width - 1 downto 0); -- y1 for butterfly operators y1_re : in std_logic_vector(number_of_butterfly_operators * data_width - 1 downto 0); y1_im : in std_logic_vector(number_of_butterfly_operators * data_width - 1 downto 0); -- initial data data_in_re : in std_logic_vector (number_of_points * data_width - 1 downto 0); data_in_im : in std_logic_vector (number_of_points * data_width - 1 downto 0); -- output data data_out_re : out std_logic_vector (number_of_points * data_width - 1 downto 0); data_out_im : out std_logic_vector (number_of_points * data_width - 1 downto 0); -- twiddle factor wk_in_re : in std_logic_vector (number_of_points/2 * data_width - 1 downto 0); wk_in_im : in std_logic_vector (number_of_points/2 * data_width - 1 downto 0) ); end radix_2_fft_control; -- Function Implementation 0 architecture FIMP_0 of radix_2_fft_control is signal data_re : std_logic_vector (number_of_points * data_width - 1 downto 0); signal data_im : std_logic_vector (number_of_points * data_width - 1 downto 0); signal count_in : std_logic_vector (number_of_butterfly_operators * (number_of_stages - 1) - 1 downto 0); signal address_out_0 : std_logic_vector (number_of_butterfly_operators * number_of_stages - 1 downto 0); signal address_out_1 : std_logic_vector (number_of_butterfly_operators * number_of_stages - 1 downto 0); signal current_stage : integer range 0 to number_of_stages; constant counter_max : integer := 2**(number_of_stages - 1) - 1; signal counter: integer range 0 to counter_max; component agu is generic ( address_width : integer := 8 ); port ( count_in : in std_logic_vector(address_width - 2 downto 0); current_stage : in integer range 0 to address_width - 1; address_out_0 : out std_logic_vector(address_width - 1 downto 0); address_out_1 : out std_logic_vector(address_width - 1 downto 0) ); end component; component array_slicer is generic ( data_width : integer := 16; array_length : integer := 8; address_width : integer := 3 ); port ( array_in : in std_logic_vector(array_length * data_width - 1 downto 0); address_in : in std_logic_vector(address_width - 1 downto 0); data_out : out std_logic_vector(data_width - 1 downto 0) ); end component; signal startup_flag : std_logic; begin -- read out twiddle factors process (clk, nrst) begin if (nrst = '0') then x0_re <= (others => '0'); x0_im <= (others => '0'); x1_re <= (others => '0'); x1_im <= (others => '0'); wk_re <= (others => '0'); wk_im <= (others => '0'); done <= '0'; startup_flag <= '0'; current_stage <= 0; counter <= 0; data_re <= (others => '0'); data_im <= (others => '0'); elsif (clk'event and clk = '1') then if startup_flag = '0' then -- read initial data data_re <= data_in_re; data_im <= data_in_im; startup_flag <= '1'; elsif done = '1' then -- output data data_out_re <= data_re; data_out_im <= data_im; else -- compute -- generate data addresses for i in 0 to number_of_butterfly_operators - 1 loop count_in( (i+1) * (number_of_stages - 1) - 1 downto i * (number_of_stages - 1) ) <= std_logic_vector(to_unsigned(counter + i, number_of_stages - 1)); end loop; -- output twiddle factor for i in 0 to number_of_butterfly_operators - 1 loop wk_re( (i+1) * data_width - 1 downto i * data_width ) <= wk_in_re( (counter + i + 1) * data_width - 1 downto (counter + i) * data_width); wk_im( (i+1) * data_width - 1 downto i * data_width ) <= wk_in_im( (counter + i + 1) * data_width - 1 downto (counter + i) * data_width); end loop; -- record butterfly operation results in the previous clock cycle for i in 0 to number_of_butterfly_operators - 1 loop if (counter /= 0 or current_stage /= 0) then data_re((to_integer(unsigned(address_out_0( (i+1) * number_of_stages - 1 downto i * number_of_stages))) + 1) * data_width - 1 downto to_integer(unsigned(address_out_0( (i+1) * number_of_stages - 1 downto i * number_of_stages))) * data_width ) <= y0_re( (i+1) * data_width - 1 downto i * data_width ); data_im((to_integer(unsigned(address_out_0( (i+1) * number_of_stages - 1 downto i * number_of_stages))) + 1) * data_width - 1 downto to_integer(unsigned(address_out_0( (i+1) * number_of_stages - 1 downto i * number_of_stages))) * data_width ) <= y0_im( (i+1) * data_width - 1 downto i * data_width ); data_re((to_integer(unsigned(address_out_1( (i+1) * number_of_stages - 1 downto i * number_of_stages))) + 1) * data_width - 1 downto to_integer(unsigned(address_out_1( (i+1) * number_of_stages - 1 downto i * number_of_stages))) * data_width ) <= y1_re( (i+1) * data_width - 1 downto i * data_width ); data_im((to_integer(unsigned(address_out_1( (i+1) * number_of_stages - 1 downto i * number_of_stages))) + 1) * data_width - 1 downto to_integer(unsigned(address_out_1( (i+1) * number_of_stages - 1 downto i * number_of_stages))) * data_width ) <= y1_im( (i+1) * data_width - 1 downto i * data_width ); end if; end loop; -- update counter and stage if counter < counter_max + 1 - number_of_butterfly_operators then counter <= counter + number_of_butterfly_operators; if current_stage = number_of_stages - 1 then done <= '1'; end if; else counter <= 0; if (current_stage < number_of_stages) then current_stage <= current_stage + 1; end if; end if; end if; end if; end process; GEN_AGU: for i in 0 to number_of_butterfly_operators - 1 generate agu_0: agu generic map (number_of_stages) port map ( count_in( (i+1) * (number_of_stages - 1) - 1 downto i * (number_of_stages - 1) ), current_stage, address_out_0( (i+1) * number_of_stages - 1 downto i * number_of_stages), address_out_1( (i+1) * number_of_stages - 1 downto i * number_of_stages) ); end generate GEN_AGU; GEN_ARRAY_SLICER: for i in 0 to number_of_butterfly_operators - 1 generate array_slicer_0_re: array_slicer generic map (data_width, number_of_points, number_of_stages) port map ( data_re, address_out_0( (i+1) * number_of_stages - 1 downto i * number_of_stages), x0_re( (i+1) * data_width - 1 downto i * data_width) ); array_slicer_0_im: array_slicer generic map (data_width, number_of_points, number_of_stages) port map ( data_im, address_out_0( (i+1) * number_of_stages - 1 downto i * number_of_stages), x0_im( (i+1) * data_width - 1 downto i * data_width) ); array_slicer_1_re: array_slicer generic map (data_width, number_of_points, number_of_stages) port map ( data_re, address_out_1( (i+1) * number_of_stages - 1 downto i * number_of_stages), x1_re( (i+1) * data_width - 1 downto i * data_width) ); array_slicer_1_im: array_slicer generic map (data_width, number_of_points, number_of_stages) port map ( data_im, address_out_1( (i+1) * number_of_stages - 1 downto i * number_of_stages), x1_im( (i+1) * data_width - 1 downto i * data_width) ); end generate GEN_ARRAY_SLICER; end FIMP_0;
mit
867cc01b3e921adb3c75096de17ff972
0.579
3.610169
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/proc_common_v4_0/hdl/src/vhdl/async_fifo_fg.vhd
2
111,759
------------------------------------------------------------------------------- -- $Id:$ ------------------------------------------------------------------------------- -- async_fifo_fg.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008, 2009, 2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: async_fifo_fg.vhd -- -- Description: -- This HDL file adapts the legacy CoreGen Async FIFO interface to the new -- FIFO Generator async FIFO interface. This wrapper facilitates the "on -- the fly" call of FIFO Generator during design implementation. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- async_fifo_fg.vhd -- | -- |-- fifo_generator_v4_3 -- | -- |-- fifo_generator_v9_3 -- ------------------------------------------------------------------------------- -- Revision History: -- -- -- Author: DET -- Revision: $Revision: 1.5.2.68 $ -- Date: $1/15/2008$ -- -- History: -- DET 1/15/2008 Initial Version -- -- DET 7/30/2008 for EDK 11.1 -- ~~~~~~ -- - Added parameter C_ALLOW_2N_DEPTH to enable use of FIFO Generator -- feature of specifing 2**N depth of FIFO, Legacy CoreGen Async FIFOs -- only allowed (2**N)-1 depth specification. Parameter is defalted to -- the legacy CoreGen method so current users are not impacted. -- - Incorporated calculation and assignment corrections for the Read and -- Write Pointer Widths. -- - Upgraded to FIFO Generator Version 4.3. -- - Corrected a swap of the Rd_Err and the Wr_Err connections on the FIFO -- Generator instance. -- ^^^^^^ -- -- MSH and DET 3/2/2009 For Lava SP2 -- ~~~~~~ -- - Added FIFO Generator version 5.1 for use with Virtex6 and Spartan6 -- devices. -- - IfGen used so that legacy FPGA families still use Fifo Generator -- version 4.3. -- ^^^^^^ -- -- DET 2/9/2010 for EDK 12.1 -- ~~~~~~ -- - Updated the S6/V6 FIFO Generator version from V5.2 to V5.3. -- ^^^^^^ -- -- DET 3/10/2010 For EDK 12.x -- ~~~~~~ -- -- Per CR553307 -- - Updated the S6/V6 FIFO Generator version from V5.3 to 6_1. -- ^^^^^^ -- -- DET 6/18/2010 EDK_MS2 -- ~~~~~~ -- -- Per IR565916 -- - Added derivative part type checks for S6 or V6. -- ^^^^^^ -- -- DET 8/30/2010 EDK_MS4 -- ~~~~~~ -- -- Per CR573867 -- - Updated the S6/V6 FIFO Generator version from V6.1 to 7.2. -- - Added all of the AXI parameters and ports. They are not used -- in this application. -- - Updated method for derivative part support using new family -- aliasing function in family_support.vhd. -- - Incorporated an implementation to deal with unsupported FPGA -- parts passed in on the C_FAMILY parameter. -- ^^^^^^ -- -- DET 10/4/2010 EDK 13.1 -- ~~~~~~ -- - Updated the FIFO Generator version from V7.2 to 7.3. -- ^^^^^^ -- -- DET 12/8/2010 EDK 13.1 -- ~~~~~~ -- -- Per CR586109 -- - Updated the FIFO Generator version from V7.3 to 8.1. -- ^^^^^^ -- -- DET 3/2/2011 EDK 13.2 -- ~~~~~~ -- -- Per CR595473 -- - Update to use fifo_generator_v8_2 -- ^^^^^^ -- -- -- RBODDU 08/18/2011 EDK 13.3 -- ~~~~~~ -- - Update to use fifo_generator_v8_3 -- ^^^^^^ -- -- RBODDU 06/07/2012 EDK 14.2 -- ~~~~~~ -- - Update to use fifo_generator_v9_1 -- ^^^^^^ -- RBODDU 06/11/2012 EDK 14.4 -- ~~~~~~ -- - Update to use fifo_generator_v9_2 -- ^^^^^^ -- RBODDU 07/12/2012 EDK 14.5 -- ~~~~~~ -- - Update to use fifo_generator_v9_3 -- ^^^^^^ -- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; --library fifo_generator_v9_3; --use fifo_generator_v9_3.fifo_generator_v9_3_xst_comp.all; library proc_common_v4_0; use proc_common_v4_0.proc_common_pkg.all; use proc_common_v4_0.coregen_comp_defs.all; use proc_common_v4_0.family_support.all; -- synopsys translate_off --library XilinxCoreLib; --use XilinxCoreLib.all; -- synopsys translate_on ------------------------------------------------------------------------------- entity async_fifo_fg is generic ( C_ALLOW_2N_DEPTH : Integer := 0; -- New paramter to leverage FIFO Gen 2**N depth C_FAMILY : String := "virtex5"; -- new for FIFO Gen C_DATA_WIDTH : integer := 16; C_ENABLE_RLOCS : integer := 0 ; -- not supported in FG C_FIFO_DEPTH : integer := 15; C_HAS_ALMOST_EMPTY : integer := 1 ; C_HAS_ALMOST_FULL : integer := 1 ; C_HAS_RD_ACK : integer := 0 ; C_HAS_RD_COUNT : integer := 1 ; C_HAS_RD_ERR : integer := 0 ; C_HAS_WR_ACK : integer := 0 ; C_HAS_WR_COUNT : integer := 1 ; C_HAS_WR_ERR : integer := 0 ; C_RD_ACK_LOW : integer := 0 ; C_RD_COUNT_WIDTH : integer := 3 ; C_RD_ERR_LOW : integer := 0 ; C_USE_EMBEDDED_REG : integer := 0 ; -- Valid only for BRAM based FIFO, otherwise needs to be set to 0 C_PRELOAD_REGS : integer := 0 ; C_PRELOAD_LATENCY : integer := 1 ; -- needs to be set 2 when C_USE_EMBEDDED_REG = 1 C_USE_BLOCKMEM : integer := 1 ; -- 0 = distributed RAM, 1 = BRAM C_WR_ACK_LOW : integer := 0 ; C_WR_COUNT_WIDTH : integer := 3 ; C_WR_ERR_LOW : integer := 0 ; C_SYNCHRONIZER_STAGE : integer := 2 -- valid values are 0 to 8 ); port ( Din : in std_logic_vector(C_DATA_WIDTH-1 downto 0) := (others => '0'); Wr_en : in std_logic := '1'; Wr_clk : in std_logic := '1'; Rd_en : in std_logic := '0'; Rd_clk : in std_logic := '1'; Ainit : in std_logic := '1'; Dout : out std_logic_vector(C_DATA_WIDTH-1 downto 0); Full : out std_logic; Empty : out std_logic; Almost_full : out std_logic; Almost_empty : out std_logic; Wr_count : out std_logic_vector(C_WR_COUNT_WIDTH-1 downto 0); Rd_count : out std_logic_vector(C_RD_COUNT_WIDTH-1 downto 0); Rd_ack : out std_logic; Rd_err : out std_logic; Wr_ack : out std_logic; Wr_err : out std_logic ); end entity async_fifo_fg; architecture implementation of async_fifo_fg is -- Function delarations ------------------------------------------------------------------- -- Function -- -- Function Name: GetMemType -- -- Function Description: -- Generates the required integer value for the FG instance assignment -- of the C_MEMORY_TYPE parameter. Derived from -- the input memory type parameter C_USE_BLOCKMEM. -- -- FIFO Generator values -- 0 = Any -- 1 = BRAM -- 2 = Distributed Memory -- 3 = Shift Registers -- ------------------------------------------------------------------- function GetMemType (inputmemtype : integer) return integer is Variable memtype : Integer := 0; begin If (inputmemtype = 0) Then -- distributed Memory memtype := 2; else memtype := 1; -- BRAM End if; return(memtype); end function GetMemType; -- Constant Declarations ---------------------------------------------- Constant FAMILY_TO_USE : string := get_root_family(C_FAMILY); -- function from family_support.vhd Constant FAMILY_NOT_SUPPORTED : boolean := (equalIgnoringCase(FAMILY_TO_USE, "nofamily")); Constant FAMILY_IS_SUPPORTED : boolean := not(FAMILY_NOT_SUPPORTED); Constant FAM_IS_S3_V4_V5 : boolean := (equalIgnoringCase(FAMILY_TO_USE, "spartan3" ) or equalIgnoringCase(FAMILY_TO_USE, "virtex4" ) or equalIgnoringCase(FAMILY_TO_USE, "virtex5")) and FAMILY_IS_SUPPORTED; Constant FAM_IS_NOT_S3_V4_V5 : boolean := not(FAM_IS_S3_V4_V5) and FAMILY_IS_SUPPORTED; -- Get the integer value for a Block memory type fifo generator call Constant FG_MEM_TYPE : integer := GetMemType(C_USE_BLOCKMEM); -- Set the required integer value for the FG instance assignment -- of the C_IMPLEMENTATION_TYPE parameter. Derived from -- the input memory type parameter C_MEMORY_TYPE. -- -- 0 = Common Clock BRAM / Distributed RAM (Synchronous FIFO) -- 1 = Common Clock Shift Register (Synchronous FIFO) -- 2 = Independent Clock BRAM/Distributed RAM (Asynchronous FIFO) -- 3 = Independent/Common Clock V4 Built In Memory -- not used in legacy fifo calls -- 5 = Independent/Common Clock V5 Built in Memory -- not used in legacy fifo calls -- Constant FG_IMP_TYPE : integer := 2; begin --(architecture implementation) ------------------------------------------------------------ -- If Generate -- -- Label: GEN_NO_FAMILY -- -- If Generate Description: -- This IfGen is implemented if an unsupported FPGA family -- is passed in on the C_FAMILY parameter, -- ------------------------------------------------------------ GEN_NO_FAMILY : if (FAMILY_NOT_SUPPORTED) generate begin -- synthesis translate_off ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_ASSERTION -- -- Process Description: -- Generate a simulation error assertion for an unsupported -- FPGA family string passed in on the C_FAMILY parameter. -- ------------------------------------------------------------- DO_ASSERTION : process begin -- Wait until second rising wr clock edge to issue assertion Wait until Wr_clk = '1'; wait until Wr_clk = '0'; Wait until Wr_clk = '1'; -- Report an error in simulation environment assert FALSE report "********* UNSUPPORTED FPGA DEVICE! Check C_FAMILY parameter assignment!" severity ERROR; Wait; -- halt this process end process DO_ASSERTION; -- synthesis translate_on -- Tie outputs to logic low or logic high as required Dout <= (others => '0'); -- : out std_logic_vector(C_DATA_WIDTH-1 downto 0); Full <= '0' ; -- : out std_logic; Empty <= '1' ; -- : out std_logic; Almost_full <= '0' ; -- : out std_logic; Almost_empty <= '0' ; -- : out std_logic; Wr_count <= (others => '0'); -- : out std_logic_vector(C_WR_COUNT_WIDTH-1 downto 0); Rd_count <= (others => '0'); -- : out std_logic_vector(C_RD_COUNT_WIDTH-1 downto 0); Rd_ack <= '0' ; -- : out std_logic; Rd_err <= '1' ; -- : out std_logic; Wr_ack <= '0' ; -- : out std_logic; Wr_err <= '1' ; -- : out std_logic end generate GEN_NO_FAMILY; ------------------------------------------------------------ -- If Generate -- -- Label: LEGACY_COREGEN_DEPTH -- -- If Generate Description: -- This IfGen implements the FIFO Generator call where -- the User specified depth and count widths follow the -- legacy CoreGen Async FIFO requirements of depth being -- (2**N)-1 and the count widths set to reflect the (2**N)-1 -- FIFO depth. -- -- Special Note: -- The legacy CoreGen Async FIFOs would only support fifo depths of (2**n)-1 -- and the Dcount widths were 1 less than if a full 2**n depth were supported. -- Thus legacy IP will be calling this wrapper with the (2**n)-1 FIFo depths -- specified and the Dcount widths smaller by 1 bit. -- This wrapper file has to account for this since the new FIFO Generator -- does not follow this convention for Async FIFOs and expects depths to -- be specified in full 2**n values. -- ------------------------------------------------------------ LEGACY_COREGEN_DEPTH : if (C_ALLOW_2N_DEPTH = 0 and FAMILY_IS_SUPPORTED) generate -- IfGen Constant Declarations ------------- -- See Special Note above for reasoning behind -- this adjustment of the requested FIFO depth and data count -- widths. Constant ADJUSTED_AFIFO_DEPTH : integer := C_FIFO_DEPTH+1; Constant ADJUSTED_RDCNT_WIDTH : integer := C_RD_COUNT_WIDTH; Constant ADJUSTED_WRCNT_WIDTH : integer := C_WR_COUNT_WIDTH; -- The programable thresholds are not used so this is housekeeping. Constant PROG_FULL_THRESH_ASSERT_VAL : integer := ADJUSTED_AFIFO_DEPTH-3; Constant PROG_FULL_THRESH_NEGATE_VAL : integer := ADJUSTED_AFIFO_DEPTH-4; -- The parameters C_RD_PNTR_WIDTH and C_WR_PNTR_WIDTH for Fifo_generator_v4_3 core -- must be in the range of 4 thru 22. The setting is dependant upon the -- log2 function of the MIN and MAX FIFO DEPTH settings in coregen. Since Async FIFOs -- previous to development of fifo generator do not support separate read and -- write fifo widths (and depths dependant upon the widths) both of the pointer value -- calculations below will use the parameter ADJUSTED_AFIFO_DEPTH. The valid range for -- the ADJUSTED_AFIFO_DEPTH is 16 to 65536 (the async FIFO range is 15 to 65,535...it -- must be equal to (2^N-1;, N = 4 to 16) per DS232 November 11, 2004 - -- Asynchronous FIFO v6.1) Constant ADJUSTED_RD_PNTR_WIDTH : integer range 4 to 22 := log2(ADJUSTED_AFIFO_DEPTH); Constant ADJUSTED_WR_PNTR_WIDTH : integer range 4 to 22 := log2(ADJUSTED_AFIFO_DEPTH); -- Constant zeros for programmable threshold inputs Constant PROG_RDTHRESH_ZEROS : std_logic_vector(ADJUSTED_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); Constant PROG_WRTHRESH_ZEROS : std_logic_vector(ADJUSTED_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- IfGen Signal Declarations -------------- Signal sig_full_fifo_rdcnt : std_logic_vector(ADJUSTED_RDCNT_WIDTH-1 DOWNTO 0); Signal sig_full_fifo_wrcnt : std_logic_vector(ADJUSTED_WRCNT_WIDTH-1 DOWNTO 0); begin -- Rip the LS bits of the write data count and assign to Write Count -- output port Wr_count <= sig_full_fifo_wrcnt(C_WR_COUNT_WIDTH-1 downto 0); -- Rip the LS bits of the read data count and assign to Read Count -- output port Rd_count <= sig_full_fifo_rdcnt(C_RD_COUNT_WIDTH-1 downto 0); ------------------------------------------------------------ -- If Generate -- -- Label: V6_S6_AND_LATER -- -- If Generate Description: -- This IFGen Implements the FIFO using fifo_generator_v9_3 -- for FPGA Families that are Virtex-6, Spartan-6, and later. -- ------------------------------------------------------------ V6_S6_AND_LATER : if (FAM_IS_NOT_S3_V4_V5) generate begin ------------------------------------------------------------------------------- -- Instantiate the generalized FIFO Generator instance -- -- NOTE: -- DO NOT CHANGE TO DIRECT ENTITY INSTANTIATION!!! -- This is a Coregen FIFO Generator Call module for -- legacy BRAM implementations of an Async FIFo. -- ------------------------------------------------------------------------------- I_ASYNC_FIFO_BRAM : fifo_generator_v11_0 generic map( C_COMMON_CLOCK => 0, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => ADJUSTED_WRCNT_WIDTH, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => C_DATA_WIDTH, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => C_DATA_WIDTH, C_ENABLE_RLOCS => C_ENABLE_RLOCS, C_FAMILY => FAMILY_TO_USE, C_FULL_FLAGS_RST_VAL => 0, C_HAS_ALMOST_EMPTY => C_HAS_ALMOST_EMPTY, C_HAS_ALMOST_FULL => C_HAS_ALMOST_FULL, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 0, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => C_HAS_WR_ERR, C_HAS_RD_DATA_COUNT => C_HAS_RD_COUNT, C_HAS_RD_RST => 0, C_HAS_RST => 1, C_HAS_SRST => 0, C_HAS_UNDERFLOW => C_HAS_RD_ERR, C_HAS_VALID => C_HAS_RD_ACK, C_HAS_WR_ACK => C_HAS_WR_ACK, C_HAS_WR_DATA_COUNT => C_HAS_WR_COUNT, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => FG_IMP_TYPE, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => FG_MEM_TYPE, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => C_WR_ERR_LOW, C_PRELOAD_LATENCY => C_PRELOAD_LATENCY, ----1, Fixed CR#658129 C_PRELOAD_REGS => C_PRELOAD_REGS, ----0, Fixed CR#658129 C_PRIM_FIFO_TYPE => "512x36", -- only used for V5 Hard FIFO C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => PROG_FULL_THRESH_ASSERT_VAL, C_PROG_FULL_THRESH_NEGATE_VAL => PROG_FULL_THRESH_NEGATE_VAL, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => ADJUSTED_RDCNT_WIDTH, C_RD_DEPTH => ADJUSTED_AFIFO_DEPTH, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => ADJUSTED_RD_PNTR_WIDTH, C_UNDERFLOW_LOW => C_RD_ERR_LOW, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => C_USE_EMBEDDED_REG, ----0, Fixed CR#658129 C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => 0, C_WR_ACK_LOW => C_WR_ACK_LOW, C_WR_DATA_COUNT_WIDTH => ADJUSTED_WRCNT_WIDTH, C_WR_DEPTH => ADJUSTED_AFIFO_DEPTH, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => ADJUSTED_WR_PNTR_WIDTH, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 1, C_ERROR_INJECTION_TYPE => 0, C_SYNCHRONIZER_STAGE => C_SYNCHRONIZER_STAGE, -- AXI Interface related parameters start here C_INTERFACE_TYPE => 0, -- : integer := 0; -- 0: Native Interface; 1: AXI Interface C_AXI_TYPE => 0, -- : integer := 0; -- 0: AXI Stream; 1: AXI Full; 2: AXI Lite C_HAS_AXI_WR_CHANNEL => 0, -- : integer := 0; C_HAS_AXI_RD_CHANNEL => 0, -- : integer := 0; C_HAS_SLAVE_CE => 0, -- : integer := 0; C_HAS_MASTER_CE => 0, -- : integer := 0; C_ADD_NGC_CONSTRAINT => 0, -- : integer := 0; C_USE_COMMON_OVERFLOW => 0, -- : integer := 0; C_USE_COMMON_UNDERFLOW => 0, -- : integer := 0; C_USE_DEFAULT_SETTINGS => 0, -- : integer := 0; -- AXI Full/Lite C_AXI_ID_WIDTH => 4 , -- : integer := 0; C_AXI_ADDR_WIDTH => 32, -- : integer := 0; C_AXI_DATA_WIDTH => 64, -- : integer := 0; C_AXI_LEN_WIDTH => 8, -- : integer := 8; C_AXI_LOCK_WIDTH => 2, -- : integer := 2; C_HAS_AXI_ID => 0, -- : integer := 0; C_HAS_AXI_AWUSER => 0 , -- : integer := 0; C_HAS_AXI_WUSER => 0 , -- : integer := 0; C_HAS_AXI_BUSER => 0 , -- : integer := 0; C_HAS_AXI_ARUSER => 0 , -- : integer := 0; C_HAS_AXI_RUSER => 0 , -- : integer := 0; C_AXI_ARUSER_WIDTH => 1 , -- : integer := 0; C_AXI_AWUSER_WIDTH => 1 , -- : integer := 0; C_AXI_WUSER_WIDTH => 1 , -- : integer := 0; C_AXI_BUSER_WIDTH => 1 , -- : integer := 0; C_AXI_RUSER_WIDTH => 1 , -- : integer := 0; -- AXI Streaming C_HAS_AXIS_TDATA => 0 , -- : integer := 0; C_HAS_AXIS_TID => 0 , -- : integer := 0; C_HAS_AXIS_TDEST => 0 , -- : integer := 0; C_HAS_AXIS_TUSER => 0 , -- : integer := 0; C_HAS_AXIS_TREADY => 1 , -- : integer := 0; C_HAS_AXIS_TLAST => 0 , -- : integer := 0; C_HAS_AXIS_TSTRB => 0 , -- : integer := 0; C_HAS_AXIS_TKEEP => 0 , -- : integer := 0; C_AXIS_TDATA_WIDTH => 64, -- : integer := 1; C_AXIS_TID_WIDTH => 8 , -- : integer := 1; C_AXIS_TDEST_WIDTH => 4 , -- : integer := 1; C_AXIS_TUSER_WIDTH => 4 , -- : integer := 1; C_AXIS_TSTRB_WIDTH => 4 , -- : integer := 1; C_AXIS_TKEEP_WIDTH => 4 , -- : integer := 1; -- AXI Channel Type -- WACH --> Write Address Channel -- WDCH --> Write Data Channel -- WRCH --> Write Response Channel -- RACH --> Read Address Channel -- RDCH --> Read Data Channel -- AXIS --> AXI Streaming C_WACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logic C_WDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_WRCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_AXIS_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie -- AXI Implementation Type -- 1 = Common Clock Block RAM FIFO -- 2 = Common Clock Distributed RAM FIFO -- 11 = Independent Clock Block RAM FIFO -- 12 = Independent Clock Distributed RAM FIFO C_IMPLEMENTATION_TYPE_WACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WRCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_AXIS => 1, -- : integer := 0; -- AXI FIFO Type -- 0 = Data FIFO -- 1 = Packet FIFO -- 2 = Low Latency Data FIFO C_APPLICATION_TYPE_WACH => 0, -- : integer := 0; C_APPLICATION_TYPE_WDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_WRCH => 0, -- : integer := 0; C_APPLICATION_TYPE_RACH => 0, -- : integer := 0; C_APPLICATION_TYPE_RDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_AXIS => 0, -- : integer := 0; -- Enable ECC -- 0 = ECC disabled -- 1 = ECC enabled C_USE_ECC_WACH => 0, -- : integer := 0; C_USE_ECC_WDCH => 0, -- : integer := 0; C_USE_ECC_WRCH => 0, -- : integer := 0; C_USE_ECC_RACH => 0, -- : integer := 0; C_USE_ECC_RDCH => 0, -- : integer := 0; C_USE_ECC_AXIS => 0, -- : integer := 0; -- ECC Error Injection Type -- 0 = No Error Injection -- 1 = Single Bit Error Injection -- 2 = Double Bit Error Injection -- 3 = Single Bit and Double Bit Error Injection C_ERROR_INJECTION_TYPE_WACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WRCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_AXIS => 0, -- : integer := 0; -- Input Data Width -- Accumulation of all AXI input signal's width C_DIN_WIDTH_WACH => 32, -- : integer := 1; C_DIN_WIDTH_WDCH => 64, -- : integer := 1; C_DIN_WIDTH_WRCH => 2 , -- : integer := 1; C_DIN_WIDTH_RACH => 32, -- : integer := 1; C_DIN_WIDTH_RDCH => 64, -- : integer := 1; C_DIN_WIDTH_AXIS => 1 , -- : integer := 1; C_WR_DEPTH_WACH => 16 , -- : integer := 16; C_WR_DEPTH_WDCH => 1024, -- : integer := 16; C_WR_DEPTH_WRCH => 16 , -- : integer := 16; C_WR_DEPTH_RACH => 16 , -- : integer := 16; C_WR_DEPTH_RDCH => 1024, -- : integer := 16; C_WR_DEPTH_AXIS => 1024, -- : integer := 16; C_WR_PNTR_WIDTH_WACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_WDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_WRCH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_AXIS => 10, -- : integer := 4; C_HAS_DATA_COUNTS_WACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WRCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_AXIS => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WRCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_AXIS => 0, -- : integer := 0; C_PROG_FULL_TYPE_WACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, -- : integer := 0; C_PROG_EMPTY_TYPE_WACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, -- : integer := 0; C_REG_SLICE_MODE_WACH => 0, -- : integer := 0; C_REG_SLICE_MODE_WDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_WRCH => 0, -- : integer := 0; C_REG_SLICE_MODE_RACH => 0, -- : integer := 0; C_REG_SLICE_MODE_RDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_AXIS => 0 -- : integer := 0 ) port map ( BACKUP => '0', BACKUP_MARKER => '0', CLK => '0', RST => Ainit, SRST => '0', WR_CLK => Wr_clk, WR_RST => Ainit, RD_CLK => Rd_clk, RD_RST => Ainit, DIN => Din, WR_EN => Wr_en, RD_EN => Rd_en, PROG_EMPTY_THRESH => PROG_RDTHRESH_ZEROS, PROG_EMPTY_THRESH_ASSERT => PROG_RDTHRESH_ZEROS, PROG_EMPTY_THRESH_NEGATE => PROG_RDTHRESH_ZEROS, PROG_FULL_THRESH => PROG_WRTHRESH_ZEROS, PROG_FULL_THRESH_ASSERT => PROG_WRTHRESH_ZEROS, PROG_FULL_THRESH_NEGATE => PROG_WRTHRESH_ZEROS, INT_CLK => '0', INJECTDBITERR => '0', -- new FG 5.1/5.2 INJECTSBITERR => '0', -- new FG 5.1/5.2 DOUT => Dout, FULL => Full, ALMOST_FULL => Almost_full, WR_ACK => Wr_ack, OVERFLOW => Wr_err, EMPTY => Empty, ALMOST_EMPTY => Almost_empty, VALID => Rd_ack, UNDERFLOW => Rd_err, DATA_COUNT => open, RD_DATA_COUNT => sig_full_fifo_rdcnt, WR_DATA_COUNT => sig_full_fifo_wrcnt, PROG_FULL => open, PROG_EMPTY => open, SBITERR => open, DBITERR => open, -- AXI Global Signal M_ACLK => '0', -- : IN std_logic := '0'; S_ACLK => '0', -- : IN std_logic := '0'; S_ARESETN => '0', -- : IN std_logic := '0'; M_ACLK_EN => '0', -- : IN std_logic := '0'; S_ACLK_EN => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Slave Write Channel (write side) S_AXI_AWID => (others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWADDR => (others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWLEN => (others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWSIZE => (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWBURST => (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWLOCK => (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWCACHE => (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWPROT => (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWQOS => (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWREGION => (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWUSER => (others => '0'), -- : IN std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWVALID => '0', -- : IN std_logic := '0'; S_AXI_AWREADY => open, -- : OUT std_logic; S_AXI_WID => (others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_WDATA => (others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_WSTRB => (others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_WLAST => '0', -- : IN std_logic := '0'; S_AXI_WUSER => (others => '0'), -- : IN std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_WVALID => '0', -- : IN std_logic := '0'; S_AXI_WREADY => open, -- : OUT std_logic; S_AXI_BID => open, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_BRESP => open, -- : OUT std_logic_vector(2-1 DOWNTO 0); S_AXI_BUSER => open, -- : OUT std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0); S_AXI_BVALID => open, -- : OUT std_logic; S_AXI_BREADY => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Write Channel (Read side) M_AXI_AWID => open, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); M_AXI_AWADDR => open, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); M_AXI_AWLEN => open, -- : OUT std_logic_vector(8-1 DOWNTO 0); M_AXI_AWSIZE => open, -- : OUT std_logic_vector(3-1 DOWNTO 0); M_AXI_AWBURST => open, -- : OUT std_logic_vector(2-1 DOWNTO 0); M_AXI_AWLOCK => open, -- : OUT std_logic_vector(2-1 DOWNTO 0); M_AXI_AWCACHE => open, -- : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_AWPROT => open, -- : OUT std_logic_vector(3-1 DOWNTO 0); M_AXI_AWQOS => open, -- : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_AWREGION => open, -- : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_AWUSER => open, -- : OUT std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0); M_AXI_AWVALID => open, -- : OUT std_logic; M_AXI_AWREADY => '0', -- : IN std_logic := '0'; M_AXI_WID => open, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); M_AXI_WDATA => open, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); M_AXI_WSTRB => open, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0); M_AXI_WLAST => open, -- : OUT std_logic; M_AXI_WUSER => open, -- : OUT std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0); M_AXI_WVALID => open, -- : OUT std_logic; M_AXI_WREADY => '0', -- : IN std_logic := '0'; M_AXI_BID => (others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); M_AXI_BRESP => (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); M_AXI_BUSER => (others => '0'), -- : IN std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); M_AXI_BVALID => '0', -- : IN std_logic := '0'; M_AXI_BREADY => open, -- : OUT std_logic; -- AXI Full/Lite Slave Read Channel (Write side) S_AXI_ARID => (others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARADDR => (others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARLEN => (others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARSIZE => (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARBURST => (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARLOCK => (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARCACHE => (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARPROT => (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARQOS => (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARREGION => (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARUSER => (others => '0'), -- : IN std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARVALID => '0', -- : IN std_logic := '0'; S_AXI_ARREADY => open, -- : OUT std_logic; S_AXI_RID => open, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); S_AXI_RDATA => open, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); S_AXI_RRESP => open, -- : OUT std_logic_vector(2-1 DOWNTO 0); S_AXI_RLAST => open, -- : OUT std_logic; S_AXI_RUSER => open, -- : OUT std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0); S_AXI_RVALID => open, -- : OUT std_logic; S_AXI_RREADY => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Read Channel (Read side) M_AXI_ARID => open, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); M_AXI_ARADDR => open, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); M_AXI_ARLEN => open, -- : OUT std_logic_vector(8-1 DOWNTO 0); M_AXI_ARSIZE => open, -- : OUT std_logic_vector(3-1 DOWNTO 0); M_AXI_ARBURST => open, -- : OUT std_logic_vector(2-1 DOWNTO 0); M_AXI_ARLOCK => open, -- : OUT std_logic_vector(2-1 DOWNTO 0); M_AXI_ARCACHE => open, -- : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_ARPROT => open, -- : OUT std_logic_vector(3-1 DOWNTO 0); M_AXI_ARQOS => open, -- : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_ARREGION => open, -- : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_ARUSER => open, -- : OUT std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0); M_AXI_ARVALID => open, -- : OUT std_logic; M_AXI_ARREADY => '0', -- : IN std_logic := '0'; M_AXI_RID => (others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); M_AXI_RDATA => (others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); M_AXI_RRESP => (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); M_AXI_RLAST => '0', -- : IN std_logic := '0'; M_AXI_RUSER => (others => '0'), -- : IN std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); M_AXI_RVALID => '0', -- : IN std_logic := '0'; M_AXI_RREADY => open, -- : OUT std_logic; -- AXI Streaming Slave Signals (Write side) S_AXIS_TVALID => '0', -- : IN std_logic := '0'; S_AXIS_TREADY => open, -- : OUT std_logic; S_AXIS_TDATA => (others => '0'), -- : IN std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXIS_TSTRB => (others => '0'), -- : IN std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXIS_TKEEP => (others => '0'), -- : IN std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXIS_TLAST => '0', -- : IN std_logic := '0'; S_AXIS_TID => (others => '0'), -- : IN std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXIS_TDEST => (others => '0'), -- : IN std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXIS_TUSER => (others => '0'), -- : IN std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- AXI Streaming Master Signals (Read side) M_AXIS_TVALID => open, -- : OUT std_logic; M_AXIS_TREADY => '0', -- : IN std_logic := '0'; M_AXIS_TDATA => open, -- : OUT std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0); M_AXIS_TSTRB => open, -- : OUT std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0); M_AXIS_TKEEP => open, -- : OUT std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0); M_AXIS_TLAST => open, -- : OUT std_logic; M_AXIS_TID => open, -- : OUT std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0); M_AXIS_TDEST => open, -- : OUT std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0); M_AXIS_TUSER => open, -- : OUT std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0); -- AXI Full/Lite Write Address Channel Signals AXI_AW_INJECTSBITERR => '0', -- : IN std_logic := '0'; AXI_AW_INJECTDBITERR => '0', -- : IN std_logic := '0'; AXI_AW_PROG_FULL_THRESH => (others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); AXI_AW_PROG_EMPTY_THRESH => (others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); AXI_AW_DATA_COUNT => open, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); AXI_AW_WR_DATA_COUNT => open, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); AXI_AW_RD_DATA_COUNT => open, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); AXI_AW_SBITERR => open, -- : OUT std_logic; AXI_AW_DBITERR => open, -- : OUT std_logic; AXI_AW_OVERFLOW => open, -- : OUT std_logic; AXI_AW_UNDERFLOW => open, -- : OUT std_logic; AXI_AW_PROG_FULL => open, -- : OUT STD_LOGIC := '0'; AXI_AW_PROG_EMPTY => open, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Data Channel Signals AXI_W_INJECTSBITERR => '0', -- : IN std_logic := '0'; AXI_W_INJECTDBITERR => '0', -- : IN std_logic := '0'; AXI_W_PROG_FULL_THRESH => (others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); AXI_W_PROG_EMPTY_THRESH => (others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); AXI_W_DATA_COUNT => open, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); AXI_W_WR_DATA_COUNT => open, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); AXI_W_RD_DATA_COUNT => open, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); AXI_W_SBITERR => open, -- : OUT std_logic; AXI_W_DBITERR => open, -- : OUT std_logic; AXI_W_OVERFLOW => open, -- : OUT std_logic; AXI_W_UNDERFLOW => open, -- : OUT std_logic; AXI_W_PROG_FULL => open, -- : OUT STD_LOGIC := '0'; AXI_W_PROG_EMPTY => open, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Response Channel Signals AXI_B_INJECTSBITERR => '0', -- : IN std_logic := '0'; AXI_B_INJECTDBITERR => '0', -- : IN std_logic := '0'; AXI_B_PROG_FULL_THRESH => (others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); AXI_B_PROG_EMPTY_THRESH => (others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); AXI_B_DATA_COUNT => open, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); AXI_B_WR_DATA_COUNT => open, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); AXI_B_RD_DATA_COUNT => open, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); AXI_B_SBITERR => open, -- : OUT std_logic; AXI_B_DBITERR => open, -- : OUT std_logic; AXI_B_OVERFLOW => open, -- : OUT std_logic; AXI_B_UNDERFLOW => open, -- : OUT std_logic; AXI_B_PROG_FULL => open, -- : OUT STD_LOGIC := '0'; AXI_B_PROG_EMPTY => open, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Address Channel Signals AXI_AR_INJECTSBITERR => '0', -- : IN std_logic := '0'; AXI_AR_INJECTDBITERR => '0', -- : IN std_logic := '0'; AXI_AR_PROG_FULL_THRESH => (others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); AXI_AR_PROG_EMPTY_THRESH => (others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); AXI_AR_DATA_COUNT => open, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); AXI_AR_WR_DATA_COUNT => open, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); AXI_AR_RD_DATA_COUNT => open, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); AXI_AR_SBITERR => open, -- : OUT std_logic; AXI_AR_DBITERR => open, -- : OUT std_logic; AXI_AR_OVERFLOW => open, -- : OUT std_logic; AXI_AR_UNDERFLOW => open, -- : OUT std_logic; AXI_AR_PROG_FULL => open, -- : OUT STD_LOGIC := '0'; AXI_AR_PROG_EMPTY => open, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Data Channel Signals AXI_R_INJECTSBITERR => '0', -- : IN std_logic := '0'; AXI_R_INJECTDBITERR => '0', -- : IN std_logic := '0'; AXI_R_PROG_FULL_THRESH => (others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); AXI_R_PROG_EMPTY_THRESH => (others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); AXI_R_DATA_COUNT => open, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); AXI_R_WR_DATA_COUNT => open, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); AXI_R_RD_DATA_COUNT => open, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); AXI_R_SBITERR => open, -- : OUT std_logic; AXI_R_DBITERR => open, -- : OUT std_logic; AXI_R_OVERFLOW => open, -- : OUT std_logic; AXI_R_UNDERFLOW => open, -- : OUT std_logic; AXI_R_PROG_FULL => open, -- : OUT STD_LOGIC := '0'; AXI_R_PROG_EMPTY => open, -- : OUT STD_LOGIC := '1'; -- AXI Streaming FIFO Related Signals AXIS_INJECTSBITERR => '0', -- : IN std_logic := '0'; AXIS_INJECTDBITERR => '0', -- : IN std_logic := '0'; AXIS_PROG_FULL_THRESH => (others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); AXIS_PROG_EMPTY_THRESH => (others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); AXIS_DATA_COUNT => open, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); AXIS_WR_DATA_COUNT => open, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); AXIS_RD_DATA_COUNT => open, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); AXIS_SBITERR => open, -- : OUT std_logic; AXIS_DBITERR => open, -- : OUT std_logic; AXIS_OVERFLOW => open, -- : OUT std_logic; AXIS_UNDERFLOW => open, -- : OUT std_logic AXIS_PROG_FULL => open, -- : OUT STD_LOGIC := '0'; AXIS_PROG_EMPTY => open -- : OUT STD_LOGIC := '1'; ); end generate V6_S6_AND_LATER; end generate LEGACY_COREGEN_DEPTH; ------------------------------------------------------------ -- If Generate -- -- Label: USE_2N_DEPTH -- -- If Generate Description: -- This IfGen implements the FIFO Generator call where -- the User may specify depth and count widths of 2**N -- for Async FIFOs The associated count widths are set to -- reflect the 2**N FIFO depth. -- ------------------------------------------------------------ USE_2N_DEPTH : if (C_ALLOW_2N_DEPTH = 1 and FAMILY_IS_SUPPORTED) generate -- The programable thresholds are not used so this is housekeeping. Constant PROG_FULL_THRESH_ASSERT_VAL : integer := C_FIFO_DEPTH-3; Constant PROG_FULL_THRESH_NEGATE_VAL : integer := C_FIFO_DEPTH-4; Constant RD_PNTR_WIDTH : integer range 4 to 22 := log2(C_FIFO_DEPTH); Constant WR_PNTR_WIDTH : integer range 4 to 22 := log2(C_FIFO_DEPTH); -- Constant zeros for programmable threshold inputs Constant PROG_RDTHRESH_ZEROS : std_logic_vector(RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); Constant PROG_WRTHRESH_ZEROS : std_logic_vector(WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- Signals Declarations Signal sig_full_fifo_rdcnt : std_logic_vector(C_RD_COUNT_WIDTH-1 DOWNTO 0); Signal sig_full_fifo_wrcnt : std_logic_vector(C_WR_COUNT_WIDTH-1 DOWNTO 0); begin -- Rip the LS bits of the write data count and assign to Write Count -- output port Wr_count <= sig_full_fifo_wrcnt(C_WR_COUNT_WIDTH-1 downto 0); -- Rip the LS bits of the read data count and assign to Read Count -- output port Rd_count <= sig_full_fifo_rdcnt(C_RD_COUNT_WIDTH-1 downto 0); ------------------------------------------------------------ -- If Generate -- -- Label: V6_S6_AND_LATER -- -- If Generate Description: -- This IFGen Implements the FIFO using fifo_generator_v9_3 -- for FPGA Families that are Virtex-6, Spartan-6, and later. -- ------------------------------------------------------------ V6_S6_AND_LATER : if (FAM_IS_NOT_S3_V4_V5) generate begin ------------------------------------------------------------------------------- -- Instantiate the generalized FIFO Generator instance -- -- NOTE: -- DO NOT CHANGE TO DIRECT ENTITY INSTANTIATION!!! -- This is a Coregen FIFO Generator Call module for -- legacy BRAM implementations of an Async FIFo. -- ------------------------------------------------------------------------------- I_ASYNC_FIFO_BRAM : fifo_generator_v11_0 generic map( C_COMMON_CLOCK => 0, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => C_WR_COUNT_WIDTH, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => C_DATA_WIDTH, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => C_DATA_WIDTH, C_ENABLE_RLOCS => C_ENABLE_RLOCS, C_FAMILY => FAMILY_TO_USE, C_FULL_FLAGS_RST_VAL => 0, C_HAS_ALMOST_EMPTY => C_HAS_ALMOST_EMPTY, C_HAS_ALMOST_FULL => C_HAS_ALMOST_FULL, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 0, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => C_HAS_WR_ERR, C_HAS_RD_DATA_COUNT => C_HAS_RD_COUNT, C_HAS_RD_RST => 0, C_HAS_RST => 1, C_HAS_SRST => 0, C_HAS_UNDERFLOW => C_HAS_RD_ERR, C_HAS_VALID => C_HAS_RD_ACK, C_HAS_WR_ACK => C_HAS_WR_ACK, C_HAS_WR_DATA_COUNT => C_HAS_WR_COUNT, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => FG_IMP_TYPE, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => FG_MEM_TYPE, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => C_WR_ERR_LOW, C_PRELOAD_LATENCY => C_PRELOAD_LATENCY, ----1, Fixed CR#658129 C_PRELOAD_REGS => C_PRELOAD_REGS, ----0, Fixed CR#658129 C_PRIM_FIFO_TYPE => "512x36", -- only used for V5 Hard FIFO C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => PROG_FULL_THRESH_ASSERT_VAL, C_PROG_FULL_THRESH_NEGATE_VAL => PROG_FULL_THRESH_NEGATE_VAL, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => C_RD_COUNT_WIDTH, C_RD_DEPTH => C_FIFO_DEPTH, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => RD_PNTR_WIDTH, C_UNDERFLOW_LOW => C_RD_ERR_LOW, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => C_USE_EMBEDDED_REG, ----0, Fixed CR#658129 C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => 0, C_WR_ACK_LOW => C_WR_ACK_LOW, C_WR_DATA_COUNT_WIDTH => C_WR_COUNT_WIDTH, C_WR_DEPTH => C_FIFO_DEPTH, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => WR_PNTR_WIDTH, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 1, C_ERROR_INJECTION_TYPE => 0, -- AXI Interface related parameters start here C_INTERFACE_TYPE => 0, -- : integer := 0; -- 0: Native Interface; 1: AXI Interface C_AXI_TYPE => 0, -- : integer := 0; -- 0: AXI Stream; 1: AXI Full; 2: AXI Lite C_HAS_AXI_WR_CHANNEL => 0, -- : integer := 0; C_HAS_AXI_RD_CHANNEL => 0, -- : integer := 0; C_HAS_SLAVE_CE => 0, -- : integer := 0; C_HAS_MASTER_CE => 0, -- : integer := 0; C_ADD_NGC_CONSTRAINT => 0, -- : integer := 0; C_USE_COMMON_OVERFLOW => 0, -- : integer := 0; C_USE_COMMON_UNDERFLOW => 0, -- : integer := 0; C_USE_DEFAULT_SETTINGS => 0, -- : integer := 0; -- AXI Full/Lite C_AXI_ID_WIDTH => 4 , -- : integer := 0; C_AXI_ADDR_WIDTH => 32, -- : integer := 0; C_AXI_DATA_WIDTH => 64, -- : integer := 0; C_HAS_AXI_AWUSER => 0 , -- : integer := 0; C_HAS_AXI_WUSER => 0 , -- : integer := 0; C_HAS_AXI_BUSER => 0 , -- : integer := 0; C_HAS_AXI_ARUSER => 0 , -- : integer := 0; C_HAS_AXI_RUSER => 0 , -- : integer := 0; C_AXI_ARUSER_WIDTH => 1 , -- : integer := 0; C_AXI_AWUSER_WIDTH => 1 , -- : integer := 0; C_AXI_WUSER_WIDTH => 1 , -- : integer := 0; C_AXI_BUSER_WIDTH => 1 , -- : integer := 0; C_AXI_RUSER_WIDTH => 1 , -- : integer := 0; -- AXI Streaming C_HAS_AXIS_TDATA => 0 , -- : integer := 0; C_HAS_AXIS_TID => 0 , -- : integer := 0; C_HAS_AXIS_TDEST => 0 , -- : integer := 0; C_HAS_AXIS_TUSER => 0 , -- : integer := 0; C_HAS_AXIS_TREADY => 1 , -- : integer := 0; C_HAS_AXIS_TLAST => 0 , -- : integer := 0; C_HAS_AXIS_TSTRB => 0 , -- : integer := 0; C_HAS_AXIS_TKEEP => 0 , -- : integer := 0; C_AXIS_TDATA_WIDTH => 64, -- : integer := 1; C_AXIS_TID_WIDTH => 8 , -- : integer := 1; C_AXIS_TDEST_WIDTH => 4 , -- : integer := 1; C_AXIS_TUSER_WIDTH => 4 , -- : integer := 1; C_AXIS_TSTRB_WIDTH => 4 , -- : integer := 1; C_AXIS_TKEEP_WIDTH => 4 , -- : integer := 1; -- AXI Channel Type -- WACH --> Write Address Channel -- WDCH --> Write Data Channel -- WRCH --> Write Response Channel -- RACH --> Read Address Channel -- RDCH --> Read Data Channel -- AXIS --> AXI Streaming C_WACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logic C_WDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_WRCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_AXIS_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie -- AXI Implementation Type -- 1 = Common Clock Block RAM FIFO -- 2 = Common Clock Distributed RAM FIFO -- 11 = Independent Clock Block RAM FIFO -- 12 = Independent Clock Distributed RAM FIFO C_IMPLEMENTATION_TYPE_WACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WRCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_AXIS => 1, -- : integer := 0; -- AXI FIFO Type -- 0 = Data FIFO -- 1 = Packet FIFO -- 2 = Low Latency Data FIFO C_APPLICATION_TYPE_WACH => 0, -- : integer := 0; C_APPLICATION_TYPE_WDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_WRCH => 0, -- : integer := 0; C_APPLICATION_TYPE_RACH => 0, -- : integer := 0; C_APPLICATION_TYPE_RDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_AXIS => 0, -- : integer := 0; -- Enable ECC -- 0 = ECC disabled -- 1 = ECC enabled C_USE_ECC_WACH => 0, -- : integer := 0; C_USE_ECC_WDCH => 0, -- : integer := 0; C_USE_ECC_WRCH => 0, -- : integer := 0; C_USE_ECC_RACH => 0, -- : integer := 0; C_USE_ECC_RDCH => 0, -- : integer := 0; C_USE_ECC_AXIS => 0, -- : integer := 0; -- ECC Error Injection Type -- 0 = No Error Injection -- 1 = Single Bit Error Injection -- 2 = Double Bit Error Injection -- 3 = Single Bit and Double Bit Error Injection C_ERROR_INJECTION_TYPE_WACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WRCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_AXIS => 0, -- : integer := 0; -- Input Data Width -- Accumulation of all AXI input signal's width C_DIN_WIDTH_WACH => 32, -- : integer := 1; C_DIN_WIDTH_WDCH => 64, -- : integer := 1; C_DIN_WIDTH_WRCH => 2 , -- : integer := 1; C_DIN_WIDTH_RACH => 32, -- : integer := 1; C_DIN_WIDTH_RDCH => 64, -- : integer := 1; C_DIN_WIDTH_AXIS => 1 , -- : integer := 1; C_WR_DEPTH_WACH => 16 , -- : integer := 16; C_WR_DEPTH_WDCH => 1024, -- : integer := 16; C_WR_DEPTH_WRCH => 16 , -- : integer := 16; C_WR_DEPTH_RACH => 16 , -- : integer := 16; C_WR_DEPTH_RDCH => 1024, -- : integer := 16; C_WR_DEPTH_AXIS => 1024, -- : integer := 16; C_WR_PNTR_WIDTH_WACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_WDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_WRCH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_AXIS => 10, -- : integer := 4; C_HAS_DATA_COUNTS_WACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WRCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_AXIS => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WRCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_AXIS => 0, -- : integer := 0; C_PROG_FULL_TYPE_WACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, -- : integer := 0; C_PROG_EMPTY_TYPE_WACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, -- : integer := 0; C_REG_SLICE_MODE_WACH => 0, -- : integer := 0; C_REG_SLICE_MODE_WDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_WRCH => 0, -- : integer := 0; C_REG_SLICE_MODE_RACH => 0, -- : integer := 0; C_REG_SLICE_MODE_RDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_AXIS => 0 -- : integer := 0 ) port map ( BACKUP => '0', -- : IN std_logic := '0'; BACKUP_MARKER => '0', -- : IN std_logic := '0'; CLK => '0', -- : IN std_logic := '0'; RST => Ainit, -- : IN std_logic := '0'; SRST => '0', -- : IN std_logic := '0'; WR_CLK => Wr_clk, -- : IN std_logic := '0'; WR_RST => Ainit, -- : IN std_logic := '0'; RD_CLK => Rd_clk, -- : IN std_logic := '0'; RD_RST => Ainit, -- : IN std_logic := '0'; DIN => Din, -- : IN std_logic_vector(C_DIN_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); WR_EN => Wr_en, -- : IN std_logic := '0'; RD_EN => Rd_en, -- : IN std_logic := '0'; PROG_EMPTY_THRESH => PROG_RDTHRESH_ZEROS, -- : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); PROG_EMPTY_THRESH_ASSERT => PROG_RDTHRESH_ZEROS, -- : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); PROG_EMPTY_THRESH_NEGATE => PROG_RDTHRESH_ZEROS, -- : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); PROG_FULL_THRESH => PROG_WRTHRESH_ZEROS, -- : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); PROG_FULL_THRESH_ASSERT => PROG_WRTHRESH_ZEROS, -- : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); PROG_FULL_THRESH_NEGATE => PROG_WRTHRESH_ZEROS, -- : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); INT_CLK => '0', -- : IN std_logic := '0'; INJECTDBITERR => '0', -- new FG 5.1 -- : IN std_logic := '0'; INJECTSBITERR => '0', -- new FG 5.1 -- : IN std_logic := '0'; DOUT => Dout, -- : OUT std_logic_vector(C_DOUT_WIDTH-1 DOWNTO 0); FULL => Full, -- : OUT std_logic; ALMOST_FULL => Almost_full, -- : OUT std_logic; WR_ACK => Wr_ack, -- : OUT std_logic; OVERFLOW => Rd_err, -- : OUT std_logic; EMPTY => Empty, -- : OUT std_logic; ALMOST_EMPTY => Almost_empty, -- : OUT std_logic; VALID => Rd_ack, -- : OUT std_logic; UNDERFLOW => Wr_err, -- : OUT std_logic; DATA_COUNT => open, -- : OUT std_logic_vector(C_DATA_COUNT_WIDTH-1 DOWNTO 0); RD_DATA_COUNT => sig_full_fifo_rdcnt, -- : OUT std_logic_vector(C_RD_DATA_COUNT_WIDTH-1 DOWNTO 0); WR_DATA_COUNT => sig_full_fifo_wrcnt, -- : OUT std_logic_vector(C_WR_DATA_COUNT_WIDTH-1 DOWNTO 0); PROG_FULL => open, -- : OUT std_logic; PROG_EMPTY => open, -- : OUT std_logic; SBITERR => open, -- : OUT std_logic; DBITERR => open, -- : OUT std_logic -- AXI Global Signal M_ACLK => '0', -- : IN std_logic := '0'; S_ACLK => '0', -- : IN std_logic := '0'; S_ARESETN => '0', -- : IN std_logic := '0'; M_ACLK_EN => '0', -- : IN std_logic := '0'; S_ACLK_EN => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Slave Write Channel (write side) S_AXI_AWID => (others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWADDR => (others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWLEN => (others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWSIZE => (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWBURST => (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWLOCK => (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWCACHE => (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWPROT => (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWQOS => (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWREGION => (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWUSER => (others => '0'), -- : IN std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWVALID => '0', -- : IN std_logic := '0'; S_AXI_AWREADY => open, -- : OUT std_logic; S_AXI_WID => (others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_WDATA => (others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_WSTRB => (others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_WLAST => '0', -- : IN std_logic := '0'; S_AXI_WUSER => (others => '0'), -- : IN std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_WVALID => '0', -- : IN std_logic := '0'; S_AXI_WREADY => open, -- : OUT std_logic; S_AXI_BID => open, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_BRESP => open, -- : OUT std_logic_vector(2-1 DOWNTO 0); S_AXI_BUSER => open, -- : OUT std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0); S_AXI_BVALID => open, -- : OUT std_logic; S_AXI_BREADY => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Write Channel (Read side) M_AXI_AWID => open, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); M_AXI_AWADDR => open, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); M_AXI_AWLEN => open, -- : OUT std_logic_vector(8-1 DOWNTO 0); M_AXI_AWSIZE => open, -- : OUT std_logic_vector(3-1 DOWNTO 0); M_AXI_AWBURST => open, -- : OUT std_logic_vector(2-1 DOWNTO 0); M_AXI_AWLOCK => open, -- : OUT std_logic_vector(2-1 DOWNTO 0); M_AXI_AWCACHE => open, -- : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_AWPROT => open, -- : OUT std_logic_vector(3-1 DOWNTO 0); M_AXI_AWQOS => open, -- : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_AWREGION => open, -- : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_AWUSER => open, -- : OUT std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0); M_AXI_AWVALID => open, -- : OUT std_logic; M_AXI_AWREADY => '0', -- : IN std_logic := '0'; M_AXI_WID => open, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); M_AXI_WDATA => open, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); M_AXI_WSTRB => open, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0); M_AXI_WLAST => open, -- : OUT std_logic; M_AXI_WUSER => open, -- : OUT std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0); M_AXI_WVALID => open, -- : OUT std_logic; M_AXI_WREADY => '0', -- : IN std_logic := '0'; M_AXI_BID => (others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); M_AXI_BRESP => (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); M_AXI_BUSER => (others => '0'), -- : IN std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); M_AXI_BVALID => '0', -- : IN std_logic := '0'; M_AXI_BREADY => open, -- : OUT std_logic; -- AXI Full/Lite Slave Read Channel (Write side) S_AXI_ARID => (others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARADDR => (others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARLEN => (others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARSIZE => (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARBURST => (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARLOCK => (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARCACHE => (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARPROT => (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARQOS => (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARREGION => (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARUSER => (others => '0'), -- : IN std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARVALID => '0', -- : IN std_logic := '0'; S_AXI_ARREADY => open, -- : OUT std_logic; S_AXI_RID => open, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); S_AXI_RDATA => open, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); S_AXI_RRESP => open, -- : OUT std_logic_vector(2-1 DOWNTO 0); S_AXI_RLAST => open, -- : OUT std_logic; S_AXI_RUSER => open, -- : OUT std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0); S_AXI_RVALID => open, -- : OUT std_logic; S_AXI_RREADY => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Read Channel (Read side) M_AXI_ARID => open, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); M_AXI_ARADDR => open, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); M_AXI_ARLEN => open, -- : OUT std_logic_vector(8-1 DOWNTO 0); M_AXI_ARSIZE => open, -- : OUT std_logic_vector(3-1 DOWNTO 0); M_AXI_ARBURST => open, -- : OUT std_logic_vector(2-1 DOWNTO 0); M_AXI_ARLOCK => open, -- : OUT std_logic_vector(2-1 DOWNTO 0); M_AXI_ARCACHE => open, -- : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_ARPROT => open, -- : OUT std_logic_vector(3-1 DOWNTO 0); M_AXI_ARQOS => open, -- : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_ARREGION => open, -- : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_ARUSER => open, -- : OUT std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0); M_AXI_ARVALID => open, -- : OUT std_logic; M_AXI_ARREADY => '0', -- : IN std_logic := '0'; M_AXI_RID => (others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); M_AXI_RDATA => (others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); M_AXI_RRESP => (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); M_AXI_RLAST => '0', -- : IN std_logic := '0'; M_AXI_RUSER => (others => '0'), -- : IN std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); M_AXI_RVALID => '0', -- : IN std_logic := '0'; M_AXI_RREADY => open, -- : OUT std_logic; -- AXI Streaming Slave Signals (Write side) S_AXIS_TVALID => '0', -- : IN std_logic := '0'; S_AXIS_TREADY => open, -- : OUT std_logic; S_AXIS_TDATA => (others => '0'), -- : IN std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXIS_TSTRB => (others => '0'), -- : IN std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXIS_TKEEP => (others => '0'), -- : IN std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXIS_TLAST => '0', -- : IN std_logic := '0'; S_AXIS_TID => (others => '0'), -- : IN std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXIS_TDEST => (others => '0'), -- : IN std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXIS_TUSER => (others => '0'), -- : IN std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- AXI Streaming Master Signals (Read side) M_AXIS_TVALID => open, -- : OUT std_logic; M_AXIS_TREADY => '0', -- : IN std_logic := '0'; M_AXIS_TDATA => open, -- : OUT std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0); M_AXIS_TSTRB => open, -- : OUT std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0); M_AXIS_TKEEP => open, -- : OUT std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0); M_AXIS_TLAST => open, -- : OUT std_logic; M_AXIS_TID => open, -- : OUT std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0); M_AXIS_TDEST => open, -- : OUT std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0); M_AXIS_TUSER => open, -- : OUT std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0); -- AXI Full/Lite Write Address Channel Signals AXI_AW_INJECTSBITERR => '0', -- : IN std_logic := '0'; AXI_AW_INJECTDBITERR => '0', -- : IN std_logic := '0'; AXI_AW_PROG_FULL_THRESH => (others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); AXI_AW_PROG_EMPTY_THRESH => (others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); AXI_AW_DATA_COUNT => open, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); AXI_AW_WR_DATA_COUNT => open, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); AXI_AW_RD_DATA_COUNT => open, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); AXI_AW_SBITERR => open, -- : OUT std_logic; AXI_AW_DBITERR => open, -- : OUT std_logic; AXI_AW_OVERFLOW => open, -- : OUT std_logic; AXI_AW_UNDERFLOW => open, -- : OUT std_logic; AXI_AW_PROG_FULL => open, -- : OUT STD_LOGIC := '0'; AXI_AW_PROG_EMPTY => open, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Data Channel Signals AXI_W_INJECTSBITERR => '0', -- : IN std_logic := '0'; AXI_W_INJECTDBITERR => '0', -- : IN std_logic := '0'; AXI_W_PROG_FULL_THRESH => (others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); AXI_W_PROG_EMPTY_THRESH => (others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); AXI_W_DATA_COUNT => open, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); AXI_W_WR_DATA_COUNT => open, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); AXI_W_RD_DATA_COUNT => open, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); AXI_W_SBITERR => open, -- : OUT std_logic; AXI_W_DBITERR => open, -- : OUT std_logic; AXI_W_OVERFLOW => open, -- : OUT std_logic; AXI_W_UNDERFLOW => open, -- : OUT std_logic; AXI_W_PROG_FULL => open, -- : OUT STD_LOGIC := '0'; AXI_W_PROG_EMPTY => open, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Response Channel Signals AXI_B_INJECTSBITERR => '0', -- : IN std_logic := '0'; AXI_B_INJECTDBITERR => '0', -- : IN std_logic := '0'; AXI_B_PROG_FULL_THRESH => (others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); AXI_B_PROG_EMPTY_THRESH => (others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); AXI_B_DATA_COUNT => open, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); AXI_B_WR_DATA_COUNT => open, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); AXI_B_RD_DATA_COUNT => open, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); AXI_B_SBITERR => open, -- : OUT std_logic; AXI_B_DBITERR => open, -- : OUT std_logic; AXI_B_OVERFLOW => open, -- : OUT std_logic; AXI_B_UNDERFLOW => open, -- : OUT std_logic; AXI_B_PROG_FULL => open, -- : OUT STD_LOGIC := '0'; AXI_B_PROG_EMPTY => open, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Address Channel Signals AXI_AR_INJECTSBITERR => '0', -- : IN std_logic := '0'; AXI_AR_INJECTDBITERR => '0', -- : IN std_logic := '0'; AXI_AR_PROG_FULL_THRESH => (others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); AXI_AR_PROG_EMPTY_THRESH => (others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); AXI_AR_DATA_COUNT => open, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); AXI_AR_WR_DATA_COUNT => open, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); AXI_AR_RD_DATA_COUNT => open, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); AXI_AR_SBITERR => open, -- : OUT std_logic; AXI_AR_DBITERR => open, -- : OUT std_logic; AXI_AR_OVERFLOW => open, -- : OUT std_logic; AXI_AR_UNDERFLOW => open, -- : OUT std_logic; AXI_AR_PROG_FULL => open, -- : OUT STD_LOGIC := '0'; AXI_AR_PROG_EMPTY => open, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Data Channel Signals AXI_R_INJECTSBITERR => '0', -- : IN std_logic := '0'; AXI_R_INJECTDBITERR => '0', -- : IN std_logic := '0'; AXI_R_PROG_FULL_THRESH => (others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); AXI_R_PROG_EMPTY_THRESH => (others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); AXI_R_DATA_COUNT => open, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); AXI_R_WR_DATA_COUNT => open, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); AXI_R_RD_DATA_COUNT => open, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); AXI_R_SBITERR => open, -- : OUT std_logic; AXI_R_DBITERR => open, -- : OUT std_logic; AXI_R_OVERFLOW => open, -- : OUT std_logic; AXI_R_UNDERFLOW => open, -- : OUT std_logic; AXI_R_PROG_FULL => open, -- : OUT STD_LOGIC := '0'; AXI_R_PROG_EMPTY => open, -- : OUT STD_LOGIC := '1'; -- AXI Streaming FIFO Related Signals AXIS_INJECTSBITERR => '0', -- : IN std_logic := '0'; AXIS_INJECTDBITERR => '0', -- : IN std_logic := '0'; AXIS_PROG_FULL_THRESH => (others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); AXIS_PROG_EMPTY_THRESH => (others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); AXIS_DATA_COUNT => open, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); AXIS_WR_DATA_COUNT => open, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); AXIS_RD_DATA_COUNT => open, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); AXIS_SBITERR => open, -- : OUT std_logic; AXIS_DBITERR => open, -- : OUT std_logic; AXIS_OVERFLOW => open, -- : OUT std_logic; AXIS_UNDERFLOW => open, -- : OUT std_logic AXIS_PROG_FULL => open, -- : OUT STD_LOGIC := '0'; AXIS_PROG_EMPTY => open -- : OUT STD_LOGIC := '1'; ); end generate V6_S6_AND_LATER; end generate USE_2N_DEPTH; ----------------------------------------------------------------------- end implementation;
bsd-2-clause
9cfa68106dd03d8f6d7e55185a35bdff
0.372158
4.104411
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/smart_tab/spi_slave.fixed.vhd
1
32,808
---------------------------------------------------------------------------------- -- Author: Jonny Doin, [email protected] -- -- Create Date: 15:36:20 05/15/2011 -- Module Name: SPI_SLAVE - RTL -- Project Name: SPI INTERFACE -- Target Devices: Spartan-6 -- Tool versions: ISE 13.1 -- Description: -- -- This block is the SPI slave interface, implemented in one single entity. -- All internal core operations are synchronous to the external SPI clock, and follows the general SPI de-facto standard. -- The parallel read/write interface is synchronous to a supplied system master clock, 'clk_i'. -- Synchronization for the parallel ports is provided by input data request and write enable lines, and output data valid line. -- Fully pipelined cross-clock circuitry guarantees that no setup artifacts occur on the buffers that are accessed by the two -- clock domains. -- -- The block is very simple to use, and has parallel inputs and outputs that behave like a synchronous memory i/o. -- It is parameterizable via generics for the data width ('N'), SPI mode (CPHA and CPOL), and lookahead prefetch -- signaling ('PREFETCH'). -- -- PARALLEL WRITE INTERFACE -- The parallel interface has a input port 'di_i' and an output port 'do_o'. -- Parallel load is controlled using 3 signals: 'di_i', 'di_req_o' and 'wren_i'. -- When the core needs input data, a look ahead data request strobe , 'di_req_o' is pulsed 'PREFETCH' 'spi_sck_i' -- cycles in advance to synchronize a user pipelined memory or fifo to present the next input data at 'di_i' -- in time to have continuous clock at the spi bus, to allow back-to-back continuous load. -- The data request strobe on 'di_req_o' is 2 'clk_i' clock cycles long. -- The write to 'di_i' must occur at most one 'spi_sck_i' cycle before actual load to the core shift register, to avoid -- race conditions at the register transfer. -- The user circuit places data at the 'di_i' port and strobes the 'wren_i' line for one rising edge of 'clk_i'. -- For a pipelined sync RAM, a PREFETCH of 3 cycles allows an address generator to present the new adress to the RAM in one -- cycle, and the RAM to respond in one more cycle, in time for 'di_i' to be latched by the interface one clock before transfer. -- If the user sequencer needs a different value for PREFETCH, the generic can be altered at instantiation time. -- The 'wren_i' write enable strobe must be valid at least one setup time before the rising edge of the last clock cycle, -- if continuous transmission is intended. -- When the interface is idle ('spi_ssel_i' is HIGH), the top bit of the latched 'di_i' port is presented at port 'spi_miso_o'. -- -- PARALLEL WRITE PIPELINED SEQUENCE -- ================================= -- __ __ __ __ __ __ __ -- clk_i __/ \__/ \__/ \__/ \__/ \__/ \__/ \... -- parallel interface clock -- ___________ -- di_req_o ________/ \_____________________... -- 'di_req_o' asserted on rising edge of 'clk_i' -- ______________ ___________________________... -- di_i __old_data____X______new_data_____________... -- user circuit loads data on 'di_i' at next 'clk_i' rising edge -- ________ -- wren_i __________________________/ \______... -- 'wren_i' enables latch on rising edge of 'clk_i' -- -- -- PARALLEL READ INTERFACE -- An internal buffer is used to copy the internal shift register data to drive the 'do_o' port. When a complete -- word is received, the core shift register is transferred to the buffer, at the rising edge of the spi clock, 'spi_sck_i'. -- The signal 'do_valid_o' is strobed 3 'clk_i' clocks after, to directly drive a synchronous memory or fifo write enable. -- 'do_valid_o' is synchronous to the parallel interface clock, and changes only on rising edges of 'clk_i'. -- When the interface is idle, data at the 'do_o' port holds the last word received. -- -- PARALLEL READ PIPELINED SEQUENCE -- ================================ -- ______ ______ ______ ______ -- clk_spi_i ___/ bit1 \______/ bitN \______/bitN-1\______/bitN-2\__... -- spi base clock -- __ __ __ __ __ __ __ __ __ -- clk_i __/ \__/ \__/ \__/ \__/ \__/ \__/ \__/ \__/ \_... -- parallel interface clock -- _________________ _____________________________________... -- 1) received data is transferred to 'do_buffer_reg' -- do_o __old_data_______X__________new_data___________________... -- after last bit received, at next shift clock. -- ____________ -- do_valid_o ________________________________/ \_________... -- 2) 'do_valid_o' strobed for 2 'clk_i' cycles -- -- on the 3rd 'clk_i' rising edge. -- -- -- This design was originally targeted to a Spartan-6 platform, synthesized with XST and normal constraints. -- ------------------------------ COPYRIGHT NOTICE ----------------------------------------------------------------------- -- -- This file is part of the SPI MASTER/SLAVE INTERFACE project http://opencores.org/project,spi_master_slave -- -- Author(s): Jonny Doin, [email protected], [email protected] -- -- Copyright (C) 2011 Jonny Doin -- ----------------------------- -- -- This source file may be used and distributed without restriction provided that this copyright statement is not -- removed from the file and that any derivative work contains the original copyright notice and the associated -- disclaimer. -- -- This source file is free software; you can redistribute it and/or modify it under the terms of the GNU Lesser -- General Public License as published by the Free Software Foundation; either version 2.1 of the License, or -- (at your option) any later version. -- -- This source is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied -- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU Lesser General Public License for more -- details. -- -- You should have received a copy of the GNU Lesser General Public License along with this source; if not, download -- it from http://www.gnu.org/licenses/lgpl.txt -- ------------------------------ REVISION HISTORY ----------------------------------------------------------------------- -- -- 2011/05/15 v0.10.0050 [JD] created the slave logic, with 2 clock domains, from SPI_MASTER module. -- 2011/05/15 v0.15.0055 [JD] fixed logic for starting state when CPHA='1'. -- 2011/05/17 v0.80.0049 [JD] added explicit clock synchronization circuitry across clock boundaries. -- 2011/05/18 v0.95.0050 [JD] clock generation circuitry, with generators for all-rising-edge clock core. -- 2011/06/05 v0.96.0053 [JD] changed async clear to sync resets. -- 2011/06/07 v0.97.0065 [JD] added cross-clock buffers, fixed fsm async glitches. -- 2011/06/09 v0.97.0068 [JD] reduced control sets (resets, CE, presets) to the absolute minimum to operate, to reduce -- synthesis LUT overhead in Spartan-6 architecture. -- 2011/06/11 v0.97.0075 [JD] redesigned all parallel data interfacing ports, and implemented cross-clock strobe logic. -- 2011/06/12 v0.97.0079 [JD] implemented wr_ack and di_req logic for state 0, and eliminated unnecessary registers reset. -- 2011/06/17 v0.97.0079 [JD] implemented wr_ack and di_req logic for state 0, and eliminated unnecessary registers reset. -- 2011/07/16 v1.11.0080 [JD] verified both spi_master and spi_slave in loopback at 50MHz SPI clock. -- 2011/07/29 v2.00.0110 [JD] FIX: CPHA bugs: -- - redesigned core clocking to address all CPOL and CPHA configurations. -- - added CHANGE_EDGE to the FSM register transfer logic, to have MISO change at opposite -- clock phases from SHIFT_EDGE. -- Removed global signal setting at the FSM, implementing exhaustive explicit signal attributions -- for each state, to avoid reported inference problems in some synthesis engines. -- Streamlined port names and indentation blocks. -- 2011/08/01 v2.01.0115 [JD] Adjusted 'do_valid_o' pulse width to be 2 'clk_i', as in the master core. -- Simulated in iSim with the master core for continuous transmission mode. -- 2011/08/02 v2.02.0120 [JD] Added mux for MISO at reset state, to output di(N-1) at start. This fixed a bug in first bit. -- The master and slave cores were verified in FPGA with continuous transmission, for all SPI modes. -- 2011/08/04 v2.02.0121 [JD] Changed minor comment bugs in the combinatorial fsm logic. -- 2011/08/08 v2.02.0122 [JD] FIX: continuous transfer mode bug. When wren_i is not strobed prior to state 1 (last bit), the -- sequencer goes to state 0, and then to state 'N' again. This produces a wrong bit-shift for received -- data. The fix consists in engaging continuous transfer regardless of the user strobing write enable, and -- sequencing from state 1 to N as long as the master clock is present. If the user does not write new -- data, the last data word is repeated. -- 2011/08/08 v2.02.0123 [JD] ISSUE: continuous transfer mode bug, for ignored 'di_req' cycles. Instead of repeating the last data word, -- the slave will send (others => '0') instead. -- 2011/08/28 v2.02.0126 [JD] ISSUE: the miso_o MUX that preloads tx_bit when slave is desselected will glitch for CPHA='1'. -- FIX: added a registered drive for the MUX select that will transfer the tx_reg only after the first tx_reg update. -- ----------------------------------------------------------------------------------------------------------------------- -- TODO -- ==== -- ----------------------------------------------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_unsigned.all; entity SPI_SLAVE is generic ( N : positive := 32; -- 32bit serial word length is default CPOL : std_logic := '0'; -- SPI mode selection (mode 0 default) CPHA : std_logic := '0'; -- CPOL = clock polarity, CPHA = clock phase. PREFETCH : positive := 3 -- prefetch lookahead cycles ); port ( CLK_I : in std_logic := 'X'; -- internal interface clock (clocks di/do registers) SPI_SSEL_I : in std_logic := 'X'; -- spi bus slave select line SPI_SCK_I : in std_logic := 'X'; -- spi bus sck clock (clocks the shift register core) SPI_MOSI_I : in std_logic := 'X'; -- spi bus mosi input SPI_MISO_O : out std_logic := 'X'; -- spi bus spi_miso_o output DI_REQ_O : out std_logic; -- preload lookahead data request line DI_I : in std_logic_vector(N - 1 downto 0) := (others => 'X'); -- parallel load data in (clocked in on rising edge of clk_i) WREN_I : in std_logic := 'X'; -- user data write enable WR_ACK_O : out std_logic; -- write acknowledge DO_VALID_O : out std_logic; -- do_o data valid strobe, valid during one clk_i rising edge. DO_O : out std_logic_vector(N - 1 downto 0); -- parallel output (clocked out on falling clk_i) --- debug ports: can be removed for the application circuit --- DO_TRANSFER_O : out std_logic; -- debug: internal transfer driver WREN_O : out std_logic; -- debug: internal state of the wren_i pulse stretcher RX_BIT_NEXT_O : out std_logic; -- debug: internal rx bit STATE_DBG_O : out std_logic_vector(3 downto 0); -- debug: internal state register SH_REG_DBG_O : out std_logic_vector(N - 1 downto 0) -- debug: internal shift register ); end entity SPI_SLAVE; --================================================================================================================ -- SYNTHESIS CONSIDERATIONS -- ======================== -- There are several output ports that are used to simulate and verify the core operation. -- Do not map any signals to the unused ports, and the synthesis tool will remove the related interfacing -- circuitry. -- The same is valid for the transmit and receive ports. If the receive ports are not mapped, the -- synthesis tool will remove the receive logic from the generated circuitry. -- Alternatively, you can remove these ports and related circuitry once the core is verified and -- integrated to your circuit. --================================================================================================================ architecture RTL of SPI_SLAVE is -- constants to control FlipFlop synthesis constant shift_edge : std_logic := (CPOL xnor CPHA); -- MOSI data is captured and shifted at this SCK edge constant change_edge : std_logic := (CPOL xor CPHA); -- MISO data is updated at this SCK edge ------------------------------------------------------------------------------------------ -- GLOBAL RESET: -- all signals are initialized to zero at GSR (global set/reset) by giving explicit -- initialization values at declaration. This is needed for all Xilinx FPGAs, and -- especially for the Spartan-6 and newer CLB architectures, where a local reset can -- reduce the usability of the slice registers, due to the need to share the control -- set (RESET/PRESET, CLOCK ENABLE and CLOCK) by all 8 registers in a slice. -- By using GSR for the initialization, and reducing RESET local init to the really -- essential, the model achieves better LUT/FF packing and CLB usability. ------------------------------------------------------------------------------------------ -- internal state signals for register and combinatorial stages signal state_next : natural range N downto 0 := 0; -- state 0 is idle state signal state_reg : natural range N downto 0 := 0; -- state 0 is idle state -- shifter signals for register and combinatorial stages signal sh_next : std_logic_vector(N - 1 downto 0); signal sh_reg : std_logic_vector(N - 1 downto 0); -- mosi and miso connections signal rx_bit_next : std_logic; -- sample of MOSI input signal tx_bit_next : std_logic; signal tx_bit_reg : std_logic; -- drives MISO during sequential logic signal preload_miso : std_logic; -- controls the MISO MUX -- buffered di_i data signals for register and combinatorial stages signal di_reg : std_logic_vector(N - 1 downto 0); -- internal wren_i stretcher for fsm combinatorial stage signal wren : std_logic; signal wr_ack_next : std_logic := '0'; signal wr_ack_reg : std_logic := '0'; -- buffered do_o data signals for register and combinatorial stages signal do_buffer_next : std_logic_vector(N - 1 downto 0); signal do_buffer_reg : std_logic_vector(N - 1 downto 0); -- internal signal to flag transfer to do_buffer_reg signal do_transfer_next : std_logic := '0'; signal do_transfer_reg : std_logic := '0'; -- internal input data request signal signal di_req_next : std_logic := '0'; signal di_req_reg : std_logic := '0'; -- cross-clock do_valid_o logic signal do_valid_next : std_logic := '0'; signal do_valid_a : std_logic := '0'; signal do_valid_b : std_logic := '0'; signal do_valid_c : std_logic := '0'; signal do_valid_d : std_logic := '0'; signal do_valid_o_reg : std_logic := '0'; -- cross-clock di_req_o logic signal di_req_o_next : std_logic := '0'; signal di_req_o_a : std_logic := '0'; signal di_req_o_b : std_logic := '0'; signal di_req_o_c : std_logic := '0'; signal di_req_o_d : std_logic := '0'; signal di_req_o_reg : std_logic := '0'; begin --============================================================================================= -- GENERICS CONSTRAINTS CHECKING --============================================================================================= -- minimum word width is 8 bits assert N >= 8 report "Generic parameter 'N' error: SPI shift register size needs to be 8 bits minimum" severity FAILURE; -- maximum prefetch lookahead check assert PREFETCH <= N - 5 report "Generic parameter 'PREFETCH' error: lookahead count out of range, needs to be N-5 maximum" severity FAILURE; --============================================================================================= -- GENERATE BLOCKS --============================================================================================= --============================================================================================= -- DATA INPUTS --============================================================================================= -- connect rx bit input rx_bit_next <= SPI_MOSI_I; --============================================================================================= -- CROSS-CLOCK PIPELINE TRANSFER LOGIC --============================================================================================= -- do_valid_o and di_req_o strobe output logic -- this is a delayed pulse generator with a ripple-transfer FFD pipeline, that generates a -- fixed-length delayed pulse for the output flags, at the parallel clock domain OUT_TRANSFER_PROC : process (CLK_I, do_transfer_reg, di_req_reg, do_valid_a, do_valid_b, do_valid_d, di_req_o_a, di_req_o_b, di_req_o_d) is begin if (CLK_I'event and CLK_I = '1') then -- clock at parallel port clock -- do_transfer_reg -> do_valid_o_reg do_valid_a <= do_transfer_reg; -- the input signal must be at least 2 clocks long do_valid_b <= do_valid_a; -- feed it to a ripple chain of FFDs do_valid_c <= do_valid_b; do_valid_d <= do_valid_c; do_valid_o_reg <= do_valid_next; -- registered output pulse -- di_req_reg -> di_req_o_reg di_req_o_a <= di_req_reg; -- the input signal must be at least 2 clocks long di_req_o_b <= di_req_o_a; -- feed it to a ripple chain of FFDs di_req_o_c <= di_req_o_b; di_req_o_d <= di_req_o_c; di_req_o_reg <= di_req_o_next; -- registered output pulse end if; -- generate a 2-clocks pulse at the 3rd clock cycle do_valid_next <= do_valid_a and do_valid_b and not do_valid_d; di_req_o_next <= di_req_o_a and di_req_o_b and not di_req_o_d; end process OUT_TRANSFER_PROC; -- parallel load input registers: data register and write enable IN_TRANSFER_PROC : process (CLK_I, WREN_I, wr_ack_reg) is begin -- registered data input, input register with clock enable if (CLK_I'event and CLK_I = '1') then if (WREN_I = '1') then di_reg <= DI_I; -- parallel data input buffer register end if; end if; -- stretch wren pulse to be detected by spi fsm (ffd with sync preset and sync reset) if (CLK_I'event and CLK_I = '1') then if (WREN_I = '1') then -- wren_i is the sync preset for wren wren <= '1'; elsif (wr_ack_reg = '1') then -- wr_ack is the sync reset for wren wren <= '0'; end if; end if; end process IN_TRANSFER_PROC; --============================================================================================= -- REGISTER TRANSFER PROCESSES --============================================================================================= -- fsm state and data registers change on spi SHIFT_EDGE CORE_REG_PROC : process (SPI_SCK_I, SPI_SSEL_I) is begin -- FFD registers clocked on SHIFT edge and cleared on idle (spi_ssel_i = 1) -- state fsm register (fdr) if (SPI_SSEL_I = '1') then -- async clr state_reg <= 0; -- state falls back to idle when slave not selected elsif (SPI_SCK_I'event and SPI_SCK_I = shift_edge) then -- on SHIFT edge, update state register state_reg <= state_next; -- core fsm changes state with spi SHIFT clock end if; -- FFD registers clocked on SHIFT edge -- rtl core registers (fd) if (SPI_SCK_I'event and SPI_SCK_I = shift_edge) then -- on fsm state change, update all core registers sh_reg <= sh_next; -- core shift register do_buffer_reg <= do_buffer_next; -- registered data output do_transfer_reg <= do_transfer_next; -- cross-clock transfer flag di_req_reg <= di_req_next; -- input data request wr_ack_reg <= wr_ack_next; -- wren ack for data load synchronization end if; -- FFD registers clocked on CHANGE edge and cleared on idle (spi_ssel_i = 1) -- miso MUX preload control register (fdp) if (SPI_SSEL_I = '1') then -- async preset preload_miso <= '1'; -- miso MUX sees top bit of parallel input when slave not selected elsif (SPI_SCK_I'event and SPI_SCK_I = change_edge) then -- on CHANGE edge, change to tx_reg output preload_miso <= SPI_SSEL_I; -- miso MUX sees tx_bit_reg when it is driven by SCK end if; -- FFD registers clocked on CHANGE edge -- tx_bit register (fd) if (SPI_SCK_I'event and SPI_SCK_I = change_edge) then tx_bit_reg <= tx_bit_next; -- update MISO driver from the MSb end if; end process CORE_REG_PROC; --============================================================================================= -- COMBINATORIAL LOGIC PROCESSES --============================================================================================= -- state and datapath combinatorial logic CORE_COMBI_PROC : process (sh_reg, sh_next, state_reg, tx_bit_reg, rx_bit_next, do_buffer_reg, do_transfer_reg, di_reg, di_req_reg, wren, wr_ack_reg) is begin -- all output signals are assigned to (avoid latches) sh_next <= sh_reg; -- shift register tx_bit_next <= tx_bit_reg; -- MISO driver do_buffer_next <= do_buffer_reg; -- output data buffer do_transfer_next <= do_transfer_reg; -- output data flag wr_ack_next <= wr_ack_reg; -- write enable acknowledge di_req_next <= di_req_reg; -- data input request state_next <= state_reg; -- fsm control state case state_reg is when (N) => -- deassert 'di_rdy' and stretch do_valid wr_ack_next <= '0'; -- acknowledge data in transfer di_req_next <= '0'; -- prefetch data request: deassert when shifting data tx_bit_next <= sh_reg(N - 1); -- output next MSbit sh_next(N - 1 downto 1) <= sh_reg(N - 2 downto 0); -- shift inner bits sh_next(0) <= rx_bit_next; -- shift in rx bit into LSb state_next <= state_reg - 1; -- update next state at each sck pulse when (N - 1) downto (PREFETCH + 3) => -- remove 'do_transfer' and shift bits do_transfer_next <= '0'; -- reset 'do_valid' transfer signal di_req_next <= '0'; -- prefetch data request: deassert when shifting data wr_ack_next <= '0'; -- remove data load ack for all but the load stages tx_bit_next <= sh_reg(N - 1); -- output next MSbit sh_next(N - 1 downto 1) <= sh_reg(N - 2 downto 0); -- shift inner bits sh_next(0) <= rx_bit_next; -- shift in rx bit into LSb state_next <= state_reg - 1; -- update next state at each sck pulse when (PREFETCH + 2) downto 3 => -- raise prefetch 'di_req_o' signal di_req_next <= '1'; -- request data in advance to allow for pipeline delays wr_ack_next <= '0'; -- remove data load ack for all but the load stages tx_bit_next <= sh_reg(N - 1); -- output next MSbit sh_next(N - 1 downto 1) <= sh_reg(N - 2 downto 0); -- shift inner bits sh_next(0) <= rx_bit_next; -- shift in rx bit into LSb state_next <= state_reg - 1; -- update next state at each sck pulse when 2 => -- transfer received data to do_buffer_reg on next cycle di_req_next <= '1'; -- request data in advance to allow for pipeline delays wr_ack_next <= '0'; -- remove data load ack for all but the load stages tx_bit_next <= sh_reg(N - 1); -- output next MSbit sh_next(N - 1 downto 1) <= sh_reg(N - 2 downto 0); -- shift inner bits sh_next(0) <= rx_bit_next; -- shift in rx bit into LSb do_transfer_next <= '1'; -- signal transfer to do_buffer on next cycle do_buffer_next <= sh_next; -- get next data directly into rx buffer state_next <= state_reg - 1; -- update next state at each sck pulse when 1 => -- transfer rx data to do_buffer and restart if new data is written sh_next(0) <= rx_bit_next; -- shift in rx bit into LSb di_req_next <= '0'; -- prefetch data request: deassert when shifting data state_next <= N; -- next state is top bit of new data if (wren = '1') then -- load tx register if valid data present at di_reg wr_ack_next <= '1'; -- acknowledge data in transfer sh_next(N - 1 downto 1) <= di_reg(N - 2 downto 0); -- shift inner bits tx_bit_next <= di_reg(N - 1); -- first output bit comes from the MSb of parallel data else wr_ack_next <= '0'; -- no data reload for continuous transfer mode sh_next(N - 1 downto 1) <= (others => '0'); -- clear transmit shift register tx_bit_next <= '0'; -- send ZERO end if; when 0 => -- idle state: start and end of transmission sh_next(0) <= rx_bit_next; -- shift in rx bit into LSb sh_next(N - 1 downto 1) <= di_reg(N - 2 downto 0); -- shift inner bits tx_bit_next <= di_reg(N - 1); -- first output bit comes from the MSb of parallel data wr_ack_next <= '1'; -- acknowledge data in transfer di_req_next <= '0'; -- prefetch data request: deassert when shifting data do_transfer_next <= '0'; -- clear signal transfer to do_buffer state_next <= N; -- next state is top bit of new data when others => state_next <= 0; -- safe state end case; end process CORE_COMBI_PROC; --============================================================================================= -- OUTPUT LOGIC PROCESSES --============================================================================================= -- data output processes DO_O <= do_buffer_reg; -- do_o always available DO_VALID_O <= do_valid_o_reg; -- copy registered do_valid_o to output DI_REQ_O <= di_req_o_reg; -- copy registered di_req_o to output WR_ACK_O <= wr_ack_reg; -- copy registered wr_ack_o to output ----------------------------------------------------------------------------------------------- -- MISO driver process: preload top bit of parallel data to MOSI at reset ----------------------------------------------------------------------------------------------- -- this is a MUX that selects the combinatorial next tx bit at reset, and the registered tx bit -- at sequential operation. The mux gives us a preload of the first bit, simplifying the shifter logic. SPI_MISO_O_PROC : process (preload_miso, tx_bit_reg, di_reg) is begin if (preload_miso = '1') then SPI_MISO_O <= di_reg(N - 1); -- copy top bit of parallel data at reset else SPI_MISO_O <= tx_bit_reg; -- copy top bit of shifter at sequential operation end if; end process SPI_MISO_O_PROC; --============================================================================================= -- DEBUG LOGIC PROCESSES --============================================================================================= -- these signals are useful for verification, and can be deleted after debug. DO_TRANSFER_O <= do_transfer_reg; STATE_DBG_O <= std_logic_vector(to_unsigned(state_reg, 4)); -- export internal state to debug RX_BIT_NEXT_O <= rx_bit_next; WREN_O <= wren; SH_REG_DBG_O <= sh_reg; -- export sh_reg to debug end architecture RTL;
gpl-3.0
aae3888e6402b992908e8311064f527e
0.488204
4.422159
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/sequential/rule_402_test_input.fixed.vhd
1
359
architecture rtl of fifo is begin process begin wr_data <= ( (name => "Hold in reset", clk_in => "01", rst_in => "11", cnt_en_in => "00", cnt_out => "00"), (name => "Not enabled", clk_in => "01", rst_in => "00", cnt_en_in => "00", cnt_out => "00") ); end process; end architecture rtl;
gpl-3.0
85ee4feec4d3166e6bf5e56ac1c1de70
0.45961
3.068376
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/blk_mem_gen_v8_0/blk_mem_gen_v8_0_defaults.vhd
2
32,589
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block fSUZ1KPlBHzUUg3Ir05U5hxNV6TCExEzi96SDvRaK3/JwmLoBJ8ADMn4DN+BsSkdOg80qNiUGhdM GnAA25Ix7w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block djwsoG43uMgoQNX2FqVQzyKDEx6F5meVzidQNojY7ZwLPB/VxDI88cl6KfXCD8zyG6WCbIhkdN6n Dyl4U8yxs+SUj7zm9DEV4tWtk+iq4Z2F7aEO18Zlwe2YkBgsTSVKONVFqo5cHaEAn136VRKLi1H1 vA6tp+gGA1ksh5lkxvg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Ykn2BuZuoCXyUm45V5N1e0vVDZidpwOI+0DXeE8gnZZ4dYXYCtkf9UezSpssX0oqWxJQZZqBK4Ew 1U03zdJAHJGkg402G76PV5CIcVW5lfVh4lzMrJ6xEGTBK/Znu/L1RHyx99JGdhV7q2MpJi7Y7afI kdBOnK5RhugbuDbCHsSPfCjE6QuMh+cq0Qu+gf7W6xwQLqV6iaO3apJZBl2Uf5mIEvAOwFhZMdi+ PhKrvzUEeBVE1SfrQKlWhG23JU8Kyl4ZT8dRXu2ykAoDUsU9ireBPO1IjIESTl6swz2tjl4XW6IK zBEF4phw1UZTI3SpH/Kj/0DaXzMBCDUds2Ag1Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block kNNHLH2Gc4QhnjTvEt5nJQnWV2D/fnOI/W/O1qd9qlqfRSK0U1vB7wN0rzvyDF0zcZv9RMplJRJn NrRn+Ps3ntTvy7z2Td7eHLO9AZsI5y+6/mi59UZQ9mxB5LAiBQ/OZ9abfkkcFS/Xnv/7yVY3j9pG beQ5Eh0IIM0mFc/V630= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mrG3BywXokzmfVWbOgPTR4fpK+F4NX2YXO4tDdRowfQqE1q8PvCcpAJGG6cVstF+KfZCyuwXCUKw WGmKCqjRrXSq5VDoqh0h6vbQDit83B3kSTgQQak1aUpgpa1IA9BSBI4AnE9EGrXJih4k4zXZNzBL 1vnQJPiOtmJpy5QxCiYg/0NQVXsdfpWOgsgT1axSSBP3ZIsKCkznwnHSHFbdYYhtdx/fLTnmvlBE Mvbx17W8kfylOHnMhw+/YcBr/WPBlB4D1frkMgiyZ+/EiZm9q2DPdHmOnGsEkvWUen2PM+rGJnnv kXpZs8fdkH8Upuht/ARPgLnPigzu3YHFyay81A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22384) `protect data_block 1jh0jBGyN7/MrULo/lB24snIcTcr9tiYv5XA3+SKRhh4rZq080I7wi76cxxjS3hxUYq3Mo4EyrNK jtkienhPep8WI8gkMhNVWvmLkkdIYAFi5PvdjHjdHXpmubViKqlFD9ayaB0ex6WeVeOT54l/foUa 0iNDgH3s1Zs+8x0kVqoLr8Xgo24pY+BxfZ9PBpKzfxKouGTLl3HZ5jiXXixGyuwgvd8Yb0UMOaRg 5mQ2Q8wW+yCaiIIjXr6PvCLfuQR6emLleDuDtgVIlux5rrY9seKKdl6bISjX+dy6N3OOTwVj39gi pnA2LM0464X7nrarJkVeI9NAfcHaMMNKUP3Sr8U/cxjXLiyEGDc8sh4PO5u8KbkbEhfLqRVgKk3o cWbtrXCWX3n65I4+ge+9mj4gu0++utSjg53mXLnZ9FJ06/vRXLbqgWo8l5RYO7Y9DcQXMqLFiq1/ GBmmN93VgR9gWraL4xTneE4rxBdrrRLhEt21823XSaseJw27vGtMlStZ7VkflsyU0PUQqEGAJij9 p0TvqzBPhDfdHpxfQgUv3p9UrC5/cvqPbju1jpfM2qe+NZFkhKISq6UvHQ/YDTWNk5BLFHAIMZM5 iSdYx4gpCCvzHjorAWBwugBwR3V469hzFaNIGThS1f+G17fOkjFCwUCjZ55WF/8X0VcSl8ugQssd f6fHxZxlzy7xcCTZTri/E9wKiFPMnVrzzxsEXsfgtnvpuLOs6E4w/RQY5UWm7Fe1q0Q5JynA5ZES zy3rC/bUR7W2aSEezTU1Dbf7bu4MLi5IZBjVPBGg+8Jwg5BuoDEWPdtwNkpYyZq+KJjNkZVbOuYm K7X5K0TuR8+vulkkZRelfhWhAg2nzueD1cLS1K1RyCiflIfAWOSsEYWk39azvyx1uT2gjSEFB1yS D1cbwkGN/p/T0tY9KFyYgDNJsVIxV7JrSyjHpUnM7sqpA1WpC1ZKEhYK4HCockCX2UewAxvN9flw +jI0v7S7zZNArvnri44mrYzbdGAL1IhO++S55hgyPDNC0Xm9V5gYHyUUVGnHAVc/37x/sYSGzr1e VTLSXMAjr0HbhObN93BILFNqMsr6cbbSGf7rdjywW5OpBX9PbIbEMVgjnexG2aWjJtiOXNQFL/RA /5G/ErO04iEeWkLlBUMSIDLXTb3CqSMcNDmSV0R+kJbIJg6TkhHEqvcaYnXGNfFnTmrFuQgkDrh4 u8z9i80/QsYinOQOuvRN/2EVO/JWyoYYx71cPLfuANORXZxKAqrMYi7vT4A52pgx9T7AvgJOcCDU eJPo8mcCqIz8BCfZ4vaWX1XZJJ/+2vsw2Tq6500fyLW6qM9oCQEpgkuXwunVuZGbLq/162V3qgjz /2Kp0A908FrxoEV53dgQUgqd3I0/MQZUYfy0BHa/GDV9ggyoPTe69NY5I4aystRho2zKXxOTvKSS cdnhNPH8YD9/S7vDXMNRIRBrzitqxTQ3AI2TLFVedIsAlefjZ/jlxESZL8sRUyLj5COERGKqr64U ltINSA9Z0lqlpdL1Hw1DmdJnmpOz4zFGA5/LazS+1idV7ZgrddSanj4NLgETniipzwOngIM1NGhV KbUWN/CClOy3a3cr60Teid+UcmgA13YyXPeU1bYrjC62vN8LgNUsFMDM7H+F6y+Xm5AV8VvS4qU3 bQ6jcH+fUMIU1BkokfBxqH/kQycRh29EbnHJmycfja/KZmmJpntf3gaiXvMRcB4JE+mOpC1H5p5f fHk4Hg0jdUwksBnpqWgiuCCnr0Ej3ehKUpkyWsjwghge2BbMMyqGzwDOHJLupURrPocyV7QKMJ1I Ge3w2FAz9Oq97XjvrRX9l0a/47ZVFsI5TEKnQ9Qujb5jwNPEmgs+R1uhF7gq/jldvXIFPNvtMRI1 8mfQU+DtwrrBWN6VSmtFD7+haiuX31Trw70rEcIenFvSQ84hQWRFnmCWaSRlLbE8CRjOtChyW7el k+dOiLDmD7/xYiQpbjqP9le51YWEUCp3yK/FLH1tUCyEfnyIiLYB1cpG0h0zYSmZIBBvwUDdP10c z+nzE593N5hC8b8lUIC2qR0AqUPlEqis8uKfWn3rJYB8K3ApMMEWxxD/c9uab4Q/pOUMssAV0zds Mq3NNmquoHnjylJYJgSh2pZATnjJoaH1/U5dIPMCDGJQ9QcNJAQ8I3YRbcUfguaMp9bex4Cdadi6 b0xxycVjz+oQW1HUCOicd0JhsPse44PV+KOjWVxDtFE1wZA9Ugz8jp9gq4nZ6DJ8vzfljk+J4tcZ XvJqEJMDJs494bwHo5HR9zmggAEeEG6xLjnX+oFL3ONmTvSc2tBIiC3DDHgaTWE1LBe+aVoJ963S gB4odnxY2SMlCagReEc1F4eu7LqhQei1jXyEesUbBuXtJNcKrgKen0jXZ973K9RdJnbeM0iZQljY mQih8BdI6PFUQtwBaTyaudIaUk5vW8hMxFRKZJHhDvz1EfJKdD5nVC6GxoFJv8+F37Ffl85eI2vx n1B61Pclx+NtoDtWPPR8ENePYrPjHc9lrhmU1w7Q/Zk0vkWfQZQUhbcaZRkr45/cbGNkcfYQbpME Y/C+Zzm7uDwWLl5uACOz3fzQXTQZkDOhNT1NH5k701W5C60+2062UpZaj4uf6v4VxXydiTib6zsD SyInMSbp8ru9lnlLELuIISlDNBg0dvV76o3ZRNZJVTL1/5GWmCvGruAK1k37Oq2RgFK93JD51PM+ AN38nKMhfcXJWD0sRU+RCYlpW+1r2I78NAw+FV19BdnZxDWmJ4KQBoEURD/Hr2loAOeH4k0YFYf/ j0T85kZ7Fgn2Oe/eoGJoql8aFBiEayedUJVFN4/lixY/FaZw0Yj9aOohgD3ju/woMdujI7UYwJQ0 GhzkBIZ9CMQT570t93gLWvcrmRjx4WwQif2kAtM4/QSLMRMHQuntSxdjZGhRoRT7NPjnYtG4mftM qs77YJpaVSmtmD8SFttdOgq7m0ddgy6GlsfLoOrJ4fzR4E33oL9o7cXuXgSbL3muelX+o52Z11zJ xIWv3wLkJaOxNoHapwIYzDhEiYQ/6Qcsn9yTJT2XffB2nMBsHsazfjVuwqEOykNFvxnG3FFBVLNO XaPmxAOUqys6wcL52e9kCEG+Y0KV+Xoi0oTtSyx0mv9fk2ZN4t4HkfPot+K1d93eZ6xkEO+LTfHp uq5j6a8uFXonF2JeaS0hcW4y4zcVDMZrkJnUbBosE7BCRYw/vQLH0sKGsoPxuvBKJZWrYktXHNpr AlzvEiLbGnynfDCObnVezcniFmj6siYY3x+FtHTzpLqbYAlJmmPVsN3L+ob8joCoGbVExD7lMclc JhDE4Q7JMdvXM2WiX4Gr9qHd04qxoDNyBDSH4vexS3ZrcD6qyk/8Aj+ahVJVXguDSQIx8FSKMsk2 SudVQvNVtO4H4WdvHaVnPqhe9hwxez/2QyzruqMFqat7xvR5xPQUwEK6Q7uVU/iG1GlfikpIWxsK /fnwyaPceIZp5zMNi8IjtcMg8O3G9WUp19vaRpENDyWM8vOUTUewGXmF5USRn0FPhYXGl0CqMxSO YRLU2ULwTluP+gE+ijBzC4wyaxpzRx4qECxmfjiUJkbm6KBZAdimDB22k4QB29ShcRIli+GtYL3j Rk9c3epjy/7p+vA/KNTSlTYXSbjDouEBtHpdSQCW3sbVnOscRmtzrs85q8lubmlhxAY6hAcimoCH f00ZSugMji2onnOV1pzRbpW66HhoR1ux2VFBuPxeOOslW1BWckpIJ/4a9SekhzbIhykD2vwt0dC5 MMU3VIlk87V4uNnIXGYeEx9cDKQYk09rXaQ/8EXMKhJYn4v75TSkfcin4ygMeMJW5IQCszZH8LG5 gdlLsn763ZPO/RaA1xLjXfXpN7UChkF0YKAs5Ndv5L6MyGXHXHZvfnyRPCZoc2VP87hb2RfJgfX7 V/aTtGJ4ED1tKANPUvIufexEfF6Y+KqBzpcpNqdqas6iOLwK15NqcVzS0fHko6Fnq3P/d6r8u/zZ wHAeeJKBTVcm4ejgLEacZmqPi2eD0c2H8vw37izdqCDXEA6oN0r/j8nPT6SJ6ShQ5/dC8sbuM26u anN+nQO8maF9T3O7LlXpvZWpy5SR1lk1OKY8In/ylnP+HhdOTsR3vwYbkImdIykA155eDZzX1wCJ t0nX9OtO/OtkVSZzvj+lBzMW3fHKohzbiXn3VMhHqC4ZUltfH3Zzu8/bOppzzELJta30HXvlP6n8 zXQ3zYg1qbwF0k9nMhcPjmEoi8iCpfJIS52Z2NCnWtDnShoQir6vMcWm5twI3bcHOu1vL11zYv5Z DLamdBWKC5DpLSJzIP8ua3Q3nUa1vo7iCxPzNYN+Haea6VksKfWdnLY0hr+7IQpa6kil4RVMVzWi aXQAPXsmS9syaTN0PlUu3PUhLhCmCUEibNxuINEdk7nNiVxGfDZws2tNV5EErSTcZf/Mkbt3yr1h 2ZhnVgbiZ/XnfsuMNM9jH6cs1GYFxP2KddPEIk0JRLypAKe3SMQ+SXPkbHfn9OIgSvoDp3z9lDPD viBtNc0cawFD+wwdV3g2bF7t3RsUT5UM/UHbINiTS5SabhcFowrExCWVCWP1cUnLR5IasYKlGc3h Tp65SyNV7fDX9lhO3K/3tTRPmW+7q54MUw1bInoaKowL9HvwZ2kEyHGVKc6frKcuPdTYn+izbXlj IJvRbcxEi+l4O3G2343ddQI3wblJp3afnm9NuBpN0luHSicFwu2FtQ7fgqX8pVAGPuXZXiXQOTrC JlWlDEfV0yjH6BGpVQ9llQrdflyVEk/DNWMs9kj6QYKZoTuvnEqcVd0No+zVjYx7wukyCkxG60FS UXt01CbENM7pvowc/DV7UTDwW12XNVCJjaPMx2YeM6Wk1fsW3Qi2LV7zljS64148r807464jOTWZ zqqHC3Ef8kTfy7vf0BF73jkUAslVZs+ZjsCkRFYXxDTJmrSSAgBnAoJHm2BubxNYospbGchplQBt oUsA3H0l0mxQpr4wo5nQtMW2zvjMEYZhT9fJhuVLYBZRxIDrI7/TsMk831I5b5ZpkjzD3aidstc3 ub/FeAgs9OuIac8x+/7JXvYVE54UrhX8cF7mwIi48xjz2C4fMCPldoPDOrWwskYrzjH3keKu4EME rcKHRwRYUDbmUzsn+7Sqx/zIwz1+jI/OtaUIHO+JjC07m0LzDhfwmdyDMRsYxYh89JfBgV44ydup +bk4b8K51pWxPAj5bgvFwjs8LezqaPppwywuEDrO6tZEdCYgoMicPWWtTcQ/VIQqjFvf/yZn+rFV mQJCbeYWLeO+hOo1lx1LMBdSDVrfnJBaT/9bpwS27Kp9r2Wt7fB8Ygvfc2d85WWR6IAKZvf2w3qE vtXt82flrj3kCybXbHW+Ua47sgJlVQjRZMazKQy+wv3kICkLubwYG23swlfyiuqdVAA4oaHiqFOO dhoCa2+rtCBAjMSt1x1J4auQpLugGbHOhpp2kPIB0CZyhBvc/cXG2MwsWGJUH+8jDYkTy6b7xDyf 49n08n+3Ry3cCa52BxkjcltNa0gFrYCQYCkA4sUa0bNMcZiOVPqNWDfZWfw8EHMuesYuG+j0bbEE H4poHp5ncHbXuil+CRu0ZVaM83z1HotzWxcpU8Zd1wti4pA4fKTgg6ZFPqROx3K8Tm/6rpMQJSN9 8+EKpUL1CEWWiWdKYZMPLXkAZAjxpoFViKhZJlHqSLw5xI6BaU68KC7b4nQbPtn4DTIYB1Qi/ju7 HHrnGIxQTsoN0oi+uuPqbdzG6QeghgG/6B6KdwoyTMye6sKpFCFuX8k4nuzKQYQvmTeZKNIfjB0m BSLnOU1QoXyKKo/Xo8nDwEq8wQvL4uDxG6seEl1m47OGzYbSRuhY5yN5CKOSQRStSxz5odhLraxu jzqxDy0zRsHSCBrAmLnFts0KnyxOBaIld0rWoiUq8vfFkjcsU1SgoTVJzJAWYAW1v+XLhzZ6D4aQ hBWMAtTLk57blHbBZoNY2vdDth5uOhPu91qD9uTjS9gv6tpwmsVro/i2WAbAwVmK0mXToNc8C0uX L6LUmJIMR/tkkVO9I/lbL9xg7KDil3eF7viPQaOR0jo6lne2h/O0jfMaoFr6+qQ2CiJabE/yzBqh txB/Lbz6jKMAEKAVnFhnQllf3aY9eWZPLOeTJ/CT8AGAdqTU/8TDs/74UscHbInfFh+JzM3Ekd+v xo4xbMOY9JZWlJi0QTyWKioK3dlyYx90cmYD6SDixaIu1gbRjCXuwfpnDxxrWBVOdfuX/BrCOmdg IYa2oh1iFryLWvmXAt1ljPxWoWsc0nUVafJbDhUGfO30b568wCdtJYOnSR/2+XLPba+azkepScdu j9Q105Hd75OeELjBTntVs2FLIK0nEWut+B0J/rucYK8KBmrEBeYvuC36ZWYNUtEyiB4R2HC2S2wP x1W0Tvz87z7t25i1BfuwQ9MiYUNud4zjUTqCt/SYEUeiQO8jjb8FvCsgOTJJUraKEuhPPDauyoyD Gs1ZoLk5RBeaYwgSORwRAZzwhA5RORM8B5kh56SP2NNa+b5S/cNm/8E7CNjoGNOlSLpk1BCAM0xM PicT5+5s0vMD+0x2kDMf6Zcj7xJqBXsK48v01Ltx+TT1kk+h+GRMYCjMBk79OCtTlE2u9KKh9fEs Rw8r+79/3scSmbJV0jPL63m2Qtctli8AoV9uU1L3LWhlWo2bwlnQCFJQzwHdiqMYDABwflDx/hiM Ey00ik5GkQViKsoqyLUs34QrHkRhjYXtS/kzoCdZ0ipqoK+BJ6MutnNwGF5TVzui1eHHhyRSREvD 8Aq8uBaTQiB/H7o26r2pqeL00uEJqhRl0TuKg0iHy0lDsPfmuJBut15A3j9pOUsVqzuQonQhecYX OEDvNSOaMpJE18dpihmg4NH+KviwuxfNURLqb6suAkxaqoWY8wDpkWQt1uF8JBUm8cbMt6288k9V tuhusDvN/gPx2eQNaQEcwlQ6ZU8sOOBt0EA56D5IKprWP4cYaYp6qFtnfaSNtfKi7EFztOeBPrTd CiV92n1aHXOWX8E7iCIIHkb8VFKiCWVrasIc4VGskuvtVrRnubOklNCqI29NwUGwcXZTxgYw6EmA KEez/PWK2Ih9zN7afGr5vT8HQ+XVe3rRs9WQ5uidUpjF3nYj7sjKMKwo2mCKu+LpeMRBuObrhzBX dIZrKDvtrrKSkReBxuYyi/waCS08VbUtVyX9GtYtJwnoieieSb6ilQOscrp2Uc1W/qFZNGtW+dOF mAGxYAodMJhZRmTGdFc3+ESZ2/YblXqhozJF8Fw3jENG44m7VCC4zziemlxZ2pQJIngyvvQCSpF8 Jb1o/tq1NAHbmnvRiHpOtHuQXX9i8ajOVF+g+ixZyqHWK95avPWTrIO2mh7IhKN9VXRQYQa9OeLE auCiFES+Tu9F/5dlnrsmdsFjYvhknrQzpZJNNWzGR39WsIEn6sMb2iOH0KzhWvHJlGWvS05Kje1h DL+GV8S83wqdk0dnDBECHCWjFzqxVE1ZJrMZxdmMsk/3QJKlcp0vYYxCFzKDNdwSQv1olEAtV76x Pv7vsSzvw+2P7fNwnge7Bqz2M5s1moWLHwGBLO2SKT9uTQ3CJqEYqTAeVrx1js+hHCs//dmyaXUq Op9Zy8V+wglr/py5AEVzjAbaUwuG7hAUHWbZd/NZ8dQ0AfmmAusNkxaJ4i+JvGWdp8ienR59sjEj +20ffJ18Imsl8syWhjcGUVEmNIVxkWYDMwJeX1ubZwJp3fNfFHfdavipilckNFhr5hB7pBdxAEJ1 GvWLoA4L8dQ80IG0uLecFzwu7TcfL7Xw4zDpwZzVG5euerVBhnM29suCIYLBf/sg0AO6WHW1dOKY yA0QLhc56euAflhhFNJ5qovVTygw2AksTGsAzcjkL3883MkBHbM3BgQZXxsYNxDtFZ7iPT8d0ZF2 8Fdm2093v3/q3dTHq2Z8akzlkDS7RkAyWHMaIzeHjedF+Vid70YqYBm2YipqrsR8PbW0TX3e3Zii vWF80xiFjsEzBkyeHfhBdqPfkj+fzOPuRA6x4ypfNiKpUg8LCSb+AZg02mO7u3xMJpctVSJ0t+VR OPO4b5zsaZkXSEn9pqucKR9/M+6Q6nNhBDiuEK0T3iAxJ0Cs+DHTEdxJAFDvJcOgvLSNloMWe0sm pO2+2ajiNH47MeX3jS+b2acq53/VTRSo2LC565U3By9NQFxmZPAmYw7KQdEPpgN7hgpmACoSXDZa lnAdGk7TA30dc8y+OauPqJFE0hMwOhg3xGPW2PPvuTlwCOaY6JRLi5WJXzBgeyWoMxlQiG3Hz2No 9uAN+8nbeTdEN7a3cmvlezN4Q5SZ0SxTvD+fpqhBj714CeL/hcGKSuei88/yO20Rg4UOmOHT6TDL 5sN/RCbrNFRyDf7nWU1E00lqyhll3JX72NyuaYzeeq2cgqWDWO5XDUAhj/S0GrdePPBHtxdjmbgI gjbiol7O4xYkA9R45qBez6Wchw7McnulEngCAEdJWHwx/i6OTlycu+JlHGsj1jwEcPmY/iZeoXS7 0cEJzqIYl6JveSisjiaNXYroq5HGbKv50VuSd+5r+qG0Tu50NhZ36qSHfcjZjUXolPFZw5pSWuN1 uYSK2Pn4QKWQ63ba3lEh12vQXicWgfPUk8UxTjdlK1w4iSpk2pUrEd6AHWNnsP950z8W7kV4V7FB gwV5/lXdD6YhGOFSXabXesJziwwahOQzua309+cCgoDttAdr6zG2hs/CnCHZKKmsFVDhO0et2IUq 22GAmlbdUYbKUywAIncYTkFsr50u319G+apvGrjNePm0LrTAldSos5JCQd1UbCYVKxbQ8/RkJyhZ ii7oWtzcmuSDaY3OoKP7WGkBuuAAf5/+hGI/yXk8Y+A/iEIi8P9eWx4COgKaTHBONZl4EKMcwqKF ynIT7RFQn5SJCAl88n8RsSH8jKzVBrkwUuE6/ToB7h21w9J6k7cDGc5n9OSDGmYSZmlxz4i1p0kJ ei7zfhrp40iPeN4jxPJNiooX/AqQcETaY0Lr3DWE00WCIts8L5CUztXdlByA65gj227/LgL1b1jv pi/LFripygoOlzmQl0WcGaYwTrXJhCr21S/Q2zb7QrwqJ0+Ol6IDdVMR/Z3MqB8ldw7FQggw7Tpq ZdGVlmiaX64wkzq7O0LUAsOUqRVVPygJIgZx9ok/RYQQzFThpltQHR5ZvloiJd10ih/tfhAEzBq0 wYAecfxH0I4hH7wG8Pxfg53fLH63wqGWJVuF+dn+AvyxXV/I4/iGO8aYi+rrFqxMiVQf8wjJTKGW Q2aEJXTHOmcqjlG/qjh/WPjwCmkikkVbw41/63kuNs5FoLy1zKU+Lj7cwf1vfy6gHw+0F1qdkV13 /MP61cpa5MOXQrLcTP4mF5aG14DcANWYslsytMCkhRxWL0zbFfkdqq7ZIexBKeT7Y9C7vRZIrFe2 E9UvggD5M78zYkJIC6+f/f10x755fXK/5bOy8xT4mwN/gzZVXvHjueKSXGrWabwGt+1cjYAB7Im+ rQ0W9szrmrqlxk3fEcZEiWQTYteuxokpKRuiu2EiqAVaT1Nof/+VhZueFvbT6kfIi8oGSh7+iaCD dsrPU44QxK24nHiae3aG5GT4weqkAx6tfthrbs4FLwJcmsxaX6JurEPtlBP+IWBmiGc8nOyuQdrh uDsRSrajmTJcafdc1eAVF5sX8nN2qZcbx2iScTEmSVpWWafjioE4/5bxn0cpNXG4Qht7Co7cLns9 ZrYnlT+dkRkIKUSRRZygMPZ4lYSFSDdY8JliA/DFoVdnWzSHiCYjlwLcQE22CXUfeDx5AMhDqKlj hHX9u5E50nDbcA9hF/REnFibAkogYc5ZpmTxKtsYRUA6Wq27ppmHVkAqv6PwK/RV33F81dORf9zw u9hamC11oTgcTwPP0m/sLwiPsgx6UZqd1tflc5qVWVKgVDyqi+FBVMr1Qf/du0JZ6TQcj00mTHTB fCacg41J/N3719oggEcbBRiu4iAFH8R6bfW55uTzf1CDpo//tCA5FNsTsHSFsqcb8yUK97j+BJKO uuCi5zZPRrWoTr7ebb8xCeHzBF0hHXFhtrmx/arz2QOugF1cg+OqAN4FRrmatcohs7QWAQ5OLZ2s +Y2nmTAcp12u1HdUhRx+OwkLas0F1EFtg5BJ/4Ba+WzB6ZQCshWtQtVQQxhBT30ZGEfwGFqQ10Za mpyX8ivKhgAzQgDUowBcrqqUDvx1CvsljUF4I/S0qGVSWrv/1v28wq9Naw41mfpHdMNCK87czJvG G+g4FE2wBtFS5atnivyWm+QB8nMnyGEfhqAwwjUfyxzPD5NyjzbFmvCpnIUQudOuQcXVukCWzY29 LxNG4KSGs/4GOvy6Puk1DXoPmZr7RAuNq9wtfCDx/3NhxjFYXGFTJ5/2jzErT9tSf3bANqmNDOJo q/WNlF3g0EvOsqkkqD409LZcqdfwvHsOIWPi0NRGSOzIpJKP55+QVyKeb+6b7u4ZDqxJEU5CuFax VeKf8FPHB7dKi4KmxqN55BoQlpkJk91ShcDFv0o4EMnN2M5Cnzxi8JOCjmzM/mqCAtjiP27RS1gr rFkMtKj+JzPGhxLkJCA83U51NO+5OavoJcKkfRF8quxbCyWq84fatrEaRuqE0UB1z6j1u0+GB1UI 3mWA2cqJt43xIQxIjzTdtM4fG6PMsPYatrXmKTSr5WPMZ2DB2C+LqPWduchCLUklBnG9HRwnQq9f fDH/BL2gZ92R4eFIRkoLwnpJS5k/1C32ewx2T/otWIXyycBRjlqAL7V9DryeNyRkQc6rjb9o/0oI kc8DVDtdIOaSQSRbyCqM00Z9e4QP+Q22uD0xdDXfYboP401SpuwGWuwMHl2+vDWehKstwsbRW3rg U5Zlr6Rf1J884/lwgJoc/2i7jM2CWzNzBX9faqM8iF/064Q00kKbm3JF5R9qYRESYnMudiTdD4Ea Et87qB3AejDmBtMxeVGHFTVKfbEpx98kiUY8/QNzQWAh6/LkR3emtMs1qoiqQQSaf+uu0dOrFTLf ivL5J2pb//C0wPZv3dR2LRalmDw6GjSSoHMHuNNXMKVE0seyoIBuKORgPn2UkV10Ss4zZixhccsf 22e2R4HAuWyU/4p8bqaZ6vLsRZgmlCpuih6uKaSyWyragGhHjnTgewjJbH0mc4+1SoD8i/+ciPdY jy3FXv6caUSBVNKusMPHWFjCjfBPS6Cyb14l+HRI32n4BgES97gyxqlUn1nQS0FfToqVSeitlHex SLwBfOsOWgNuuLnzUbGOIG6qZCWMeFkC81j3zooV+WeDKSKEKS3NBzfP6K8ZShc4fxebftade2P+ Vp+fIwErz0ZnFBmiHKV88upGn4N8P0CBFl44vBeT6OkWL3qGhFWiDdjEAbWmUyRP68UzjFdplmoD bM1faneOsiwx2hPTFQiB7CgMl4UCKu9YUNihSfXeT82j8QnXLelG0ICTsEud4HCjwbaaWr/JL0QS 5+2pwRDyxekDTWSJxOml1PnqusLre81OPe5tvqCrYx8pehZ15gVwyPU6eJkkPJB1EVNCQT5K+UkY TReQI+mcB6+kyjOATLvYyyJE5+hlChecY92+intBS0VS/3k5xKdetz78wciKY6WpJpW10ZQGKuXM JeFrC+5xrkKMlMYA5tKbeDIr7JmW2vPrgD1lEtaiRTYH3SdxmS857f+vG/ThWn8E3DDx01V3YgC/ ln3lw6yg5Om9/2uBeriEA4yF2lxKm13iGSIGeNi5/QNN4+5C2C3Etg+DJgFOrV75dXKge8+Okoqt DypVdl4faCeSF5Bn3YkVxVKZKWlj5QNlxwoUau7DR9t7fELkU1QKSKEaInHShjr/5V72rvx8/XyF pCEBkmfnpQhfLJi/a+iUIZAPca3i+OcUWUXnw+xBmko8UwITtyauuhRtUD0Sop6DEQhJjd1Rq5yr 4DoZZx7EoawcNlfLpl0pj8BSmzrUIfwXQ7vOvZA2Ay2rOkiMLtM8hdTyh9EwyFY8kymJ5cingqeb 60wxRdsSimEJ2Oul/Qzjvkfa1DpOhvlUskfJlcfvawguOg+u0AGgMR2plIsEPbmybmdR5Osq4hli Eq8lkKY48MK8GqPtACopVNcnXIfoEhTIrfsw91gCitPMTABoGlb1Lt79JPpA6EBaA6pdj5KUB5a1 xm2Ba/D0v7RKMy3jldJuiOo49Vr5YQg4xEppVRNxF+NK1uQub3rDEUbTeh8826qDF8DdeQoHXUSt 7u5K4vgiPMPwfiQlO3/yUBSG5NSasZZuVCx4mfPa8KXCBCgl84XM2mbRxocm6uCSVT/r7A64w7lP cqtxnxjMvjc7k+2ddAtavry+CHzOmfav8QsdYCLGNYlbZutNirkGmb9pw2mAg948Adxd0Vangew8 e0AzTHb5KLzRywUSmTxSJ5m5/LnXCrxHvTJCv/Ve1AM6OYZsEj0frKiUVqGI7ywGg13PfI6MHD5T lZPdtUhILsdIGv8h4iLa5nlmxIjK4wYJZq5OzmMToOq76aJ54YFneHYoZ0A0tffvJGLsz5XGs/sB ABTrMymOKPwt+IUvJ1K4Xf+iGn3JwE35hV2msZHUtllqEKAzp4MIbqVc+Zz0doUNevlQpb4fmk08 lBZ6YXx+uwU63MdSUbQ5x9X3ll0BVwoOccbgqOwx7cH9939nJYarm/Jcg8qkH2NV9sOaGerzynZ6 IrbeTG+XjwW9O7nlZi8TtGsyvSadO6bPrtcJ6tpQj6bqHpX3VT0BURSc5vcH181faY+NpijAHBZM 3lCmhoEqxlep4qImJaVlJowUGYq89fbqqnmg1vbLiLbmIIPdkkjvArbaJDLn9GP0hN8BHN5g3s4W ebiFcRh/SOXVvEpR7Qsly/0vUEmVW2mRvb6lgca5CupeRSjlBj6YghBmn3eKBE0WZeWFlPua/mv3 WQ9Up8eEtiNOMR+nU1G408zXXC2yVmZAZ9Nr2x4gHLqdOjsks7cKQ+dz+ubdkB1Ra4+3Pe0uYhcG O3NeBtMzb60BfQu68iNnA5lKppIiIvh8cKsGL7owVkSrsLkqYAQ71wca3S7Fz6BTtqImesIlvXz/ 87YCzlMFkp8MyPajBTzUilnJgV+9fBqPzyurvAFPg/cswuyAiMglO0L9473WvkBcdsyuL7r+mU63 RySW+wBXSfPTtTTCngHx8FQij339rwCM5qtR0cDorZEcNlwCSlAGcl8bRQqwMBaI/xpjkjuRi1YZ b7UdbvPWwFaS23TShNBJLEtuhNHcEJxx/l2tJp2dJgIgriMgaG65vPmsor5XL0yrJarkp6FAPOqo DKcJROkQw1P5F/t4vPAIRIAVaok/kfXlu4NVxeoBEg5EJH9X63l6D1JReZd7hjo9VA3OhymODQ29 g1g03EQGIYCHHO7JZW1Tc9SjG/bX2LTYCQkfXWDQvBruN7HTsav/P5NZTMlTyMLg+TxQ7Q9Nnypv fV+azFcFrSnzolN3cB4CUE2o9g1++LQU774jESQDf7bYB3ndtP+ti07IMQ5Sn5EOyLmStAGfw4Ca AKSD8XUJqxoA4+vRqPGTwseoc2Kiye2YvxCldtzlcqR6ztF0kWSb4bixL/rAxTaoAWGyRtYwQX2C JEks/iy19YaUK3ZzF8vA9u6ILms0hhSMFTf2+wUrXRuYG624UpvScBSVSBJXiuvhZO5PqkjQoxoq RiEXN2Jr6Xrgk0EuAmGWnjSteUs57yMWjyTcvdMPvzKvwldUIJCjmebhEinHcVX572wRDoAfctUQ 9A1VyT7RNmeTOvWLRxtG1fW/kGoBkyFlqoUjhvfum4H7j+yjvOdmYqKFulYaq34oflqr8VdAU0xM 1JvQcWHLrbEH+9/tPrbFVowkgVc28f3b2vW3vuc2pQEpWXrASjQtT5bQs+LjSHAeG1v0/0jwIg2e QamFSX44K4bx3d6Gq+9JmCocnGhGTydP3mumzh8m2u6GZJBZidZs9DJotOGKUKqshCHrACO4CNpd V6n6jVQIFOJz1ACcRl3k3pFbsVjz2Y/cD6gZOlvlsJxwR7PGVbx2eZ2lIBWEXiX8OMuzbB9RfkQt yQJ9z3HtQl/5vx/GVe+UyTAuiec6eBfW5G831n6CCqlfHr8GCYFwSx3YO+n4w8Zqh03JXYrM/zhf FHYuDjfjXSZIm5vZZWL0RgUoOzQfXAhNDrPamZKO4DL0ulXeZBjO5FJdANKqdERhP7q5aM+P0oBT CgDJGHqpuh3UxTMEGR8hTlDYdcFpPmiQJbl7B4Z6JaIIV3+Sk8jir6BCUazVyd8DB7SaPJ+yy1Sr xBAZBhWIu5jgEDs17ky+xt3KOZ0TYRai5jy872Xg+ll/ZDfdSDJnKtxs0qUpXCmrvnzEVYJh8D5j Z+d8YG8vd7ZhtLSyQUjtYF056mpFyMGyHjzmujEJs0oGwr80Jf9kKLcmL+jqigZEIPOLC2UYum0e 6dJaMMxeZ1Qrvf5SyW9ZHwVAwlW2m/YG14d4he+L1Sho19lhNtY9PFPhPxM7UmCeYr8OKqe3pprO N2GKv+F45HL7V249PRbsqOTFm0SGXKZ1yyCu5G7QY3lXegwgS4omIiD534T8eGClCyI3ymbhnoDk 9QrImNCKFuo6P/bkQLwiuHwE6igOrd2f0djtH0tmUWGWV4Fgq3rY+hqcYgqptKYWqIucKGwR+LZ+ BIeZ3jMIXfu3SqFp6NsOdxh4OlQ/EFMdV2gnbagQf9xjA8MG058T/Pp3KYBFiK7Ypyt7rdusL6v2 suWBl8dQKj+9Kzh363/iF9JI+XdSnp66Xhtn8TNnSXLOUgqSOpfq3SYiPSEdnLLNP+AqJsWiUf4n PL1nhnpDaZaIvf7mfcUQC94g87aG4Ry9DGFmB9A5At/L2ENVAH3DSQfUyWcP2zHQKHV4LXqx1sV3 0hja9NKNlS30A3G78O2NMKLJlszmBPHTqZ6HzGqbchj/sopRn9mrYkFEnC6VG7qxZpqK7djkUBar UssASW+75yrNLA2NldvdUpzdd3dSVLqUKSsEnsEzw9hl0b9JxnrqAjUrxspnEfSZSbTADEHexHID sNsC8Ji/k600TWaFZnuKb6rbi+R8KcjAJ3DLCiAQnmbOts7HM4Ip5l4T/P9/cagbHx2PIMG6zhmY fZTZAe41d4ly1n7oio1y6qud5jBJgezX4W3I928v4HIIU6DlDLkgmYJFBpm5OWszQX3YSCpBhsJO 7vwM9V2W/VDzXbJgI27PzqX9B9dc/HIl8n2+ihDjzT7kG9VzIOfeI8tobgFa/5IcUuxO1eU11zr+ /ikxHCxvReXL2EI7M3AsVOwl3mCBWV/Rne/l4Qv3Vp0NePw7r8sFmkUYz/y9HnfuNycu8J0Nh031 OcLrlZgmEECpfiY14Zh/1dKrSUfnc2GMYQCvc3OpOi5LRcKqu06goCeqbk5Yj8kX0QfQw1+Tbn+x 749kx/kX5X/MmqCZOVjJ44P387IAwEHm+HrHNYaMfd236KUvtwL2kFe3bwY9RUNdN43obzWnluIL vPJnpouCLqM+hZyA3Bxf9yVoID3W09h1Inu4VhnaJletIqZUhGyoQAP9lXpPeEp9hL4+VfgOAuIL SIDvFdQnaTHaQKjTie7kal1+e6vdhgjmwZh0738BkknY36pGRukn74MIjCR3RFjdI0jIPTGfsi4e n5evKDhucVbcXZGTcI9U9yuIQwN2+TDqvSXwmML6v8C9I2tLv/eMb/oVNsUgbz8qfoROxmwUhWQF 7Sb+jnlK8odrS4ecVkLyXkeg/eszjVjXZXPivD9RnvPqNndBeLUHKmAsAR0FR3nRJsDl41Z6AcRt 1wH249zTbSF9JXxYBkNW3PSXg/ZfMp7LUMJUMujx3BrPERvrm2JD8mRr2VPaDDeOwXG4uWBPfmYt VsaRQYlF6tQKUQeDigMFjqQFd+qecZynLuzRYrURoJEP0moVOS228k9oVcAeREdK4lL0519Eo5fa x3saeWncgpdrIXneoI4T4Nv/ilLCA5yHg5CfpMHnO2wV+iG4IF4T49Rmj2mYl4HEdeN9Gsx7DnpE yy+ccrETdUTa6bG+43ccIC+gHdoMYR+Uis9IxcjpurU+CFLIOitl9eqx23c5uIesIIYiPdXmetqM NqqIZonjBMl50oKdvemzZEH2ylFOW8hjOatpjq4lcY2zWBj9keP7MILE+LbwkHHtZBEwrFNFF4MO qROAJb8Kj0RXc9BYwH8lk7VANRseF26tcDdyhukIgzVFcu4pw1FY2f7Nlfnp2Jozyahy09NfQQfG xAEhyzI0TJnycBRpyE7/GZbVOMZVOKZ1rCTcmO65hLV9GTCptUvlhDxhEAejTtcMwEIs7aX4OkbR i8y80TsEKso+NWE/DnGOv9p2bQWrSWyJoXYLXWAqQwNA7gqIu4PJxrlUVZQa5h79//rWO8QCmdwm DGHgQoXDMcOzsLSdYlebH4NEHuWUizA+a5CQFNB4T0BQujLQXzHZ87HtOw925kJHvCK3fR4ftIrZ xVGIN2SIkI4BeYkIn2l7Bb7quKRh9Nn8+AsraXhHjmuMQR/n64Zd31qCQa9yWaha+8zJ3OQNdbKH PonANC9GovEJewFgCRV0cdfe7ESIJLoZY5+rsfsRu+npHzf7hSvtBARzfa99l9V0oLoi17k4U4dD UXs+T7Hnz9gXdV2Ui9SBdxqvzbvrZ1KSBHuuv/w2l8kP7YdVC6lchD7SuuhymlwTje2BZINR0clq nIFMUDBGzXFyoDDdpgyXzNJMEBq17qYLFHVENiOyjlQS4ttAq9hVJeWeP3KFxzwCXrAH/xpTTB4S gx9LzBzN5nEUAEJe5E9oLXDE4jVk9qEPSrsomv02HmEP2Z22Dq+j6DiWrftwXZNF//63vZIBSTBm Vn7Npx8ogvNSd7JhTEpV+j/4yiu4VQCJMkmq49sfIPGhu6aIcil5kniSF/iJqfcL0fJ9lilVF2A3 OejyYfzs6UKy4c+//MGuwCfvIQyhj3YItOTZzc3DlLM9iSNpRrGtrL7suWM9JK3o0KHX+Sg2E7yM iFCKtEFHmU0OFJSAMCx62I349d04RJxZgJb9HUJppw3zF62/1gi7cGsA6mMLDaa2mOKnVT0Nzj4V lvzbfq/CyhXVqvlpMefwHWZecAQf6aZ9H3GHockpqJjiJbj8rMjOevn5Kjc0YvOelOl0nCDxr1qG 4OjrUUIUsgX8fKG5UulEqO6JC2hcuQn6HI++GEwcyDClUKH3xxTNd7CQNFjXwMEHhZtT4ToAkN3g k1EyjIUfjloqRKarb9domSqt1+hGv/AKmkvE+7GBewiBVgxHAty2Warg3t1Am6vepvWXEUkOwZeq qgg7v1OZd21b7SAV8Z8NB8pRCIx4YE7wN846/Ytzcg9CRDbeApGNaj6//Ptxyr0vhuiMyVB76e++ 1TV0e3KsOq0msBTSEH0Vne7e0mql1fZTlAlQME424k4LwhKysoUO3VrN88bjp9jZVMkZRH5uZXqw pqstg9dPOeDSt+v8yaX9Et6JA8HW09bBEMxXnObXrrqsF+G/RtriaeqJpJdIeZ9jQD/tIuYBlmc0 rzJ3IW/QoMnWUTGMACim8eyg2DdsEtSnZU5P3O7m8gq3UwPiq4LnpYClbO8taMwMqY3kXEMjsx/f g6rGCMP25VohbiQDqpCAD91V/Jsv12y6mvqyoqJylDxe5ZHIuqprJiH8emcF9AiSqgagYnMBiYzv fl0yjrvNGHd4iqyRj9sfAJSktEtIqsgvY5ytoGMBkDYGL853Kp/xtMQvBpn86XFzffgJZAvgtiZ1 CGJiaoRnpHJKTKPH+ZIhghuuWmI+iLvdouHD78VG8S4L909IG6f7E7psgmVhGkNsqe+p1UONPOEb 0L/19+vY7mmsPWHwwwqT0dKM5ZyMcThb2TukGr81KArelDWdGlr/+HXVur4RYObJkkkXPTvZ4jKs eBtx0YV602cIanOIXYZoFgnAi1j14yRI0aqqJXgSGRsRi8ksS57Yk/ZYfkrHQJ+Dx7m7m6gBLXam xVZF0aoK+KRCwsdfndTefWt/qedGuii2imkJanhFv+2+koW3pCKRXEsQlVrEyTleIkVJpV/sD8Tu tl89Np1lKFUPCtXK6m4gWYWjRa1bhr/TxgIRI24zOS7J9r0HI7tXkWtjX5hTrUgLuXFjgUR1cwnY cUOmEidt/Fm2rH6pAoR9ZOdrhPBGVHvifX5GGLUgeQ/oqPLa8tWjyVKp4SQQgEQFaNIQ2XP2/Jq7 Kw1MQh662UjxWEC9XMD7MrMywsBTOYjN9eP2YBsMDfdkMTVKB7YaUscARDHkICu4Lc7qhH+jfBXw MD5TpJ95RkyBlL90jwDKr3RzqdxJhMS7lN0NsB3SA/hAW4lOoQb0aiJaoxTLZY1jWWTxK0OuuZXA pQ8WkFhJ7ZqDPVS3IfFi9L2rSpTBHi7XaYI8GOchfZ0oM2J84H7w8yoHRb/ecUjAt0IXVSSE1juo TqV70WMrAD9RGb73hXPSCA0c0+b8aHiuY2oww5sfbSGlhdjBOVaZNKHzeRrGxAYGNm6Voqo5fpXf ofJ4QI4HkJeyI4JL/C+IIvJuav5Rv/mQBrDobOXDNu/3yzYZZ/jwdsk1v19r86WumPjdpDBvmxoG q5pia5keTNAuOQYp6i5IVj5We0ndT6QcuPMIZNItx+JP1gFsa5AhR3Mbmi9T7hVEd5tTlOoGWejW QwucqJ3hwelomQFcZa51xvO7oaUKCyQOawIfUdvywFVw7IezmVoBdxAkYn+7rLpXcyHL1nyK3MO8 fFUUSFeFPRUIxDYj1xh9CW2rZb+exx01pHbeqoSPeCamY4fyQ73UAh1WA+Z/gw/8hdIajmQWBMaG mxOjjTj5N0aouumIkQrmvWz4Qk84g/8CI6iCr2FuMSGCA4hvBwsXuxB5WsKNSEQ3uSAlsgENA6zS O+6jxjqgPHrE/FTU/WkFyegzIfCmsMbDb/LhSARPM5Hn32fwIqTTjjib25QzmHqJ0sINUP5lIJ91 6k9xzJ4k7g3Evxfir0uVn+FJlW7/vnC7l9A56y0u7vmhEq4l8b/2GfE3ZTpIL9/yn35pez/RmNlq GfcsVOh2D0EYnlN/YF2F+/s3EGckPZC1USez1CvOvn1IMXwwnZzpMd9hl+Aocr8qHqciR+6pQK+j +EWQQHP9ir5EnCa8c6tK6+iH6AdHZogI1T5Zx6VfFKSrJJ1+pTPIa6uy2FSG0IUe9g7jrDh79N9a tBsEe/QkFWMeklVywcgDsuwbB1/Bp0gAbbgcBmEHqsBwVbFNRgi5fnr8DybaD4GBPdpIrSCm+zXG b2K3+ph027VlRAwfM2RIANt0VIjiZNG9NX7QP1be+nt5LCcKkKnPiQotHI8EGlIeXQtOUhQVAwnZ ABdFhLSoLi6pap6N+nT4klYY4MKw/ypBw8+zCPLal3c9e62i13TCaweXzDIEgxkBbZfRz5ohqsab OKFWDlNKQiDHyXd2jF1482I1db27wEZEGA7U8S2kMVzZuSPiEK9bVsLyBBTNUpPev6NomKgITWsH ZfrHXDrvw6pyxE2YwFlUwzncb5eVoKnhUZnKhrfL1ktzYkZ/DjLE4AgdVZomT33ZCjJl4j16Pr37 +5BTzG4OPZVcxr4cDeGTiT7FaQPrIj4KSG3OBsTaF6wggaJ5wTyX54cYCzxm0rNi7+DeczKNMZgN 1XwA8YDobCSrd6QUa2NqxjsOKRsN2LH2Rsu1A+qB/qve1Zj6JjHTsQ/Wk+qmeXhRQXlOKqqCHHz+ KrDfDGxvhOPIgkgkA4OsiAisyeWfJn3F2uD97Ve2f7/xNis3ELAkFl0i0xeVDrMJlmy6kM97nyDI Zf/eQwc8gl3S6G0IJt3hz8VE+y8ip14XUC4nfshTPhqbm4VAxTjq55l8qO1ajqsolMfhah8fiBsp Tzn31kEZ6LM0D/IlOx+FhzdBu07D7yUYr1dDfBabhkUGoXBBZCvhsBNVI+twX/MTf7WlZKr9DCF8 wMl0xFCUZlMcgOw+UZDPNI0iQVc1WtCKbnfv74poiYpolz5JigNTMI4VjM0HfavD+eC6Bzlpkn40 QQqlRRDMNHYes7EaKEMQSW0NGQgdJkBGdNZJljWJCjs3dlBgj/+XLujRQTDG5y2/8oatuWYkUWLb SHkHKSN2KivsIo+1GR+HlGA3yT7gxCazSLaDvPHBYXnawcOwQ7ZLmzuu2JTNztv6jpVCFumgBrl7 i94JkyUYGQIL/+2XgxW6+EQbOa6T2kGQ2YkMG6f3G7xg9jq+8PXX+AvQ1+9mQdxAnO5U4D+mPuMp tuuh/VfYFp/1XN8l43nZ2Pb15R+TyXUyOdaspsJA9g4F27uASMGzwSqvE27ovehI928DS7SdYzct AkCLSxKXVNmUrHbU7qVP43Cr3juoDJkDvK9Xphbv845vpihaugnw/tI8TZzBX13cKTAt5ocPsxh7 ZMEboXixJTVUF0SDvHLJ4B2Aij1X7idHIPO9fy4zOf0JmZvBMY+IT6DHQQxHd0X4XgOKkwdi1rjs xIh+eUfL2j3AYAzsQetdxlEZbGw0x0mXZXA075LHA1YIQAYMbvA63eE9irgLfkGregAedV2oLObA VNKqXGS682kX9katwCGfIosUQ7TMIKHNmO844DrgeKvL20IBwBM2Yb+IWXd79/d4q381Xu+/27eb OmOkSyd7qs8KlzXBmb0rbKvFk1gOUCUPMi1elKenlyFk5jpNc94LE/G1cSUIFwvegP0mR30E7WgD wL5/sethZb90Yf/MrR7U2xq4Rpy6lg83IvaT8/msCrjEeNcAchUH3tsPWOmJ/Q395kHSCtdi9qDf DTd7fbQs1M/P+mnNr3PeiuaHOg/3eUIqpZP0bJ6oU3TG/uUld8Q+t9uhsTU3wU8doZ6AxcUu6wQE WrYQqTYQwEP7rvxnSda2lVsN/W2zRsOUrmrAo+DOvXmZwpY/s/hlpiAy9qwtxuPENn0v9beuh1NM 5n951Q/j9cUitvNbbPUFv0e/ASUlTbANVc5Yyncz7l4UKGBl/p9MKGQh1IQQw8R8zSK2cv3Yh1pk MbEmfMb0L+0SmssYwwGvbBXp+JyEmh/yGVQ3SHLeB7uMYbFxahxGi9qmYo13JcmosU+vmbOeOiMQ zdOolj0/9p8KsgGWZnzthCnVPcz32t4YFHJTdHateG21FE02koWConptgSxt+6LDn1L/+cTkdIjp t61JYY5NhA2xqcGzLFrV7+C+wxk7z1G+pcAdajta6UvzGGbGqSKqexB/BAqYnKkVEwt22cxuTf8L fOtSJ4VCzwIKRXqS18JghEn7G4Em0Pt0rcM1e5v8Cu5+DAnGB3c6GKc7BgNHbv3mZepWixdBEPoG cYiPL8mJ2IXoWPMIFB1cJouqzkWlNqTWB6WxVXDN2o6EPA7jEzxOHepZ/2di920G9UbZFqy5O6FD Ldj00ZW3xgmQtoinj9VFP8nMnKP8vBVsKrzZskXk5a3ImgAcIua2Tix/bKYzip4YSwA8N9x2OmUt sZjVsAOeO9n6mUEH4ewd/P2F+56hgsGvtQOh0pAJ0w4uAkVFuK9Uo5l6pcUsHEju3NaytQFnRgOa bkAzlmsewCLp/uTdBToTbwEKGbCEKmQM4bIGzTysdjlSVks80Ify/wz9RFbmVNUJ5ciyq4T2ZFGE X6lFDc7pJXewMSAK535ZUeqGQvPB7anzbP4Cm2MmQm19pA8Lc20XhksAvL/ngXmR+EC1q0PrVZu3 5Z6lJmn88A4mWhRZFoE+pwd3BPGTMVorIGlswvkJg8Ln0NyBlc8ThpIKwlSjdVXFmgpecfsKUo4l KaRxWVNXV++XFf5t0uoUq86k/i8lr40MKYGbM16EwXrcuZqR4hpsoZXR+r+ACxJk0WqiT5LV7583 Wb7Lj0CtHbQdB8MPVZrIFDt5u3ZzmXjfTBwByGsVKmRXuvMO+h49pi5S/qIXtMr+QFAPGbeXyN92 kvCylH+KQFq33BzlZPN93NhunsnB27mCzhobcvUEp2ed8tjpJF9nCeBfTIe0RLqiMcg0/9yYiq9n qO41MkChaTtoX7Qj6KqcJcF/TO1iQOctOu4vQR4IlHmH1oVFXIx3tZMoK32QiADKyNN20RmfG6Nn +OdQ1Z15NID3l4rzsAYV3taiUllVmojD5aJ8tinHiY7lWUscfNyW1YihUflaCbqMoTj98lkLDiB2 BBn6wcmkihTj1Pk+FJelNIYheBzigtyzEgbwhufRFVBmoPxORGcSaFaiXQpBX68oNDzYN4yJENA6 vPqnbJAgYJM3LJt32hYr8ShTI4YCtPy9tgCpsfvEc5mXWMilBN4Ms9cxw0qxE+VxKM0iKbB/s75y /MUUWoBF7hw25SysubPVA3pzNZ6p2aaZitV69jIzQlaxTRN5j7A9vUC3qyxO/FfbfdSsQjaLG/0H O2Nuoj27qAXETtmsSxBg9I2MsdwVic5vnKL+CJJgp6CTHgEeShPZVAB3MRzKaC3nyGNAtlZYKmpG ujquK7EFGLjIhMYBtDmBBTPjgptwEVek/mvffjzwHJUOIY9o5cMr9+W2TT/HjQmBPHqqkUjXTRcW r1mgljaH6kC/PczYjpOWTHUpYa768BkJEaX6hNmQGE6AIFG17aswoBy83qNO1RSwWv1+/Jn06Jdn 88QKqu5HCVR6k+I32Xjnp4NjUD0Sm7OTPmtIqcbibto3iH0nmShLrSnlDvBDsd0OXhluDXYNVKj0 78Za7wHWd3t5H77wd7UK6jRP4Y6KxdS/zkA8t1x4Oq9t1zan2YqxFBIP5VNhmqlQegbShUjmkdkB EWVQT70JEzCjOP8Haj9yxOBOsw+cKUPQdlsHHBMib860VjzLgxML4jpNKp6WnxQQEzf/M9jSUMDT DFpyzVQG7sG19/upUpYnxIqst7YGmda4naIc9xGlVxPy7vXzs8TqcvXH2HlPm8waS1jAPAaDM42K IfzphmHdT48b3AvBu5Op2FWxnOkyjmPxY1PNyTPimkIoQM7eCoNgNvS/OUoR3IVD3cJy8NEhiZOc /ZX2ugoabTzIVpg0fhbWN0/SLTK7n3QHAyQ+r+rxXE3CyZWbEov+C5smV5ex8oubi8P8BkDSj9z+ 0bk21WPzzsL0O2el1+S+C9cpsbxuYnQpos05FkxYHA//6M7b6mj/rRCIA/TYCRlyfb4NkDvIKvef VH5eiixYOEYnbeV5ts/29I4e9XD/9nPlSnTUCigj1uFXPsyVT/913zh+t83+62g3A78ZxbE1oQoL EtyE9n1XiKcrtrvJLoU2iYHs6s1MFA8dn0BKYjQoYWqb9DK8jcr02p0Pq2A3Xiu/chLFuKe2VWtz fzBvyJRVb44ftIPZv/ZgGbNXjuNG01V2FapGSLQmoRIoE2GFbENQUgBHIJVYFotZNfprjWuEXj5K AIPYgZot7RWywCu/78J+xpuWaLURj2JHHLrbarRifoKOZiVMW78+SaXJdPmw7gohmRtoXVy6DdU1 iQDzXA3WXB7aMED+j6li+LQAYBLLJMrntjVucj88733zZGc/Di1Z2WefREq8rQB9ePcTX/9mHJks UapdmjU2rjOAh0JWRe8ZbOoETRKtr/ExD+E2D+TeCGmNkw25Fou1gdBabXTNULoYlfPgmcbYp3up Bc7X8R4SFn4LMKTOXLWwQvV8mJPoowGlgbiepkEZIQ5dk+j2KQYbGw+UI1pVczgIrmiZwgdsmxFG vZxhFHwCIrCm0IDU4WIgMdj76HqfU4lh+fxNSS5p7Vl7jCXhb17k+pODI2KJUn+mwudgzz8ofuqa zenPGJ1xL5zGubhPHKDnXmJkTVjih1zqxr+lkekoz388pzH+dIa5L+R3j8z8qe9GPJ4jyJKrf3im rkaWsw/AXAJRNBHm9mRRUpHIUXNDuI+/VEkQgL5vXBbgvBHQtMwg3WawBVLZh/u5dj2MjT6uQl8Q 6idBfxrYvbvrFdDTwwUbyrhhipxt9mOwgS+ifSQlNzmBJY3RApgL+beM0xeEy3UYq3arT9bR5TtS cSsSk1Pc7qmWAksj+pPZEP9+BMX70RjUHYpuSH8i3Ou1iGxMtrABi06O4bXrzH1gP7EntINXjqrD vMRR5xy13NvTU/m1IPHSzczfGrdeDo7LHqZkVWAClGESXB/PueHgVY88G0h0PmXVPhxiffHzd/lo QxcKLzis0FwKzDCxUx+IZKAAxICrZvWNY/f7qwIEN6LilNMi8AkgqBTGHKYlT8dTgpNU6CG5Ziny hzrJUbWihIzizwK2IJ0hNMhANg5jtc1nw4bf9MdB6uQbzvXapBWShLyOIkzvxmKruvYx4U02HSpk AKCLsBx11a4y/za3zN1LRsu8XVhk7/zoCHvnWpQe8fupC2GenIrEANJqA43ygw7MTpGv1vufYfhh tv+m/qxz7uWVN6S3tN3oS8QiWf4Kw8ONVCFJuicsWO5zsxSlQ36kj12BvK4XVudeqZAhVN51xZdy dUkiJmkulhlt88SQPDTTN3+OdlXsozYAZzzYYkVCSBXlIp8b69i/MqyoJAn8xLU8/tBCmAsEncug 7oLYITh9C1dOVGaTR1nI8ZyBfoPYYN8uQCRnGvmRnDhmbX9QwDXblVmbSTmt1xAZUgsfuKz+Htxf I6hz4A61VLDiI7e9xn7x53ZSO5GRzg4soOR93iUXRmtvR7ODGKJoO53uHEnPDlk2A7NIpkoQ2vXY A1dB5TEG3Fdybjw+AQSkaQzHUHXW3/5yqs/DPYIT8BvFQigAlbddJqQGgSmGuTnieLiYUW6O0bzK d8yQ/jPsYBc6/UrYXjfLOZ6dIiaszAwwe72FKgchLPhGpPIZsYN7wR94QqOims+6LPGa7PhWZaGw GO8HTCW5MLl/xjeVcC4d2MuS9tGO5bkzjp3QizFKRKoLR+C6JXgUXOD/lXZuusNmr4tYDcx2tu3O D7vc8mHqAzkt7YF4RSUR/+CgvWg4nOreqCMw2Vz+FOvZs9jumBw7Rnv6peWktqHBMjghQ/a3V+zH I9jwHdKI5viqZStfqrQ1Aae4jA47KeRE+NxbImULxpeKvAYPVXlvTJnbaoDJ03QFm0y5WawccSOr CtyWVxWNOY4HZ88An5YVD3wd2UwjDOmTH3y8yXRZy/zZPDIU6FoXfhHKMte5tYOVUIMGnMPL1Eg6 Ah1K7zcl22SW8jm0uSGJ+nrgrUtezaNGLPr5DzxS/AepzovZuTLMDujttrvlubkigMafPPZgpZXA q67gc/2rQpjXOeynchPDzQnRCkogDuJW5IsL+WD10xOJ3JdTp6832+CtMOfrbhGJsVF83aCb61Z3 B/Mpk0RB7E6faVBUks8/IYqFzH0SKMqYWoTLOfmMqkkGfArP/34Zne4E6U5sA2el3TYd/40wC4Wu 8G30wvWDelPyGZpGmfB5eOASeuNsz++6Em4zZXZNNGw/VNALjrpe7giW5KL7MwWjkTI/Gksi7Rdp hUt8wAs34qscFwIGHwuV9PebJ38y7FmZ8QbAxwa5OCwwfKLXdPn90eepjF1R+7rkcFIIIok/pkft zs6yv2QHICOSN5D/ruBiibutbhA4RVi9Rj7lQcDhv7aLyFHhvKmVC+G3VS5xc/1Ahc5gK511Z6l+ OltVzxrnlBIZ/pXDXk1T2M2MJP4nLWmk7xHXStEhG/iPCGS+1yv/O6JJxdT1J8ivFw5n0FJFBZhA E1j8tM2VvEzFKRTOFBzoGNqV9Nd1M0upw0VR8+r/v9IE2eS4kBP/iNO3K/QkdJpT2NZ9gQf0W3cY YV9NCjb2hU2EzqSQk+qBZS1tcCn3clwUTuxGC2Bvnv6CzC1UjKLoQ/EUHRIX/sGq/9FQnWvsuRtR T2i+Di3+5KSIlcCBbBjEoWos3hYBaKU9oa/Hgyo/6LaVWyQoR5HK6+T+6KcuV/oDrtXBiaD87PHJ 2nUpvtx5gbMGyWgg8QQsJvmmq+vlfjW/YK2r8cP0FAUQlR/pYLfL490dIcBxjBROmyyMAynNUVlp dEtCRLcoz5EkMsystoxjss3PAWd8W754qGg2vhwYrYwuBQMMuSsu7tCTqApaLHHSriVNeTU6Pn7Y Q+9fwg06LDmTqADzKe6SKm26N+ArY/uPB8piZdaHRne3K4bifG7g90Ld1ofBdPpX+ffPQQm+DaqD jLh3AScrgwjMC+O9/R9tQJ1el86XAgXC8i0u+F2GO8H5ILztEU8Fdcv8nxgvWpi5CvfUHxONWiN5 DX68mOEWqjRjr2JS88A2v30B26JpKlE6Mef799PNPvJdEwFVEZlTMOLxnzifhMvB1G3lvHguxsr+ i3dv5sDWzsrjgi9H8EngJg8l12Mw9DqUHrZHkHULKS3TYKvGpIsaeSfqNFKK+RurUtSxqM4oYklY IWKirwsJNCAKt+obijFolW7JGteWdqafhirzrIpxqDY/FxJDrP0aCgvvTTpJEMMxJ0iLqcwLSpDD OU4EUWXjevLaQKQpMv64HtpKuG28O0wdReZI+NXLxTAoFdpCP1wEryqQa0Fke/yJzpO9qZm4xlVP BvYsTrRpngo5aT0xaEkS/RvLoDyfC3JDbXNshbRIBBcL2oSgozorgf2B8dOf3/2RJKxGXw5RN7rc ROqCDhsC2+v0cCYjq27T9G2F/rhFC4fKb75EM361rxRjPWg+z5T1HEPZmiXv0c5fLHqXxIN+r5jp xmwhvmhzq0t2jgIkQ/azNTWDfKHTi6L00DtNA6AtaS3QnGopAuXrB7tYchks4+yTo6SHi5HtRzfB LemJrXqtF43bvQIvgOlyd30QyYNRSzb+iGjsNBmnpVj1ctWF1dSlXGXD9Eh7MdNPrpi6tpr4Tg6M Ddas8Y0s+KOAjrEmFsQtHfKrvXdGFQhxZ6d45rO11AEgaWt+fQ5+IrkR8kFmGwwPmwyJ9JLaEhak 6JUF2dkqX+o6W3iZSbM6QeJLs3VzaxSSHoJKZrFwCVpeNnjftLYaBlbtssVju5SArPFsRQO4Ozra DX0+h/CLf7peOgijKlrn1n5S9ARci1NaYg+XF4EU8QpUz/5S0x8Y4nMqd0Pz8edns42wD7sf1P7u 0oo14iQIW+4E30Q84A8gYZqTnr8k5Mg79C1BuECRQpsQhNUuRl5mB8xGAvjuzv+nalaewJtlBGmc YEFb+x/AONLItj46mHWtodtGkDQAN1E3oc5jSDtDYKUE1FXfob65entbwvn3zAQ2kBdA1u62Y/fI PLrY1FHlY8kfexRA97DFDMCNYuK/YC600MgcLeXjIvd71pSlSG++fwUSzpmG4PtMBK5MflaOx0dm qbeOySenMzbr0tD5XLkOY4wRtQjC2F4KP49iLlY01/GT+zPaDuW1s77wf40ZEs2Ov/i9LGRdadTy qrmOn8AnYF18+0BB9VsjeHcRC1LsPMr4/+vjfOacmp5TTn45zN4Lh2AIrKQb72Q1Q7Q4ANddAldv iTOOX+I5mu16yW0wm6KjipLzlsoQP8vxVHCZanu+cwlprK532Es2b221uVZjQVeG5LnNXVfqkyls Ba07NOHVDx8RuLPXtNXY8aApYF0KhS/Q88+vjpMiuNc+Py6DuQwPyfDpXITuCDi7CxGfBdErjv5S +bINggaskNRU2EfEkSfOLTesq2vIfz8K/mxwCteJfCSFGMaD0ZHW3M8m40KezY6ImtZEyPXxZMqv O9l1wEyPGUWIohNDFlr1L7opzNTQDk2t6DxzooFzE+rLfuV4w5VKN5vcMt2EISKLzGrF8Zo3PMtN tV15430PkcCSIo6diOdWsXLlU+b2jV0UgI/ROyB+9Tx5pd0rQH3uXldtVu6aV+IZv8YOOIN7LIsP OH60LndlJlf+uyx5K66Uqj0L3Kfy7Sz34KVGxi/YlFX57OfhLoCjA8T/w8JYijsaVtRHJv2SZrhX wC0ICZcl8tZcM85h2nUIrCwtgZcpkz1HX0GB1wyItJKsInyFDG3Ie3m2JbycTF8Z7YOVDEMow8HS W4ferFPwOt316OI4W8yDO6KW1TyKHj+FF3wsBUKXhcyacHxxhatmeO6UVNpGLC0K0lOP6GrU8h5L ZenTsbV/DSlH46pW8Y7hX43LjN+3x1LCO0aG/NOLR6PVdORWNtvkVwx4S6ZrswfDfy+5AnTkHNVE Kkpo72lxYpyfqNGSEEdl742tQfGrI/xJwsOk5XcUvnDm0+nSPAIt/uAtpN1SiUHRu38MNexvYwX0 bEOGS6QDbC4zqTmQNVTZehmCosfAFQE/MKPIY057tfjazNTOmYoqgpjtX0pCLv+d9ogZxD0Lv+76 vDEKxZHpdLNoz/U/6u4oMVk5q09LCVd2O5IOcTowG3VB/+EPd5/minXc2LoOcPtMROF6jbBtPkFr JjQAdDw8NkxuLyo6WRy4xCYpaJfuUSawKQN9g85R5wUIoBP8GlGi9ho9TosO7F/i58BFUzldHXP7 yUvih6rZ4F2mtdlFeN+488cJMmS9S8eWJfqiGsu++1hqeyGYFjnewuGJB0KhPyNL1pGqU+XbI2zF KKKpS0VJAPAVtK3hw24oYVPpL+nlesDa8+vBYmASk6bkt8rFra70PhCW3q2ynAJJT4L094wP85dl JovzHCt67lX8x+CF9dbinVwsGDQvbLRWYNkfhxatJDQaekp4fsRZwa+rbf1gSLy1pWBUNb01cXtV iol7qz38KruKjH4UbSdjlf5aDHg2xzea2QLHLl034knP5cxv6m3AK0ZhfV7y6XhOMjh9qdcUFzwm xcN3DdH+s8qbcQSbsZ2cktg5Ik3Rh1Kk3COwN39yJaA57iXJ9NH4UQM8bDGWiEylzzLkF6csUlkV l0g2P2L1Hp3nu3Yj80e/p2roXb1PcnUM55I+PXTAGTYnwRpBN9B7VaI5XiOvxfmg6RmgrXiBVcls SieUdbwJgWs1prC4fYzm/BaP1lhOtL9teSnZu9zxxd5xTPbcTEGKpYKGoKQlsv/QwyJuy/4k5Az7 exmORenWY8UgI/wnFWa9rJtFOv+pic7GbQ16H0X82gLBLv7yUQUDggiixVuQEosAzUvBVkbo+5hU KTZZWGImjMul6/Ok0HXA7w8ekepgoC7LBpR4kkQuAmnDUuPugvRFFFoJDiDNGFzMjtzy0d08I/Bs wpr7b/joRFS+4qvXjXtV+TUQFwWi6mQLmp7mzJzOBD4tJsBEr8qhol14cFiRYSgngEf4AlYsQAkR 1+gwISiZTORPkQAwTEQ0SrXk35a4/pBpHyXAoXTUv4vT63XJtP3gTsERvQ0u5n3Js6p6iqgD+Rdn LKMmfNa57HbGG6G9QxmuejlMc9yaEwzXEzeUN7xx5vX0FkiSjh0CUpy3lfx2ULp4Pt2vvEfSrT5W EBwjcoVc0XFWuSMqyAjxk8Q23NhxHZos7rYZZ2L25u0ydxhMbbn8R8gpNdr7OrPABagSt4WbS3iC hX590oudxR+bcsaMA2TUnnfx0CKdnY77yL95kpYILtlabQkxt4V7rtZEGq1gJd5tMJcjVBYh7P3C aqnLwEWqILuVHYod13vheJoB3apPDWnrf2wBPQi/jtCSvt+X2kL35sAbrhghjFD/RurvFKunFhii ortA+vjn4sZYIetjkh4XDBeVjjfvgGvVI25p+joKOWGf0ZeTbTLq9jZMXzTh3x3jAPAs+/zWHwMu nzFqqgk2CkwE0VEfgoimRofO9HuCkjXWUaOdlHVdMY19oLn2Bc7R9SeR3BQM7bABzeTkuqU8R8EX +eq6TU0I9ClBz9T0HUu8W+QdIlKBWsHqs2E1diCig0F3qhZZgCEIow== `protect end_protected
bsd-2-clause
a862320cc175942765385e268cd0fc65
0.946424
1.832181
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_bram_ctrl_0_bram_0/daala_zynq_axi_bram_ctrl_0_bram_0/simulation/addr_gen.vhd
1
4,413
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v8_0 Core - Address Generator -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: addr_gen.vhd -- -- Description: -- Address Generator -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; --LIBRARY work; --USE work.ALL; ENTITY ADDR_GEN IS GENERIC ( C_MAX_DEPTH : INTEGER := 1024 ; RST_VALUE : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS=> '0'); RST_INC : INTEGER := 0); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; LOAD :IN STD_LOGIC; LOAD_VALUE : IN STD_LOGIC_VECTOR (31 DOWNTO 0) := (OTHERS => '0'); ADDR_OUT : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) --OUTPUT VECTOR ); END ADDR_GEN; ARCHITECTURE BEHAVIORAL OF ADDR_GEN IS SIGNAL ADDR_TEMP : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS =>'0'); BEGIN ADDR_OUT <= ADDR_TEMP; PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST='1') THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE IF(EN='1') THEN IF(LOAD='1') THEN ADDR_TEMP <=LOAD_VALUE; ELSE IF(ADDR_TEMP = C_MAX_DEPTH-1) THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE ADDR_TEMP <= ADDR_TEMP + '1'; END IF; END IF; END IF; END IF; END IF; END PROCESS; END ARCHITECTURE;
bsd-2-clause
b1194440718bc9b60d24b5a40d278766
0.578971
4.577801
false
false
false
false
Yarr/Yarr-fw
rtl/trigger-logic/edge_detector.vhd
1
1,226
-- #################################### -- # Project: Yarr -- # Author: Vyassa Baratham -- # E-Mail: vbaratham at berkeley.edu -- # Comments: assert the output for the duration of the -- # clock cycle following an edge on the input -- # Data: 09/2017 -- # Outputs are synchronous to clk_i -- #################################### library IEEE; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity edge_detector is port ( clk_i : in std_logic; rst_n_i : in std_logic; dat_i : in std_logic; rising_o : out std_logic; falling_o : out std_logic ); end edge_detector; architecture rtl of edge_detector is signal prev_dat_i : std_logic; begin proc : process(clk_i, rst_n_i) begin if (rst_n_i = '0') then prev_dat_i <= '0'; rising_o <= '0'; falling_o <= '0'; elsif rising_edge(clk_i) then if (dat_i /= prev_dat_i) then falling_o <= prev_dat_i; rising_o <= dat_i; else falling_o <= '0'; rising_o <= '0'; end if; prev_dat_i <= dat_i; end if; end process proc; end rtl;
gpl-3.0
6f34e3e0b9edeb307f657c83d7d7528b
0.486134
3.502857
false
false
false
false
cwilkens/ecen4024-microphone-array
microphone-array/microphone-array.srcs/sources_1/ip/cascaded_integrator_comb/cic_compiler_v4_0/hdl/delay.vhd
1
24,808
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block iGfOVOiwjaBo5/GUN6JhRch8Mtvg0DblOhBA9OwtSwgfNxdZvytHYbPwDSmBlbxEoV3Ia3BDQR4a G0MbRer94Q== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block U3CiB0FknAXgOJE6MWwqA7wXrGg05lWT7gMrzSH+nXLwXfRajGocZy/I28xF6RI5OLpqfX877Du2 AC8HKGW9BSNiR3U2sD6kWwxgmw295C37waoK5fDc0Ybao/ZSZYitB8iwZDM0ZcCBBhBk+Ths0A1o VrLi7nEIa+qd/0ylBZ0= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XFl/B3daEvT3j8TqOHUTljPcHf1TRrD9EwQ3zWOsVUBtwham2htE2d7sGCIhCKIFDQr2h19Tb4el 7T0ZF9QF4il/gqR952BZEaKcw+48mzRnqCqsZqfdqo1LgZzRhT/PkGBOk9AnxTCDGOOJ7OB+X1h0 2azNr4BNkkFy4PPRu2Vh6DZdc5zGaQJqMr5ln56mxgd9bBmtyE6Gtz4VFHn2tdiTmb2iytXUim4F gvd6B2K2wQgZMp1VBYTO1vA17xEyhRurW3s+hDPgd3udGiCnXVDmLzXjY0cb6DLfWZLXvEXLFXn8 vBNtOytZIrt4iWyDcO5Cb+hHrLvPpLxRyGUwvg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block lumkIzw1NwqFeF95Wyop4wxZtDcD24Flwz0UOID0jYkKtN0mpRiQGxt+EkPl5dTiverDL6MkKN4a VRGk3iZOeVw2+SKKUafhFf3eLAcWlc34zHzgPoJS0PSTdhlUbJeu4/latnBLF6Yf11cfVJ8nyihK yrY5/RGQd6Vw02QwX0k= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block lF4TJKO2ubyL3g5+Y1Q6Q1JMtyztOIopHzdPWRW0BtGUlFAG71GtP1BddPDBc6YJWrybSwaewyIZ +I/3FLkl3m5lBpUOEqRlA0f5728J4hh8AQpfi5a+Op76MXPrEXZGIOUAfEFcKbL5SlXC+kjnaM62 jxWT4hcAZAV1Vj9AuhydZgOsaByZeJD9NFaZZyLFRfvKChTpUMTQjxxbmB7hpJxZrRmtWQOfpbUQ UjnZkOil4OHQx/nreAMdzZd7dJtrM33+oJvYCd+J5PFa/zs8Zki8kDvCwvRbc/LzJwQwh8wI/1mf ZGpjvg7SSfoOYDxj4z8iJyECP2KNNTp6Bf97jA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16624) `protect data_block k57490+uapNb1kip1vHsGMA/AFx/jH1xPKK/B1MEDzo4a5et74i2o3Tmc7MHjYpDN+jTfB/6s3dr UwIJOH0taa+kA6ADgBcpSmIREMaVwlSzI5y/7yrkWngOx3EOIWfsP/MzXzSJ4d4kLVwrsEjCu0Wl lBCAKgzZgkgDNJ4g1Sq+3Gq1L8Mcmi+ahNRFLxLcY9E/BCjMGxMZEzH1wCl6lBdEqfW73Cxf4TGw jw0mC2lvydlpnqHur2+NFEEZG+657nm/52wiqQHu24MaBUrsF8XM2P2x4UxaoKxMl7HcL+VA0waf gbg/Jgd+r6i/ZBwRBU1xNu7UHujeVLs+ZaENjRGDC4svRdzxN1vlGScDHbVUFH7knIGvY1B5i88N c17wNGwqQxFYyR6PFhFCwrdWKsjxx/49FTrSAjN6h8x2fySWfJcu0+fxcl9V/nSIKBOmXkCOVNsF 4AbIBnFoVgydZ3Px1WrQSL1mX/0VSniWUJdwPY4F11akw8A0AGJAxPdBsKWgykhWvL7O6fYj8pcs pJYfW77/jzWXogs8D8CugtUd748LaA5OBgIxJYt4QewDVQr5By8TTVxaOmeyK7M0RA3yh54wfxft U1KhMhXvkb4MYasRwGjryjQH9oBI2iyJf8lBo8K97UgN9Gvt63wE92reEJkfcldFjE/CX3DZjj+p taBHwV05fssC8VFO4zLBgc+EwxMItG3mh5JG1oRDb7XkMooBxuL0UvWtPiBcz7ExImSr2KteOjHF SFuA8dPlQAYjhk5OUy18OeaUH5pc/LNtMZahMcJYSJVkufGN6RNKgdkLjp2pCaQMRfByLLoouaR2 VYu+m0o4yZWjUVm4gTHQMNnOHBjFmwVjpwtTiU09gHriITBffYG8e/Ng3Ntl84jMbFT3LMmQzhQ1 5xthQCDx7cw93UvOiok3Vwg9MB0DgjIVau26hS7GN6YFrQNFxhQIarqYyD60KRlcoAY3UTdbOg5W xKGl6FINWineiQ/qUUuCQONP7A1xFihvBCaYYlfx4ALd6p0u56+TZCIZcxsuunY9viIptRZxJ9bj Lb52ZDrVENd6wPOeDXDgDIqwNiV0LhARCxDq0TTKAIjHlz+hFLD3U2tdWWozSz4QfBto1IROLGl6 aeVhGdGZYQLJMYE4hCyo51UawdgO8nroe2UJKuOpI1nLyXBn6NjwU16Y2q4gyndi4mC3EhxM+uPN geQ/RXvo/h/Zi5FNYiE3/SwBrV9K3DEUawBT0T3A1EHurvOTuVz/qr4t45JfdMtIq2Czq4i+srLg jMoKp9IRb31kCPEYFvJKk2UeHf+pgV0u0I22tKPVOVWQyRjePPF8oW3MktU6PfgS5ab6sj/7jO1x gM9iUx9hvHeLuq+DtESY0xq1C44LRhfEiqE1Wa+J91KnoMOFaZBYTe2jLHQj6q53yoYfFfZsTOLa 3Gs2Qn17xUbAJmKDMGkoRsfBxvXB48/tmxLNjlSCtipKzAOz8jfH+i0tVEvs/TCghYKVBvHl+yAt NMi9DJk8VM8EieQvuaJZV3XP1vcHLwWnxBlnWJ8IBpyfhAAJcT1TZ7jfJjAB7GqyzqVfwOGNJ+9F rCJ3/lYuiim8SWZdtUYNJ7wGPYXEWi5moVdpUC5ICgo8DyAEpE6eziH9qD/8ZIEyzs0SRIwa/ncq tzPhpmwJmDN+dnedgd7j7E7qvCkWp+UxsrFp6OojqnszVvy77oDBPnVk70BS985DllPv+SBwk8u+ DowoKgJCzp/MdkSpD82/HwggHmA/zuQegLZ8X67lu4ShP2HGf4DiUEOtzFdup8mGQNV2k1Ufu0ty +VkYTX5pkUXSda061ELL5PCVdTbXkqv9BZUS0id34zX1K0zdf/65OK/H/ls+4I+TRz6EYWWaBkBi 3E7iv4G5tXkFjsAWTVhMeAAaRyDfqn6ad+UvR0VgBcsJGVR/2pUv6UDtkRGiSizOFOe+LJTfM6+i GFulKwaMh8ncnQznZp5QzM0zatyRwZM7NhZHFPnCAmh/Olmfr3ModMoXQbVhZ7EWFGqDiICecUDh tIlw50rh5KgQWxE+hS7YSZLZefKG8fY1tKn8sQJq4nmJHUxp9JFF8kCb+kwxkHFNeRPygan4kEjF E4wElOg7iBvyXyerFFiAH3no4rQ+WQItapS1YAVavsDw0taT8rDxq3SkMnbI09LFagMQ53QJkAGK ARB1SQGtckxpGH14lnYnPGm+Nm7io9qY1223BEGH/+hEOBZHGPvJd275EFwCMEO7dpVsGqI83o2T rRKZdAMzLvrapaIAtjki8BAWFs6J8xG8HMeLeXAazmTOZOcy9RWkdUJFaWeQyYwmeC0DWL5gH9nJ REDcvLa/7D1vJR4QbcHSpnWSn0knb6tyimetXl10YIshuJMFFNTwXltRbhv3qkFPcBvk+ovgXDoU x569+XRt0wvYtqyZzTlLQGD7fq0/3CUF0C+6ohxAOmnEmIn0hgexrTGb0w+t6FTnlSURxLWu2xkr wybIJ5xoRd20acJ4rw6nZ/sT0At1HUsNGSfeyj95iPIAliZH6xC04nT0Ke0s/i4gtaEYEn4CG/pC wSIuXdVxADKZoG/XWcHWpvwQcUXH4zyKNrkcXiDVR4meMAwkYZXERDMJXN5u099KkQCD5s0eCnCu dc/MdNKwzyweK+SaI/odXNi6FrZogJF048g1P4Ub76qCg5tAlckh+yAIGKi7SUBE+hdvFvAhhPrq rHUBLyi6LxBLnYgSZrx0CgSejz40KDHyT3coKsNubGo0jRQKGD+uCJt82+jFJV3vw4sXdg57t7JO P115obP/XrdzJGKjovx78ihI7LkSnpmPxowX7ea2QsotwHYRRWlzonNlHdqgdQQXE059ur/e4CFE 2kqSFUugeTjdokpCKzudcayGH6sOoNm770zVQvTWIVGT1LeNJcKSS3nSmVoKsaeay2wLqjClKl+Y iOoEt7ItS+zyf/ImKhLrx6r3JgYolHeBzZ84ABvcipUiRz3i6TpKCZ9m9Trm8Z4hW1MQVa84g52E h/C06ZUSszHleEae+e8FDvItMeJKOS2WyDv2UTAUN/dsZayzMIcefyENS8Alxh9yCrUcZRAHw6N1 9P15jr8PPq0KNj0nugRq6SyNSFHJ4rtP/m/ig2XJjkGjh/8vgUi2zlMxtolNitE9d3djjQVC4LR/ PnhSiljMdm14p/mO5TI6x7L6iyhuWzioKL+vv9iD4QTNEk4s0T8etlNoK9huST5KVeJ59jfX0Urv sWrermOcjf2GyDrTpFHEyCnvOW4mfNYswF+IxVfClotL0bZ2hFTlaF9nxiQWDhLkpKS3a5mRN2vA 7Fj+CKFRnnT8BLXHew8Ko/exkri2zupxWQJDgl2oCN8fD0ifq5Rmj9cdP5/pgzUNJ6S/kUaZ1kgH QLolO9ijRRO1Dgc6fN7xnUPtANtnjzqRF6oL28XRPWN05JQe4dwEqTBnpVE05GT9SQoUoT+z1rWV tzgcFnjdm2EjU5NNad2dkTBMDEnSZmn1oo+z29DiK9uPYActq2YKwzcLPT8xH3Lrihk0aDO/ECgn GCXG49fbjSeAn7um7HxOLDq4xSjD0dx6cZE4KmPcD0wFq+aJlMHQcBDEQnQpP2FyzAmjRg7qf3nJ gbwV3XpOgTBIs2wGfYSr0CNYrTR9f2pqkPj3z/mPWdOWfKBE6J/kg6ftu9fWdzFzsvIaeyu5BZ8b +cJP2XqVioj1yxHQ0xmV+7OyMrFOeNj6YhGiR3NAgSZ+snqHyuR7FGt8dBgnnoFUDmlIw6P44eGX voiH6WchG/6q5+zk+yaIdFDI9aqG3HMjPVli7y0n5A50JgGNuvY0hweeKtb029TEHWdCA48BRl0a HTKKXg1K8YqltB5vpwMgoems9i+G+KvoCVC/DLYgfETgsj0MkRiCjMaeFQJb1McRlfaHo1Ne2x7Y QX0A3TWgRu0/DrN6XdU9RwqbzVkdMDBfldy5sCqdbrFuHLtDTYcWEmE8dwFXDBKvnQzptMGTHhw2 Xr8qhniivHhCsVIlhDzrz38y4FNREyY106H/RaJb8ruNQIb4h2vugBq6uBs5z3sGQSOLfjvQ2qC4 TcvUHP+5WoeJopswI2zeImdPE44UE21BvNLEjRKebfUbHbHHU/tlpUpxuWZ3c8AWOEYYVZ2RjNrG N7I0UXuLy5s4O92Tn8j3Di3WCp44FtlY3WakM8iRxh1xK12FJwwq6JtjOGn3Z3Xro5qAHbImsuKE zljVBm0Dn/fB+K+8TFmyBXrld0rLkeLfMOWGPSEu2hU3vx8oEJX+s6+Wvo1Zdphb424WZqYRcmiM YB/dpFeP5fxjGhPA1AICt9CdT72v+KnEy1qX9Svy/bwZnGFM4VJFuijyNaN+Qv4+pEnU3CUYszBc +3iZkzzNecCE8Qvcm5jar5CRvmiAXFOH1hruqLG7F01o+/yWOwqHF74beP7HknBBrry/4Y6EOn8h hKvsjx4mlJHsS3TRmyC0otiuObm1/s3YUYaQMRxz927NvxKsgker6nR4Nq6DkyS+xdz0YchF8i7V P/ybVzO6jjQo93h6nYzvPAZxWhmmkqO4NstgCQChDUEy6YMwVtMBe+EzyDmHu59aBVg9ecSyd/5f I2otCW1uUedJ5AT4KXJucxW7NCl+0wcCVffjL7k3qditLl8eAaCOckV6B6bvZiDQ/ciM0fdXqvjB XMQBxMFBlrFO7jNOZS/HS4iupKuMMK6IbrbrkaOJSNyUJOECsaKNzTEHTphgo3/1cJj4YJkwEedQ 1ZCiO24t7ZsQVWGYiX24Is7sN1Fs2wPQmYrWZpTFa1sCVA3qkrBcYx17mJ72cIevwVH0mtLqNhyk 3sU1vaHDXnAB0r0XZ9aOzuRQXcr2lYlMfmpO8qIwxh0O6Ko68dHo4p/QteM9hg2P1C59FaLtYQ81 jBkejru1DNQnGFFrd7hBSzOEIs7LQlxvG8EF3qExfyY/BeS2W+JGXhGeqW/fS0arHuTdbgOkX3Ky 81RKHqlZODVsaBR4BbJbkV9KLyY5zgz+0Av1Folvfy8QankZBolAkg5MthavoGox+cvj6ml6r9Of l6UVhAgplCNRlpwAjD+bcZFoKaCf9McA7mmGy5yHLvQzf1PzGRcuqdiUoosYQ4qxDk5/svwupU6A MVmwF14RD/y5FO9tv2yI+3577jREpvkPODIgNV9mc+0KpJqXr4NkJNnnV6lfWv5ES/OZl0mQJgrH 5i64o/T+OHIrsngZXxqx8GFxmKRl1KfI/d+XlliU/RYWegheXA9KQSJeEbUl1qwW4AC9vhWtj3e/ MGU/tyMlaxDHRHxs9Bgqq4tU84c4usMFGYPGFKjesn2+jURgdqMvVP3yAWq4BixejPvmfyT/L/TD i/iwpO+6y+FjqwZYVr8d+003j4YwyKVSw4xHwx0VVlCgKR/dOHoawL1zdkCTVm5ZUQu/HDIFmjV5 aa27jvAGbZTciTy6biYQY/AKQmTocWZ5b6ZjeBYLVLPS3xFB/UntXMNX2QaG0pLDlqbSpw9TfQnY QFAgrL7/B6WsIfLdfHMOTwXjpE2uNxcSiX1EpWJNmML0aUK39+DDSpKnGZlAZD5fzrhVNvQfp2d7 vq0OEN5y6/WihnFTr20AIDKsTgmZuDYfBD4jA7yh4mwFlY/fElamg0hgUptNxY6kVdWDyQarCfN1 +58dbufnmeOX3az7QlH5IA85J64LRdsfsSsd24A1ppLA2MRNZjETm7UcyMR1vmYcqicWCVCr79/Y C+eN16qR6POuzQX388sFGD5vaxya15WGZqz8TA73CtJqB3H4N9AIdpBQ5jMyJ2uhaHwNdIm+Eu4W OZucntw70Ucl2qMHtCXNo+WJ5diHI/XAd/dZDqwvxrTQBIQVbJIJqxKHqtdKyLmfcwjpCFBwgYlQ aX0ZifxszoDgU5yOHXvlDZad2xpkiLvhkqByvccNMFmuXjXm8uJ85tb5hie/22Kk+4H+G6LdTfwq iPeDCcnVYYcXbSKDbAnxgX/eTg5jGqOC2K+xHCibQ1CmA+W5Tr5vqFo9ZTdz0N3fhFzVYh+W+r/E nL1Fvkz33ifjt6kfcPDzB4ofViLTjhDPWhWJiOzp0ui4wZ7mhX5LPCO9KqROn5+ZXvLAGBioYNg8 /5aOKUq1gpoRm3eE3Beunup6gl36UpjqhRI4JhbOEyfhaiL0WrHE/Ph+0Zezm6LtMcF6Ees6ItLk sJs0NEBAIAjXJiQ25eG87ef2MPRk/dMED29pCCt3jaz/EQjB4bS1auLZ0xbSy84bZvjDKDwSSZTS FhVz5xLGs1QgEgsol0vVvmDW0qs9+YiUVlJZ2J/sfLXhmBZoLaGn03hVagz1/cuSUGdwLVfucE8Y znCTf0yGvGe8Z3otyc8tiFXrQoMyj0o/56fF4vi6hWxsXzGPJKyhehZRpBgokFetaCvVESjBGb4g uHn0PXGW7Vs265kzisQwSysKZpbtdjD4/epXB9ms35mAPMDZpUak72ZZ5Zc5ausQf/isJkqRUDPO Lk0levjYZQHTQ0QIYfYq4az0n4p5RNXAkHzpdnIEdJNgLs7xOUVsDrDLxwnSSQ2xOH9OYpUoOPlC KaSa7cPES/ekzT7R7b4vl2WbGKGk4BtvAZ47a+8z/obxoGF2aILq6P9rg6Ma0YRpxOpSXdxo5OnB k4zmUtn0JNq5g4USf0rDHflkPh8l55zx3+VlvZQLlgSs36W1YYKCv1GINg1SBs2AA1PHh8OMsvS8 SqVhzRZ4sWyAjHm+sWlQNaw3loNsBjuuUEjNJQ0f7DF2ESLA3A9+LRuDbLDTHXlOiNkD3BVBrMS7 8qi/H3KWv2xpSVKAJ+l0NX5vrvFNfkd2uUc1LJ84dOQA2J2Xit5qhobWQmrIcEujPEtt6A4ksekr yyOT6ogY3JXeZ9JXGpW4YlHh3bCDXTxIJ1+8w8K2deVQgSBSD9sFW56zvkovwQox06GO2FMdIr9d XW42QluW/LCJj4OW3lXk4yDG79ffeN528BlENH3yR/ngOTJy3Ofvt95MKrJY7Os8rgXq1OeN/74j BzV4+teWPfopaBe+HvC43veiPQ1Z6KjlE0QOEenYvIVEnKdeyls9uJtmZxCGsoIaPk/gqT+UGV/z wr8pdRxcm9i7oKEderdD8fYXttsubzrd7fkgCOtipatf4JHhbjK3PCnN/mmx3qQOccVuiywehNyn cQZFZ1+4sRNWlDMjvSDKOAiLtzGfz6GiTOAJVAorS+V55OktAOaQF1kegFrM9K3Mpa1rt6USdJ2K 0QwDx0PHyp5zART/+STX7XoAyrYh8YgMjR2XxPzj0v5ZS7fSK2k8OPI3r4vl0qZHLODp0Pv9ZX5c UBLKIR+LTI1OYpPI0KsgofozQ4wWLq/jt2D6oOq/ezoSq/UdUVbm0lyipOp4MXucYbWWCYxoMhV/ 9hPizLqry4eyfqqpUdxMoAHXzV33GHLSPaUHzBqN+1+O/yQguE3qUhoP80L8pAgEq4TOodEvBmz0 LctshsyhbH9Gt3HB86nE0a0e3Krd+4OpTlDRwe5oiiEjYFZ6e4NFWXtucpJTr6+Wgn1EtWDzLURg mf/EBrEOdY0RmQ+EMcW9tjpL5crbvvQspBeNep2rX5S4dQMgBVqqMFVRAzkDEoQTW7Jm5WuzbFqj KxwZDDsg6MvvDM5dMu6RoqLFc2GWjILUVmJA1cj/4lw0os2oj7PvAsT0TZ6fCM5Aqm/pIo0yvMXb hYvxHe/qKtuu8j1Bv9qEMxfXebV0H9g6ZFs3lgSPHtErSihPEGxrzwUFPmMDGZs1v2bCULZBCCvs 6pW81ahMCIK19piRGStrDBmwhPhPsD3nqYT2zxCLUpO6ZyebL1eW+IkjKU8fHfM0qe2Bcdr2gHlp fYU45i/0wJGgFMf3NyRPPyYwq/iYespZGRSsO8nGkdfE+SaRLC/6Yz6qZC5AjIFf6VBAoXEIJqTS 6GQZOkk6+mKvfgO8BszX5D2LjomG1RH6ioZgPNTtq7tfBdAKkKrGF0DG+wG+1X0XT8F0sQlTZXN0 2fuXksk7dqN7QO9MGNV1dK+xUqhH1nU4auwVOhD7InG5VloRwXgrEqjR8yfzAkHHWFToy6C3Y2fV SUYB9GaZedsraqRkwuYusZJ1WfW6qePYr7SV4xEdi/in+Ee1pr8mZct1NGayHPbpCZ/0qzbrrhRE 1qMsQJwDVY+8U+a3KRyvtFloyhVETpVn9XmBDaASkMJjMAHKQ5OIoAxpq1fX36Fyub7md0aH0teS q4OHsZUlkajyax9B/yyCR5vEreQbIk3YOyhe3u7J+z+eH4FKkHELXFBcIpLZsVh95viHa9nw4O8k ietJXupLjdRTrI3R8rTVbYPICuZUkFvJqFqaQx2pHVhOhOaMy7iQ79sktjeBZ1amexMzB01LSCdh sCgSFXa92UVkjGEty0cogTRUYx/L82YSORtP7dyamzCYPOKr0RdfdJ6gtHNFXeqvjbzHGzZz0XAB 5yMiwRW0ykK14DUzNTJPuXn0ZzSGfgoTLcpwgF5C30uPq5jxjuPNIgtVmPokDgdJ6VnY0EL/V9vK ftJe4s9omKA1KpHM2KUhtIwIaXXZNoHpGp+ZxDg/WYMtCZXPadOcRr7xmlz2q2/Y5fd9Jtj+9DI1 8l8ssF4dqWv3LC1mDNC4HS418S2/1gnGt04Dr8gPtuqr5O4vUr/0OWQliaTkaNF6MiekEZs/ySd9 eQmkJ/9r8zbs4Y8YZkoX45FvqNmNuL3o90ISU4Z3bSpcUMJssG8hcTDBvWv0l8b54I5usdnX3adw 85mym/8oegU8kyjWzl6rk3QzUu5EQBIDtJ643adX4WqG+RtvUyYvQypgSH00OQXMmE5ENpEHC01h 77gCOrmFjVLMVcYjMXc4k8bs2XP7kFwuUi1UngZN6PNx/qolRY6iNOdYXDU03wE6eDMw7vrCplnQ oYBIlPAiMpQkPbDk5M5Yw5nI5mfC55uamfj88qNkuPY0JDRGsgrdLztqsYiTsS8etxpQUqbci0a4 fhpvnDM8wcDsNxfjN4VkaN45wfmnMbWGQuekEnEACZJxB/sXc3aM8qKvNvzXI/+QrpkW34Ah+yKb PgmHP6PRGzI0fAW2pHUX5PeGhPCp/Gnd8Jri6CVSg9UmEqLQHeSB4WF8xvaTKdlsEcTRXyJAhLyH m1A5R/69PPWe6gupH30FsrUMemRcOt++yxlb0+hj1pU0NH0Ho22CRgnAnKdaMr5zt9SG3NVRs6nQ 74SJxumYbGO98JAwBqcq329RRSNzcYga73Tccm6J6HrHCIFd6tqK0NMaqIrnqcptIrGSbzRh0Rk5 56iVZOI5gVRqMWA3jiTmj03UGsfaXCuU4AgBSrDjg0pORk9cg4SXL80r5guGjPdlFWaGk2xwG7Th Efvwrr4+KSRrLG+51JbW0uyzAmOzqUHIBQeMLWoHeSf7yN1idROqj3+mtbT1pad9Rw7dSpQ96Qn6 r6xvgkaTHo9mmNWjEnKAoVU6u5wdbKwNTHVA89YpDrbEWESxapKM0FRDnJL9NjgdqLQLnrlKfSui vzR9RWOGG8UP6HM7L43eHwl9hkoKvbljggZJ+1aFTn0Qv9yPVLzFVuKE1SwWJ+4UZ0LQnCDMeXpl oQs4kwipoSWgxgvLbKrwzPG0EFdnvKjaeOQTUnlXSnbuKXnmsTX0qZtfpRaL8SxJoiyu0fF0sBEu q18omszzV03dp95qfD2NgXThddBqVNY1xKY1PXeTuCxl60dGE89e8AQWp3D5PSgwgx4TukvB1gOV 32vnfj1b424l/xV9hQ7YbI/7mk6g4TCjkZqGHt6HDJhwsooYCuKGxusHQAT2oFfWbUQeFO6UqkEV sKuCNuFa0pDeha26n0eT4YwXfvlq/IIuUoqyS0Ke1AG/SBnaE9ePIAtQq/4l8j/6T+wkmeE3R1gb I9RhMoHGOSNn7A91/QlZ8h+N3Mk/3W13l3g5/PrghKzD5ioOpFUsHmbpP2v5/Q0VY5YRh/6b3wt5 hI6AR+ymItgo0OuG9JO1A6FnuY3eajen/6DSw3N0urin0KnDTWGUEGsAYBdwkS3BKePI87NhwNv5 6aEwMudVUli8LcYIxck4C/ahc2NPZw6voe9vC2BIkRKLKnMAwsUQRbs2W70THuDfgzZ3elIYdP7J N8ODBdhI+h3EkqfBbeP51XAmLZnAbZwHLIkwgvMmtMywUryc/7vrsY+MjiOVapXbOOZ8srffg7yE S2ohhkdrlQK0oM3/uYVJJINXLKNbPTAglI06MeuaQqKe1xSFltDTCzAI9+baKY0kltbS/sq0n1rd a0gn9CLOFPehrGXrksKWeOWXbIFhPBJTUhY1Rit7SibeXbSRLI2GiUceoll+rOn0NABt0w6GGad7 54hVSvjAq3lFoD4/x4Vj1p67wIsSFWNVdrQOnygg4BcldBcFzVeswgAai0W2diRqReeV3JfrHhLo VEUOlkXht79R8PgEPUrKWMDnDtsRkcZyj3gmLC0CgPE4rGU/sml2voeoTmw3eNh9qGc3GI8UYbKg LSjfjQ/ofzEy4tKhjJFnxj++efl+h2L2JyBqvFti5xujcduGYzc4+wP9Ozt3jc6zPl7Q+ICx22Jq tpjJhxntTJVbrj2DgP0XKvG0lfhMS34nxs5HY+WSEu2SkIfAGDTUyA4Sk8QXZvMwdsjwW+iRCEDa FFbojBLEzD1JPt4qKi3A5dFB1ypmvOQxLOy0pZ+SwTG5ckznuoAyec3p/R/4b1pf/ZDqnsiDuP0D 9b2yO9iyYx95ELhis5tY+FKgtR7b0K9jvfrVnO0wMaS8gEIxlPLpEJTejFyM3KXVACN/t1ugPsWK +6+gp15RuP3PwRWwGPrV8hjMbqaVkmfBpy+q52kfciCU75r3bFbK294FiagT/wr7D28ne7jANy9r 5xP1U1bvy095CjboHdmbr1FSwShNp4jEqjz7t3eWsrZIfnK6pMZKimP5A1jau+AtnCBC5RZFJ/nA AneJkctKvYUZ0lHF7LxFAWD30Y7QYlAJObelG2c1HdgNEYFNA/cQQlebbwkosahoRQPms0cj1dLw lXdda+ES768V4aGyr+CyJdfgbirPybus5ojTbXQw+37H1uX43FswMlef3tgPq4JvnGk+ayi+jrMS WAg+TIW3FP3IBHO0YsMONz3bKtDv9ZcrKdiFd1NL+CsL65uF0qAq9NUBjviojnEThBS9VEJoVxte 41X3+UvpoaiISNbMlFjUk5I3oD/C1+srtFRJn7Ev3mVTwFKo9Xmar7lvWWFcYsxanGisrafBbP4g /U9MCv7Evomarlw285oyEYdHtt6hKokOJNfReH4EptgYilGbGLBO/VdPKYd+5vCfZ/R2DM+8WKOL p306huWaIDaEjxjf7oVIVu6P+o804OdH1SSuhVvhxYTFXF2pkLqUvt9xkD6Zz8bKQ9d0w4a25KUn YcUo+9/A1NIZ5AshT6KkZqE3I043kavxhqQuAlX2fcCj2OWGdDcjm+PYBjhiOgXs+ZnLRse2IFYd feR4SNhMRXcsZ4omnAiw87CvMIXX//07HaOM0svS2FOlcsf4u4YdE+tlgK1WHguGOKSNLGhHDn91 4qxGIoPHqRNWqW11gPV9zgNOFci/UQq9e3uWBs8TQ6gblyehxtlNs4R9VjcS064xS73G14MOMa35 UvM+H+4Gh9wDGI9jQsxBk/4tapPicmzRZgcoc32sJAHRcsY/1Eqao/vH7R28d7D+YDg5oBC8Le8X 2Hx2A31mlm+kkY46ZFvCAQpJHIat+u/keuj9hQ7ZUezBtE5YCvjlskxgYQkM593WXW6xKXuNeQzl UfDxQdfVM+Gu8uLuYtPqhu1rkNu6qlZP39xReLzuHnVGzjrHBB7pHzGO2HlS0bQ2lIT95Mj21hAj mTfnZC3ZjBQcrq2197lJ1eLsojvrEjcslorfCL5J2OKqqkSEpZF5jf63pBglyWkKQz5TAgO+zU4n xjnU1AlI8dFDAbdwvTOTSJe3kYg/wQmuTpIFfzppDbm7N2yzKq2Wie9Q6E15lhxHI/ZwzqHo39fK c+2Do3jk6EKAnvauLACQJ6T3j7rVUxxeDD2gUBhaS4g2aLRDTm1zpz9A3bQGd/G31niBTK3+6iYh k1C9SmuhALGcNXACdO+Xbv3iQN8LiCdDgmwd10/bjsE/V+dIWgKSMgHpaRDqFCD//ROuX6ZV6CD8 Zqi2l3TyQKj/82Q6WkqlP0bQ4cwEb6VTSRr0Tcet0t6kvtwai3vcwglaT0iGdLHq4Mqiy524QOsO nXgGx5ZtNidTctXXf+ChTXpq8FY3QyN7PVvkakwmm/Zt9NNqHX7W5QDHYXTwEKjcSnY/ZXo8VpcH 1JdoI06gZorFe39QllxJK6ine5yTbDUaetFzlyMZYqSgvBPEnXxj/i0C0pQbdmmLZuKCBjiQF+JX INcVtNZjc6Jx1VR/WF9x2b7TmWStmGotRqWad+zw21zwtiEOMhQR07XWDhjNad0vaeEmEioPsw2Q TQCDwICp2RytrYZbyM5KgYMphfMoYWXZ90aoJNwumx/uYXZzpLVNe29Oj0f8xYfSa83uFrRXPcTI gxdvYEeahUHRyf5loGl2QwAx9w8Uejpe3UnNAatW2EtXk6q4kJmw7Nu1Ax3f7/QXQ4DuIRfN1vzq wPU3Dw5e3fyDNS+C6Rt75P4pYUlcMm6tISWwaILQQMu0zZZp6FDSuT/7swupYfQUXAA/1+CMsQ7k seQbcMu7Rp18heJweCCFSM0Rs7kpSTTDTtYUafj238YTz/ngseHq7JNvzD5gwRV0Y9s1IiTR3i3V Gnvl6hFN9NQK3RICp6wu9kgVBnwCEJoLakaxvx8YDaIOmtg8ERVmiQNqQgJrRGZV1A5DMh8cHP7p 4rh9mIpw9/H+FP2We5CHDQlMtaCRRSVgL2kzlzYTVP85+bcPhWyES+5egC3ua7elePtaCayB1KgO lVEWLD7RpjZoUn4ZZH0o5ovwBwSaxMuJ7uRiJ2YOu4P3QNHnVMSdp2asMkcSWKvqWzZu4L68o+BQ pxdimYtGYYzqKC3zKnsU5uEHWwKguQHu5TA+qc7VeyJbgdC/+B6cB3COka82OJPsUPUjz5SjxzGp 7nbAY49Cmv8yszlcoRxLzDQJguV7rtgdyL4q/x7gSvQSJzIj/1XAXg/dq1JsAZBWmzPNd2z3Hibn QInZEN8r2PdB5Uc05C9V2joxyjZHeqRSdFuooXkgvqx4ECMVKglo5PKLo5wLDfBFNiDGsNXq1/V+ UCDFLYf2/TrUnHLgMiv1Q+B5oHzJAuWjTZx/viaW/KVM9i/Cd0eKZBzDK3oDptiawZLMSxsCUBUL GPRwtBniv4EnrLY3kFmVHImWQjw7JUZMuZWaVIbPT2c7/+NmaJD9TjTGAnOHTJcXwJ6QbsrmZaP7 hose8PNsLXiVevrUkNfWbH0SXIorxxCQvbs4Z00YckqHeLqCcLCHJByUMJOUvRriUjFLeeHfFF+6 7vQ5hK5SZrb+FsaivT78/2h/Y6REdE9CY4InlCaxKHvWgL31nFg3PhefZEL426paf1t6svFUM3+g qyy/vZIQ9XNRwdeY/VPU/BI1/nYc4DPyNTalEW+O6e6mHNGQdBlHzMXm7gRtdaQ2DoKJLBUokaNS e2gFOhLUJu0u+Ysqtm//RIPU4wBqb1GIl0BhTu0SGJEZN8GtujlTiWq6Yez4db+uEQj7QGsp56JA rwnzcs4+lCnVaXYa2Li+gNuGpq2l+7O9prVskdFc99BJIuQ6iaXtoDSRptNj8pOOSOX3eDlI6HyL qAQwEVxYk2H6jY1VVBiPNy3XE3L0Etmq3ZkFYt+9En8AKsniEtytgSveuqeWmmwdTMiZSqGbDcyI ud115mn6K1nGXtIt1+jBl9DKOiPXgMu6pCkJEHX7gYgUmQeCtDu62ls5vk38MvCcxLt6vB2PNpMs EYgHRJwskaVNQh7591Be2XxJz4TWCSqc7eA4ANIc/JiJwCPiZ4iqxzPf1/2XrkjiZ43srb2g7wsc YDW9bQUm7FRglmKfgt3WtDniCGrp78CeKC1PxIfdme9gJ8G4xcIEQl9EMt2TIGEaBCBKChde/UFO 3h5COopgUpF468cObTbHHD5cGnDwPNPnmI8gjFtuDNC8DsNCeMhm8VP1YIpl+7NLRxPHI7e397OW o+iZZasHkxJfvsALTC4geC9XnDSX8ST9MshG8ss35+J6JvVPwuHGUWrmb/ybHB5RcP3GR76iurlM qD1Y0juzupHlfxImuz1iEj33a4mqxjpUQr6S9RMZX2/7Geocck1icvnc/C4yhq5ssVs3FGYq3ji0 nYW6vmOTjeNfuvJ0n93Bpp8eLF/9vq+njL+LRre2FSxf9ejpJzs01JkZ7KZCqP8r6Zpvjln+Ajg5 K5PrcVl/Aj3UZ3W9ezBVvAfzFt446j9+vF6SdnUkuA05164f3R9ezYAU70UDYOL5srSCd3I/V+8H /ohSFsmUB+6Lwl6aB7bwb5FJf0DPX1WpwUAMS7Re0wRO+SoONwq3LCi2/Gasy7AEZbX6xYF6qTlI o+b8wHs6oWF7f21ANDteDgZ4x4RpFsM1kdcwEUv4ZHTLZnHyJziBSdkKx7OInoDCQUliVmY8KGj7 8S0oU8iJqkYo9mpB89Hhp3UWvAc7/ckDjGvSTCzv4c+5/39KnB0INSxBQ8/kZIrhsBJL7GzFMmv8 FTKXBn/Z5ikHVF2W+8c+BHzCNMz/3eceHYOhDx2HB2lEt5tZZ2rl6u+8Ez3PXiVoZskms+wDXYSK ZgLmD/pmVMDLH2wf6mE3umlMsGpqLjezb11pw+pd0MJp45Z43cIHH3T3EefpPXw+ZCH8OIU1Nxj4 WGOdfXkB8Vy9pq8c4uBD5rLpRgSnDmCceUDQ8+zPKvo8NBKWEhu+iKeeyweAJ4bbTj0DFlPrXs9C jJHDREDPQHVZ0tGcT0pZLOZJboCIWrJvX7nEaij7k7PmenRwlrenuC8pabBr0DRsmHi3tJMtGYzv Hu/GVV4Db1yhCbsHzM7viIxA4D4h0l6ZtoE3n36Vq6aj1euhrKgUReWNws7bUBUzQsslyq5hxmR2 NS3YhFNsANNJaJ4Xnb6/vDrZd/kG50eufiZ6TXr1a9VVt67kWOkuySsSCyMClEJD0ZEC2haowQOb LbuBwaz9mBpX5DtstydBIp039z71LwxEoS94sCIIVvB2f6YbPS0D9uKCpfoJ1gelqJkGpKDyO/sj ksPgr3gcYzxB3X/KWnJDdxNnQYQxv4DRyarJWB04bAR4F/NFbBXNumGYnXyPsjOmb6qY84Z3MbDr iv4qHERNyOKvrRFXUc/F3MS4ulKu8AWTLU+3VbRHnG56pw5MCEY43LOl6bk3Ms1FjzV1mx9r+tzD i/XpDzjDrXIyDr5/rn3mk9Nv9w9CkNHfkPb+ueV+pvaeusaNZBNHWSJtHIDp5n5ShVsk3KPH1+jB jc4EIH0hgUOIez34hNMRxZyyJN11T07BjIr9prwu1fNf+lBXPNYY+KNwyrxkqvshuwprSAw/dgQG RdNzpvGWFm7HpLenMIs9YEADDQJhCxUmyRgYHHXHapkq/ymisMPZVMmG0awVMNPnGUMl9LkVa6Oh fZqRlwahk4yMBAaC2vkOEJdZlOnPB3Q5+u+jRZbaAd8s7HoL9X9mDIJwd7/dn967qyqL249roDjx dr8qwqMpLQ3XveXOLBlgnC4w6ertBeUFoH3RrRsrwF9TaMKy2105MelDDIuTvh9HsFg1qXbIoRX3 PCMHfZTK/OXDY57bD2WnBuXv8+Wd7i2NQVbJOogUUzSkBHHsY9EHvHujrAuEeari9dkjumXChRXv Sd0ZmdavTEb43AzAuG/h+mVnqA3aVQt4jGwAsPmiY5wwcaI8V9MGFeWgJvjL87xrkhN47x4jrTJy Y6K9nSsSyiicfCwtv5Z/6htFTOeJzSRVlgRYHq9rdqRT593nxj9ImZFb+JOkICvfWij2jTSjqsZ+ C524EXmEw1qS9gNjN36VoQ5XB4GEnpQfrCJ56rNhINeOmn+os6DYIqx5LbChxje1EHSZxEu3/FVr G/SRq+zQI6Xxe8h837L8ZrdooZyaTps9h/TZi8Xy9QxSPsNebxt3k9qDX/DT/SVql4i6akVlaRL8 Gmy0ew2UFcgKxAVVb+IF6tHSCLsxMlRPqJooUqVyMmCb8mrWt5UutbK+JkPcU/J02GCtxTKjQTMc CnJMYAn3aiMZFbTmeYIkkifWcSJj4uPkqmVZgqjrq5Q8Rt0msu892qRAD486wrxLl9yoMzv/xS0J yWa7Dfayz4jlF4Cn7AMSN7EBWd3HoSGuHiQgsncn47y70uXGhyoJFQs3LLdcD3GhcyCin9wXJPZ8 mvmiCxOf297cEmohmVIxRIeG7ANWv3POElA6mi+HssZacshNJtvoeXp2w57ZDc9Rsorw5i599Y0c OAQJbbbPqYJOFABprwHQT7y/vhEw/AXRZyMIO5jV1oSowq/jbbhpUb90u766WY84WWWKTz6qk2LL kCflRHO/ySbmd8miIlUXvKX04vILWxoJcuntAWOmn1Brhgn3dO7pU5v7QsdLI27eOjN+C1kTeSvT AjChriVtzwXQiONVua7yE6pxLzB7jOn4SqGbamV/mE4KHRSyCFj9Z249dzvp0cNi4ehiKPkU5DaH ObNsJfoMGnftROa32UTEWyjlt8pLj5zQgs2R6zEoIlYOGKAjkx6QZdotd3AN9p5oWByMZEUZv7F7 pHCJFLPCtGC6aTfAN6xxVcaMoCUaTPId8HnSqi3u/6GhRp0s/eBKVHXSLlQ3umjAuiwB3lBtmIAk 3eZkrwqSZBicTvh2fSQthTKZdumCVf7x0C9eDHs/KN5NpY12Tt2ldAMW6xe5t3lh86FPBJUlOzDh ARBW8cwtd/usK6YKTcBN0DDqyi+i4uvKtXCsArm2Y1gVV9EMn0AllATCPJML7tGMh8LYRIYPQ1OY 8y2vE43ndQwhRFo6h8Fti2L4OH5RNh1CDZlhmZdeHSfCP9K1KNVv3Ta8p5EdtSME98o3HYPw10TC aEdFlg23RrwoNjw7j9FlHVbkdnQTw1pbbkp9V7CFuLZ2P6dKUWFJ1AEUInMXBuBjQO4hC3l9yHHM QjDvSSZnTq1DUPAJvMdaZPDg1YIof8dyOnGNSvKt5MbVUZhiyAiQESM+adZvgE9zehFwY6uqN2OH Le5LXdaFEAMc7agBqL2liRVZ/++Sq7bScnR4DixuWXzKQq6XXLJpG737yp74Vu+nPNZmzNZt21gy QYfqIH9p9saoFJBq5DPbQTnKtfuQsdimuX0+oBojXBh/c/gcQ/wPCb4rmaw/KsWEfv8E+rh/zke4 G2Gb8InKAZ3cKNiCY2lIAib0yy1F7VAHX7sf7xAmhjYCfe+JByZn54ypAH12iPm387X6eHLcTAMK u0zFW3WpuIHZuLmLZm7GvwoFjOURqLiCVyjJiIkalEu2GexS+uasdklBWn+i4ZUudW/SrO6iGqq3 soJM3A4H9YZhg56hFYVg5n85+hC28hwhPuwWYF8N20Ii2dHLq5X0veFdtxKjA3Hkl3RavG4L/WaM wd2c6pf6ZOBb3KQPBb/k2GRO2ObMbDhy5kB6PRWYngnmBmiXZgFbGSA4UoENTbsenEu9sD1P9esc K1bTw2dPdpIJ1QMjSUzRBvkghToBoGNau5s0QAbhDMWmGVroAC6/wG80QjnNRLHwf+yV4G2/qgKB tQwFNIwoKWb2NWBtcKSGVTBMJl9OX8juZsjuPJdU1hdgiLUDtuBi6zsq7w0pa6t/6qnUYED7vYx+ 0OE5fWyqEHkFLNhShoov95em3Vp/Twm0LTtOlFd/6CjeR50/EGevIVI/IPnKwt8n25e/ual2DwEz Z5Zpfvq8dzR+GtrQmP79LO7MHPUFjRPfiATTxc3bYgnLh0n+vhTOs7So/mFGz29s8W1t6uXGRT4b t6yFz3K4uYkqQdDulFVnKaNNBbUmgpqgRVbeJU9SminVQmW3QL7Eo6OR/VR8iRDk7+gjhy2pvusk ULK2lDkS1CqpYZwhzixQIecNlubJxrDQTfY+OyOEvbBO1lVRnSY7aGRVezStjnrHIlbshyeobmY+ 05qlM9tL77YuPGbhu5rvkrW00UcqwsICfewjtrJgPrmidf6CW5vHqSq/XAd143uZYoGFCzC7dIL4 8QySydaIIqy/xFawMPRnTOq9zwXBxs0yPPbAyBmQeNujqD4dUNy5FDmaGy0TWhqw0kaFcx6+EYr3 ph/QuVm9HER7H45iyV7Ol2IHc6EcIIviy9xCfV0u+SBYDUQPmhivCzB8DwyxYwx5dYPnyKstXj2B 2pNbAeXTKbDcnHytj2ijnjjE5lLiWOkkOjtf0aGppC45kzbn1S42M7lI842ybpc/is9sNqERdeun SJCSqqBaYIeg1eVyIXbVEbcHXYs+XrPlsswR2Zz5SwdlnaCmtkwvpJoMw88DljVdicKGWX0PTw9t swTXvGR1u1C1gN7MRXmJpHjHAsHYLZD/4Am1iZblPyu+E+ArWf9hJ+rmUI56UBkUzn4PuZA4h1UL oRBJdkae8WRUKqdydCZ+xLK6ENQv9azQ2IVEj9IHsZmsZXq5Xhcj4ghd++fTI2r9e/4IPOEJl6Wb B4COQcWjwqB6XjTHzglN8xrwJLULRI4vbV6uY8fDEgEzvL/N3BnWVgjA/SVhJnpOKVa/6qf4k3bE NIWbBsyx0dBAl373ippbXF8+FOVmfeI5aZpPtI8TzF8L1Eai54WeU4Ptnn5ONeN9RUr9RQM9+hhL HhXamxuhVGTxLUqgf18dS4pnty3Wqv5FQujg/8A9tP4Oz32zLxibNsjNIwaH4RiLzINzQ3GM2ZqJ dNIHvn00C+GY/vHgGRz9DtajtE8Fu6luGQ6qEqTtGCLOEZ2593NBuea9GGe0avLEkhfDhPzthxko HRO2GExgGpWHEs7lSWLhx16vOUm9XQLyxNn9mG8ud8CdF1lQXfi3D71qR1JA3k/A3kJtdSqX3S3b cTDuyEiAIH1wmrDIF1FWCUNu7d0gJpk8DWsZOMcrdIMTuW+PWmimhBEcBftejbsCg33GoZhsZY7m xgOEzWB5R+H8I5OprNNRUiqg7CvTSdCmTD6ztNCYo8K8pgrMUI18RvgEUU7lNxZaeLACRWKtgBRr jiAWR28ie4woZtQaVwUB10aK+wI2jc6ywvDM4DnVRYhetZfqmXp2/Zf4heaiqlCiG80C5FJPwqOw 4Vq95OqSikMWaT89j/6NTLHEizlTYz5kq2WuMzrn6JiBpCtrYHO2X6kP8sNExBTvBCGUlRnR6s82 msCX6vlBXd2v++BvOQBy7Zq6pRgKzk/Un2SmWW+m8az/YoC7F/TtiFj035qNCboDldPYY2lq2Aph lEd3TUWWhl3YjdloRlpWrnK7PAXSoD6Kbj6bawZRNekrNbT3Gz5zTr/JpjrQcwa2PE28ndthJ4IV P7u2k0YWP5tM/hRib+taTlA8eXbTgiRdL/J3WSVIvi6709Eov5p5KfA/oTUzXYyxB5gJW6dd1N1w 2i34WESXCX6uMTgmJj6QkHjK2eX1FtGi+AHkyBUDtbhC5ynWJvMTee5yyN19mmXHOwyqtWxb6b/u RK46WFrufBqQYCbpgH5tl8Zu8OcNgUtPld7nvvAHuHDHfNixtRuh3hcBEaDG8pe9AidlLwQ99iYu KEktestuJtEb/muQW8IrCISEmY+0r25r6Bfg1DK+ZNvLQiFYLGXbwclqMFZnNyICRuhsoBt158A9 kfLbOau+gBn/r8pmYKD3CUs+sNWdebIetkdp9UuzX8jwidcnG1DUJtom2Cjw9o/obkRveEM6sQic siCz/80ZB0m8Kh0yW54jtX36umdk6D9MQ4jQm0SzdJbaIu2PI9lEVUbbQ+nzhzRnwYW4f91soMbJ AwYmNG8AERAMiuROn+HBh9K8OaXYQE40P25GIr26qEHYgfvrKyfUB4dI7D15HSzEqrlaoYPH7zLi i/oBSGMAuttgI8qu4CZ50+wS6DTdrCm9bfJeEFr9cSRcHPeqfMTlObL7U670JbdCaG3Mg3ALKOoB y+QcYOBpieomNs7neK+/g4yiiyionEgMge8cE8hKc/SueyP3HPAZujeID3IQ8a7lO0IPWQNSlfJ/ S/qjUJHbHvctDLtp41Vxwkzyn5E39/OTYnWFOZmq8nWS7unurwfKP9kSwDj4BgBZEOUOC1xIh5M0 1K5DjMucm/vraDccprkwLAJlHLE+QEMGo7m7bLsvSAidDp3xZsNRz5tqPnFj7M/x8gEOVFA9AejQ MR6+ZFKkEkBQ0hlQO51qngZQugzVtleXhP7pMeGWfWRTeIcGIU1Fhki/DkvWwbJ8S366FK3IsInI 5Fs9HrXBVbV6yvAIunEZyNNkY15IgouNCE4c0R0djySFp+G+UxplevptUmv4Mp/hE6tBiIuCMrKn cJ6leNkXPZRXm69H4CwzaaPP5PwJFFVcgzzRK7d+fjcsPjNwPfs5ImvoR3pE7OucwwDtl9nF2eBR fK7vURsj/2F2mB7ZO0xcTyoIo/xseLpKQG2373QIBhpW6ul8abfb+i6wYdRBHjSSBaTKCcI2JJ06 BYTamW7O+C/D5RP0rhAFFLzXMgZvTmdoFSDWlVr9l47VOzknEoycCorRD6GRIp2Tomly8GDn+Rre nNUVpAU93v0nI7NE7d0i8S5j++CmYF39cYK4vqfGf6yfUkYR+b8nc6rI/divXTCegoWeRJHzOYkf UnBtGrEyoUSlIVk0Zs/fvDNCUNUB+zkej21FOskBzjK8soq+jENCkshSqtnN194s8LHxAtaGDZje 33n1mERfNGeQ7biPOVLoOs6KMUVqRzpLfOcCkmVURcY+vyHefW7F6JMAitm2kIeCsrdwoVG9BD2H yZQJ2GA+EuX7Wk7qUNpfnNczppvjclL8/vYwNcZLqzU0aaIBiN54drcz3XMMFYipOqax9f74YKiB cQ8dnaoEatTIMbgsLeN0GRfTP5cEysNmvlJdkcbYNkfnsxqd9fbJ9aMIbYxH4RMjkY2kjlOBRH2V yvCuU07CzZ3qWANjbOdXeyft8T19Lwa9zpuBCiCWOxq/V0hzIccIViuCqnF8BCnkVUuSrejsz3RK OLO10Z732b033ZNGNvXszpppj/rBOwwFGqvlJDZC69GyYynWlZUVKlAoT+nlYP9QWbfR7Z5/FZaD iZpGE+hG+AvwIVPNk5bOfLHF/dcqMsNs2cGG+3WqLuO5mmGj3QfC4CwYw84bIVNFJcselgykKZ7U ZVaOB12AaLkEdHKDImB5U7nLuClnqfqEuduzPJi8BR9ijkc00twvKULju/N2+foJ2TaGtXtqBhon HHZMHpRc/6ziOBtNWDux4q8vS/ZAOrovwM1bRD8B7VrXZH6sbig4Px2rk3CXaAkazeU+/E1qpO3m cSpgY11qqKSEQtfBt+gjuS3ZfSyT6OkVfDWluLwzReHj5mG+LBBohv2SmpUS6QGSc6mmmLpQMybI ZEvDN29ZMID7WiazAZR/5W4b7GQVLOcbwzXsTUUyQ1d7mzfrmhyUfCev/P5+VWNC+K/+0gxyj4ye hQYtiMHujpBErxTJi+s+pdJtYnvPV+2QiGF4vtKgRYQ3G0DX1s02Xppl8bu/v8C03GRwoTzL0hQI WJY6P7dIJz0laRbG7EzbwLPDunSHcZLOLWRP5QZVeXibXxTE9Uvrzuk/w1DXnXAWgOtLzrkNBd7v +PG4Tm+y+vpLkQlmM/hHhYS8N7hSmwIbL7dMLBDclNl0eaxuIUOJo0YQ1FfvZ0ih1bH8n8ZraURv hWQ8djZYCOVSwWQUy5p/ZUleL7no7EUwtIjjLE3JrIACequzRZz64rk87OiMgO/H52Flj5s/K+OG +OMDP7gJjWta/t3D9DMHaPwOb0Z94kaZ5UHZWX6FysML2zdG96fWamYPrrn3/Q3BnvjfQLqz43zH QC9OE31utL3kMO2PMiVkHvxPYwGhxhBG3nFn6kRD6Nf6Bk5bLFxiphP2DUdxeDHAjbt3cJubSnMN BjFWIZsz8kQSSLVJf8rv6vsErV2MTH3OVMUJ7a4FLlSzavAbvw== `protect end_protected
mit
da7c1aa8e7d454f67b48ecd471cb878a
0.943688
1.844324
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/axi_dma_v7_1/hdl/src/vhdl/axi_dma_sofeof_gen.vhd
1
19,884
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------ ------------------------------------------------------------------------------- -- Filename: axi_dma_sofeof_gen.vhd -- Description: This entity manages -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library proc_common_v4_0; library axi_dma_v7_1; use axi_dma_v7_1.axi_dma_pkg.all; ------------------------------------------------------------------------------- entity axi_dma_sofeof_gen is generic ( C_PRMRY_IS_ACLK_ASYNC : integer range 0 to 1 := 0 -- Primary MM2S/S2MM sync/async mode -- 0 = synchronous mode - all clocks are synchronous -- 1 = asynchronous mode - Primary data path channels (MM2S and S2MM) -- run asynchronous to AXI Lite, DMA Control, -- and SG. ); port ( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- axi_prmry_aclk : in std_logic ; -- p_reset_n : in std_logic ; -- -- m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- axis_tready : in std_logic ; -- axis_tvalid : in std_logic ; -- axis_tlast : in std_logic ; -- -- packet_sof : out std_logic ; -- packet_eof : out std_logic -- -- ); end axi_dma_sofeof_gen; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_dma_sofeof_gen is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ATTRIBUTE async_reg : STRING; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- No Constants Declared ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal p_ready : std_logic := '0'; signal p_valid : std_logic := '0'; signal p_valid_d1 : std_logic := '0'; signal p_valid_re : std_logic := '0'; signal p_last : std_logic := '0'; signal p_last_d1 : std_logic := '0'; signal p_last_re : std_logic := '0'; signal s_ready : std_logic := '0'; signal s_valid : std_logic := '0'; signal s_valid_d1 : std_logic := '0'; signal s_valid_re : std_logic := '0'; signal s_last : std_logic := '0'; signal s_last_d1 : std_logic := '0'; signal s_last_re : std_logic := '0'; signal s_sof_d1_cdc_tig : std_logic := '0'; signal s_sof_d2 : std_logic := '0'; --ATTRIBUTE async_reg OF s_sof_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF s_sof_d2 : SIGNAL IS "true"; signal s_sof_d3 : std_logic := '0'; signal s_sof_re : std_logic := '0'; signal s_sof : std_logic := '0'; signal p_sof : std_logic := '0'; signal s_eof_d1_cdc_tig : std_logic := '0'; signal s_eof_d2 : std_logic := '0'; --ATTRIBUTE async_reg OF s_eof_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF s_eof_d2 : SIGNAL IS "true"; signal s_eof_d3 : std_logic := '0'; signal s_eof_re : std_logic := '0'; signal p_eof : std_logic := '0'; signal p_eof_d1_cdc_tig : std_logic := '0'; signal p_eof_d2 : std_logic := '0'; --ATTRIBUTE async_reg OF p_eof_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF p_eof_d2 : SIGNAL IS "true"; signal p_eof_d3 : std_logic := '0'; signal p_eof_clr : std_logic := '0'; signal s_sof_generated : std_logic := '0'; signal sof_generated_fe : std_logic := '0'; signal s_eof_re_latch : std_logic := '0'; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin -- pass internal version out packet_sof <= s_sof_re; packet_eof <= s_eof_re; -- Generate for when primary clock is asynchronous GEN_FOR_ASYNC : if C_PRMRY_IS_ACLK_ASYNC = 1 generate begin --------------------------------------------------------------------------- -- Generate Packet SOF --------------------------------------------------------------------------- -- Register stream control in to isolate wrt clock -- for timing closure REG_STRM_IN : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then if(p_reset_n = '0')then p_valid <= '0'; p_last <= '0'; p_ready <= '0'; else p_valid <= axis_tvalid; p_last <= axis_tlast ; p_ready <= axis_tready; end if; end if; end process REG_STRM_IN; -- Generate rising edge pulse on valid to use for -- smaple and hold register REG_FOR_RE : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then if(p_reset_n = '0')then p_valid_d1 <= '0'; p_last_d1 <= '0'; p_last_re <= '0'; else p_valid_d1 <= p_valid and p_ready; p_last_d1 <= p_last and p_valid and p_ready; -- register to aligne with setting of p_sof p_last_re <= p_ready and p_valid and p_last and not p_last_d1; end if; end if; end process REG_FOR_RE; p_valid_re <= p_ready and p_valid and not p_valid_d1; -- Sample and hold valid re to create sof SOF_SMPL_N_HOLD : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then -- clear at end of packet if(p_reset_n = '0' or p_eof_clr = '1')then p_sof <= '0'; -- assert at beginning of packet hold to allow -- clock crossing to slower secondary clk elsif(p_valid_re = '1')then p_sof <= '1'; end if; end if; end process SOF_SMPL_N_HOLD; -- Register p_sof into secondary clock domain to -- generate packet_sof and also to clear sample and held p_sof SOF_REG2SCNDRY : entity proc_common_v4_0.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => p_sof, prmry_vect_in => (others => '0'), scndry_aclk => m_axi_sg_aclk, scndry_resetn => '0', scndry_out => s_sof_d2, scndry_vect_out => open ); SOF_REG2SCNDRY1 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then -- s_sof_d1_cdc_tig <= '0'; -- s_sof_d2 <= '0'; s_sof_d3 <= '0'; else -- s_sof_d1_cdc_tig <= p_sof; -- s_sof_d2 <= s_sof_d1_cdc_tig; s_sof_d3 <= s_sof_d2; end if; end if; end process SOF_REG2SCNDRY1; s_sof_re <= s_sof_d2 and not s_sof_d3; --------------------------------------------------------------------------- -- Generate Packet EOF --------------------------------------------------------------------------- -- Sample and hold valid re to create sof EOF_SMPL_N_HOLD : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then if(p_reset_n = '0' or p_eof_clr = '1')then p_eof <= '0'; -- if p_last but p_sof not set then it means between pkt -- gap was too small to catch new sof. therefor do not -- generate eof elsif(p_last_re = '1' and p_sof = '0')then p_eof <= '0'; elsif(p_last_re = '1')then p_eof <= '1'; end if; end if; end process EOF_SMPL_N_HOLD; -- Register p_sof into secondary clock domain to -- generate packet_sof and also to clear sample and held p_sof -- CDC register has to be a pure flop EOF_REG2SCNDRY : entity proc_common_v4_0.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => p_eof, prmry_vect_in => (others => '0'), scndry_aclk => m_axi_sg_aclk, scndry_resetn => '0', scndry_out => s_eof_d2, scndry_vect_out => open ); EOF_REG2SCNDRY1 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then -- s_eof_d1_cdc_tig <= '0'; -- s_eof_d2 <= '0'; s_eof_d3 <= '0'; -- CR605883 else -- s_eof_d1_cdc_tig <= p_eof; -- s_eof_d2 <= s_eof_d1_cdc_tig; s_eof_d3 <= s_eof_d2; -- CR605883 end if; end if; end process EOF_REG2SCNDRY1; s_eof_re <= s_eof_d2 and not s_eof_d3; EOF_latch : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then s_eof_re_latch <= '0'; elsif (s_eof_re = '1') then s_eof_re_latch <= not s_eof_re_latch; end if; end if; end process EOF_latch; -- Register s_sof_re back into primary clock domain to use -- as clear of p_sof. EOF_REG2PRMRY : entity proc_common_v4_0.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => s_eof_re_latch, prmry_vect_in => (others => '0'), scndry_aclk => axi_prmry_aclk, scndry_resetn => '0', scndry_out => p_eof_d2, scndry_vect_out => open ); EOF_REG2PRMRY1 : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then if(p_reset_n = '0')then -- p_eof_d1_cdc_tig <= '0'; -- p_eof_d2 <= '0'; p_eof_d3 <= '0'; else -- p_eof_d1_cdc_tig <= s_eof_re_latch; -- p_eof_d2 <= p_eof_d1_cdc_tig; p_eof_d3 <= p_eof_d2; end if; end if; end process EOF_REG2PRMRY1; -- p_eof_clr <= p_eof_d2 and not p_eof_d3;-- CR565366 -- drive eof clear for minimum of 2 scndry clocks -- to guarentee secondary capture. this allows -- new valid assertions to not be missed in -- creating next sof. p_eof_clr <= p_eof_d2 xor p_eof_d3; end generate GEN_FOR_ASYNC; -- Generate for when primary clock is synchronous GEN_FOR_SYNC : if C_PRMRY_IS_ACLK_ASYNC = 0 generate begin --------------------------------------------------------------------------- -- Generate Packet EOF and SOF --------------------------------------------------------------------------- -- Register stream control in to isolate wrt clock -- for timing closure REG_STRM_IN : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then s_valid <= '0'; s_last <= '0'; s_ready <= '0'; else s_valid <= axis_tvalid; s_last <= axis_tlast ; s_ready <= axis_tready; end if; end if; end process REG_STRM_IN; -- Generate rising edge pulse on valid to use for -- smaple and hold register REG_FOR_RE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then s_valid_d1 <= '0'; s_last_d1 <= '0'; else s_valid_d1 <= s_valid and s_ready; s_last_d1 <= s_last and s_valid and s_ready; end if; end if; end process REG_FOR_RE; -- CR565366 investigating delay interurpt issue discovered -- this coding issue. -- s_valid_re <= s_ready and s_valid and not s_last_d1; s_valid_re <= s_ready and s_valid and not s_valid_d1; s_last_re <= s_ready and s_valid and s_last and not s_last_d1; -- Sample and hold valid re to create sof SOF_SMPL_N_HOLD : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(p_reset_n = '0' or s_eof_re = '1')then s_sof_generated <= '0'; -- new elsif((s_valid_re = '1') or (sof_generated_fe = '1' and s_ready = '1' and s_valid = '1'))then s_sof_generated <= '1'; end if; end if; end process SOF_SMPL_N_HOLD; -- Register p_sof into secondary clock domain to -- generate packet_sof and also to clear sample and held p_sof SOF_REG2SCNDRY : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then s_sof_d1_cdc_tig <= '0'; else s_sof_d1_cdc_tig <= s_sof_generated; end if; end if; end process SOF_REG2SCNDRY; -- generate falling edge pulse on end of packet for use if -- need to generate an immediate sof. sof_generated_fe <= not s_sof_generated and s_sof_d1_cdc_tig; -- generate SOF on rising edge of valid if not already in a packet OR... s_sof_re <= '1' when (s_valid_re = '1' and s_sof_generated = '0') or (sof_generated_fe = '1' -- If end of previous packet and s_ready = '1' -- and ready asserted and s_valid = '1') -- and valid asserted else '0'; -- generate eof on rising edge of valid last assertion OR... s_eof_re <= '1' when (s_last_re = '1') or (sof_generated_fe = '1' -- If end of previous packet and s_ready = '1' -- and ready asserted and s_valid = '1' -- and valid asserted and s_last = '1') -- and last asserted else '0'; end generate GEN_FOR_SYNC; end implementation;
bsd-2-clause
fe26b2e963f37157c9d8ec1b63f5b06e
0.443925
4.091358
false
false
false
false
cwilkens/ecen4024-microphone-array
microphone-array/microphone-array.srcs/sources_1/ip/lp_FIR/fir_compiler_v7_1/hdl/muxf_bus.vhd
2
8,183
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block JHw5c4Bc/wNgxXqI6IBeidhFDFHU3bLHHyr/GwOi9Qbjk5XEIV/av1gHcb2utXsKw76UCImf1gJx pgx/ujzp2g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block BF/TQveDRqN4dG0cQUv8/IDbwVDeeZTLtvBy0ZNw1wsuFXxJBA+pznCdyToL5pkrU/NQRtAzX7/W XynYXaUrjeFKLg2t+LrkMDxuRYTLLnk+/e+0asr6mzDKH901oBDmTVXSb/ktP5dcIJzF9eUOGpHM DniHNElYK2vgPN/G5u4= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block bOXxI1o82JrSpl7Qz2SvLJWk/OCs6UrY4rAD2+ZWNXvYO/YziFQurh7bgbFvkHy3aZ7Ba+NpEqlD oytjMwz6fzSD4bKTkrK9jv2hfoEYoUSgaUne378AUOdTJye45AlJI3tChwUSb6JG4njeN5aTOqZE Yw0N4cxO+You4knDw6fObQsbZctdjoGdZecUpo06+H3FBQ+6g7ohc5YbZtSWClaA1NHzO+90IKgf p8/bqqfa8xgJV8eZgzNEkxTYq14oYnYsE7T9Ptp/5WvPnJJHS5KgchdC2n77+30t2VqogjjXUGo3 sHSm+N4ypyGB1/QPyOwPzS8p503SSOQt2+0piA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block FqWPr4UL4V9+fsASByaB7KN9y88sMfWY9I5terakMfu2goIVZUq8C4kcCwim1Rpyb45+Yz0A6RpV XgYxqf8pG/+Zf7mMJpLefJPQoLUYwC7Q4ME6bx4UV6Uw1Clj4ITi83/09iXOl2xVk+7RsJpiv/YF G16CsNGg8kUUmZiDT6g= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block WzPdjy8Xw0F89kDwDo4G2syDmxnZz2/UNU+HVQ0l3rg8K0leePr0IwQXhNvCCKGkwbNtZjU15R3i XI2CUDvtJgIP7dEgI0F2FXR8k5Pnds2wY8GCVCcAcVnoHAwbeYZZLZfBNYO7lUrNMjAGmJ0rUIxE tteX5yAf/FOffj2s+OUxEE72EKX2H4btMAShfnrgaB79h03SdkzdEqkENCsaZj54Yf0kc5B9OIAs TQdiL0bPTCszfdJqzlRVFW5y50HywZPJVJkQnplcNzB5lmwMDYKMYs8srRZ537/i/3BOSu/JdV2u eZkBEhMrhXQMrJfEqHuIyBI37NBPsrbGrydE3g== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4320) `protect data_block BVrWGB4ExELmhLVaVgZ8UFq+dVEcPPbuUK2oJ61NmkivSXP4Hp2h8Jcuze54tmkpDZt+OEnR/VAQ TJsfVouBsOLMLAMuoydYB3VfB7AmPl9vVzpo6CKD2vYzCRsYH5Pj8eT9DSihsRgnXvEw2HHLPLDH +f00BGtnK0TkRBlypVMUHkbkXUKjqe6PQCgaRB+Ws4RB1IE479h0waIZk3XBOjtV4ESnn09Rcokm nqqEKPUQOCF7ElMLNBGOm5qDA9KUSzplryTiRS/uPN3j74xGH0jJI18iBFe/voovcYrv9Q049xr8 jGoIKMGM5VzaR8tG+uqEbgCgKN461hFyiLu796qIH9oRd1n2LRQKmqlaF5cayr9+RzSSOX7g7Us3 UcCOBWe+Kc/95hGZ7KN+DL5ka7V2vVeUwgjnHZKo08QDvumPXjZUI1Nuy+uS5eWJlRiZYxaHiks9 Y/olrHDVQYZgpmBkde/Q8V9YeRYxr2Mg8Au/zqP3/6m7fFJW+9AooYfKPGj4N/Vr4qAevxugBNI/ +1/dKoZoycOTwOGteMT3ea76s15iw8ITlWYpHnR5UtL/BO+Fs3DXo76lNk0h4tDiVA64Yw+ZP7Hr PHfvSE5cpj1qQul6gaV5mDacext1UKw+Sm8D/fXEGHIyp+4ScTEKTx2pYoRuUXdUDr1vt94Eg39Z zV6iSQvkN9TZQQtNyQirAO78GfjCNqCEqVOCBzuHPEEGp2ecAnLV6KFPA8FQO3oK5J+G9XVidsGo n9URn4y63V+C77Pg8GwG3BweV2IlqbalaMadn2AGAhuZ+ryJU51d4JifiIUqZcammJqBzjrKCohG 7mFy25erWWGpBMBygaGQ154fBRMuPBfbGrth7vk2wWK5MYY12SaKr2CWJEQnIR/3w/WPbx6Hs492 vEfZBnYS6Q+PI6LP2B/g4SSOVi1LtDcAOgfV0P7XtJJxYnr1x/9QFQkcHjkwxEVxhU/a4oeUDyCo 6evPIfGiW23dUuYnH7FWUPJk3Qpyp+aelPSLLWdFmCjWL9oJQjhPSpeJx3KDjreMYotlTtsKSLSl xhaW6OFzFfda2PFiMCnaRtHgnqkLVXTaOvi7yqDiC4BtrQR+axO+u2laoP2R7MpB0qfdwoP01k0t 7T/Paua92fCFjwZ6XSsNmo/riPbyOzmau23vphIkKVJ068Fx2X9lwoE5Fs3BfqYSf/BME9fJCdxS MUKMQhwzETEk7TT9C7gRTYoC/UpDSx/4Po6bjw3nIzqZ0g709kuPoTqWl9GX3zVHchoCqfoPUXJb 7nSoj9zv3gPlhITDHvhgD/nkdKK6rG08I28LpcyVefqs2ZeCneZCnDaJHz3lkMxhIVNyWSEDyaK6 nts+CDPyBu2glUCGJDuBA/D3+KR3E2eXTOVfr9vonaMLMiUeNQrYrzR6ZAqb1O7bWjccJZ13U3bN lNF+RrEoCmDgFudu3DBTU512y8bbAIWDKZFFCvPpTUAzlUm1tyuLPK/dvWMNfePq8rrsitLntc9G 70EclIGwCgBdKX89Y+GUEV+oX19DGQoxOGesjhDEuvltEM6eCoL/sPwFkTSlHVaJV6Q2tjfxSPWc qA6hxO0vZRB4gHYdxcLq5DMDlXhbglUcZfo3fFCjTzhJUJcz9lzcE/QMGBaynoOddfEHLBHwYPLq OtcP6C57N4b2DFHCxMHigpwV6N+/doANndzL4XBlcLI+kSwiPi1wJ/Cuszqyv3b0bGOraP2qR12k IMHp+sVIYCFHjuEBHyNZJqJwL31ONI6W1NROgsgKQOysOXN20in19Jrksp2Hx7Y7N4v1LFvlpJp/ m1nRnFJ/tKlb1zhygwX5Bh1TaRQBbbIn8nglLrmx4h7KLarPDehqE5eiXmsSKpa1JNidtEYe0/JS tuWRAKjxeNOwdlwPTlrrEU6yB1SYXHocc2yvw500QIwzjdFmqproaar7Zd5tyHCNLzGNTyDV7+Jx ZjlROoh0MUosOyP+dnS4syU++U7O8JoWX9//RaAt90yzRjGs6/Trj9gPukq7KSS4R8rwDLqN/6Vr 3QzVTHsGboq/3sFYq7CNkNvJBQmhu9gA3uH8QtcsCDs6CTG0eoY0PdoD8abTjEVx2j+wxQ6UL+CU FkXwsen3nQ/XPV5wEof1jwXXMsaWMJ1WmS2XQ10tH6Fhn9ukeaWM5BaSAjn980awhLRbHMCW5hmq O73vKwwrj/hae5nP3TB4/MIYZOIpMuNYMOyteCo1FucI1JQk3rWOL66RPYecLr+sM4P9jdMt6XsH pR7tYBi0jPQZQcjteKgFnFiL8UKXn7TETOOKqQvRcp/kUHOtxBMb0zDkDFMLkTSvE213RqIkT/7d t0Oz5XbUV2gb3oGF0GpvhPMu27AkBNgR6E1t9hfzuszP4058QZLFGCyMLpngJWhff6U/lpPkez8x 6/+Z/XqP3WruiV1I6HNHIm/4ldumUy9sy2811bqR1SBYOQzZcjqcZaQXenMHPp6pT5IB++BxuQbR JYEuDUrlIdIQk0NUdMA7mOhN57OtT1IDsOJv84JY2Y93/YzftcBlOhPXeQLZCQkrVpBOkLkxFgdR vVmBlZCAYBTHPTm/GnDpY2loocY0uZn8XydZzXB9i0p4vSKSjybazhK9a3srkPXLJKFtHlK5gxhy A5HJorTnLRQo7Auea5HOlLQtKLVSn3Q0MqjcUxrFFTaCobS5NLweOWFZFsVI6v+6SZNE8izCrkdz rJXHyFZgg+sv/+RRqQ364UfXX3gvgZRerizNBmZNkFz8uhvElvdcIeeqrwwDo5Zahhr+Jb3JIHam jXYvTN6UayZL0th5qQ5YQOzKaf09ih1k/75VUyUmq1+Db7M9aDKz1FFhlC92pgVLG77UzeAyGjZc cL9cY3dbnZJ3iYemn22wBhm94znY3Ze7RuiBz3DUj1GwKzZ44kF0NBXPa8Lolzt3YTKyDPsGc/Ws lp3tmhAz0pneczLfzOAoOdTVLaEgmL+KVYr4RmqofoddFXgwQMdFRTmmCnSQQXX3OHBgn8YuTL7K 4C1uA3m5clKkokQ/g6zYedXIEHWvVzU93rGAXk7ZmvScx+1nCZ1+QzLZO9eTceZgn+EbnVuCiDaa fwt+hqvU+0BCErfoVkI7OAWIO6Q0ZE+tShCbAhidDWHc9xePY38tx518HWmUqMbg7EWgZoYkwcnG sLqeeZv5aIJBqmTEgPgtlphs3dOj8U71kccsWojcpEKJrYCD/tVjo1l1KyTmdpeXFs2/DryCcniw VqSmSBAcxgjfn7o+8mZlX/SUN2xyFfHpsrG/uqLv0w58w5v/uQIe/YHgHGqO0QTowwfYcPKeru+3 /gSjfeXHkcUErJoprmB2lcLetQdCGY1ToAtz6lqhxS4fYbLVeWLAmdsjvD11GMJ5EBkV1vAuX0/y ew0DtsbG2vge9+Qz5oEawXbRXYpsGr2jAoGqvD8x81X6U8XObFvdOC2niqwCxpDG+lVvKdTUnk6T VwQnPLNEkeDzkXoWgvewkuOsBNa1C7jB2cRjz7DB99xCIiYlx8C2ayboIMDswM3ofrczbTqyrymw lptPs00laFBhA33eq2nu85boMfXPHA1Y0l5bFFIp6NiWl1yxYkRQDIN9K1XQrPXlUmPK8g4aysbi m3X2n4HmpRUczqvZZ7PFeH1DCfwJo32K78DZ2hv4WaiPRR/6bPHZFZFAxgv9RaS0X1zL/JF1d4SC IjSBJjuA0lHd7uBGtaX1UUD2aEYsq7kFw8uq6Ldumcjfv2ByZArl6Xm1rtdiAYS/FnkQMZDp3y53 dq76vk+rcUeASHSs/6a9KqVlE2DwCUMUGF0dtbiBxPR/XeOI5rXgxp0quFgHWHgHPcUZKYhNw/qb V2+64tl+U9n1x8O8EUgY0+1aPOSZ7PYIq0JEBvsJ9vQuKm3dRm8ecG6zSOeX+PQF+DEnsHiSYMN2 0kWU6pFfqqGOb8bCwQhaAOseHbujKCHMuGOx9nlEY/GcLbyQSPhWeDgRoKTBB6Ov0/o3VIKm5xhC WRUNUq2eQaHgYnUe2TJemuBSANKenSK+QSoHBB7vPM41AJB3vYwVF2PzSmcQ/m/MHMcdRXh+YPcu nGfcjl1OJTpKDOhnWQ+raT/LlQdVi2kwlq3trZyW63Lu/2FuAijmjJPa/flu+tZaqXV/9IuQkN87 aDF9LYamNv0PyOYKW0QA+Ty9iAoWdjSLR6zNIHFzC9Y8r3yUOT7cScwWB3tTJh9Zw4SgXuwkjGTi HBKExGkNu4zhUi6PKGhU01UWsds4sqbyGgcGZxy5pICAQyY4ch2qYyX2/HOaJFO0Gq0PUBc92ocG Cpv15rlkqrgA4Q/kNvVFXBqwA4z4C9Bp8Z6dHs8UxQFIpVEEiSuK7816rGCyaAO1QS1Ve9sEg1S9 sP4pjiOC14mgrvjgIPAO7IvYw+8fbJGYhG3+sdkcDia3HmG1Mfk50yOEKOYTHCTuwL9iDTgMdeeG a6AY1fmEjf9GWHbZx80w8Yj91kOAAaOuKOiqDV+jhfvOqCVY0y1Jg76CsPf5H66WmnUhuXustfxY Xwhqy168mSqJ+SqEbH8QNlZeEMotfLp5ZVG4pEKmOMpC6+8S80SfBsoBRTNhUwM25FTQgnFM+GYw mLZKnsxJWn7/P+9sTG2Kb2y7N96/aDy7jaHorJqNyG7FBOZXOJqb4hwF+KCMrUn5k+8+EidA7WID Hj//Xgn+Jz/FHZ9Xiq/sjyohbA2rWpiIbJpc0Nt28Zbj234ATMnG0OiENLwVVpF+2XYqLr1EGINf 7c7eR8y5ctuy6QWALE6H0Mf0+DEguFQ36Vhv36vYakPPnnonNsyoYDVeA38/UrR/GiWHBoJZt877 bVQpv6y3crbbsaAqFJpQn9dTVgk9aPB2cHQv5OCGJzyVoC4yOi4JJ+xuzud3eVMgwHo1P5Gv4dt6 Z6PRGtwoa4SWZ0DcyP9VKPOhFRzJBUdrM9S9/3Rz7Qu5Yda5QMbRrTx7AQ6DkRa+d78mgo6Sy/5K rS9yBL4BfKFfixEgxXdlI0KPVxDE6b+1h7G6SrtuyBVobuNzPouqmeA6nLGS/FGQtpSJfgxZj02M pX+BWZ2SaBBCMDppfW7mMlBZ70XL0PzPnmT+MxteT1dOPVLawm8weuxRcDrFZJl0o9PAINMn9LrQ JmaMvBZoRyy02LTpzArnhs1JgcNqUTRqhEPKZJBNTAgX/grQvqJBfL18EjF9ohydkR9pFNTNBq5F wRwT9otp8eHiPGQXfG9U7KllAHVBl81nEeDXEgbuvGC3JoBtJk2CdzdEI9AyJH9/sGtqPsLa4VXd 5rb9FYwg3/gDsCJvGlpS3Zdli4xMGIXhd70RZhapI8yagvZiKDcnuaOCjkoYIhDxkASyXwQ1Y9qX prDhEa+X26855scd6tTeqyhwnEji3MwoSwVeaGlhd35LeSVGdRdshYsGcoNCTMZZkEMFHPuIn0bj lL9RRtbWFhH0/IvnliJHVfIlbraJOi8OTentXKteJQGOC16FWVT1Qb72gwVbH7lH5vdi5WdTQCyM g+SFDCfx7qvmay5ZLATGpzmqw0pa3JaxD1Tn22G+LflznXJW+BFOOI9YFOLkgCn1WYVRByxGOVPO nYDr+dXHeyfjJj4zP5lZdsJA1f0MM8uKtnAtlmohVurKQ4gQK7/HJKPFbyYHefhZyh32srDIQuqN hchQLm9O3wDYcvcddJUfcFA+7irHe6MGeskNHI1nVp9c48X3Fzhmg710YS4V `protect end_protected
mit
60750bcad609afc11c5102ceba420c06
0.91629
1.933601
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/variable_assignment/rule_007_test_input.vhd
1
358
architecture RTL of FIFO is begin process begin -- These are passing a := b or d; a := '0' when c = '0' else '1' when d = '1' else 'Z'; -- Failing variations a := b or d; a := '0' when c = '0' else '1' when d = '1' else 'Z'; end process; end architecture RTL;
gpl-3.0
e6e036a30384a495ed60636507b1067d
0.441341
3.345794
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/instantiation/rule_023_test_input.vhd
1
508
architecture ARCH of ENTITY1 is begin U_INST1 : INST1 generic map ( -- Keep Comment G_GEN_1 => 3,-- Comment -- Keep Comment G_GEN_2 => 4, -- Comment -- Keep Comment G_GEN_3 => 5-- Comment -- Keep Comment ) port map ( -- Keep Comment PORT_1 => w_port_1, -- Comment -- Keep Comment PORT_2 => w_port_2, -- Comment -- Keep Comment PORT_3 => w_port_3--Comment -- Keep Comment ); end architecture ARCH;
gpl-3.0
897012c25101565db3e3ded3ed9dc2d1
0.511811
3.628571
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/vhdlFile/conditional_waveform_assignment/classification_test_input.vhd
1
1,521
architecture RTL of ENTITY_NAME is function func1 return integer is begin hpp := '1' when (pf_vlan2x_tci(3 downto 0) >= x"A" and pf_vlan2x_tci(3 downto 0) <= x"F") else '0'; hpp := '1' when a >= b and x <= y else '0'; other_target <= '0' when x <= y; end function; begin process begin SEL_LABEL : some target <= transport some expression when some condition else some expression when some condition else some expression; SEL_LABEL : some target <= some expression when some condition else some expression when some condition else some expression; SEL_LABEL : some target <= some expression when some condition else some expression when some condition; SEL_LABEL : some target <= some expression when some condition; -- Remove the labels some target <= transport some expression when some condition else some expression when some condition else some expression; some target <= some expression when some condition else some expression when some condition else some expression; some target <= some expression when some condition else some expression when some condition; some target <= some expression when some condition; end process; end architecture RTL;
gpl-3.0
91f76ef10dcc324607616dcf4c54d20d
0.584484
5.412811
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/variable/rule_011_test_input.fixed.vhd
1
408
architecture RTL of ENTITY1 is begin PROC_NAME : process () is variable var1 : std_logic; variable var2 : std_logic; variable var3 : std_logic; variable var4 : std_logic; begin var1 <= '0'; if (var2 = '0') then var3 <= '1'; elsif (var2 = '1') then var4 <= '0'; end if; var1 <= var2 & var3 & var4; end process PROC_NAME; end architecture RTL;
gpl-3.0
1a770f74b099bc5b15f08f1fb02feef0
0.563725
3.1875
false
false
false
false
kjellhar/axi_mmc
src/vhdl/mmc_core_top.vhd
1
13,054
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 11/26/2014 07:09:05 PM -- Design Name: -- Module Name: mmc_core_top - rtl -- Project Name: -- Target Devices: -- Tool Versions: -- Description: -- -- The MMC core is designed so it should be quite simple to -- adapt it to any bus system. It uses a range of registers -- for interfacing. A bus wrapper must take care of address -- decoding and bus protocol. The internal control signals are -- very simple. -- -- -- Register definitions -- -- status_reg (R): -- -- config_reg (RW): -- [31:24] - MMC clock prescaler: f_mmc = f_in/(2*(1+pre)) -- [2:1] - Bus width: 0=1bit, 1=4bit, 2=8bit, 3=Illegal -- [0] - Module enable -- -- -- operation_reg (RW): -- [22:16] - Cmd CRC7 (used if bit 9 is 0) -- [12] - Read/Write multiple sectors -- [11] - Write data -- [10] - Read data -- [9] - Append CRC7 to command -- [8:6] - Response -- [5:0] - Command index -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use WORK.mmc_core_pkg.all; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. --library UNISIM; --use UNISIM.VComponents.all; entity mmc_core_top is Port ( clk : in std_logic; reset : in std_logic; irq_o : out std_logic; execute_i : in std_logic; busy_o : out std_logic; status_reg_o : out std_logic_vector (31 downto 0); config_reg_i : in std_logic_vector (31 downto 0); config_reg_o : out std_logic_vector (31 downto 0); config_reg_wr : in std_logic; operation_reg_i : in std_logic_vector (31 downto 0); operation_reg_o : out std_logic_vector (31 downto 0); operation_reg_wr : in std_logic; cmd_arg_reg_i : in std_logic_vector (31 downto 0); cmd_arg_reg_o : out std_logic_vector (31 downto 0); cmd_arg_reg_wr : in std_logic; respons_reg0_o : out std_logic_vector (31 downto 0); respons_reg1_o : out std_logic_vector (31 downto 0); respons_reg2_o : out std_logic_vector (31 downto 0); respons_reg3_o : out std_logic_vector (31 downto 0); rdata_fifo_o : out std_logic_vector (31 downto 0 ); rdata_fifo_pull_i : in std_logic; rdata_fifo_empty_o : out std_logic; wdata_fifo_o : out std_logic_vector (31 downto 0 ); wdata_fifo_push_i : in std_logic; wdata_fifo_full_o : out std_logic; -- MCC signals mmc_clk_o : out std_logic; mmc_rst_o : out std_logic; mmc_cmd_i : in std_logic; mmc_cmd_o : out std_logic; mmc_dat_i : in std_logic_vector (7 downto 0); mmc_dat_o : out std_logic_vector (7 downto 0); -- Auxillary MMC signals mmc_cpresent_i : in std_logic; mmc_pwr_en_o : out std_logic; -- MMC pin control signals mmc_cmd_dir_o : out std_logic; mmc_dat_dir_o : out std_logic ); end mmc_core_top; architecture rtl of mmc_core_top is component mmc_cmd_if is Port ( clk : in std_logic; clk_en : in std_logic; reset : in std_logic; mmc_cmd_i : in std_logic; mmc_cmd_o : out std_logic; send_cmd_trigger_i : in std_logic; receive_cmd_trigger_i : in std_logic; send_cmd_busy_o : out std_logic; receive_cmd_busy_o : out std_logic; crc7_calc_en_i : in std_logic; response_i : in std_logic_vector (2 downto 0); cmd_shift_outval_i : in std_logic_vector (47 downto 0); cmd_shift_inval_o : out std_logic_vector (135 downto 0); mmc_crc7_out_o : out std_logic_vector (6 downto 0) ); end component; component mmc_dat_if is Port ( clk : in std_logic; clk_en : in std_logic; reset : in std_logic; receive_dat_trigger_i : in std_logic; transmit_dat_trigger_i : in std_logic; dat_block_finished_o : out std_logic; bus_width_i : in std_logic_vector (1 downto 0); data_fifo_out_i : in std_logic_vector (31 downto 0); data_fifo_out_wr_i : in std_logic; data_fifo_out_full_o : out std_logic; data_fifo_in_o : out std_logic_vector (31 downto 0); data_fifo_in_rd_i : in std_logic; data_fifo_in_empty_o : out std_logic; dat_out_o : out std_logic_vector (7 downto 0); dat_in_i : in std_logic_vector (7 downto 0) ); end component; component mmc_clk_manager is Port ( clk : in std_logic; clk_en : in std_logic; reset : in std_logic; prescaler : in std_logic_vector (7 downto 0); mmc_clk : out std_logic; mmc_clk_rise : out std_logic; mmc_clk_fall : out std_logic); end component; -- State variables type state_t is ( INACTIVE, IDLE, INIT_SEND_CMD, START_SEND_CMD, SEND_CMD, START_RESP, WAIT_FOR_RESP); signal state : state_t := INACTIVE; signal nextstate : state_t; -- Clock Enable signals signal mmc_clk_en : std_logic; signal mmc_clk_fall : std_logic; signal mmc_clk_rise : std_logic; -- Internal control signals signal response : std_logic_vector (2 downto 0); signal cmd_index : std_logic_vector (5 downto 0); signal crc7_preset : std_logic_vector (6 downto 0); signal send_cmd_busy : std_logic := '0'; signal send_cmd_trigger : std_logic := '0'; signal receive_cmd_busy : std_logic := '0'; signal receive_cmd_trigger : std_logic := '0'; signal cmd_shift_outval : std_logic_vector (47 downto 0); signal prescaler : std_logic_vector (7 downto 0); signal module_enable : std_logic; signal mmc_crc7_out : std_logic_vector (6 downto 0); signal crc7_calc_en : std_logic; signal receive_dat_trigger : std_logic; signal transmit_dat_trigger : std_logic; signal dat_block_finished : std_logic; signal bus_width : std_logic_vector (1 downto 0); -- Register signal status_reg : std_logic_vector (31 downto 0) := (others => '0'); signal config_reg : std_logic_vector (31 downto 0) := (others => '0'); signal operation_reg : std_logic_vector (31 downto 0) := (others => '0'); signal cmd_arg_reg : std_logic_vector (31 downto 0) := (others => '0'); signal respons_fifo : std_logic_vector (31 downto 0) := (others => '0'); signal rdata_fifo : std_logic_vector (31 downto 0) := (others => '0'); -- Internal MMC signals signal mmc_clk : std_logic := '0'; signal cmd_shift_in : std_logic_vector (135 downto 0); begin -- Connect outputs status_reg_o <= status_reg; config_reg_o <= config_reg; operation_reg_o <= operation_reg; cmd_arg_reg_o <= cmd_arg_reg; respons_fifo_o <= respons_fifo; rdata_fifo_o <= rdata_fifo; mmc_clk_o <= mmc_clk; -- Connect config register to control signals prescaler <= config_reg (31 downto 24); bus_width <= config_reg (2 downto 1); module_enable <= config_reg(0); -- Connecto operation reg to internal signals cmd_index <= operation_reg (5 downto 0); response <= operation_reg (8 downto 6); crc7_preset <= operation_reg (22 downto 16); crc7_calc_en <= operation_reg(9); cmd_shift_outval <= "01" & cmd_index & cmd_arg_reg & crc7_preset & '1'; -- Register block process begin wait until rising_edge(clk); if config_reg_wr='1' then config_reg <= config_reg_i; end if; if operation_reg_wr='1' then operation_reg <= operation_reg_i; end if; if cmd_arg_reg_wr='1' then cmd_arg_reg <= cmd_arg_reg_i; end if; end process; -- State machine flip-flops process begin wait until rising_edge(clk); if reset='1' or module_enable='0' then state <= INACTIVE; else state <= nextstate; end if; end process; -- State machine logic process (state, execute, send_cmd_busy, response, receive_cmd_busy) begin -- default values for outputs nextstate <= state; mmc_clk_en <= '1'; send_cmd_trigger <= '0'; receive_cmd_trigger <= '0'; mmc_cmd_dir <= '0'; -- Default to input -- Next state and output logic case state is when INACTIVE => if module_enable='1' then nextstate <= IDLE; end if; mmc_clk_en <= '0'; when IDLE => if execute_i='1' then nextstate <= INIT_SEND_CMD; end if; when INIT_SEND_CMD => if send_cmd_busy='0' then nextstate <= START_SEND_CMD; end if; when START_SEND_CMD => send_cmd_trigger <= '1'; mmc_cmd_dir <= '1'; if send_cmd_busy='1' then nextstate <= SEND_CMD; end if; when SEND_CMD => mmc_cmd_dir <= '1'; if send_cmd_busy='0' then if response=RESP_NONE then nextstate <= IDLE; else nextstate <= START_RESP; end if; end if; when START_RESP => receive_cmd_trigger <= '1'; if receive_cmd_busy='1' then nextstate <= WAIT_FOR_RESP; end if; when WAIT_FOR_RESP => if receive_cmd_busy='0' then nextstate <= IDLE; end if; when others => nextstate <= INACTIVE; -- Output error signal end case; end process; u_mmc_clk_manager : mmc_clk_manager Port map ( clk => clk, clk_en => mmc_clk_en, reset => reset, prescaler => prescaler, mmc_clk => mmc_clk, mmc_clk_rise => mmc_clk_rise, mmc_clk_fall => mmc_clk_fall ); u_mmc_cmd_if : mmc_cmd_if Port map ( clk => clk, clk_en => mmc_clk_rise, reset => reset, mmc_cmd_i => mmc_cmd_i, mmc_cmd_o => mmc_cmd_o, send_cmd_trigger_i => send_cmd_trigger, receive_cmd_trigger_i => receive_cmd_trigger, send_cmd_busy_o => send_cmd_busy, receive_cmd_busy_o => receive_cmd_busy, crc7_calc_en_i => crc7_calc_en, response_i => response, cmd_shift_outval_i => cmd_shift_outval, cmd_shift_inval_o => cmd_shift_in, mmc_crc7_out_o => mmc_crc7_out ); u_mmc_dat_if : mmc_dat_if Port map ( clk => clk, clk_en => mmc_clk_rise, reset => reset, receive_dat_trigger_i => receive_dat_trigger, transmit_dat_trigger_i => transmit_dat_trigger, dat_block_finished_o => dat_block_finished, bus_width_i => bus_width, data_fifo_out_i => wdata_fifo_o, data_fifo_out_wr_i => wdata_fifo_push_i, data_fifo_out_full_o => wdata_fifo_full_o, data_fifo_in_o => rdata_fifo_o, data_fifo_in_rd_i => rdata_fifo_pull_i, data_fifo_in_empty_o => rdata_fifo_empty_o, dat_out_o => mmc_dat_o, dat_in_i => mmc_dat_i ); end rtl;
mit
6ea0ede1ec6d32e71ad4a8d190122043
0.492416
3.837155
false
false
false
false
Yarr/Yarr-fw
rtl/spartan6/ddr3-core/ip_cores/ddr3_ctrl_spec_bank3_64b_32b/user_design/rtl/iodrp_controller.vhd
19
14,635
--***************************************************************************** -- (c) Copyright 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: %version -- \ \ Application: MIG -- / / Filename: iodrp_controller.vhd -- /___/ /\ Date Last Modified: $Date: 2011/06/02 07:17:25 $ -- \ \ / \ Date Created: Mon Feb 9 2009 -- \___\/\___\ -- --Device: Spartan6 --Design Name: DDR/DDR2/DDR3/LPDDR --Purpose: Xilinx reference design for IODRP controller for v0.9 device -- --Reference: -- -- Revision: Date: Comment -- 1.0: 02/06/09: Initial version for MIG wrapper. -- 1.1: 02/01/09: updates to indentations. -- 1.2: 02/12/09: changed non-blocking assignments to blocking ones -- for state machine always block. Also, assigned -- intial value to load_shift_n to avoid latch -- End Revision --******************************************************************************* library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity iodrp_controller is --output to IODRP SDI pin --input from IODRP SDO pin -- Register where memcell_address is captured during the READY state -- Register which stores the write data until it is ready to be shifted out -- The shift register which shifts out SDO and shifts in SDI. -- This register is loaded before the address or data phase, but continues -- to shift for a writeback of read data -- The signal which causes shift_through_reg to load the new value from data_out_mux, or continue to shift data in from DRP_SDO -- The signal which indicates where the shift_through_reg should load from. 0 -> data_reg 1 -> memcell_addr_reg -- The counter for which bit is being shifted during address or data phase -- This is set after the first address phase has executed -- (* FSM_ENCODING="GRAY" *) reg [2:0] state, nextstate; -- The mux which selects between data_reg and memcell_addr_reg for sending to shift_through_reg -- added so that DRP_SDI output is only active when DRP_CS is active port ( memcell_address : in std_logic_vector(7 downto 0); write_data : in std_logic_vector(7 downto 0); read_data : out std_logic_vector(7 downto 0); rd_not_write : in std_logic; cmd_valid : in std_logic; rdy_busy_n : out std_logic; use_broadcast : in std_logic; sync_rst : in std_logic; DRP_CLK : in std_logic; DRP_CS : out std_logic; DRP_SDI : out std_logic; DRP_ADD : out std_logic; DRP_BKST : out std_logic; DRP_SDO : in std_logic ); end entity iodrp_controller; architecture trans of iodrp_controller is constant READY : std_logic_vector(2 downto 0) := "000"; constant DECIDE : std_logic_vector(2 downto 0) := "001"; constant ADDR_PHASE : std_logic_vector(2 downto 0) := "010"; constant ADDR_TO_DATA_GAP : std_logic_vector(2 downto 0) := "011"; constant ADDR_TO_DATA_GAP2 : std_logic_vector(2 downto 0) := "100"; constant ADDR_TO_DATA_GAP3 : std_logic_vector(2 downto 0) := "101"; constant DATA_PHASE : std_logic_vector(2 downto 0) := "110"; constant ALMOST_READY : std_logic_vector(2 downto 0) := "111"; constant IOI_DQ0 : std_logic_vector(4 downto 0) := "00001"; constant IOI_DQ1 : std_logic_vector(4 downto 0) := "00000"; constant IOI_DQ2 : std_logic_vector(4 downto 0) := "00011"; constant IOI_DQ3 : std_logic_vector(4 downto 0) := "00010"; constant IOI_DQ4 : std_logic_vector(4 downto 0) := "00101"; constant IOI_DQ5 : std_logic_vector(4 downto 0) := "00100"; constant IOI_DQ6 : std_logic_vector(4 downto 0) := "00111"; constant IOI_DQ7 : std_logic_vector(4 downto 0) := "00110"; constant IOI_DQ8 : std_logic_vector(4 downto 0) := "01001"; constant IOI_DQ9 : std_logic_vector(4 downto 0) := "01000"; constant IOI_DQ10 : std_logic_vector(4 downto 0) := "01011"; constant IOI_DQ11 : std_logic_vector(4 downto 0) := "01010"; constant IOI_DQ12 : std_logic_vector(4 downto 0) := "01101"; constant IOI_DQ13 : std_logic_vector(4 downto 0) := "01100"; constant IOI_DQ14 : std_logic_vector(4 downto 0) := "01111"; constant IOI_DQ15 : std_logic_vector(4 downto 0) := "01110"; constant IOI_UDQS_CLK : std_logic_vector(4 downto 0) := "11101"; constant IOI_UDQS_PIN : std_logic_vector(4 downto 0) := "11100"; constant IOI_LDQS_CLK : std_logic_vector(4 downto 0) := "11111"; constant IOI_LDQS_PIN : std_logic_vector(4 downto 0) := "11110"; signal memcell_addr_reg : std_logic_vector(7 downto 0); signal data_reg : std_logic_vector(7 downto 0); signal shift_through_reg : std_logic_vector(7 downto 0); signal load_shift_n : std_logic; signal addr_data_sel_n : std_logic; signal bit_cnt : std_logic_vector(2 downto 0); signal rd_not_write_reg : std_logic; signal AddressPhase : std_logic; signal capture_read_data : std_logic; signal state : std_logic_vector(2 downto 0); signal nextstate : std_logic_vector(2 downto 0); signal data_out_mux : std_logic_vector(7 downto 0); signal DRP_SDI_pre : std_logic; signal ALMOST_READY_ST : std_logic; signal ADDR_PHASE_ST : std_logic; signal BIT_CNT7 : std_logic; signal ADDR_PHASE_ST1 : std_logic; signal DATA_PHASE_ST : std_logic; signal state_ascii : std_logic_vector(32 * 8 - 1 downto 0); begin --synthesis translate_off -- process (state) -- begin -- case state is -- when READY => -- state_ascii <= "READY"; -- when DECIDE => -- state_ascii <= "DECIDE"; -- when ADDR_PHASE => -- state_ascii <= "ADDR_PHASE"; -- when ADDR_TO_DATA_GAP => -- state_ascii <= "ADDR_TO_DATA_GAP"; -- when ADDR_TO_DATA_GAP2 => -- state_ascii <= "ADDR_TO_DATA_GAP2"; -- when ADDR_TO_DATA_GAP3 => -- state_ascii <= "ADDR_TO_DATA_GAP3"; -- when DATA_PHASE => -- state_ascii <= "DATA_PHASE"; -- when ALMOST_READY => -- case(state) -- state_ascii <= "ALMOST_READY"; -- when others => -- null; -- end case; -- end process; --synthesis translate_on process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (state = READY) then memcell_addr_reg <= memcell_address; data_reg <= write_data; rd_not_write_reg <= rd_not_write; end if; end if; end process; rdy_busy_n <= '1' when (state = READY) else '0'; data_out_mux <= memcell_addr_reg when (addr_data_sel_n = '1') else data_reg; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then shift_through_reg <= "00000000"; else if (load_shift_n = '1') then --Assume the shifter is either loading or shifting, bit 0 is shifted out first shift_through_reg <= data_out_mux; else shift_through_reg <= (DRP_SDO & shift_through_reg(7 downto 1)); end if; end if; end if; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (((state = ADDR_PHASE) or (state = DATA_PHASE)) and (not(sync_rst)) = '1') then bit_cnt <= bit_cnt + "001"; else bit_cnt <= "000"; end if; end if; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then -- capture_read_data <= 1'b0; read_data <= "00000000"; else -- capture_read_data <= (state == DATA_PHASE); -- if(capture_read_data) if (state = ALMOST_READY) then -- else -- read_data <= read_data; read_data <= shift_through_reg; end if; end if; end if; end process; ALMOST_READY_ST <= '1' when state = ALMOST_READY else '0'; ADDR_PHASE_ST <= '1' when state = ADDR_PHASE else '0'; BIT_CNT7 <= '1' when bit_cnt = "111" else '0'; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then AddressPhase <= '0'; else if (AddressPhase = '1') then -- Keep it set until we finish the cycle AddressPhase <= AddressPhase and (not ALMOST_READY_ST); else -- set the address phase when ever we finish the address phase AddressPhase <= (ADDR_PHASE_ST and BIT_CNT7); end if; end if; end if; end process; ADDR_PHASE_ST1 <= '1' when nextstate = ADDR_PHASE else '0'; DATA_PHASE_ST <= '1' when nextstate = DATA_PHASE else '0'; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then DRP_ADD <= ADDR_PHASE_ST1; DRP_CS <= ADDR_PHASE_ST1 or DATA_PHASE_ST; if (state = READY) then DRP_BKST <= use_broadcast; end if; end if; end process; -- assign DRP_SDI_pre = (DRP_CS)? shift_through_reg[0] : 1'b0; //if DRP_CS is inactive, just drive 0 out - this is a possible place to pipeline for increased performance -- assign DRP_SDI = (rd_not_write_reg & DRP_CS & !DRP_ADD)? DRP_SDO : DRP_SDI_pre; //If reading, then feed SDI back out SDO - this is a possible place to pipeline for increased performance DRP_SDI <= shift_through_reg(0); -- The new read method only requires that we shift out the address and the write data process (state, cmd_valid, bit_cnt, rd_not_write_reg, AddressPhase,BIT_CNT7) begin addr_data_sel_n <= '0'; load_shift_n <= '0'; case state is when READY => if (cmd_valid = '1') then nextstate <= DECIDE; else nextstate <= READY; end if; when DECIDE => load_shift_n <= '1'; addr_data_sel_n <= '1'; nextstate <= ADDR_PHASE; -- After the second pass go to end of statemachine -- execute a second address phase for the read access. when ADDR_PHASE => if (BIT_CNT7 = '1') then if (rd_not_write_reg = '1') then if (AddressPhase = '1') then nextstate <= ALMOST_READY; else nextstate <= DECIDE; end if; else nextstate <= ADDR_TO_DATA_GAP; end if; else nextstate <= ADDR_PHASE; end if; when ADDR_TO_DATA_GAP => load_shift_n <= '1'; nextstate <= ADDR_TO_DATA_GAP2; when ADDR_TO_DATA_GAP2 => load_shift_n <= '1'; nextstate <= ADDR_TO_DATA_GAP3; when ADDR_TO_DATA_GAP3 => load_shift_n <= '1'; nextstate <= DATA_PHASE; when DATA_PHASE => if (BIT_CNT7 = '1') then nextstate <= ALMOST_READY; else nextstate <= DATA_PHASE; end if; when ALMOST_READY => nextstate <= READY; when others => nextstate <= READY; end case; end process; process (DRP_CLK) begin if (DRP_CLK'event and DRP_CLK = '1') then if (sync_rst = '1') then state <= READY; else state <= nextstate; end if; end if; end process; end architecture trans;
gpl-3.0
2e13ae7a306eb0ca359c8985f27ceacc
0.558661
3.872718
false
false
false
false
Logistic1994/CPU
module_RAM.vhd
1
1,842
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 16:30:23 05/29/2015 -- Design Name: -- Module Name: module_ram - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity module_RAM is port( clk_RAM: in std_logic; nreset: in std_logic; RAM_CS: in std_logic; -- RAMƬѡ nRAM_EN: in std_logic; -- RAMÊä³öʹÄÜ WR_nRD: in std_logic; -- 1Ϊд£¬0Ϊ¶Á ARi: in std_logic_vector(6 downto 0); -- RAMµØÖ·ÐźŠdatai: in std_logic_vector(7 downto 0); datao: out std_logic_vector(7 downto 0); do: out std_logic); -- Êý¾Ý×ÜÏß end module_RAM; architecture Behavioral of module_RAM is type matrix is array (integer range<>) of std_logic_vector(7 downto 0); -- ¶¨ÒåÕâÑùµÄÀàÐÍ signal ram: matrix(0 to 2**7-1); begin process(nreset, clk_RAM) begin if nreset = '0' then -- do nothing elsif rising_edge(clk_RAM) then if RAM_CS = '1' and nRAM_EN = '0' then if WR_nRD = '1' then ram(conv_integer(ARi)) <= datai; datao <= (others => 'Z'); do <= '0'; else datao <= ram(conv_integer(ARi)); do <= '1'; end if; else datao <= (others => 'Z'); do <= '0'; end if; end if; end process; end Behavioral;
gpl-2.0
b7a1bd37edd82bd12abd9bb7e3805d82
0.585776
3.029605
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/if_statement/rule_006_test_input.vhd
1
1,085
architecture RTL of FIFO is begin process begin if a = '1' then b <= '0'; elsif c = '1' then b <= '1'; else if x = '1' then z <= '0'; elsif x = '0' then z <= '1'; else z <= 'Z'; end if; end if; -- Violations below if a = '1' then b <= '0'; elsif c = '1' then b <= '1'; else if x = '1' then z <= '0'; elsif x = '0' then z <= '1'; else z <= 'Z'; end if; end if; -- Check overrides if a = '1' then case x is end case; end if; if a = '1' then case x is end case; end if; -- Check loop statements if a = '1' then LOOP_LABEL : loop end loop; end if; if a = '1' then loop end loop; end if; if a = '1' then while a = 0 loop end loop; end if; if a = '1' then for i in 0 to 13 loop end loop; end if; end process; end architecture RTL;
gpl-3.0
994b92fbba8fcefc38682154446b5cbb
0.391705
3.455414
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/instantiation/rule_009_test_input.fixed_lower.vhd
1
407
architecture ARCH of ENTITY1 is begin U_INST1 : inst1 generic map ( G_GEN_1 => 3, G_GEN_2 => 4, G_GEN_3 => 5 ) port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); -- Violations below U_INST1 : inst1 port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); end architecture ARCH;
gpl-3.0
16aa33d7ff1fffbd204ccaf8f8d63055
0.481572
2.787671
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_bram_ctrl_0_0/axi_bram_ctrl_v3_0/hdl/vhdl/axi_bram_ctrl.vhd
1
41,566
------------------------------------------------------------------------------- -- axi_bram_ctrl.vhd ------------------------------------------------------------------------------- -- -- -- (c) Copyright [2010 - 2011] Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ------------------------------------------------------------------------------- -- Filename: axi_bram_ctrl_wrapper.vhd -- -- Description: This file is the top level module for the AXI BRAM -- controller IP core. -- -- VHDL-Standard: VHDL'93 -- ------------------------------------------------------------------------------- -- Structure: -- axi_bram_ctrl.vhd (v3_0) -- | -- |--axi_bram_ctrl_top.vhd -- | -- |-- full_axi.vhd -- | -- sng_port_arb.vhd -- | -- lite_ecc_reg.vhd -- | -- axi_lite_if.vhd -- | -- wr_chnl.vhd -- | -- wrap_brst.vhd -- | -- ua_narrow.vhd -- | -- checkbit_handler.vhd -- | -- xor18.vhd -- | -- parity.vhd -- | -- checkbit_handler_64.vhd -- | -- (same helper components as checkbit_handler) -- | -- parity.vhd -- | -- correct_one_bit.vhd -- | -- correct_one_bit_64.vhd -- | -- ecc_gen.vhd -- | -- | -- rd_chnl.vhd -- | -- wrap_brst.vhd -- | -- ua_narrow.vhd -- | -- checkbit_handler.vhd -- | -- xor18.vhd -- | -- parity.vhd -- | -- checkbit_handler_64.vhd -- | -- (same helper components as checkbit_handler) -- | -- parity.vhd -- | -- correct_one_bit.vhd -- | -- correct_one_bit_64.vhd -- | -- ecc_gen.vhd -- | -- |-- axi_lite.vhd -- | -- lite_ecc_reg.vhd -- | -- axi_lite_if.vhd -- | -- checkbit_handler.vhd -- | -- xor18.vhd -- | -- parity.vhd -- | -- correct_one_bit.vhd -- | -- ecc_gen.vhd -- ------------------------------------------------------------------------------- -- Library declarations library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.numeric_std.all; library work; use work.axi_bram_ctrl_top; use work.axi_bram_ctrl_funcs.all; use work.coregen_comp_defs.all; ------------------------------------------------------------------------------ entity axi_bram_ctrl is generic ( C_BRAM_INST_MODE : string := "EXTERNAL"; -- external ; internal --determines whether the bmg is external or internal to axi bram ctrl wrapper C_MEMORY_DEPTH : integer := 4096; --Memory depth specified by the user C_BRAM_ADDR_WIDTH : integer := 12; -- Width of AXI address bus (in bits) C_S_AXI_ADDR_WIDTH : integer := 32; -- Width of AXI address bus (in bits) C_S_AXI_DATA_WIDTH : integer := 32; -- Width of AXI data bus (in bits) C_S_AXI_ID_WIDTH : INTEGER := 4; -- AXI ID vector width C_S_AXI_PROTOCOL : string := "AXI4"; -- Set to AXI4LITE to optimize out burst transaction support C_S_AXI_SUPPORTS_NARROW_BURST : INTEGER := 1; -- Support for narrow burst operations C_SINGLE_PORT_BRAM : INTEGER := 0; -- Enable single port usage of BRAM -- C_FAMILY : string := "virtex6"; -- Specify the target architecture type -- AXI-Lite Register Parameters C_S_AXI_CTRL_ADDR_WIDTH : integer := 32; -- Width of AXI-Lite address bus (in bits) C_S_AXI_CTRL_DATA_WIDTH : integer := 32; -- Width of AXI-Lite data bus (in bits) -- ECC Parameters C_ECC : integer := 0; -- Enables or disables ECC functionality C_FAULT_INJECT : integer := 0; -- Enable fault injection registers -- (default = disabled) C_ECC_ONOFF_RESET_VALUE : integer := 1 -- By default, ECC checking is on -- (can disable ECC @ reset by setting this to 0) ); port ( -- AXI Interface Signals -- AXI Clock and Reset s_axi_aclk : in std_logic; s_axi_aresetn : in std_logic; ecc_interrupt : out std_logic := '0'; ecc_ue : out std_logic := '0'; -- axi write address channel Signals (AW) s_axi_awid : in std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); s_axi_awaddr : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); s_axi_awlen : in std_logic_vector(7 downto 0); s_axi_awsize : in std_logic_vector(2 downto 0); s_axi_awburst : in std_logic_vector(1 downto 0); s_axi_awlock : in std_logic; s_axi_awcache : in std_logic_vector(3 downto 0); s_axi_awprot : in std_logic_vector(2 downto 0); s_axi_awvalid : in std_logic; s_axi_awready : out std_logic; -- axi write data channel Signals (W) s_axi_wdata : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); s_axi_wstrb : in std_logic_vector(C_S_AXI_DATA_WIDTH/8-1 downto 0); s_axi_wlast : in std_logic; s_axi_wvalid : in std_logic; s_axi_wready : out std_logic; -- axi write data response Channel Signals (B) s_axi_bid : out std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); s_axi_bresp : out std_logic_vector(1 downto 0); s_axi_bvalid : out std_logic; s_axi_bready : in std_logic; -- axi read address channel Signals (AR) s_axi_arid : in std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); s_axi_araddr : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); s_axi_arlen : in std_logic_vector(7 downto 0); s_axi_arsize : in std_logic_vector(2 downto 0); s_axi_arburst : in std_logic_vector(1 downto 0); s_axi_arlock : in std_logic; s_axi_arcache : in std_logic_vector(3 downto 0); s_axi_arprot : in std_logic_vector(2 downto 0); s_axi_arvalid : in std_logic; s_axi_arready : out std_logic; -- axi read data channel Signals (R) s_axi_rid : out std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); s_axi_rdata : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); s_axi_rresp : out std_logic_vector(1 downto 0); s_axi_rlast : out std_logic; s_axi_rvalid : out std_logic; s_axi_rready : in std_logic; -- axi-lite ecc register Interface Signals -- axi-lite clock and Reset -- note: axi-lite control IF and AXI IF share the same clock. -- s_axi_ctrl_aclk : in std_logic; -- s_axi_ctrl_aresetn : in std_logic; -- axi-lite write address Channel Signals (AW) s_axi_ctrl_awvalid : in std_logic; s_axi_ctrl_awready : out std_logic; s_axi_ctrl_awaddr : in std_logic_vector(C_S_AXI_CTRL_ADDR_WIDTH-1 downto 0); -- axi-lite write data Channel Signals (W) s_axi_ctrl_wdata : in std_logic_vector(C_S_AXI_CTRL_DATA_WIDTH-1 downto 0); s_axi_ctrl_wvalid : in std_logic; s_axi_ctrl_wready : out std_logic; -- axi-lite write data Response Channel Signals (B) s_axi_ctrl_bresp : out std_logic_vector(1 downto 0); s_axi_ctrl_bvalid : out std_logic; s_axi_ctrl_bready : in std_logic; -- axi-lite read address Channel Signals (AR) s_axi_ctrl_araddr : in std_logic_vector(C_S_AXI_CTRL_ADDR_WIDTH-1 downto 0); s_axi_ctrl_arvalid : in std_logic; s_axi_ctrl_arready : out std_logic; -- axi-lite read data Channel Signals (R) s_axi_ctrl_rdata : out std_logic_vector(C_S_AXI_CTRL_DATA_WIDTH-1 downto 0); s_axi_ctrl_rresp : out std_logic_vector(1 downto 0); s_axi_ctrl_rvalid : out std_logic; s_axi_ctrl_rready : in std_logic; -- bram interface signals (Port A) bram_rst_a : out std_logic; bram_clk_a : out std_logic; bram_en_a : out std_logic; bram_we_a : out std_logic_vector (C_S_AXI_DATA_WIDTH/8 + C_ECC*(1+(C_S_AXI_DATA_WIDTH/128))-1 downto 0); bram_addr_a : out std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto 0); bram_wrdata_a : out std_logic_vector (C_S_AXI_DATA_WIDTH+C_ECC*8*(1+(C_S_AXI_DATA_WIDTH/128))-1 downto 0); bram_rddata_a : in std_logic_vector (C_S_AXI_DATA_WIDTH+C_ECC*8*(1+(C_S_AXI_DATA_WIDTH/128))-1 downto 0); -- bram interface signals (Port B) bram_rst_b : out std_logic; bram_clk_b : out std_logic; bram_en_b : out std_logic; bram_we_b : out std_logic_vector (C_S_AXI_DATA_WIDTH/8 + C_ECC*(1+(C_S_AXI_DATA_WIDTH/128))-1 downto 0); bram_addr_b : out std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto 0); bram_wrdata_b : out std_logic_vector (C_S_AXI_DATA_WIDTH+C_ECC*8*(1+(C_S_AXI_DATA_WIDTH/128))-1 downto 0); bram_rddata_b : in std_logic_vector (C_S_AXI_DATA_WIDTH+C_ECC*8*(1+(C_S_AXI_DATA_WIDTH/128))-1 downto 0) ); end entity axi_bram_ctrl; ------------------------------------------------------------------------------- architecture implementation of axi_bram_ctrl is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------ -- FUNCTION: if_then_else -- This function is used to implement an IF..THEN when such a statement is not -- allowed. ------------------------------------------------------------------------------ FUNCTION if_then_else ( condition : BOOLEAN; true_case : INTEGER; false_case : INTEGER) RETURN INTEGER IS VARIABLE retval : INTEGER := 0; BEGIN IF NOT condition THEN retval:=false_case; ELSE retval:=true_case; END IF; RETURN retval; END if_then_else; --------------------------------------------------------------------------- -- FUNCTION : log2roundup --------------------------------------------------------------------------- FUNCTION log2roundup (data_value : integer) RETURN integer IS VARIABLE width : integer := 0; VARIABLE cnt : integer := 1; CONSTANT lower_limit : integer := 1; CONSTANT upper_limit : integer := 8; BEGIN IF (data_value <= 1) THEN width := 0; ELSE WHILE (cnt < data_value) LOOP width := width + 1; cnt := cnt *2; END LOOP; END IF; RETURN width; END log2roundup; ------------------------------------------------------------------------------- -- Constants ------------------------------------------------------------------------------- -- Only instantiate logic based on C_S_AXI_PROTOCOL. -- Determine external ECC width. -- Use function defined in axi_bram_ctrl_funcs package. -- Set internal parameters for ECC register enabling when C_ECC = 1 -- Catastrophic error indicated with ECC_UE & Interrupt flags. -- Counter only sized when C_ECC = 1. -- Selects CE counter width/threshold to assert ECC_Interrupt -- Hard coded at 8-bits to capture and count up to 256 correctable errors. -- ECC algorithm format, 0 = Hamming code, 1 = Hsiao code constant GND : std_logic := '0'; constant VCC : std_logic := '1'; constant ZERO1 : std_logic_vector(0 downto 0) := (others => '0'); constant ZERO2 : std_logic_vector(1 downto 0) := (others => '0'); constant ZERO3 : std_logic_vector(2 downto 0) := (others => '0'); constant ZERO4 : std_logic_vector(3 downto 0) := (others => '0'); constant ZERO8 : std_logic_vector(7 downto 0) := (others => '0'); constant WSTRB_ZERO : std_logic_vector(C_S_AXI_DATA_WIDTH/8 + C_ECC*(1+(C_S_AXI_DATA_WIDTH/128))-1 downto 0) := (others => '0'); constant ZERO16 : std_logic_vector(15 downto 0) := (others => '0'); constant ZERO32 : std_logic_vector(31 downto 0) := (others => '0'); constant ZERO64 : std_logic_vector(C_S_AXI_DATA_WIDTH+C_ECC*8*(1+(C_S_AXI_DATA_WIDTH/128))-1 downto 0) := (others => '0'); CONSTANT MEM_TYPE : INTEGER := if_then_else((C_SINGLE_PORT_BRAM=1),0,2); CONSTANT BWE_B : INTEGER := if_then_else((C_SINGLE_PORT_BRAM=1),0,1); CONSTANT BMG_ADDR_WIDTH : INTEGER := log2roundup(C_MEMORY_DEPTH) + log2roundup(C_S_AXI_DATA_WIDTH/8) ; ------------------------------------------------------------------------------- -- Signals ------------------------------------------------------------------------------- signal clka_bram_clka_i : std_logic := '0'; signal rsta_bram_rsta_i : std_logic := '0'; signal ena_bram_ena_i : std_logic := '0'; signal REGCEA : std_logic := '0'; signal wea_bram_wea_i : std_logic_vector(C_S_AXI_DATA_WIDTH/8 + C_ECC*(1+(C_S_AXI_DATA_WIDTH/128))-1 downto 0) := (others => '0'); signal addra_bram_addra_i : std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0) := (others => '0'); signal dina_bram_dina_i : std_logic_vector(C_S_AXI_DATA_WIDTH+C_ECC*8*(1+(C_S_AXI_DATA_WIDTH/128))-1 downto 0) := (others => '0'); signal douta_bram_douta_i : std_logic_vector(C_S_AXI_DATA_WIDTH+C_ECC*8*(1+(C_S_AXI_DATA_WIDTH/128))-1 downto 0); signal clkb_bram_clkb_i : std_logic := '0'; signal rstb_bram_rstb_i : std_logic := '0'; signal enb_bram_enb_i : std_logic := '0'; signal REGCEB : std_logic := '0'; signal web_bram_web_i : std_logic_vector(C_S_AXI_DATA_WIDTH/8 + C_ECC*(1+(C_S_AXI_DATA_WIDTH/128))-1 downto 0) := (others => '0'); signal addrb_bram_addrb_i : std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0) := (others => '0'); signal dinb_bram_dinb_i : std_logic_vector(C_S_AXI_DATA_WIDTH+C_ECC*8*(1+(C_S_AXI_DATA_WIDTH/128))-1 downto 0) := (others => '0'); signal doutb_bram_doutb_i : std_logic_vector(C_S_AXI_DATA_WIDTH+C_ECC*8*(1+(C_S_AXI_DATA_WIDTH/128))-1 downto 0); ----------------------------------------------------------------------- -- Architecture Body ----------------------------------------------------------------------- begin gint_inst: IF (C_BRAM_INST_MODE = "INTERNAL" ) GENERATE begin bmgv80_inst : blk_mem_gen_v8_0 GENERIC MAP( ---------------------------------------------------------------------------- -- Generic Declarations ---------------------------------------------------------------------------- --Device Family & Elaboration Directory Parameters: C_FAMILY => "virtex7" , C_XDEVICEFAMILY => "virtex7" , ---- C_ELABORATION_DIR => "NULL" , C_INTERFACE_TYPE => 0 , --General Memory Parameters: ----- C_ENABLE_32BIT_ADDRESS => 0 , C_MEM_TYPE => MEM_TYPE , C_BYTE_SIZE => 8 , C_ALGORITHM => 1 , C_PRIM_TYPE => 1 , --Memory Initialization Parameters: C_LOAD_INIT_FILE => 0 , C_INIT_FILE_NAME => "no_coe_file_loaded" , C_USE_DEFAULT_DATA => 0 , C_DEFAULT_DATA => "NULL" , --Port A Parameters: --Reset Parameters: C_HAS_RSTA => 0 , --Enable Parameters: C_HAS_ENA => 1 , C_HAS_REGCEA => 0 , --Byte Write Enable Parameters: C_USE_BYTE_WEA => 1 , C_WEA_WIDTH => (C_S_AXI_DATA_WIDTH/8 + C_ECC*(1+(C_S_AXI_DATA_WIDTH/128))) , --Write Mode: C_WRITE_MODE_A => "WRITE_FIRST" , --Data-Addr Width Parameters: C_WRITE_WIDTH_A => (C_S_AXI_DATA_WIDTH+C_ECC*8*(1+(C_S_AXI_DATA_WIDTH/128))) , C_READ_WIDTH_A => (C_S_AXI_DATA_WIDTH+C_ECC*8*(1+(C_S_AXI_DATA_WIDTH/128))) , C_WRITE_DEPTH_A => C_MEMORY_DEPTH , C_READ_DEPTH_A => C_MEMORY_DEPTH , C_ADDRA_WIDTH => log2roundup(C_MEMORY_DEPTH) , --Port B Parameters: --Reset Parameters: C_HAS_RSTB => 0 , --Enable Parameters: C_HAS_ENB => 1 , C_HAS_REGCEB => 0 , --Byte Write Enable Parameters: C_USE_BYTE_WEB => BWE_B , C_WEB_WIDTH => (C_S_AXI_DATA_WIDTH/8 + C_ECC*(1+(C_S_AXI_DATA_WIDTH/128))) , --Write Mode: C_WRITE_MODE_B => "WRITE_FIRST" , --Data-Addr Width Parameters: C_WRITE_WIDTH_B => (C_S_AXI_DATA_WIDTH+C_ECC*8*(1+(C_S_AXI_DATA_WIDTH/128))) , C_READ_WIDTH_B => (C_S_AXI_DATA_WIDTH+C_ECC*8*(1+(C_S_AXI_DATA_WIDTH/128))) , C_WRITE_DEPTH_B => C_MEMORY_DEPTH , C_READ_DEPTH_B => C_MEMORY_DEPTH , C_ADDRB_WIDTH => log2roundup(C_MEMORY_DEPTH) , --Output Registers/ Pipelining Parameters: C_HAS_MEM_OUTPUT_REGS_A => 0 , C_HAS_MEM_OUTPUT_REGS_B => 0 , C_HAS_MUX_OUTPUT_REGS_A => 0 , C_HAS_MUX_OUTPUT_REGS_B => 0 , C_MUX_PIPELINE_STAGES => 0 , --Input/Output Registers for SoftECC : C_HAS_SOFTECC_INPUT_REGS_A => 0 , C_HAS_SOFTECC_OUTPUT_REGS_B=> 0 , --ECC Parameters C_USE_ECC => 0 , C_USE_SOFTECC => 0 , C_HAS_INJECTERR => 0 , --Simulation Model Parameters: C_SIM_COLLISION_CHECK => "NONE" , C_COMMON_CLK => 1 , C_DISABLE_WARN_BHV_COLL => 1 , C_DISABLE_WARN_BHV_RANGE => 1 ) PORT MAP( ---------------------------------------------------------------------------- -- Input and Output Declarations ---------------------------------------------------------------------------- -- Native BMG Input and Output Port Declarations --Port A: clka => clka_bram_clka_i , rsta => rsta_bram_rsta_i , ena => ena_bram_ena_i , regcea => GND , wea => wea_bram_wea_i , addra => addra_bram_addra_i(BMG_ADDR_WIDTH-1 downto (BMG_ADDR_WIDTH - C_BRAM_ADDR_WIDTH)) , --addra => addra_bram_addra_i(C_S_AXI_ADDR_WIDTH-1 downto (C_S_AXI_ADDR_WIDTH - C_BRAM_ADDR_WIDTH)) , dina => dina_bram_dina_i , douta => douta_bram_douta_i , --port b: clkb => clkb_bram_clkb_i , rstb => rstb_bram_rstb_i , enb => enb_bram_enb_i , regceb => GND , web => web_bram_web_i , addrb => addrb_bram_addrb_i(BMG_ADDR_WIDTH-1 downto (BMG_ADDR_WIDTH - C_BRAM_ADDR_WIDTH)) , --addrb => addrb_bram_addrb_i(C_S_AXI_ADDR_WIDTH-1 downto (C_S_AXI_ADDR_WIDTH - C_BRAM_ADDR_WIDTH)) , dinb => dinb_bram_dinb_i , doutb => doutb_bram_doutb_i , --ecc: injectsbiterr => GND , injectdbiterr => GND , sbiterr => OPEN , dbiterr => OPEN , rdaddrecc => OPEN , -- axi bmg input and output Port Declarations -- axi global signals s_aclk => GND , s_aresetn => GND , -- axi full/lite slave write (write side) s_axi_awid => ZERO4 , s_axi_awaddr => ZERO32 , s_axi_awlen => ZERO8 , s_axi_awsize => ZERO3 , s_axi_awburst => ZERO2 , s_axi_awvalid => GND , s_axi_awready => OPEN , s_axi_wdata => ZERO64 , s_axi_wstrb => WSTRB_ZERO , s_axi_wlast => GND , s_axi_wvalid => GND , s_axi_wready => OPEN , s_axi_bid => OPEN , s_axi_bresp => OPEN , s_axi_bvalid => OPEN , s_axi_bready => GND , -- axi full/lite slave read (Write side) s_axi_arid => OPEN , s_axi_araddr => OPEN , s_axi_arlen => OPEN , s_axi_arsize => OPEN , s_axi_arburst => OPEN , s_axi_arvalid => OPEN , s_axi_arready => OPEN , s_axi_rid => OPEN , s_axi_rdata => OPEN , s_axi_rresp => OPEN , s_axi_rlast => OPEN , s_axi_rvalid => OPEN , s_axi_rready => GND , -- axi full/lite sideband Signals s_axi_injectsbiterr => GND , s_axi_injectdbiterr => GND , s_axi_sbiterr => OPEN , s_axi_dbiterr => OPEN , s_axi_rdaddrecc => OPEN ); abcv3_0_int_inst : entity work.axi_bram_ctrl_top generic map( -- AXI Parameters C_BRAM_ADDR_WIDTH => C_BRAM_ADDR_WIDTH , C_S_AXI_ADDR_WIDTH => C_S_AXI_ADDR_WIDTH , -- Width of AXI address bus (in bits) C_S_AXI_DATA_WIDTH => C_S_AXI_DATA_WIDTH , -- Width of AXI data bus (in bits) C_S_AXI_ID_WIDTH => C_S_AXI_ID_WIDTH , -- AXI ID vector width C_S_AXI_PROTOCOL => C_S_AXI_PROTOCOL , -- Set to AXI4LITE to optimize out burst transaction support C_S_AXI_SUPPORTS_NARROW_BURST => C_S_AXI_SUPPORTS_NARROW_BURST , -- Support for narrow burst operations C_SINGLE_PORT_BRAM => C_SINGLE_PORT_BRAM , -- Enable single port usage of BRAM -- AXI-Lite Register Parameters C_S_AXI_CTRL_ADDR_WIDTH => C_S_AXI_CTRL_ADDR_WIDTH , -- Width of AXI-Lite address bus (in bits) C_S_AXI_CTRL_DATA_WIDTH => C_S_AXI_CTRL_DATA_WIDTH , -- Width of AXI-Lite data bus (in bits) -- ECC Parameters C_ECC => C_ECC , -- Enables or disables ECC functionality C_FAULT_INJECT => C_FAULT_INJECT , -- Enable fault injection registers -- (default = disabled) C_ECC_ONOFF_RESET_VALUE => C_ECC_ONOFF_RESET_VALUE -- By default, ECC checking is on -- (can disable ECC @ reset by setting this to 0) ) port map( -- AXI Interface Signals -- AXI Clock and Reset S_AXI_ACLK => S_AXI_ACLK , S_AXI_ARESETN => S_AXI_ARESETN , ECC_Interrupt => ECC_Interrupt , ECC_UE => ECC_UE , -- AXI Write Address Channel Signals (AW) S_AXI_AWID => S_AXI_AWID , S_AXI_AWADDR => S_AXI_AWADDR , S_AXI_AWLEN => S_AXI_AWLEN , S_AXI_AWSIZE => S_AXI_AWSIZE , S_AXI_AWBURST => S_AXI_AWBURST , S_AXI_AWLOCK => S_AXI_AWLOCK , S_AXI_AWCACHE => S_AXI_AWCACHE , S_AXI_AWPROT => S_AXI_AWPROT , S_AXI_AWVALID => S_AXI_AWVALID , S_AXI_AWREADY => S_AXI_AWREADY , -- AXI Write Data Channel Signals (W) S_AXI_WDATA => S_AXI_WDATA , S_AXI_WSTRB => S_AXI_WSTRB , S_AXI_WLAST => S_AXI_WLAST , S_AXI_WVALID => S_AXI_WVALID , S_AXI_WREADY => S_AXI_WREADY , -- AXI Write Data Response Channel Signals (B) S_AXI_BID => S_AXI_BID , S_AXI_BRESP => S_AXI_BRESP , S_AXI_BVALID => S_AXI_BVALID , S_AXI_BREADY => S_AXI_BREADY , -- AXI Read Address Channel Signals (AR) S_AXI_ARID => S_AXI_ARID , S_AXI_ARADDR => S_AXI_ARADDR , S_AXI_ARLEN => S_AXI_ARLEN , S_AXI_ARSIZE => S_AXI_ARSIZE , S_AXI_ARBURST => S_AXI_ARBURST , S_AXI_ARLOCK => S_AXI_ARLOCK , S_AXI_ARCACHE => S_AXI_ARCACHE , S_AXI_ARPROT => S_AXI_ARPROT , S_AXI_ARVALID => S_AXI_ARVALID , S_AXI_ARREADY => S_AXI_ARREADY , -- AXI Read Data Channel Signals (R) S_AXI_RID => S_AXI_RID , S_AXI_RDATA => S_AXI_RDATA , S_AXI_RRESP => S_AXI_RRESP , S_AXI_RLAST => S_AXI_RLAST , S_AXI_RVALID => S_AXI_RVALID , S_AXI_RREADY => S_AXI_RREADY , -- AXI-Lite ECC Register Interface Signals -- AXI-Lite Write Address Channel Signals (AW) S_AXI_CTRL_AWVALID => S_AXI_CTRL_AWVALID , S_AXI_CTRL_AWREADY => S_AXI_CTRL_AWREADY , S_AXI_CTRL_AWADDR => S_AXI_CTRL_AWADDR , -- AXI-Lite Write Data Channel Signals (W) S_AXI_CTRL_WDATA => S_AXI_CTRL_WDATA , S_AXI_CTRL_WVALID => S_AXI_CTRL_WVALID , S_AXI_CTRL_WREADY => S_AXI_CTRL_WREADY , -- AXI-Lite Write Data Response Channel Signals (B) S_AXI_CTRL_BRESP => S_AXI_CTRL_BRESP , S_AXI_CTRL_BVALID => S_AXI_CTRL_BVALID , S_AXI_CTRL_BREADY => S_AXI_CTRL_BREADY , -- AXI-Lite Read Address Channel Signals (AR) S_AXI_CTRL_ARADDR => S_AXI_CTRL_ARADDR , S_AXI_CTRL_ARVALID => S_AXI_CTRL_ARVALID , S_AXI_CTRL_ARREADY => S_AXI_CTRL_ARREADY , -- AXI-Lite Read Data Channel Signals (R) S_AXI_CTRL_RDATA => S_AXI_CTRL_RDATA , S_AXI_CTRL_RRESP => S_AXI_CTRL_RRESP , S_AXI_CTRL_RVALID => S_AXI_CTRL_RVALID , S_AXI_CTRL_RREADY => S_AXI_CTRL_RREADY , -- BRAM Interface Signals (Port A) BRAM_Rst_A => rsta_bram_rsta_i , BRAM_Clk_A => clka_bram_clka_i , BRAM_En_A => ena_bram_ena_i , BRAM_WE_A => wea_bram_wea_i , BRAM_Addr_A => addra_bram_addra_i, BRAM_WrData_A => dina_bram_dina_i , BRAM_RdData_A => douta_bram_douta_i , -- BRAM Interface Signals (Port B) BRAM_Rst_B => rstb_bram_rstb_i , BRAM_Clk_B => clkb_bram_clkb_i , BRAM_En_B => enb_bram_enb_i , BRAM_WE_B => web_bram_web_i , BRAM_Addr_B => addrb_bram_addrb_i , BRAM_WrData_B => dinb_bram_dinb_i , BRAM_RdData_B => doutb_bram_doutb_i ); -- The following signals are driven 0's to remove the synthesis warnings bram_rst_a <= '0'; bram_clk_a <= '0'; bram_en_a <= '0'; bram_we_a <= (others => '0'); bram_addr_a <= (others => '0'); bram_wrdata_a <= (others => '0'); bram_rst_b <= '0'; bram_clk_b <= '0'; bram_en_b <= '0'; bram_we_b <= (others => '0'); bram_addr_b <= (others => '0'); bram_wrdata_b <= (others => '0'); END GENERATE gint_inst; -- End of internal bram instance gext_inst: IF (C_BRAM_INST_MODE = "EXTERNAL" ) GENERATE abcv3_0_ext_inst : entity work.axi_bram_ctrl_top generic map( -- AXI Parameters C_BRAM_ADDR_WIDTH => C_BRAM_ADDR_WIDTH , C_S_AXI_ADDR_WIDTH => C_S_AXI_ADDR_WIDTH , -- Width of AXI address bus (in bits) C_S_AXI_DATA_WIDTH => C_S_AXI_DATA_WIDTH , -- Width of AXI data bus (in bits) C_S_AXI_ID_WIDTH => C_S_AXI_ID_WIDTH , -- AXI ID vector width C_S_AXI_PROTOCOL => C_S_AXI_PROTOCOL , -- Set to AXI4LITE to optimize out burst transaction support C_S_AXI_SUPPORTS_NARROW_BURST => C_S_AXI_SUPPORTS_NARROW_BURST , -- Support for narrow burst operations C_SINGLE_PORT_BRAM => C_SINGLE_PORT_BRAM , -- Enable single port usage of BRAM -- AXI-Lite Register Parameters C_S_AXI_CTRL_ADDR_WIDTH => C_S_AXI_CTRL_ADDR_WIDTH , -- Width of AXI-Lite address bus (in bits) C_S_AXI_CTRL_DATA_WIDTH => C_S_AXI_CTRL_DATA_WIDTH , -- Width of AXI-Lite data bus (in bits) -- ECC Parameters C_ECC => C_ECC , -- Enables or disables ECC functionality C_FAULT_INJECT => C_FAULT_INJECT , -- Enable fault injection registers -- (default = disabled) C_ECC_ONOFF_RESET_VALUE => C_ECC_ONOFF_RESET_VALUE -- By default, ECC checking is on -- (can disable ECC @ reset by setting this to 0) ) port map( -- AXI Interface Signals -- AXI Clock and Reset s_axi_aclk => s_axi_aclk , s_axi_aresetn => s_axi_aresetn , ecc_interrupt => ecc_interrupt , ecc_ue => ecc_ue , -- axi write address channel signals (aw) s_axi_awid => s_axi_awid , s_axi_awaddr => s_axi_awaddr , s_axi_awlen => s_axi_awlen , s_axi_awsize => s_axi_awsize , s_axi_awburst => s_axi_awburst , s_axi_awlock => s_axi_awlock , s_axi_awcache => s_axi_awcache , s_axi_awprot => s_axi_awprot , s_axi_awvalid => s_axi_awvalid , s_axi_awready => s_axi_awready , -- axi write data channel signals (w) s_axi_wdata => s_axi_wdata , s_axi_wstrb => s_axi_wstrb , s_axi_wlast => s_axi_wlast , s_axi_wvalid => s_axi_wvalid , s_axi_wready => s_axi_wready , -- axi write data response channel signals (b) s_axi_bid => s_axi_bid , s_axi_bresp => s_axi_bresp , s_axi_bvalid => s_axi_bvalid , s_axi_bready => s_axi_bready , -- axi read address channel signals (ar) s_axi_arid => s_axi_arid , s_axi_araddr => s_axi_araddr , s_axi_arlen => s_axi_arlen , s_axi_arsize => s_axi_arsize , s_axi_arburst => s_axi_arburst , s_axi_arlock => s_axi_arlock , s_axi_arcache => s_axi_arcache , s_axi_arprot => s_axi_arprot , s_axi_arvalid => s_axi_arvalid , s_axi_arready => s_axi_arready , -- axi read data channel signals (r) s_axi_rid => s_axi_rid , s_axi_rdata => s_axi_rdata , s_axi_rresp => s_axi_rresp , s_axi_rlast => s_axi_rlast , s_axi_rvalid => s_axi_rvalid , s_axi_rready => s_axi_rready , -- axi-lite ecc register interface signals -- axi-lite write address channel signals (aw) s_axi_ctrl_awvalid => s_axi_ctrl_awvalid , s_axi_ctrl_awready => s_axi_ctrl_awready , s_axi_ctrl_awaddr => s_axi_ctrl_awaddr , -- axi-lite write data channel signals (w) s_axi_ctrl_wdata => s_axi_ctrl_wdata , s_axi_ctrl_wvalid => s_axi_ctrl_wvalid , s_axi_ctrl_wready => s_axi_ctrl_wready , -- axi-lite write data response channel signals (b) s_axi_ctrl_bresp => s_axi_ctrl_bresp , s_axi_ctrl_bvalid => s_axi_ctrl_bvalid , s_axi_ctrl_bready => s_axi_ctrl_bready , -- axi-lite read address channel signals (ar) s_axi_ctrl_araddr => s_axi_ctrl_araddr , s_axi_ctrl_arvalid => s_axi_ctrl_arvalid , s_axi_ctrl_arready => s_axi_ctrl_arready , -- axi-lite read data channel signals (r) s_axi_ctrl_rdata => s_axi_ctrl_rdata , s_axi_ctrl_rresp => s_axi_ctrl_rresp , s_axi_ctrl_rvalid => s_axi_ctrl_rvalid , s_axi_ctrl_rready => s_axi_ctrl_rready , -- bram interface signals (port a) bram_rst_a => bram_rst_a , bram_clk_a => bram_clk_a , bram_en_a => bram_en_a , bram_we_a => bram_we_a , bram_addr_a => bram_addr_a , bram_wrdata_a => bram_wrdata_a , bram_rddata_a => bram_rddata_a , -- bram interface signals (port b) bram_rst_b => bram_rst_b , bram_clk_b => bram_clk_b , bram_en_b => bram_en_b , bram_we_b => bram_we_b , bram_addr_b => bram_addr_b , bram_wrdata_b => bram_wrdata_b , bram_rddata_b => bram_rddata_b ); END GENERATE gext_inst; -- End of internal bram instance end architecture implementation;
bsd-2-clause
a6cb5e847ee879a2467a9b0bb8a7ac0e
0.425396
4.102043
false
false
false
false
Yarr/Yarr-fw
rtl/kintex7/rx-core/descrambler.vhd
1
1,144
-- 64b66b descrambler library IEEE; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity descrambler is port ( -- Sys connect rst_n_i : in std_logic; clk_i : in std_logic --Input data_i : in std_logic_vector(63 downto 0); valid_i : in std_logic; -- Output data_o : out std_logic_vector(63 downto 0); valid_o : out std_logic ); end descrambler; architecture rtl of descrambler is signal xorBit : std_logic_vector(63 downto 0); signal buf : std_logic_vector(63 downto 0); begin descramble_proc: process(clk_i, rst_n_i) begin if (rst_n_i) then data_o <= (others => '0'); valid_o <= '0'; elsif rising_edge(clk_i) then if (valid_i = '1') then bit_loop: for I in 0 to 63 generate xorBit(I) <= data_i(I) xor buf(38) xor buf(57); data_o(I) <= xorBit(I); buf(I) <= data_i(I); end generate; valid_o <= '1' end if; end if; end process descramble_proc; end rtl;
gpl-3.0
f7798f6e77aefb89d6e466d6956cf998
0.515734
3.404762
false
false
false
false
Yarr/Yarr-fw
rtl/spartan6/ddr3-core/ip_cores/ddr3_ctrl_spec_bank3_32b_32b/user_design/rtl/memc3_infrastructure.vhd
2
12,309
--***************************************************************************** -- (c) Copyright 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor : Xilinx -- \ \ \/ Version : 3.9 -- \ \ Application : MIG -- / / Filename : memc3_infrastructure.vhd -- /___/ /\ Date Last Modified : $Date: 2011/06/02 07:16:59 $ -- \ \ / \ Date Created : Jul 03 2009 -- \___\/\___\ -- --Device : Spartan-6 --Design Name : DDR/DDR2/DDR3/LPDDR --Purpose : Clock generation/distribution and reset synchronization --Reference : --Revision History : --***************************************************************************** library ieee; use ieee.std_logic_1164.all; library unisim; use unisim.vcomponents.all; entity memc3_infrastructure is generic ( C_INCLK_PERIOD : integer := 2500; C_RST_ACT_LOW : integer := 1; C_INPUT_CLK_TYPE : string := "DIFFERENTIAL"; C_CLKOUT0_DIVIDE : integer := 1; C_CLKOUT1_DIVIDE : integer := 1; C_CLKOUT2_DIVIDE : integer := 16; C_CLKOUT3_DIVIDE : integer := 8; C_CLKFBOUT_MULT : integer := 2; C_DIVCLK_DIVIDE : integer := 1 ); port ( sys_clk_p : in std_logic; sys_clk_n : in std_logic; sys_clk : in std_logic; sys_rst_i : in std_logic; clk0 : out std_logic; rst0 : out std_logic; async_rst : out std_logic; sysclk_2x : out std_logic; sysclk_2x_180 : out std_logic; mcb_drp_clk : out std_logic; pll_ce_0 : out std_logic; pll_ce_90 : out std_logic; pll_lock : out std_logic ); end entity; architecture syn of memc3_infrastructure is -- # of clock cycles to delay deassertion of reset. Needs to be a fairly -- high number not so much for metastability protection, but to give time -- for reset (i.e. stable clock cycles) to propagate through all state -- machines and to all control signals (i.e. not all control signals have -- resets, instead they rely on base state logic being reset, and the effect -- of that reset propagating through the logic). Need this because we may not -- be getting stable clock cycles while reset asserted (i.e. since reset -- depends on PLL/DCM lock status) constant RST_SYNC_NUM : integer := 25; constant CLK_PERIOD_NS : real := (real(C_INCLK_PERIOD)) / 1000.0; constant CLK_PERIOD_INT : integer := C_INCLK_PERIOD/1000; signal clk_2x_0 : std_logic; signal clk_2x_180 : std_logic; signal clk0_bufg : std_logic; signal clk0_bufg_in : std_logic; signal mcb_drp_clk_bufg_in : std_logic; signal clkfbout_clkfbin : std_logic; signal rst_tmp : std_logic; signal sys_clk_ibufg : std_logic; signal sys_rst : std_logic; signal rst0_sync_r : std_logic_vector(RST_SYNC_NUM-1 downto 0); signal powerup_pll_locked : std_logic; signal syn_clk0_powerup_pll_locked : std_logic; signal locked : std_logic; signal bufpll_mcb_locked : std_logic; signal mcb_drp_clk_sig : std_logic; attribute max_fanout : string; attribute syn_maxfan : integer; attribute KEEP : string; attribute max_fanout of rst0_sync_r : signal is "10"; attribute syn_maxfan of rst0_sync_r : signal is 10; attribute KEEP of sys_clk_ibufg : signal is "TRUE"; begin sys_rst <= not(sys_rst_i) when (C_RST_ACT_LOW /= 0) else sys_rst_i; clk0 <= clk0_bufg; pll_lock <= bufpll_mcb_locked; mcb_drp_clk <= mcb_drp_clk_sig; diff_input_clk : if(C_INPUT_CLK_TYPE = "DIFFERENTIAL") generate --*********************************************************************** -- Differential input clock input buffers --*********************************************************************** u_ibufg_sys_clk : IBUFGDS generic map ( DIFF_TERM => TRUE ) port map ( I => sys_clk_p, IB => sys_clk_n, O => sys_clk_ibufg ); end generate; se_input_clk : if(C_INPUT_CLK_TYPE = "SINGLE_ENDED") generate --*********************************************************************** -- SINGLE_ENDED input clock input buffers --*********************************************************************** -- u_ibufg_sys_clk : IBUFG -- port map ( -- I => sys_clk, -- O => sys_clk_ibufg -- ); sys_clk_ibufg <= sys_clk; end generate; --*************************************************************************** -- Global clock generation and distribution --*************************************************************************** u_pll_adv : PLL_ADV generic map ( BANDWIDTH => "OPTIMIZED", CLKIN1_PERIOD => CLK_PERIOD_NS, CLKIN2_PERIOD => CLK_PERIOD_NS, CLKOUT0_DIVIDE => C_CLKOUT0_DIVIDE, CLKOUT1_DIVIDE => C_CLKOUT1_DIVIDE, CLKOUT2_DIVIDE => C_CLKOUT2_DIVIDE, CLKOUT3_DIVIDE => C_CLKOUT3_DIVIDE, CLKOUT4_DIVIDE => 1, CLKOUT5_DIVIDE => 1, CLKOUT0_PHASE => 0.000, CLKOUT1_PHASE => 180.000, CLKOUT2_PHASE => 0.000, CLKOUT3_PHASE => 0.000, CLKOUT4_PHASE => 0.000, CLKOUT5_PHASE => 0.000, CLKOUT0_DUTY_CYCLE => 0.500, CLKOUT1_DUTY_CYCLE => 0.500, CLKOUT2_DUTY_CYCLE => 0.500, CLKOUT3_DUTY_CYCLE => 0.500, CLKOUT4_DUTY_CYCLE => 0.500, CLKOUT5_DUTY_CYCLE => 0.500, SIM_DEVICE => "SPARTAN6", COMPENSATION => "INTERNAL", DIVCLK_DIVIDE => C_DIVCLK_DIVIDE, CLKFBOUT_MULT => C_CLKFBOUT_MULT, CLKFBOUT_PHASE => 0.0, REF_JITTER => 0.005000 ) port map ( CLKFBIN => clkfbout_clkfbin, CLKINSEL => '1', CLKIN1 => sys_clk_ibufg, CLKIN2 => '0', DADDR => (others => '0'), DCLK => '0', DEN => '0', DI => (others => '0'), DWE => '0', REL => '0', RST => sys_rst, CLKFBDCM => open, CLKFBOUT => clkfbout_clkfbin, CLKOUTDCM0 => open, CLKOUTDCM1 => open, CLKOUTDCM2 => open, CLKOUTDCM3 => open, CLKOUTDCM4 => open, CLKOUTDCM5 => open, CLKOUT0 => clk_2x_0, CLKOUT1 => clk_2x_180, CLKOUT2 => clk0_bufg_in, CLKOUT3 => mcb_drp_clk_bufg_in, CLKOUT4 => open, CLKOUT5 => open, DO => open, DRDY => open, LOCKED => locked ); U_BUFG_CLK0 : BUFG port map ( O => clk0_bufg, I => clk0_bufg_in ); --U_BUFG_CLK1 : BUFG -- port map ( -- O => mcb_drp_clk_sig, -- I => mcb_drp_clk_bufg_in -- ); U_BUFG_CLK1 : BUFGCE port map ( O => mcb_drp_clk_sig, I => mcb_drp_clk_bufg_in, CE => locked ); process (mcb_drp_clk_sig, sys_rst) begin if(sys_rst = '1') then powerup_pll_locked <= '0'; elsif (mcb_drp_clk_sig'event and mcb_drp_clk_sig = '1') then if (bufpll_mcb_locked = '1') then powerup_pll_locked <= '1'; end if; end if; end process; process (clk0_bufg, sys_rst) begin if(sys_rst = '1') then syn_clk0_powerup_pll_locked <= '0'; elsif (clk0_bufg'event and clk0_bufg = '1') then if (bufpll_mcb_locked = '1') then syn_clk0_powerup_pll_locked <= '1'; end if; end if; end process; --*************************************************************************** -- Reset synchronization -- NOTES: -- 1. shut down the whole operation if the PLL hasn't yet locked (and -- by inference, this means that external sys_rst has been asserted - -- PLL deasserts LOCKED as soon as sys_rst asserted) -- 2. asynchronously assert reset. This was we can assert reset even if -- there is no clock (needed for things like 3-stating output buffers). -- reset deassertion is synchronous. -- 3. asynchronous reset only look at pll_lock from PLL during power up. After -- power up and pll_lock is asserted, the powerup_pll_locked will be asserted -- forever until sys_rst is asserted again. PLL will lose lock when FPGA -- enters suspend mode. We don't want reset to MCB get -- asserted in the application that needs suspend feature. --*************************************************************************** async_rst <= sys_rst or not(powerup_pll_locked); -- async_rst <= rst_tmp; rst_tmp <= sys_rst or not(syn_clk0_powerup_pll_locked); -- rst_tmp <= sys_rst or not(powerup_pll_locked); process (clk0_bufg, rst_tmp) begin if (rst_tmp = '1') then rst0_sync_r <= (others => '1'); elsif (rising_edge(clk0_bufg)) then rst0_sync_r <= rst0_sync_r(RST_SYNC_NUM-2 downto 0) & '0'; -- logical left shift by one (pads with 0) end if; end process; rst0 <= rst0_sync_r(RST_SYNC_NUM-1); BUFPLL_MCB_INST : BUFPLL_MCB port map ( IOCLK0 => sysclk_2x, IOCLK1 => sysclk_2x_180, LOCKED => locked, GCLK => mcb_drp_clk_sig, SERDESSTROBE0 => pll_ce_0, SERDESSTROBE1 => pll_ce_90, PLLIN0 => clk_2x_0, PLLIN1 => clk_2x_180, LOCK => bufpll_mcb_locked ); end architecture syn;
gpl-3.0
8f554e1d9a59aadf82821a9d24f07f09
0.53075
3.954064
false
false
false
false
cwilkens/ecen4024-microphone-array
microphone-array/microphone-array.srcs/sources_1/ip/cascaded_integrator_comb/cic_compiler_v4_0/hdl/decimate.vhd
1
56,990
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block dT8Tb9ahHeETEy/UiavApTtyb3xj3UeP+1xub2U43CeYaoZPOVdjx1ZyWtmFUdr7hs9E1oecs3UI dCO7O1wH4Q== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block QxvVaKL9Miy8/VAF2yZ6DhQ+hx6wQWZWmmrsAHWB3n/dTtiSMeIx8fq4frxGhFHeRHG0OgL0OHu9 BeZ7lwu+goFXpDmfgS5gNrqnfdjbxERizWbVvLtD4Zb0f9GTJy2+GJdofbiT89r2g6EI+ol5FzLG 2aoM+FVa+ha6LP1c1OA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block QBONNqxHy3daxEpEBSkRL1hYJettdhLVw0Kllo6O9jIziXIX2OhMuRiZM7ymX3NcP2JeMQz3wNKu SMktCeykkHcO8YgD0MSNhAbOXPfyqLdjFZZz06uA5W9xmB3Aj56UwFsSYiX+r4/7CeK4Lgke8zeX WHQLMEJ0BnJo5izdsNdZADVHEpe4ZoXq/gFZwemAxhlG91Cz17DQUZm2KiI6LCt6sOrn/Hq3ptuj fZ8HFMRjdnJsQUmHulvHfdS8OzLnpYI8MeoR+n1VAY1y6LEq4LgBJm09eu9jMmAttF6C1mkMFu3Q 1lsu/mp9XAM6U5w7HE+NAtaLZ6C9BYdY+8ZblA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block i6P7jim++hvjc9f8xbRGMPNAlb1tP9JfbfWUNy94jLBADqvv3+932QzrUWEfGf4H+m6qG4l3icYM 3LSqXh7JOGy/0X4Wf8SeQXoAuLkHg9Gae6UrUpZJTvFjwXlNsPJKA3lNHFF356xtaNlQrrBVt8/p BJplAUIkYsuXOohsxYI= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Nbv8tE1Xu5jsGCYd70uP78atWsus3c20DhozkeLWkiwQtnfDCkDlg7tvedECPSfmywtV4E0SsDaO +xqKO6S/x9t2u2/2xRQCYhwup1tYaO+mNnjGZmq74TGTQB8y3TMualEbPi5m+l5KXyj+AC7HodWj 1YOH/QvQZariIunER3gFfdnoF3xGhGzyz8p+m2ZrL751OvwfJvY8frmtApHJjIM0ZlFGuFpgOcEZ BfJRoVAOO5nDyUJwfRDNzujK4hRaGBMPWEGXcHB8xQTq5LNfBytizwSoE03zvy8VeB6tvNGAb9Oz 49SKEHTG9qZS3tM4O3/Nr0cJNn6pY7X4UhrdeQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 40448) `protect data_block 61ml2/lBJpyku8P8DKIuuXr66CvxRM83R/q945W0nVmeduy1ib2atdBgSljb5onLCSrc53ExLABy EJAaS4mbqrtJeg9AYt1xcV3Y9u+mMqxD7aS6Oc7rFlioGFOGCJvL9FvqUMj+hdrFAM6LczaqQl5i oJ1kmFufFEhm5+no5n9pYcWf988RlQGsODlEAXCvXlMyQN407wgKmqlUJfAzXzl8fC3CZIbhmsWn VDHUtzLlah9K/+1OSE3fpNnYSLioklSO6INBlwB4g3DhhLLSpGjtG3Axck5p+nY2tQ9bkJ9F3x5P BM2S0cvVG3iIYoIuLpd+16iqbT5VV5hFFYcEmCztI9k010YzCryqAtx+JxWwlHDNCO/83fSTNS7H +njLDfhKCFM+Prp99kZLTTDmzRjUR92UmfnJ0eVPy2X1x0DMU9wXd/zQH5Qfd/ip98XS7vz9Lt++ 35f7xTu7MGTgx8NQPiJO7DAadDfxmLW2J1b79yAhUcFF5ddJTqGLmA8JiXq+dymeojF600H72TP+ zhpOKeMyxXbO4Dt6Py5dn1R2T5PRl8Sy+A2Dji344+qhAbkV/SYM6+YTnoZFBlxVXAI7mq5+j7Ha 2rhDWct9ce/EV1cluFKpOzzqeSiB5Jjj+QYgAd75VlsUm6FqUY+k5MNqJPmZysN/yaXaNOdwFx2x PT6b6lobrw7/WnOSTiSRF91XTX703RQDFwzuOL1Gpm9wNjpnghSntsHZCg+Q+rV9kMKYymqWoCup zZEQefg6J+NaRvjqB9EB4Tr913xzeo5IEPTvakSdZ0tpPD9ttsGpYaUzDl0GKNZVdX3GrVL/ETUk RSLmUOsXktnayolwD7IHqL0QwfZUKBCntiHoItgwO5uLcxPXhpjWIthidHwaG7/Jbo5DSL4XLN7R yoT3/2yMPnampKq4QUBChbZFLvJzsThxpEVUOIj8Sd6DEeOdhUjRRdrp8SU7ukSaXPUyd/iRiRl4 KhPs206HUxAx5gAMZKnJ2RYyaJtJ2htb+XvToBRJ0FDs/EDmSlYo3gC4G7tLFqOIeIv650ROxNkj P/Jtmmb/iq4smGxdiGjfb3Xq/yEm2RXvY2+NbJKgz0P88yOyWw9rlYVspCguy4JbfMlhvGklK00y EsSprxWCcqqv8D8g2kNNhhEDKip2fPZ2xKhoPtcrEyUsSlZIOPxpr9gc1ax95dkPqNbhcstF323r 2rHmFm0lqTZ1o5qAGP4Nafo84EXK7iSQNqOlEVtIUzRc3YWrzfCF1v/AiMK+BXlTaDTHPsVIMo8L yiU6SOsEyc5gc9MDzcRdQCyIQCagvLdCUCk7YkdQKLCQy66nppAJJB8txmbI+sCyv+jeVh56olW1 1itK0Wdd4DSZnrrXyN1DUtZnWgxNYFS3FDnW9Wd87LVJGGLDC60uQVSLUXSEP43agJ7OP0wdczPE B9+angvmcMR5FNpAKNtd5JX1k6gwlQFbLth/j4okQTqqw1r8D055z+WstbWUZ/ARHtOADbuAo77d +y99x8I8V40bsOAsJ91K5aLx2IWCo4INMHspcyVfSCrIJjte1TvTCMfbUsV3aTc9NibjWDI5WhCj TNCIbxUGCmWvw7Kc52p4i/usJIbYA8C3TlqXfQs1lj55t4voBsymsgWAQfMEURF5/ilRW5YYj5Ah WFR1JUwvSDCJiORrkA+OIZkkNiOeU7d4XHUK12MYhpVOez59Is5wFu0Xx+1m2VkEJGX+7pWFA6aI zibh6/oGtR0HwGcafgy0n1aVnQxEtAQLgF9vCY0QvpLEVI8V/Gg2JEkFaOHYmdBxR2rVciGbvNEz Oy5E3XLTWCUIklwXWqfW6xI8YnocfVDK37rLQN7M9LORNidXz7qSoUIpU5ffCrW3q/ALODcieCNN 8Gvxp90k8xeJZPG0QqWwnEZNHMfwNwSDVs9Hkc/egxUD5gyr9X0YXcRCEBZSMNL41JD5susekhGj bpzLI9nXeiG6eaxrFv6qcwiQv/BGd506I/WWp2N6YUNo7BofigxM0jBgo5htcq5wvMLCjQWhVqjy /3aXwD8wi9vNaP+wKWUixzpXLUyiaKmSDqICyiX/CSXZqHeFh2VmycBcxqMy/w0qgKnxYdSPru0I RsYw8DaUBt7+OaXo4PSZZMtnFHQCt2lgobjiGUbd7gj7briGS7mqY7Mzy5fo/wOWOhYCnIrZfTMO NALF7KWq35IuK2ZVNFz8aiedzxawX1RCrWO20xFpaoaJNfPd6f5048NRiv4pdEfY4W08Ck7NIWo6 ssd+kk9fTkUcoFkVfiiwEB2sJV8N+XyEQF/3BOSU0iiQPSXHcuuFoEyFaxei4gRbDi9NYlb0Y/Bj 7NHKHCJ9dGf0GwYPtO0bvOUh98HDXDn39Zx+AFl639ECuOXoG6HEGvpTKkLANR/EVMUF+AXabo5s Kuht/9I0QWDu6BmfoSmdMV3O9nEYWzZZ37uvAgnTtceH2xSjSfDxO0BfajkqRc8CNcfGBqnza/Qc TarSdrGT1bhQtqFORM/lhN8wxA4N7mVNc/xT6PGCHt0D1+rPWtYbK3N5rrAWV9kGrceTb3Do3wlM 3OWUcsCfU3EpB4H5+cOj9K+9kiC/tEixtCo6at7shuh3Olv4PapV5dE/ZTdHOjdyUeSGGhjVAuNe Hzr5VQoR/0MWUawcEP/e/YUXz27gWGjQupU4vVCR0l+DqRxdGxpin7rAT5snSSAzfRzlbth6Zhdt BlFkZwyoiSHCIMRCG3O4Gv6xEQi7FvHXcLKlm2u4Rg51szCqfpN4JrqBnaD0n+B5I3CIVx+kF4cM 2quM70A3AORK0jH0oI9NpoEq4knTdMIgpJP0Uil0uRVd11H4aOfGq6CH3Kbw0rsxNaNeZpYubueD v1F0hUbZ3pm0jMXVt0/YT++IfrfD44XoRaizyfug5nb9g7K7vdmU5JvWkiP49p/qGX9GLRmTNyC3 iqBI+iT7Z6kq9RDRx/OgN3KGzEr+h18reOvLKoLXLpa/AEJbcxJSdJnrnFmLmC2OAvSgVdBJYVu9 Th5xAU+IYfEBgdPnqclMjtUZTfuTgWerO0ydf04GiXp2eSZmilc30Y2y/rmhsV6BFuOsdNBMMM5g 8Yz4i+xtuGzVp7vaSRMCTwhtsufFGVPFUBqzsw6hXg//mk+1A+zOZvXrpnOv//8IiRJj+jHs05j4 gA3WC8WRGwxJamXIjMo1ikTx/zsgm8lsBRmKoG3W7QLCWN4nOl/TrG4ptaQiPD0s8UJQn5OEqTDb vffkDD5K79pq6H8QOFQrcn/oDNGlYkvty+LPpmhXHIbaBTUCc5F7B47BaAuG8xE4ddp9RM4MO/OR 75CRcwq+Xsk7H1DRxXs3XLz+6YuupApMcThZRnc1C00WocNQeDG7UPq3JaP2IfbHh8Phz9alXPgg yUjA5L4PP3MDBcv9i6gmiFFFhBMlQ2vnhnn7J3Zw+zqli2qbaFLkOregA+mr4Rcww9hPTD9GvQk7 fbaPH2p4h09Mud8zo4NEnVRiRHqS3c9K6/DrkNDwY6U3K8+bCjwaFXx5zDppZecF1fQvLpfL7vYu 3aI5tDXA9XBEuNAJLJjx3m1fRFDq+w+7wrO9OKqorlJb7/QYMb3xWgfHxfPvd4GB+AYmag0Wkcwq z3fnbDByxD23h3yDk6IE6RD0ivqmoEh0v/KS6hRZnIxAyP/0Se6mdmuWydaJdzdfJ9jS+liVzXJ7 XjToLTFVPYxKuuFXXUCXaJT3r6M/yoOUm7FCLlGyjpDnuN6Ke/xCThVdOewU6Qra1LqDhS8QIi4p cxKuG7/tqGsOo2HBWjFf4EtTl5x2K8UhFUIpWZXfZ5FFibuL4+qgoIPBr/CLumYZ8gIBZLQwAMov BlKe4/f8YqDqO1SWCrhZ+3gf9kmPRELHf5zajUvPT6MFV8WlbAoqBCRh9Op4w4MPWgQ/sofSdO56 wXDfumL2VrKns+UjRmP0+gGhaNKHgdWVbHCPMZxgLDqAIKXYO5dLhCLEH7G140vxJVkYY0dje23I zWMIbPv95KIbk1Y67WEqK+o8en9bRgKenb11/2eVa3EfQIraxyG5qEH2JKhCxaw6DJKNyrD3bDjs knZMAyguAL+IQpRGcl2NYdeO6PauzQZKX0HCgWsV4CuJzlJA+RWHR3FPGsgYLZm3gdKW3RPaQSZx Oupq3Dr9tNQNhJfiv6FNubIOKlx5HMjsPgNJeNfa/TWiokh6lRMYaxszK2tzivOWMW8ukjIkhv35 uAYsXORSjvozkGBA0bwlNRfirFa2rc4CESX8cSU6wOvsqj4V0s4jrglggGmbG1lPupdwS2Ej67Nw 06nlmoH1LgOaejWUMprg7GTf+L1vwJKnxOPMXIj3lMr4gMJBXkgDwQ/AJR/VeHqo+bCJqVDX+Ms8 Wh/uq5AK3hLODWcS5txiXhwKCZSYJjp1sbChk37iE8fTvSGgp4+YDE6gN+P7FQde98/7Krn/9fKx 5sDzKIJF6ySEGx6cSOEd8kMIpGS90j/+XD9eliNoiRG5HIUbzTVeo+VVbeHB/UdrGOl9kkETCjSE pZfmZRLtuMPOF8raOI0E54X2A4AEzWJ2+ODRCipKUnyhO08gCrWA9pPQRrHHl8Z5g7EnJvGrDbNR P0xCEjNh11hCVDci1fCsLNVNtHzDTTcJO1oyl3IeUNshoT2iJQLPakyWZNQRM86xknbmTmo13NgY k+QkO7vbEJdfeFCAHdePp6gjNOnnB2uyTGLxBfMlmBj6qDjTe5VT2PMcgmXVNU7xm3Lw64DM/rcQ 1I9t5SvOb9p+p0QmVBhKkOYZV3j+cSEdGrYDUft6whsUIjbQs01wg8KwFIfxVanzhvZ8T67TeKQO 4KG6H/3XcXeRZ+9XlTNqiyM8E6HlgwkJJmoPD1IHTl0iCvFjonQwZl9IBzR7UcmXVTx4+trRtlfH trrpk7RjrzfYkyqK+J5y1Il06T2bBpT86MDKcdk919vyr4qPnHfyjMpFUln+08vkruoSblbEkytz ukhG8WPrSPdixjEPagU0oubMtntDpQO3y1rdTds5/uc+f43uW5znC2sWyd79QdqHqo3jdlqboyn9 FvfsG+LsOUqjOP5Z2ZnE0iv0MTgkcnmGsseJJxN9/EVJRnqSHAs4AW/aqrGAs5yM7axHpMYKMPB5 NHdH4IPK5vANpErUMRhsenM/rpInJOLMFvQd469INSfJ2qymPXIVUwz+aPoauyMABowVXCipX7DI 5kLRKTi2TgC+F2hBszYqcsVsugcDBebY+xmZWc6w54nmXT5F36HU5b2YJi1sMSbFjKDFh6Wq4nho 8L3qBMblkj/8VwAijE9e0rDw2azSuqA6Fwk+fxNgSiQUp0OqZ/N/bBgVDVzwSr7g9Q4RNWYViwy+ hL+KMcS7sRN2Ci6oUxEgbHaOcYsEig5Bwu927GT1xxXXuVBwwOO8PnCEnxR0aZCCnsI62nOJfiZL ez8YxP+lRWcN4LsFQ5ihyEguPZxIYYO3f+PpPv2xeQRX32vH/OfKYR8RZDRzdd6JHqv7Exj16m28 jwUr4C7KSZOuJr2J38fXR0EB5w6KXyOBm7uibzgFQ2HztJKTAIxiG5YZzAQa5s2mbMFQ/X1kjF7E 62H9ixcCudZJhJkZjrgij/SYKHWmXIKJh7EFec8n41RmGrKALDfxPv45seZm9DWhHtOVL5fyy/Gm 3Rb6Dw/fZCRC40bFmuxnNPaVAvhih59rVWYQ8tHUniJrQziElYXGbhsiApXbDKih6O35LZ+vXV6q dJyyPmccYolQ1C/2i1OPMLhXalIeLxBPTrhHiY6CTl+VVpKzucE2YnZcqFTrqpj6eS+45j3ORhxP oeOrYJppMvgfesXRERtVn486GRcT0FS8VXNDP0VU3vG4+t8onQtUDssxjJgC3P6CzbxgE2ufxbiu BxsmpYLc3Z0F0m0xgCcn7uitQwm08xe0RyZSyfsMRYbuCto5c4F/odRtTNiKiY4qp75DorAUjTvl aNegt5Mjs3LKkrPTOJWbLNcovPlIfnuejURGAlwpgCORu0PaY0P5rJiN/r0/S5pKwTBSh8JB5T3z pQuPnQClmb8hNyDfDb/y4sx/Ar6XO4wFY1vkpF98NeWFlVez6DkhDgFGvv7B2Y6qgWJHeyIJL8jI 8f8gPHwK1GQAvziierRyVSzKDUEv4kLa+3FemX/yBUjpz9USURdVYPtaFgNloxEMAsyBkmJixdHv Z8Xa/1VByei9b/wUN4CgixXtugWzLeD9gHHAGhFQNGk7FvoO40aqQdwcnKlcjDGaotY9DVXXyrL0 jv6s43rKwDwJ61O5v2GrB8h1CRODadFCy6TmLF1foLLRHlmz2FP2LKcdUE4XH+I3TP71h71mJV+2 0m0ch65iaNn+qsNte5J1kSXWhcZK/Jkuqp4ERjs16tlyqzLI5WRDKwP4iCRCGUdWKlQNEMkWE31+ spSfTZckHEUpXxHyV2pNJ+Ot4XVy4nOSfulXnpKZ1PB2hJ+MyTCIRMTpCJon7gCS0x41znrwDdUD kEP11sANnqhXGQBxtQ9HJd+h3zRTvgXiRg2W4YvcI8zqCWGFyIYedBbqxQC9gYGsJ36vskWcdXFG ML11DXRUImN7PuQDRstSXBpqGSA5P0rhrvOY9KWwZjKaTFpOhVaI3zXMI+NPj4mgcFV5iSuxeRny yzJ1oJfg3SqVXsVrtNkPVc+dSTr4IqjfHZiMakYjB/zJcGJvlLneV8hn05HcI2ebn+woyVMRZOVO JM5HMb53VW5BujJMy1jzui4WrYuEtGTtY6wSTA5zEHZQVf8Jr7SC7gJw/6xUrhYK1N3UhWhf9DKn 84LF4LDqC7fqbTgf5TTw16bwfdz9VpRqTG66bKBPrkzXWB8vISdW9dOOhKu3BhohyT1QQr03GHbR jbVAPCLP3xA0z0E1Vn3SM1zxD/PmMM33Q/RXJV3QSJWleEaGjFJZIrUNbfFtggoQJVmrbdB1CVUD aM8lku4wz1Wm+frwMe8wyWcxz55RAnSvpY4A7dZZyGMkiXJfki8JauQRW+QVuwcWkPFcvTjgaAEp iB9fXjH9l6xqXJxcbsbAef9QwhZpL3PZ5KF6uFT/YCg2dxKFmk39axta/uT7Sxt1kJuVAfDN06LB QTfmLXWfGJUyMznIhd625n9bsMfbtlgume8Yn5DOFnYTdtwS6ajki/k6JURTfNTobBnGzP51X/GG idiWh968dy1k14Ejwq1dcmuSsy1/CcksVWwQ3i5z94MOYW+b5mNHrxllhPJLq8DmAv1J7q4Tj+EI 2mM7W5intMVlCIkDSQILLzUXBWVvHaw2Y+IKjz3gsuNQQrPNp4gN6dr1gJJugvjKWkg3jscOpOmc 3Z5zqQQaBUc3FWWKXPLMruOVx87Kaw6jV8bO2OdDdiI8Yh+jcpNuiqT4C9e9S3649GjmyJlZVnqq CJWTBs0gaE5RmD3p+pekiM/JrG6nEm8hZiB2LPiWF5aFkMMZRl0xy9kTBkCNO68uIv5wKJIGD5Ry J99EMvZ1tlbpp8p7YG47MwSJjnEAHdFYPjHHv/O/+O1FvKQC5abmBvFOuJ/W8g4UWcByQHgzXebS OEtu0y41FJ0uEvkJQe5eo4Hd8vfjaU9szKLCuaDu5l8rlpvmR+q0fBzz1yHrGEdJgPb/mu1D9kGH b8DSuSEOsu6+WW/RdAt7TraLliHoX81bKeyH6ZXBMxWdBrCL5ucuZER5YXy88x7i98XWJ1EVOjZ0 /iaCd4XDlf1ESw19Cn1Mc7zB2ODdThtqnm2ooLbcwXmKCn6l9IFIGrkjTWB4Pl2tibMYclXSE0Ru TfgEg7pDU3U1Qq1OoDHJF8oqSXPCSOEujClZfkKwBNI70LJqiqxaKb0qqHPtuD74vwlApCcookz9 RZrUA8ORhcKQInt8V12eDeNxGLkgOOo1o9AbWYuqaa83Z63V/QPvtSBzIt5pDL8K0kCxjGBVRUPv a/MaooP8NDVnIIZkKpTjZQXBhguHF7qNCUqvsatYMQ9TqznqTCgY09FMKOSPFf2ubRO8tQ/0sX72 16vgnYYxXoB7Bxb/WYeVaqiChGDa5KY882qc9DEHkQpaSy36n8EHs/IeOSHhA0LhsBxPweQKZ3S8 7sfLnpLEM9oGffFlI39n7+M+/4mtKY1ZpyOhzulA+sH7/+GJ8DjoIV2jDtMrZe5lkF6X+RXYYIvK PvQcRX6DHf5D0O8MZndBW/iDe7/Sc1+kf8+9imA3hPG9DJXYOVCSbrJlHFdSoD7Psnf/tKaKn7Kf 2un+iL6UnORIDscZ5fpapUlYzsz7p7lfSZXRS/Rze5cnuuAA1KXg3HnwJ3xAhIwMXt5AicY0A5+N qjaIdzFjNbfhYC0X1cCr5sSKk+JFK/Qb3M0lm9A29hMqOB/OjEQWnqc7T55PW5Joct2Exz7pBM0K qvbKrpaRDGdi3byJD1kmOAu/OngANNEDgyzcznIeSrK3jYtGaZqz2sWHiD18rNUmDLXZAKDKzdkW r1qeTlCaMlf90V4OZee9rL/nreyrQfnxwjXcsnrxbIRDrbHZOuyY0UTA91lBQDSutV8xWxvBKqAp vZeZEYg27tpojTL+bLHeBjRW71QMekvKs44vnLrMcnV5nmN3RaPHCvPWQxb6wabu3MZJmfRG/SGX wXSgF/HKX6A1dZDhen4oEcShTvritl7CoS7+NjvxUxL/fD8HAnLjoiqRQBHzd3yt6kIxfnz19Gfi fEwNDVk9SXsq3xAHXBPhXmVRKglUJA+Ov7LxMUudyGrwqFdwqh1Tb/40Ydhy3ctdK5iMF+0i3u9T 9abFMT2sqmE+0+PuWTrJTP2PHRbxhwjDCP6e3sggdibfQnXVgq8dHA+0bwi4Efqfy+s1EK7OP6Yp yEtG36OKcYK8c4Dadzo89iQhECI6a5Yq+Gfl/4yiyxBFCF8wDoO0dUp73MkCURYL/lxjnBStPWGd fKBZhiMUb/NiG5MiNcB7Coaihtlkuy8bLizuD977yAm8AN8ikAfVP4CC+hZI2rwK1lwQDXubs/1O eZRPLnXgRHqJu0MlQq0hOoDgXYESo/j/y9dgGXyo/UzN8SAPIT84ueW3Qon+s47RWREjC5pjDBwm SdYU8kwLOl4gteLJamV024Nr64IOMSSx944as4Cbq5v38JCaDLNJrisGFJD4DrBorTZ5Pk2zyjI/ Zgc/g10oE8SadyAgblr7g+Ppwmn47sco4NBjZFp04XSnplJFUH2wH0i8SU6tTZ+RM8ME1uyff1+T lRLZ9nxa5OpSf76HwxT4J9+aAbHgydO+cf1cCVXwbpEE61bIITzGSZHzpswPmlezf1LF6nQ3jjjm orO52CQCkrwJ0zWfQwsIUSBThOTThIBZIY0oV4PD4Ef2TIBPLkbNM3XGkbOEHl6YvvbaoGCMfKVm eFq5wTyDIzi3JB2+DZLMO6aMuRYpjAJoIRu4nrIR7iXBSfWyKRKgM8FTc2VeMIlUeOnovWV9eKCb r0+Cc9zjrd8cXAgVSLTNXApahI1eBGUQFAir9GZYznC/fZ2s1VoTns9IQ/TZwF2uBtEx7x/1qunk e4LcN9Odnh1zZ260AxoGPn///P6ZCITOMXIoB6nBHYfYjR1M9y4qGhThyM1fbunXfy+utXS7xMsU aak9YyejSWs+Fp3Hs2Ljg4LxpyBYp/7GTfpBwvlybF/wWUKZgIrVCqA2HTtHGpSpEiQNsgnolsz2 DmNb6/w2vHP17ldYqMyNRu0mVrxsBsg8vhqBfileefNlyCUR3hCRqW2i+L2KPgJjUJdbmyUeFL4X yIE434qvC2IQzhGGXsu1rQ7Llp5tv1k01hhrqbXPwxAXAQCWsNG5ZDaGoZrNtt37BTVLW1GSyUU2 h5CG3TM7257iwzaHIZs/u5rVCxil4hJ/z+kg73/qz87p1HI9ISHZtpK8frPgTVmm5jO1zaAsXdbS O/9cbNDIPvl58JCBNvxpxF4700DLLMvxq47UpN24bwzLryZ3BXrDTTtlLZwNIl8TAswCGUng0OoU q6EjTEBgl18ixDhIUAFB7Mng6jhP3ZSBE8EjcpMcXAoX4t+0BaRxUKEpZhHIDoEpsxrSzQpRV0kW 5PQxBtPs56KeKHKLVUHnHYbeELnfnChGpXjBkC9dAHyhdQAm/XsWfde7nlkjZJHOSjpAI3mqE1Xm QveJlp9b21HTlRQ/WcgJ67VgoPaT0nlHb7nzSaowwaNcZhQ0qZYO3Eekew5Q6VAknE4yg94QlZuN uiHXwJ+iKN6RJLD7zxnju8KCHpuZmcaO42AnhY5tXnA4nUnDGyl0NWXFr8XUp8ySu5LMy8PHjRQE QpkBWyd98rvK1g8doYYhznNIjLPyRVTOqp+NJurqFGS6XFjlELc9q00hLv3yLnLm1F8qSPvqDerp 7xcuJ+q8jvJ2MC5L9g6CAH27o3L2U0wMDiAvLeplKX85Mg7/31Sg5iWttMITVV3b/9xpAuTWu0fL oTYUhTqMGC8dqBv42H9L9TebD0+1r8gvk7ZEkPvn5u6/iSFrDQYTMcyUdAi5NZ4LTQptyI6sYi02 /km+B0uoGlBECgUvWojCcSRNgP6bz7SsSoVssNvAXXlRHsnruXi3B/KVc9z1gXmh1R6EVtcjUHnI OjsuLWFrHPHqChlwSk2535vZg9M8/M9/MUM4HKV0Judsr1NP4mg61DvaLHz3Zp+OIw0dKYU3E/W4 88y80YTUvuGfQWMIU9418ARSlBB98Ff/rMLwJwhzzwqu5X1cPpJskww6hNVs2I8TRxVM0axEfNNb Mv7mfosYs7cnkw3C81BODG3j7BwBp39ahw/WavKOg8TyTjemVFR0eJvhjEh5ckBK4bjQLwz7jfxM NzfPtpK510KNcnqYrcZxgIhrfi+AwflTK+DupG/ktPgxNZ/pMvWhili5h0fKNE2qlKBljbNo/Ce1 2TpL6aGz8rwZMyxglXEKXNzSJ0o1qy3MdhC6kZTL6FczIGog3/6O96cmfwVEjWo+aycUaIouFwDD VUmo70A5tWnQmzyIkFXxXfHYaVYeNPDZ6sO0Q9mWnUKElu77fW/576nzwfm+dNPMyryTyeAPG/yf qYf98kikVFdRFxQugS3xcPxeVH52yAgM+KvXsKIacPPIcPCuZopug7WHoo1m7Af5eGWtVYBXb4dI HJy/7BlDjXIIvZhwVpHpAd/i1KztQ95BPkR9LiO9wZJaUlry9zWX64jPqN2491g1UuuVltf0Hqt6 DgLCFrzqWOx5ghLsfUNmDmNEFunSPEDZjKkVaVyro+a6y9RNpa3+zC8gQxeGhtmrP7xCegrvXNq/ ZuHy/BMFeZixZlwFF2BYaZOMXnrWqqytAnAg3JjhhBUR1bboz3Xphjmq3z06yTCKciok5G1+n1Xv sWaNoM6MsGJjXZ6v4r4Yw5y2k70N6xHwXHktAqO5ADBVt2TkodwsHBurhx8KDmTI3/F2eKCCrH1e Y9GETdvD8ak9QuCdBSQk/fcBrO6akjsW7vekaEO3XkWbVBTkba/YyNQ3gCczbWEN96T5H6GZni20 //Zu6cp2FEnsSwX7LTD5Gv7Jkyan5unKldxQ5fHfmQZK2p+L/kxRseIbwag/xW08DwTW3RFlo4b9 1cgoexGr2U+gTM2q548x/SkyOrXmENygarU2AP1azkJHQQ1RuELzvl8ezU76uaDB5tO1IvyMOMhO 0+ljNtqIWEN9QccNXywIOEYDZ8iq+kRrYh9Iry58dsUC2Nlwoy0aWYD2BaAg9cSm5fqfs4kgs9ZA lJRljYZDj8REvFHA1xzGOx+lF80dpfOt11ZMEk4Q/Qcn0P1RyCjfX4+ifLDpK1KXNlBJ9M/7PiKv 5wik/DEMugxVgOHa6AmaA7IPD3tsHmyBSL5OxUz6x2rAbdfsV2HWmkBS0QClCqpBEGAa8XJCxXup HOLh2SUh1JiiPhrk7ZRA/lSZDnJAsTolMtWpSZ1MzbhOGyH14iQ91WbQ2pSok9xZ9Kc7Jaev+8do KJG4v3ZWrhwWJEsLE2zpfopUaWODQGjulc+N1xsHWw3gvBSqCOHZSWZfcQ+WYcNztiTHjIOb3P9c 17AbXaHjWk9tm9Pxr4PTCIUhYvoZJyRbHoEDuN77ui4ay8y4em8mKMg4Iafc/qPdTs4rTfNIyZAy N0qBNBAzOGB6N3oW2uxsAWt/XioCPOKChYYhy+kdm94nFbZYW6hIw7tTCOix5+k7to4JwcPR+g71 wa1+e6mlGz1a3/SjYPvHWO/Gn9KrrcAeCQ3e7BlC2PPtzGlLp3sTzK2uvRD9o93oYn34vxJTWb9x hKlgfjCbfvgNtXZ9qdjYSn1IbF0zwndeiqGnukmxRnGyg/7U7Xy6529GN1UrfE+Em1SQkU8hG9un I+6mexE424pu+iq5Otw34BpmBlcOwgLK/i44ZVPrrdFjJstYE7xy2gP1zG/raEjWr5FJIkkv04D8 Sit+LuBbcpWNE8KyKkJJMUcL7JDu+1QfebT7kKkx5jWt4ViGtQHRzouaTNe4Jw+AJWTlYlHYm/zV mhMRN34DI8w0hQZRfHjesaBZ8Ag+T/sV7mP/24a5qtcYSp4/7ksWMG2Efk5W3sZmnbOUFAPLbj/9 XPtUcseObj+F6AF8CdfG9wvXU2YkS9uCrbwfYpYwWBAtcB1d4pGcUmHbz7MIc/w8MXjqws1T+0Nk g/bl6RgkGpf2IqAFOZxgAl8+Yu7By6YxyqbaO/A4TyVH9MieEogREpO6okyWPZjhy1QxhMkfTkGo +3olkx/2Vhx9qdtmzHv9GN3lv6vpj6BWPlD1YIp17ls6phuMjvMqSWYxEuGQNKX+Bl0/apyik6LG 7H8eHVcxfk7xp1hUUCnizsNpVm+y/6l5pUw00rNyQ/FJuvwp1CRHA64ynGFUGVp/6g/DHRLojwzx dmRay0Hahlfh8QmUtfpz4MG54tcl78P06brdlSVEUavKK3rRCJG5KS0sDko72TX4MjF9DGwGELaa jX6Ew2F2aQGg9JZ6jT3QaaHd3tYJhToUd+96yR4ohKVVKucjaN1yuTHy7JlheeNI1LV6Ofjz2TZK zIcOTVAxz67WwKYvdvlLmqeZ6nJ/qYY8rseEIgw4BX9ERCVH8OJUSvWWjBf+7Tqa0WanyxFNomKX +SY/sw5LU1GgquprH02H0KTYF9hao579ou0yMZOHvW+ic3F/H1nJXWgvEe3TePWBLGt74oDJ31aE R7BsrwzhVNWM6NV2sbV2HAoDjcpa83buhqqR94aJFABA7Gk8YeIovd9OL3LcYLuL1JojGKY10ffV UButO0e60adsqqc+wPkuXWuLOpzp+sjfM4jhI/e2U5zyHxkur7pF5XN3/9BaImyjUIYIHLCuHjUV d8eg7L1ErQ09QAUmwTsndyoFcbX2zYS+3KHI7Hqo+SrLro5cgRg4N3N6awXHG+5BF6CbrheK2qrk RbknUr7X9KJzeLnuaq9Vx1lRRVqf2jENJ3Pzvso3ZVyfZHWubqHQSzO3ryLqjwGqIzdftYLguNEp jzdLsvup2NZfj6gtVWvOm5f4iV4FfS5MTWTW8h/77KM5dvLdtqcAh55aCLTO5CJ6OVMvG5MbVCtT w5XtPae5gidQkv6VvkTvea8vv6w6905pJRXeCkP+eEsVd8iLJT5GFGRicrrUr0kJhXxsnleYQHMI eYWHeqmbJ+LAVBg3XRZqzAKcLTUovTVk0+HyLthc4QS2UnlgGUlVIUvySNqkJzAkCw7nNZWPjss7 SHqf84S8M02z1SUlwPcnDKw/OxCo2VEK26VnzBYHWP2b3eaL4QwSE2ZsQet91iTTp4OrbzNVxy7B jCeO0EhjzObl/vAdBQUasAg7Wkegmks0b+Ew87GvQseNlNPEo76eWhvp2M/+mr1gj040h1w+vGIZ BOiqZC3l3n9vZxyxqbomr6tJi9rSMIiUmmtusOFQd+Lv81sJmPKmjlB/rBFxzkA51pW/mQhWZ0aF J5DHmdtffAKKjzYBCOBf0KjjWZNeY4xNrB8iEY6maH8U7Vkv5xN9Zw8TgUMiGQYbCYUNLKRL5ktU 0ehQC1maaN3q/Dyp5zmJWSCXCtGkein1ZckHU6jDJMOpKXyJqndCMqIvoAINLKQXu55UcotMT2Vw is2oufiePcvR2lXd40HtsAjD20m1UTuWFON7MgJd/eYNOzP14LoXh+zMrq9SfHcvyw/rq0RpH7zm eARWCbHjxIsg/sxQuAuP5TIpAOdJxWRRldzXN28U5h4cFY7BTNNXJlpMUnxxkl6aMmHJdc4iM7xV F+hqZQsSTRkkEyZKZfZL3GopDY4Le5ITA/luyBM8vcWC12yY+6dlYYDg4yn85TccxnRRXooAPNLw rxkFSSUAqoCezOgVbBlSCKQk1IEMrPu/TvdcoNSEWKcXfkYXugnXb/UpPEi9qIZqoeEyhTiwoZlV abTfQpa+uFlEqJBpQ0Sr+U2YB/+7D0HaEDhikbRPx2WzU0LjAOLa+qNZIdMGihO/y6bH1aSDZk+q wHMNrExVo0ymGvgSqiUDojRCxiJjdXKydvXYZwQgA9L+PnzorcYi3BzzvI9f2pKuWU/mKe1WDLg4 LPsDatLpKohkWbJu2B9PMa3aj3nCf4mztckDLENxmxgzPoRp9J9F7AsVL1EcaltqtEz4j494cOPw L5KvGmfhTTi1fJCmzFzD5h40H8aCGJa3pSHmPdnz4Z1d09KW7Gi8gNs/xzf+WHiBjdRia2S25j39 e8U3gzITzsUSCQTA6ktAc05bdaeY1kc67y7GIq8nRv6qXQiv9spysZW8st/DZ+MlPnAbDs2wTXcy FZH68otHQUMHEjWUb74Iamo7Wjzit+dZbutcJHdX0wp+/srnmOduX30V55N4Mo5BdbMmzJxdOjPZ 9+GBweRiU69R4Pezu4+9eq0WpnI4IHGZZtlcBBspotbnMDM7TdX6eQKyuSZmwOTOXgKsa2H2gMw0 pN+jWoPFpYzsYPQeyrkjgayRUyKuupwQRlHx2Oi3oxNanqzbYvEwquXnu4OIT8O3KaKfuk4yLtkm cPpwCNfz0kTT/eUmqNU/WSTz+Vt1K6aKtmqgEiyOPkGQNG1baghJbPfWuCrwgVISYMuZh3EVCFLe htCLd9SCFKRGEKu9zQEjYocA/xyhhTxc4b45Hy5xUHVearAnMRfKy2SQnk7A1US/fCjkjqBwy6TM /T+AmoJKsBmttoxi0jqjqly7AmVv/OqQWV9AaVuOp8Vft+juj5u9BxNrEM4kMWSmtOr4vtW/jNKN 19mQbJ4v4uEQOL8HV98a7+pIfBWL7Rv9Hb1GByjUJoalqcpN+obraDJXJz3wCkiO45gUoN+5uqyK PpXFipig334/NTzbaxk3Xg6mXkQmw+RjmDZ5SDGk3GCYvch/eH1+gl2h4cUPIlH03Q+vFjsZ8gzM 3By1kR+1CCdhzLor7BlF8Anp4ssO5cQcpEnaoi5F8KtSOV0fGsOOjW8H8NzrVhwaDdGeDWDyrl4I 5wvisC0dV68h6PRRCHP5vk2w3oREOpZs6TCDiyr61Ts7t17+Mrxp0ZUrwdL/7sswHiIzMmo/MVMf hHHIYe8LZzpveW2VSci6fQoxm9EgSu2ZojDv1caAd5fcBE5NdEAlNSuTAHGITFZ+XA2isCRrFimm f11cZrO0un45PeI6wByng4TUAmCcM8eNZfBC74HOkYy/FKv/ds44fxHIDtwmkuJO+NM3R2/Nzxhe 3tgnwViWYd/vGgy1zAJt34zqN0hbHMrePkMiQ2CBQLkrvFyu7oomLVzDh9I3b0t99MGodX97+4Bj 1UuvdfLxxvkP0Fu7njhvgQ6BjPGDCFKWZGEyZvHlg4UpqRL+yOBzCN5BY0TCpchE0YDyY1+uj1bb sKgZRAG67eenw6GU3hMTP+RzvZ5Q1rVf27OxilyDnZ2Xb1XM0D3RqrN8NIBVXv6ZZW1UvbgGZOFN Hz4RfxoTgC583Ov7RXR6nr1lYcQKJN0fkGxcuIRQjrIOOGXgLA4cOq8bLcW4WQtMSeb9lncT+PrY ww3VrZRlO9A9Hu8gjP9/s1T0wFPKTGP6UDtdgD5YGV48trI9NHd3M2SadTEAfO0Gicza11Nj74Io FZbmw9Yeg7o8+GrIPQEBPqCvDF/PRaCdiXhgezIBHJMeDcSr1vSPysaZahIF+PNF3+iIS/rweXSg cDiyAG2d8E4QWGodzILLXezygMCvipsUgQqMk3nMMWXy3d6eHRAa9fqmnZByAIP2qAYfTMRm5/Xi 141C3URw7Jg7slwdaRh067CXm/exCKzIW61K7e5aO6lBU8i/oimxmP5aU+FdBv2KqfsYz8PnPOAK YNstLk0j8n39lu27mmqYUWwfo0psiK/KtXbAy3NYlJUTSPVbwaYxn5xGXou+wmVoQJbGdHYcybgl dHXKu/F0ZBWDFZ/G2VyejLGG/uNSWjBBVfNWs52z2RbhkkErASwu/F8OwWXgsmOv/7C23Ra6Upc0 r6NKXBm8zRWBuHeCslhheVL0RAeii1qcCUcLg2inPKwEDpFgNK3OCIBkVMY3u8Cr5JwQUHA52Qnj I9p7oYh2SN4ZYsgcI+in7aVQXGgs7/HDsGZvVERQ8MRm5zNhc3QlexJ58QMvh4kXSFZb7+XX7++1 mgIfqEf0tlixft/K1f33HySfN7b4nSogjDCqcb+NTEQktLCWemO2a8rsgMXwLjdm5V8d0kpfFv0A zRVStCKUJqwnqEm5kfUjwtjr3sOu3yeS/RL5ZrRZiRVp3h/3oiLFz1t4Gt5+4XklcfX/9hm/jQHl pErMA6pvqMoI4hwWNbaGxNBE+UwMX+s1Yu7CYEvpwkc2BfGmclyrnmzVu9I++pwWmlNKg3NfeoGm uhKnie5Hbukoaq93Ic48rEhkoQ+3Lu1Jll4h7AfOixpDAWrSnPyKCGSKLJcOaOpfE6/aoB2RPbVp 75N16UITASoqXMv2j3D+MxOvahUJVuRIK8FqRva6LthJQCq3EdQmzcppmAA8NFuapDgEisUtUKKu 6bkVW6mtdTjqzspqmz+nwReCCQBMp1jAOkXjM3xo0MaGNX4APJEl5J1fxe85oXB6TL4Gsu0708BJ BOIAMH4pucIIZH9TJ72KJfH0pE1zWKrl2+CnlOlR28NM9GaltDCe9n4BvchPCh18J/R6ORrnL+iI 54NxAn3ZlkERf3MFjCnmgtfZn2ThROb5QfGlF7GbWBgclE4AyFgTqbsZwg+nOmjVSn2Ixo+hvYvP FZ1cA8vpu/rz9bngoyJ38u9W3YyWDftvd18Zxy7wJ9XEEkCFZM90Bo+6mSs41LMHByzARGPltCgn wIrnZbRw+owp0oMDORZtvDnX41F/EYzNfE6z9kRFq/xe+xeajXdb4jamwiObsD96Jy7GA9L2xal0 QDiVaz+YSPPl7ud5+/QlM1M9keTNAlkzCfS6vVCrDEvc8yM7A79m3gPHTdwlN45OhyG1s+ANxS8D jHdhCV0KpNIqwe2xvr+ehpJ5Xn+gmmh71Qg9z0HtB1ucNkpYV3wfZiulwvkdeHAdpSrAISbmR6Br y9FYcpgITJOrdNA8+e9+6hoiPRfdD575Ckxor3a46xrAQLzI0+D7GiF2c5WBTc1eCrEFiYeBOjuE p1q9smX4YPUEkqJgu7IpXN0MZDVegMhrFN4ZC2LX2vF6Pq7GG8Il+S97+Aw6XaYIKsY3BCiF4ivT vG56jE74RCYF7fLUVlQtFs2mdxNcAW30fdhQFJvvqt+od915a17Hldraa44MRjobKzFfpjmPzOnp vNAkMJyqciUmyFiAMEqCE6s5seOCbIM2bMESnw97OHBWxQOeguaII8IAoE8Jl65OwcJlQ6q7v8eR wj5yoFHG8fLYbqpiOB8UxGPdPpDKu4QWhzu3V/g0DrhRG5Hj0b9MsCmDyT09VzGSvjIZ+lJ7xbtE 7fbUOj7GNBVLUO1sh281ulN/GP0HcZxUF4ivSY/sCP5saqaC37rxilMJrb/FpvvWfpfoYQfBGcvF 5/dBe8eb4JpuFxj8GN+IVlMs1632lIlpRIeYKPe1gWLG7W4W9+tAFc2+xJu+PoqTBVkh2ZuEyw0o nEWKjWDPJRD/jRY8do4tKY51XzkuO9pquIzritMI8lYsBzd1jVhHGrn4EtLAHZXdLltBjZKwwjfY Kwmykev96jcmzSWXzFajYpq2X7R03pJLu3WIIBmY1q8HathppFmOzo3LiQPY70LMhQXhxW3UGXaI IzsxomS9uzgl2oCU/f0oStptJZgcg6g6vxATmkVtZ9KJI7DRtiBD/TsRaf2bUVrz4t5/k0wqGstz PnO6gxqQsUI4mCH59mzNBrDeI0cQJYGk72Xxbb6zvkr9FuXnLXp67NxKzaQKHv1yEjj3eLMtaUR6 18G3JKopAGg1FXce/jwWh2WGfJGZr8FGs0z4ds8/nZVR+LBPKnw8QDXNvuLk+Mpiwrrzk5PI/G+5 uzNaVCRoWywHgicFQFwLyMqgPMs041Uj09Pt0reLpWD8WZZpJ4iZzfdkqnRGcF6g1CGQoQ7zo7Md yt0MGtju4VSE5ZPZmPsAZYsxt/Bd0SQPs1Bg9LdVlAF0Sjn0F9l8yw4Tl24Ex6brKYhgI1KfuAI8 zRn0umtecMRrF15oJTk/M7Q9vIjr8X7R+4Ppv2wiCmeGjfcLjsgGuczPmjowTXhmj/vhGOpj8T7+ 3CxPZkmXFKJgXO626NAEN3WrCAXs1bbi9qB3/OhIVPIG7pGrM9tzjmIiBoybcYK37dNDC3WuTM6i 5ou2G1WWmnSGsgozJgnzwwEOnvhPHS1xukjINDT/d1xwNyFM62e1pGLcGG6jumPj09rZfMsTImPB 0BvsnJWcvWjyonMFsEOlC5V3HttOzgC25240vtjKt8Bkogb03CcLYs+PsW0ykoIP6v9uKaquWh1p A703Afb5Ih/ReGknb/zXoYaKeWV4nojL3eCrEiGhZOO3zflKMGlYGfNfqPr7b2VZMPVb33VmUy4A xUw2CVttSFaICBG8HlE8HNqturcZ+34sN0iZFfq/6csn6plv+76V2F9YMVtjUSVNvwIBALVCR9Sn o+efaHzxvOyB9SFdN4Nu74FIjbiUwTxtbcrCSNsQd60/OGmN3qbt6++vF11b22ClEMeCEP4aIHvh vt/nzwA4MgdvaYOn9+lYT6m4H+07gYS1UkXq3V4CFRMEZFRIirDDjHhmiKuPfJEbXYV5eg9Mc4bM rgP09aSqcyZgxeyXzuPEKTqcplBF29K6TcLOaZ4SbUwtwb5Lsg4I0Ezu4LFSnB967FEzvnR02j6S 4g6u3AkTbt9yZCv5NHm/jA5BNtdaLxX9Xleyv3416cRpdO+mNUXWZkE/GXs0R0VIvktkf+0/3h2T ZqwWvI9CAV+T6iFfkxpV8iDT8a6X0X4nXzhPjk8gNObcOOx5V/Hat+7PJkjxYHVfg/TyHpcE5Ki/ JhH27yRIrH3WD5Po+Rnzq4c4qDp7aiWiNyDDh1Ra1iUa3KUjU0RNCpR/v8UgHC7ryptOCWqKpppE D6jzJcpUApAavlNvvRSWTWLBZimBvWWMBr7dSjuhRa3a3ACetiMbqgMUZSaDIQRs2XQuTJk0Jvuw adfux/4PyU297+7gDHFRaunWewp921v7BffQPGvuxu4/nB5Fn0tbrLMQZaeDDTo6NJYOwBMxf8Bd XvN64nbPup3gyN19u6D7tEmP00Veh7SIi/TtqS4rUXZch0ycEIx+dX5mAZk/PEYv9l4OnU18o0oN 8cYCKXc7H+8bbYAwbNSVmY/PhVY76is8iUECIIN+VVWSabjxz2zAjfmOY8ABYgxEnCSEGm3i0V0m Ag7DezeGKnsxw1GtdCKbhzmmtHrdHMMh/ExxBT8h9SVN9sxPuuFfjLAvsea0W3qF4KklJHgdPINo aJLvJkqV5rsEsAdiCEHea5kQiaVaF1wjhfR9a3jFP5f5mSg9CQ3IY37UxuZENOdK85nvcVjhInhv fi0owF52XpZV+UBieET/5seJlsezUJLk3jSCgIFJ6bwWrkCRu3c+qCDYCZxiRf6LrFax5BIQsvTD O+UE20kxrbd+L84IbEh9fyBROoX6/OhNfAOd0Y5THYXLsolTdFeyDsR2+5VsAtJ00hpjsx/jQSYP DQJ/ejwodsjM88ETAG1GU0ZhmAbtvFlh+WaB5N0DaC8uTMv1hSAMN+fRIClVP4gpwMf/cYLy1HuK RIxklhja9xYSXJrfsgAuh9MBJXIsnK95knXuGQDBBKkmrjEr5iPmK9K1jmqvzRmVb1lumm5sOcgT vpjBMVzjM+0DqV7shKcJTL5nxZ1+EAbXPbu5GXS+A0L62eEYUk0umk7RIFMphfrJgp+xGjXZ9+wD 8OT8iOBmxGXIgmFpFgRv1B+NYuhKz5ges/iSgebNboT0vsZ9NPJdlSy6zfPtM+8PiroIXaLFFtnB 2ZcAgK4/tvoLZuErm5lNzz7F9Tmh35d6xF4DLgrihedBNCq/XryPcbr15czj/datqIrJitodNw89 Ll7y5XItywNZ8Mpm0/T49Mv7YcVLyW4YOTZO3gODTjBMs9A4eTz5tp6+wPJ7xZBb6DJohdIV7zQs Q1cw27UZ8s+u9RPPgBXnzy51Bv06auRUU5lLbkOb9fMOUnJN0qQg8+YQZkc2LUlec7LlfJmc+4eO BAPF8fiw1WJpanmn+N0bYEDFvRNajqw46irdJ0uK2YMA3w0BFpzfQDdVmIWRVVNdYk+aMKTGpuNF Kk3Uyq96vhLpl+eoRM86lCOO9mXu14NJkoLS+pnDBGD9pBUT9SuXh7CQB3TuGaOozpZyIaRx5wgB SUqoeSxByowZ5fhNjLcyrTp0PMwrlzvIyBE9PYWT7MgwPLmJg3c2lR7B+WQROY5cKB30vYfGPbBz 4l9bj+ChokCjb/dgY92gjrcUCLq7fv94buSnPTCdXQTQ1IilWzxNHVZPS3lsvTNpfrYaO5jbnL6p sdE/2P4z5Jtu8fEKo1WhrTdpCboooKUo87/apRVsBwu8j/CZuOhImHAxDSIwU4LibtjVA2pnxkUg ULFL2mqBZJIWT9CFxVMEVxWJ3stQPdRDB/g3XNY15Kv9XuG+wWotziq6ft1tdT0srTlgD0PeX0pp uEBxEGHQdmEmLgZxoDynL03efmWR/7RQ+DQFDz2ejUroeiDPJ6jyXWG73e1wvgyTPqknF+hQKRIc FMymnyf+FIM4f4r9dDq2YeTlwRepmKyjdc/pJEP/6/HFIvMmNYJVrTr9f5EakHfJLODwrvhya5KX tv+SIH/hS4eqbKo7mLx02tfvIesKagGvESMJ9euxskS2ajtjr5nCddcAQIgwLoMMaYcNJbDbEeye ysVWzFY7LegyS3XceOqGTQO4OU8SfWTz3f8TM93l0IsbylseJ98DLOxIA4NCtRpZd65n0OVz36p8 XLjIywf5Iriw6A9EUo6ByMZNnO25xnc8if+eh1FfOHHyZIY9kjEQz5rIxVcvzSopYSIvuwmW/HHs MsxZIxZKdt01WHIMeQgJAK3jAerYg+0rpsIMtEp/BD8tWQB3FBbcncVS4Ea2IAB6uVlms38kcPtU /M39HBrdan3FwiMJ4DrrH1Z0cruD7grA/sKCJF2PE6Bk5EOy8GNrbS9VnAf3zz1YHpIUXmYOpCOB c2yA/R5t24zUZw/3KJ5gj2H5bJAl9HguY9IkGwbm3hFqnlgvdKHOEIF0WSbB7EBUWZH/n1aDje4W ZRiuRVdHdMOgus+PfmQHNr6upc0D+hJeFhMKChnGgfSXUgqaMPG28JKThlW84w9ua716+1BWR2Ch FQrEZEkWKgDnMa4wiTUfDCSW0uzZlKJmXogfKvqAyFb0m/KIhRS7K3b3Z37x3ppdiwxsFbhxubd7 5uSQbUA0h7suAVgCt+u502qN8pgwJfxBKwhnfESZoVJDFFEOMM0GdiN9hGSgudqjMCQ2Htr5H6/v HUtqyeCMGVrr4StKdAWYWo5UHscWVicSkPpgTlbfKKbM1UbF4gsYHwBSYeHjebCgXOZ2AYmkO/UI beaZ70baqBYsYBP1u6MhPNqiPBfpDd7wPQ00jzCOS8bZ9olzWHRC+hyQ+7EPqxoYhSAR5wHlxafo utZr1z9L6hMe8bUTG0whUAABTkkaJ++eBdpf1c9NTrLJwrhDJpoJVuhwi4onkBLVrywxWY1JlfjI ef1OxxSQgOhbIxIz5u4rlul5GAKWSswJ+VjAF6dcXkVQOlNV1jZcBSqWShjwp5hFcf2yM9qEcb7/ ENmOJrW9rkZA+Y5qGAGCeAkyYF2bL0nTY9FbrLxRWKckgp0OyODG4gRvN7mrF7VZAT0YzHgV23E4 ueQI/ZDyesduXLG2RJ+GwS7F88s9t9TDRJTtmVrpZsKo0ji9IvglV+RLuLMk2wUi2iVIKjWhqe08 R/IqTIBp4wEgqd7QRY7O5E9pd+zWu8Ov0zWBOm3TXqLJgDsi2H3kkQCt00R//66VzOHCxYXh/lHv kq+VlcRPlTTVgkNqoc03oph7AVjPclXWRgBxLix2YjEoc/WG9N2FE6ooeTeCgzbPAOAJivwI2Jr2 995lEYvCs6o+cQnpt7p6kNwDeT/JJLwwK7/kDaHwz0yMfchKUzqvHA84C8krFjAwuhOT30vL2OMD wjhliI+q47Mq7ah3xKYocrOp7DM1ZyGfdNLPYrw9F1GrYYH/GQUNVhdftXX60oG/oWaV7vBa8zpG Ov3LGBjPHfY0q7sZsf0TdQlReHB2MiadBSxBPg2IE+INbvzD6a5u3qjn50cvH97wBeTa+XOS4GJ+ isnQfZ74xdFjlddfgVABiKgLMmMpwgChi748EwkFG9l687Zwlbxob+QkQ9zTTR+tIEQRbjBcfX6Q XvpxJda94HOTiOztxnRYzHlyJnJxEwBL8wl9VqizMT/+tIcG6ou8HqTy1K6oyMgHhFGxdUHuHdYk W2hoxi+z2XaQu2riBXS/a/oXiVG84y6FUi7qCxXCcF2PSoHUn5f2eu8QwbxN85r8appTYlbLJN9v 0zH+72LHVJPTWWmuEhRHB8xBSQbxwnQX575a2mkFPl3Vm4+LesVNQwXutz4cpK729RLgaGFV9MzV nAVIq2lXxlPAPC43/RHSiGLlJd7LSz4WNyZphCxY4uUeOXRw6hG4chJ2UUyxcnuwVIGUGej5M56Y Mth2ng1j8TFnrq/qPRAJ6ptSMInmmsb0p25qjvk65aHQvnqsBJdx9YceeP9OJpHCPuYiEQFcdXN3 c6ZW3CQd/bQfXT9nkJOKOds3/B1jOo7bEtZ2HLedP32lr0cAZBcqT/naisdzqn/6GgV2fdt/C3vr q8c5in/rxJdH4a+y6JVhMeIuSgkFhHI+fDMC/4PMTteasYf5DkStYbvWXLhbulsaiJKE9JLp6gMY w0SGOxNpkNqBj8AZDBy2dP4XRh3nV/MBAAKW+7w8nSwm5c2oKGtha6zZgwppL8RRXGpTaUUl9Vdm Xj4ecILj6xceovpVUh/OtDOq1yxIkyv57GHMAJw098lgdi0tdE7pChrUC47jRttLlbNGjk+kIdIh K9jJ6ljgCwdLpFcNr2OWZP9ShY64QQcwhwE2UaaZUkGq00IoIR55Rp+hS4FSq0dNuL9IklZ6LLDm ZDmExS1ObIiv422KFQhzKzpJ5SGcJDGyQ+/bCfqnmxv7IuDnlOuKA2FzVJe2uucyMJRkafJvAB+2 lr9vVWD9HowPiEzUF6zPAMB/hV1OQBf6nLNmIwmqBu3vc8c+60zI8IV2ywbN6I6IUkUjTitB6n62 5BxGMhKj47+m9fjmCwqY3b51pB4Nwr1cEO1B60+k2auuLM9xATrIVFY45Qen9CVuKHMKiWpa3o0N 9xaeNUWSFRNoA7jxqWSOwe8vrnEOrHk1vud9/VHDi0t/565JiSLg54eaK9fgCF67okbiQ/ANWwBS vG5cYv9dKVREa6mUpQKng4/oMygyuZ/jP+3DeqlIdM1XEC/nJBcwQrGD0ja+n6pN9k0flNQb0v5b lMVuqtuxNxF1qBuuCMkX0dANL1vUabsXm3FLyklA/zdqHJbQ18hkFsD72Am1nfJsYVFVF083YEPZ Ry5zxPxPen8Rue2TM0o4PbMrpQNL+Qtu6DKOzODKBmSzZeIoelHCYL1GXGDHoy7QVPIRSAI1Zu+o 5hMhBFX4UeTnYpOX9BkqClqpOAwgXpalfR/15mEn2ChUackd03ACsh75Kl8GjRRlFcIyz1Exmzte 1jyXErXOtGxMKqJr4GCMk5gObr0lIzXfx7hXXQJO3Ich2Dsj84Kr5rTrDE6HNPlCGQZIv56CeuQD rqJDuATOBQH6t0CDIsJl3YtPZ0LLdrHKlJUwVjG6OqUJZFY5Jmcb1xHecMMpAd5ovGleGs7lAjel Vfn5FWDLbOs/9LM87ONGW+HkIvcKi/65/qQQe+/MfRmhegggApcYbUDV4jvQg0R+OQ3r33z2vavX A1e8wwSRFKdl59f7irZONnMoghUYfrn5pbe2UaSJFqbDXd+yvLN/IPEg66icC2b103eSaiqCmA4G lUg8MO0mjxtbimBi7qjlGR6LzluvtLdebNhuG1Iex6pOQ5k20aKVvClYtvnxP5x4YMnbxrWX3bOj y1vtwmdcjY/8tok/cnl97o3KOb3L0pPnSvc/15C76ITcE0zM79L6qLqvdq3RLa0XmXkVeOO75Xro Hk8tpBGh1ZUbfmQuB1VPekV+8cdbS0zL1vIIRXrRT9ONicQgLDkwbX7lVryo9sgyk4f7TDhZ2d1y dgyjSJTUiuw2tybPit0R8tYNcHajK/V6IZvmv3ebEPtHpBHmTtOgcFKpL3xaOG7gOeNdt4kKlF73 er5noFZI9nqKSP3Gs07yCbWpcdBHVG+jKKKvWgUGOgvauFuLNb3OvDdC6f7pvS4vH79nd01uSRH5 QXD98Se4t7QHThqx49nC8BOQQ0QI25oYMePagEHeUXp3THb3m9SAe6eWBLO4oV9gPEm1+HNwbJul AbjkiY0BnIjPmZpIbtq/fQ+VpgAsCSGA9qN0RqOkgl2N1yyhfpWAGNPagxIe5rZ+rIaXH4EWW8sw +X6cxRjIoFVCoe49qCHZAtu5HQ5Lqyjah1jazJPp+LjNMkwCxT8p684bhcapxvLu6IkvSaKYUPZK Ytr3PJ+4D0EKsVgJFLSx4d2Qov5bMvqHsG3PDRYeLEIjbqJqL5ZkB3RIWFCc43O6RbpEs1liQQnc ePldDjy9PgaSmyEhYY28ursCtzhzXtwLddyHlV2oaLnC1poG+IROyytDNYVmuZFj4tZlUQOY197I zoMHaqBpr5CWVbGUay0+16b24NeENsqiCsSHRukmG/EAXMKq/lJmukRydyD0EyretUH5G+Wg1hmq Wk2hjmz+2WpULlm00JUI57HJNOOuvWVIR6YExrC83A2kwpvv9Vv9kYu8NbtP6hZsY/sgpMkGwDGc 6YFMViPx+UCe7Ps2fm8mprWQyrd7P4ETIKvTY+rxbJ4wUlhwtb2oe8KgfUn5Z7/oKKR1aOJHi2X6 a5UgCWD2li8eQeNH8OqdjxOY9/iLkT4Oq0JKsXGAZ7sRJBRqwks1BJ1XGHm0U8Pqqn2q6ZcLW3Y4 prQMJH2135aIO9zAZjmPbQxmjBTaDu76INsYDVZYLycN2JuFL0A8xyJZUerfl4cdD9reft6dNE1D ksNeP37XD2aZhJDrDuzGR6IpBuxhAmIABS7SoWBWwg9qFHfXYVcA4fP/UCayOBbGf1HFq+KZHSFo tojFWlMMSMPQvIguuGb6E6MwF38tKAUwhLCF8zbAVhRkpTnY2Vd4zoaaWM0UWWvAJOM4i/q0j+SX oFYgCR7qXLAo+bFARY9kWDLkPZrdm6U5bjMw0pev9laUTwuIb0CQOwojAO7T07wff1cYchbbXTNz jucjPL0h0FBqVx2+OmeiFXnT/TtyQA20yHy2qzMD4GuVyjlQX+6wHEtZfQytiNLmlIuLFADlwwg6 y0m/NbAHabTJzkXedmNg0UYLlevI8v0xdczCnk8m+5zC2/auTuwHdc4ixA60aiWl4NZwrDxZK5LM ZzP2FDFxGkT8VYwKEqOdM0yXmVWf0oFLBZbbzM8ym2O4dNBPy9QLFJgmfQp5JP/+TsYtpvapkHwW hanWc+P1aSFU9EY7W4UmxX4DyxCu77L/26VPIl9txy8VH4oSdtx0tEzsZnJ5ADZW8E15A9eUtlNU lHXmyfwTGA2GUt7xWCd0wILyXi0uvGAFNr7PJib09+KVz6BGyJoxitJP6P0KM6CuPhRzuJIs+uQJ wDQZgo3rEBEDhrijD2s7Evx4I1HIfE1rWkbd5YWenYUkKi7IQRst5Bs8gv9BbP9o6SZJIMlI56FK 7Snet8NAQGq6vhFsIXW4gDyZ0ZPCJ5Q89by15nqMAnRDBafcIMmtvHxqjYiB4fvYUTciPpAI/O+2 uurhZcLsbL1QyTKC0zStX0uhAvDtxx+4eOpzRhxlmfESx5ocMSVajQnzln78YfvdJ3ikqJNOvLQp ffeCISooDzl9njrpZX2nxULTWASrjn37Je4Lcb23+Owm1LY5I6G75UqdfFMrLnPlS7JIxgHdIckF dNEyIuiUX9hGILIg3spBiUiwVew1keZqn038/E/g28XBRhDQnmiD7Dak7Xc0teAieDtzv9fdX+3Z k7ORY8NYTNACNLmOhjNahnwzGylrdAHhIFfVM+1b1EIcnrfprHWvuyohYhZogccg6rtc9OoPbIqq D/trDZciRlR+FXvm4h7xV2ULlpQtFlgBFEznBj4u8JM+dKR6nccsfOt94fvyazDpWLYqgsbdfi8T jwgTVn2gD57vlRRZ1vogeGKCPugIkw9y54aOV/cbVmXqXpOyDnR5MAn9lkOXrVFutIoXoYpS9S+Q YP8pS644l1U0thrLHn5elgnqBQBbu8iVsWbfuvZyWf0C+CsePfZ5YiB2lV0uBQ8lkOl23NzulFK+ jAIlJiO2+aWMzCmiE9TuonWA370Y0TbRuMGjLeV1wIyplj5rjRsxYAwuCG2uC4IgBZVE3blQ1WBS 0vt3Wgjv8Uua3okTa9NlnSkJNeUNzX795UlEGtAOY7KFU6sb775A4Hsar1BQFRqyrQ83lyBEUHff hRNjadFRnumzksT4JXPgm20vUFPcj90qQwYMRE0hVD2YL1bfc1vP7gAZpd0OixxpRdvD3ZSOxrbJ 7vuIleiMOHb8jw0V/RbKwmb1baEikJhKpSfPbHWp/3zOtwtkPdn3sSvqwZ0IgqKsbkqTw00W+IaB tjXCxuXYhXImZhUI46K8K3yt/EtbNnnb+hsxuJkeo2L+mGgbxoWblzTCYB7LbMRd+qC/ScQaAolI xkjYgo67eu4jPQPmMZg2sRNHqOfTaID8tZTs+No4QTCyD+9rFyFURAd0Jq5lFKsqf7gdyqvSm/xr xpC+t6iGbdZ2dKhAyzLqccVDO14rk5ZwB/Abgqn7PRqeyRhe3+ER9g/DghlyZMdrgp8H2lMGQqtE E5jHnIpQDBju54AA/6P3JkKkCX+5nk99ZsGjndgIbew5mm6uVLZ7G27/1Znhj234EA0TLBZmcnMR fRPdS83R+JOYAU2hLN/tXRJ23y6QNJ1gZnSKh1du9V2QnrUh3ETlH32RMpG5EyDFFJzOXmqL2L2z 3b6peWvglC9aOTL6/7pI9j1fEulKxFQixdPYJpcoPcucotkOlL5eHsaH8+6NNKuVl69uP4qsUIm3 SsMHl4Q2fSddbk178DrbtiQFRvS/KuiYzvT6gZITPwppLVuyNK4Y+7Wu07cyUPGy35l3u4u46/BI Z0qBR1BPY27B4OjoCypUhyudDxHmm9bBvKg84ZizUcFJbe6l/92NtFZpURQb8HyNr7uhKJK1JtUq 4BEUGYBDDFYD0JTRIq6FLXWAIym2ktrE5xInSnkeCBgkWzINOMUxSY0f5bmADOmDdEUEt2pDJsLa RzA0rg0CmD2RobZHbLaMNgoeJisWZQbOa2heCL79iBIez3nTZ8EiySIHhgSpSWuBQYYrs7C70oJm PeCNwFWvqKVu6l+K2lTuQ1W5NwAYAOdm+NtzzyntxSMQNwEI0X6h8NOv/69M5w2k31mQ9VSoU3MC FGp6fKzui1WekIuwcGDcOWkUMUoV/ROa8+wVcGEc+QQRgaH1vjUfYsKrPipIgaGhfg/hlAEWg5zq CMvtvd8F26YpYVMlI8bJalt6hs2eE7md0jwD3vIJk/buTG5O1IhR6y8rp1y3hwUcsQyQOzPz+DoG PVAqvvTk75v9oyvBOpboq29RWJgIZcvcgRlDJwEmGeyXRK43nNYybcCJRc6qLyCO8glUJLpLQu/f /oz0XMZOWLQEgEZG56yYTAf18Qk6d/2B6r1SmT6FsuzG3Xme6jRf+kdRZRLLEifqacDJa+KxZLD6 u9bzm9GRbMM2yitfeD6A7tCqrb7vHa/OQTOQT5xCMddNTkmXtUyF0Uf1GMgp4RJ/ynC0oLNAHRug x+diOh78ijsptx6RTy/BZHOKAXdEVsOOR7bKXr3XA3t1rZziiDtQmYfQ1fyIcro7TTTJJgELjglL DwTcMaewrGu4uutyUUkwgUL7WnZfDof0HhY2WNpi0yoETHHiZ6rhsf44cSwVrlIjbbnJUwuOqTvB PO5/lyLuc2gGLegeeA8BqyqrDV0h6CSugrShe4S7v8E0tp1UF9sqn8zJOGxd1OVdfO8ZCWBy5Pcg UZDrvbDxZFHNCeldo5k8AqnwrGg5OnECW2QE4TID0MM5sAzGVHF03l6noq+q+6kBVregYCvL7wAc MoujSyME68FEctzOyixkadyymJKLYTiRw1iJT228mY9v/0wqnmyPT/hvZfXVXolrngrEsmDh9ik3 ZyscTmY7fC0V6RmmakwSO0RYMhD3rp665weWEYrVVXdfgIdMiggrpvZ8nwnLG3PakATtFyI/HAYk FWCyAvjn7T2JwepxgfK4thRH7+Xfl22iNzf5fsZW4mJIGuNHEKZdNrXbkAH5xbn7h7vixbpUIqim RrFcncwEincqByeFhRl4mXU/bq8bI9TZZea+16OC5blvcmtYTsKF+RkrJXmxVKuzgXmXVi704Cab ZsbVw0AU310aeMKTXYqJwHiX6nMjOb7nu/k+3L56r6l/JCVbYc7ZnuxgNgPzKeS8DjYi6cJ3wwYx M/kWAyixFVQZ3ou9U+3kFAzr3JJ5yVZQKVMod3sDDdZdsbq6ykMxBdl4DxW5+we5qzlzDSYeHKtK VSYNaTiHtZlJaHQkLgM/ySfGcviM2qJ+osBC02JWzfzRjSXqrdacwNl17M7ZFL1zOgKjeTmbKMvI Sjmom95xPvuXBuGFG7pK3OtwbnfRt3LFvnaqjGCj1WYscwnsG7K7Jpy+uNeA6C4myIQyCsBqCEse K98o6E7LMd9fxyagemQwjSHuGYr0bJXIbdBHY+V4t6kHeutYp/eM2gcSQm2NIqKjTXBHvCoRaWx4 dp0+IZORcB8E9CihWi4Xf+BYjUUcgYktzHh/voMEzDSenEGkLRMhUqj1gpDxIe+d345NXvweNcy1 Qz1Uo6WsKHmJAkc7NlxB7z70n1Y5xqnMLHRSfaU8Y6fAN/13yWneU9+vcw/u1wUPDxIsZdPQ55N9 XZwovab2i8ymXTQ8KpUxTuWHkwsmBOXeQF8GajxtGt7WTYAWGYpyR4iLgkZ2gIAIpS7ek7i0znm7 RxYj5xEsTBsG3JIYixgE1+SF1DAj8aVqfjgoZ/hInCnU+MNrjEQtYSQyflt4KmVKUDpZn1jWifMv uWWC2VgknQ6E0cDqY24AdPya1hvCKxPucmJFn6IYEiemZXmWrCyZLf/qldIzRCzoIVg64gUDXtkt oZR/k3tQAYEz1zHQUwKfqzKwFU5V9sMcLbnmUzLZ7Fn/UJg98ZJuXqCARUkKzCle2nWjcJQz4ak6 Xzy4WLRn+9T73RevIhSaHFKQCwNG8qpWY1QInEkDQzKctL12MOqhR+AArPpPAfeVZwoDwled2L6v 5ddNLp3OdkmeqkN8i4YMrXXbMJWRgvCb77I+cSjy24EQ+Z3773jTFvScBj1DStToZMUEqCBMV6y3 ycuj8NEgDuz3hXPTbD7G08ut8lznOxDDvpGSqiRLdZRlqYYXMU9iVfQoz7qwi5mDZ1r6ttT+BXjO SxhI1t250Z+h49FY8jeLK53LOBdqG9LZ3V22I1ze+A64aznKliDuB1dkFZ+6xvCSibOMuh8b7ewq ym49mGqlKzhSTQB31S+tsC8lWARKjju67cJbPardSaiNMGMkuzZDArOapzx2cQo9pkl8FCc8NDlS SwbhyTkE7VCoon7FDE/Z1Eo8bTXHnOxqnJZ5Q4ys6UhaxT2sH68idWemIfWhjZb542bgIk5wkhDZ jZbdu/i8tSv7ewMlGXxkgansEmR4Cuil/o9qwRgUOCVQca9L1BR3tLjWtbbgz95i2oQlQHH3Gbxd hWGLbEnXk+jaCv2KiThEV/rBkYZSrkP02SYUm7a3btfLCM1ZF5s/DcRietXn+IBWFhOdpKWKMUSk 8UIxPTQBsDvufkuMMKfeR2nbq5kDFhN2pbAj4WtXNtL0Xwvg9aewFt0if2p1xUn1Doe29XBhOXb0 JFkWVMfoCudsko/bty1qKDyEqJsb50CDnPd53H0kAShqqVguTOuw4F+4jshVcHenyqUFzyMhcvzD sevh3i4Dw438RFjk2/6AksxEEwEGR+B2z3ocr1SlGgd9n5xZMEcx3BbvOHTCG3ITaqaPdM0AyXkZ t6mCaqF30TeKayIJHmMZTOwyAOM0y0uw+4EyZolTNVCwsov9wmoNKCjRiwfOUvYpfH7gkWCRGFp1 VIrRseeSpWj5gG3YQO8mMhdZoljaD3hIzx4r6Ened6lHtCIamsMO0uX7ITakkMgDp4xhPJcvpwPW 6W5vyG4kojyr6lyqTJ+uv6TPJ9I5fxCXx/dnX/1/6GFXrPvPXHhLw3GdKufz/+USTTeYPsvp22m/ pjWMALfjnIKjq4fdk7y5uZURfGMbRrkIqLA+JICen+zj74NnAQmGwC+ebnsLLCBN49/23FGTpdnA 9k8A6MdJzRe76B66V49JBYwfPYUUSO2f5S1adz9AL1aMIf2ISKY+gz6W437s2hHL3QAEZ9x69bAj 0wu4L29Yn2nrqouq5UWUlHwo9vR0Vag7DYqFX6WwG9ZVBFSCfXVBiwsd33CsTNsGXDUr+CsimCl3 EsYCi1X/OK7IyR+E2/xWE1GSwNGIqLIHyoFnuRZ+It362sCNlV9mSxOPhLH2YLEOWUCDyu3A2kUn JFhtGeDNgtguPS+BCU3rYKGfDPyo0v0RHbEEqpICD9ZMOdf8YnsbC2qXR+S7M0vBq4GKQe6/BkTq /CSGnhSQDwCl41qJwdOCmzz5kAFh+WxbnBFqWufemzzuweeKbYiX3CitYQa7vMQrcjE7PRCCrZ28 ne6FXB/mnUF19GPO+3YATYsxgJGW5zf9yrd1koldbuKlPI39xJU69EXqvK0JbULQjUoUvJ8QXPix HESPXdHNFdHNGM7eYo0HlReTwaKf7D5ki9qPumLCx3YyGrKbvBzdTQA+m5Kc6Q/nEirYqlt2ZDzg rwjZgMVCrsOErwaFTf37xe2HlembtbzT8ACnxfyKEwFePV1roxyx5RbCcVg20a8i8EdV3xvquJtG Ewmdh61LLmt6xU87+zA5N9NAEgrbnSdT1LvNT3j63GzSkku4EBglF5uxEtmvh9dNPXWMgHMh3TNG VDPW8ZXocZjPdYuAllcK+hO4MMC1NTonFh8AGHvg1oyN3IjrNPfMw21/hkvSpkugWmG0x+6ePfZg QNLlyYwW9ijc0MEV6S1vfKZvcocIUrnZ+3s+IifoxZBoy7/X97hj1j50LJjoKgozaYEJEwCicrZw 7wBBnRToD7yhe6tp+PKrcIv2PmFOnaLYZ1pCoXdUsA8wf5tz+uJRGH3fRN0TJorrQ3HbaFiTez5y 132d9VpWRTtP9q7jnYSfy/57yXrGKjJCGJEr9mjctTL13Ekc2nFAbSQehp6Tin4YPODI8ECMtNh0 Mw+LZaG0OEwjvmSJpqaqumGMhEXS4CMZt6+o/rd9Nscbg1NrvxbRAMnOjd7wzgRX2dNtQVCHb1SY mjxcSnsrbrpQDl7FrKTTtEZiOrNs66AzdJ8Rroux5IEXOD4oXsfYbrll/1lD3iUuBZD63Nr94xgG AHtsXLNeKIhEO8NYdFP0TVpkBmyvo9NdNiUd+IGcjs8lGNIdwjQCebMl9TJSsuO2JtYNtm69LFXF /c7THlZHADHAiBfkFPyBaCnmQeUB4EBYXaVUXZ9gUzyfCImOudHhR6os8p1vv4nuqRAuwwbDqi6/ 3gvvWgkkuBcOIVhIEpX/BxI+Dlu4OhQajnnxprbaZz1Tt0sFy+myjSffr9mlrbo6iaEggKqXe/Fz mkIVIX+gUR+n3hEKsjTfLWNp0D4xyV9qnznVCBFNmIJnywgDuDYR/dIjzbhPLI8wobiGrYSjh+Rq 25vLUH5EHoCbl1zTO1a5bucpFi/Y2qN/h+xuwkw1+/yCzSZhOiP8N6AWaSwg9PPrgwerDpSvQDdE sGjKdy+N1YmaIvoF6QKAdmXlHebrQv5FPtDAaeA/abGsvWcAtPT3dt/ZI+JEEJ1kaSFWHXA6ePpO DJymy5ehHnBHcDWXVK09IgmUnnqvwKUvp+ZmYtB+t6CjezZUZMlSXE0Te+pqbdjJAgdDhcvaswz7 Rff2CdeVegLJc5orq4f1qV1jReS5/Vtv8wFju4IZoI2SP+aQHv60SJJM9L2OQHBvo0QcG8L2KlS3 tNDZiIszO9/2u8P+Lr/iXqeV0LRYbBr8Y+tZ4ArTlYQL6d7i3NJzfuqoZliodGx/RTf4vnzsRG77 KM/DRP8HKpiuq+iiPSp2pFtViVYdBWWRpK8sxwbJ6W5qyhwKN/p9kJm2LJLulHGg0sDv20UrMy70 zclgkZjP1WDANMz1RUC33n/KaHP4GZK5k0TeBbUgdZVB6N/qs62RJjLA7hP1G3ayAONfIM+Jk669 pDDnO0vfdVjlSH10acWSdm3zem0rvWNx2IsMy8uGD7bLR2EtWiStKAE3yyHiy7phWuOGetZascEW jB4TP5YKoAIljHoHNg27nTL+l9YXnWMjhDVTZzl1lzrABr/fxGaePrHNwQSkPGaTToQwuUT5e8+g LK//UnvAWF51SHABs0RJWysMl+GEtSfhajpafEgpQO60KgO3OVnqZkY/LoAKJ0Aggx5b8DV/uGf+ XUJOmjk/wPHn8HySCgRNLc4m7vAInTKP6gBcz0biFvzqXt1CVz0eRKuj578QQ0QSRZ8SkZi0hcoc Bdlyuyo30A3V8eDcXZbpiv7JC4GzcjGzIkaYUPPzU5cJDfDYcyMybPl9Z9tICHh+FRGkbzPnAr0f 4uJqdtD0wlfKqYYCGEBILoNef3Zpxp3y5rES5ZgYgwRVoJSaxzYLaj2KC4J7LcW/t+cMxSxRrg+r gHAvgDd12wpq7Mi8ZQn6MPPPSv9NBlFkB+dNiG2SR5sVqymg1DsoHeh/mVJEjIXgSxjqSyenNtHE D3gmdrARmNAthSDQPHlRbcwmwcRoIxYEZP5PdQovSwNSokgdHfSPKmetkWvlz3OL0HXE/aDxbQCW 6Z+ZeRQEgKMjDpHQMVv64FT/yDBDMMafoPxrZflNlOTT/MvwYN7MS3MlfTjIkvNXhP4g9XR36Mm3 MSNKY7Puubaiq9HpAhQ80NbBKA9zeS0eto5IJphj3knU6wvUjk1+xq4CJG05f+bhuxEpOdN93Bzz VkBM9M2wUEeJiM+05U2fEsjZSHGkfknDU89K3vtq4mcti44UANOujZ/UC/UaeRvFIOrOTMKBct1j MizsPsGLJZFywSQ3JJF903q6MLGB2IZsyrx4sToSvb29o+wrpPQoUnZvPWDS6ClYkXHE0KYWjlRf T+jQ4FbeIPjNWGvHoEiK/lK9R6fvI6QHztPdNgRnCOxQ4MLScLJQxKT+RbMWGeiAZhBvIIECkLnv McUEpsxlcvJ9cSCU9pQQuEDWQxUCzPqQrBCViPtDngGPSAvKapEwgteZ79i4zgNBpH4AbTRFV2F8 QdPO0DRM+tC37GBDxXvEcCehRHRxZvzj/4vFrxWuUw0aqMyt+o8ePEbTE7Q2EtGL+1DBRf1eSo/c iIRtJzOS5JZTEVReYpP5RsFc7F+Dp+RAzFrGRpXxqwwQSfF0FCLk38Axwdn5p6l03WGuujP7mk6h Z8/vYX3DGTN34Fcgb9M4vhIMQt10RTwYWQpMFEHja0tQAJIod2DkxlbkSG174/+aQ4rbkENjQDYE 9SUM7nf+zNY91xYonGR/vokklTOjJAU9/2ShG5EDdW+3ZuLHKo3o7w2/ffAHjALv8FM7ok2tSGA2 s3joEGrvrWqSPMZhPNN+ZW1oO+ZKfr1tTHlCnM2G496GWTCZZjSsANkf6fXdR23Jp3BJPw8g5owL 942/7yNeBAIfGL/udO/jNN8V/0pH4bi0T4LosGloVUfaiDeFwOnOmw9cMl4OTAENLK51l7M/aFh7 piT5pNqQS18Rk3BL49dWtSd8ybq0kndXWjZrDCd58P73X8+fIZTaXnzr3MMUqCUeJ95CBVzX1Mmg jZvKc5fOJV39F1Wev99XMoPJ5+YNij6muzZq2UD3/wXTJCUOMkeT0coCmK+jU79d2NFpCDx4TgDt fMPpzqTWJiu7iSt2r3q7K93WPksCfvUzzwcLcdyMM1Ox/cFNI0Ry+CikZBKdvunYM1GEbG5XaoGo OMKhPQXCXt8AG6vXCcTNcmj1HRjWezHijpmoGdLkr8iIarqUDGPrXOQv6JDkJWfZ1h68DwPEdu1z lPX6IdqIxHnVs8ww72FAIR9Z+MT1bfU2+3Xq7HNrniv2sJQsyBHme8v0AsyJ4NwIerwx14JJip64 5IIkCtI4TeBCNwD9MmpZ5aGb2wr8acmDDk4tD/kg77rbk2p7SHvoN0oipGJPnXIrQ0wSe3VMhMSl wNjwHhDIBDWlReOghAzmW700lsFEABO/FBcdNkTeHRwYKqCBZiHbERrO2sR+oXwx0l+F0EfRbtGC 5VuRtQMzQF9KxZ3Bjdkwq6bOZtNJ+q94j66NPrgZ9R9BchylucUQjlzeT1AA1VspCCYUELeyTnSL VWceqzVy1EIvLH3NeQdkYW8207c1krPKYYG3Z08FOvqhVhmvuOABYYupbOcavNr7hclpsYdhyH3R InFcfFNWfVFA4WhpNoGaWB51MpnsKpFeTIXM4i0O+wGRR6K9xwXchbM5I8orJFszh6O7IZvHn2a0 MflWhG/tAVwY1XuDbQEfWSbYpkCq1oI8obSabARRsmz+utrRq+S2eH4Wwfo9GlWW+bTikahHXHYI eGrCyMnZl8T2cxOiFOVHaGWytpBY+rUXxeoOQGsu+q5Dz6qu2kDYDdMLu48StYYfgbP87I0Bpdi2 M2ZNENwr1djZRd834F4GH1lEf76GuGAxDpnbqKhtnQ5hVe3n3kiHfEgsUC7s0JQJeDhihFhh453W DfHlaxbnu1G4AsNQtw0uAsdecX80Nc8Imqci0HIA/PdMcb4LqZi/sPYukfleFbRhtmxcd3214bPb dxy4dyrWA71803YLCICUURx+kvn1TpHfMCPHT4cLujJMsKziPgDbIYgrVRzVAnM7s0zu08Fo3Bsi FcgTJyhJxj0a2a+gjn6AMs6O5w+tBpvJcPhGC3TrxTzr/efiiWbKsuxC2UuKgpD0c995E/zjMA/d e8rBEyBuoAeSqtu8BVQfp1+1nTPWZ/4iarFjutGaeLQsG+u4QruzaV2Yq2FnxEctZ0r+cdH0kKmi skLoh2KIfEvIvrqMDISOXM0qogGuQ3jFHYRalID6AXtYIQDTOObu3lygoliD8hOl+BPp9f74aN+b TKeiGIbkl3UaU62OlLFimISQXx9L8j0w/veE1HiChDAb00/R3QqdZo0N4y+uWO8UARzlDmwc08Hw nN1c1ZrqorpkJlCAQnZuQ684JygIKwb2V2UD1qR7V7p09C3V+GX3mbIAoVxoPjVPWmPbidberVas dIVWK1UuVQtRmU+w5AKAxox9v1GiXpCF5xyj7OtuVVtqCG6FiWDqKCtFeUwvUYyKq0JiCS6Rk4kB z3V8uOYx/WPvkXXC1Zc6uJrsVaGi0Pyzago8fRj6+Mcheq3Uvmqi0YvCqxGdx/6jVu5B4qXGpoid Z1wdWO83smhZFTkS8b1AF53e5Ui8Fc1rItPhsGiEU1ED7+u5yiUQ2O6tFj2bNsDcoVz3Gc3geXyT QbJFi9UQKhTg91J8V/frdlKZnNJXt+Kv9w6PZGJc3XmK70JFBuFIZQuEDJLQp6A6oxGEPBAcr/gJ jh4TE9JZAOemyQBhdiDem9+qN90DM9Jp8sQrLHl3+qa4OgvOzzwid9JQRc2WhgebWqvgv6Hr5TEO TyKUmQhLegLi1KwamfzbwnX+hU+WZAWV8HLnW0ylHRZla13ciN6Oyi9WsKkK/hauJbbTquRWvgqn xG7yIojbC6j5WJW42WKHlTlguQnlLsEYM+y+/jnS0hO7rvIEbNLuzDz2mscvf4bH545EHmmsjghx gP1Df+Cj6X2soxqK9vBQQ5f/aGWQ4IlaJk21PPnMItpRqllSMfJk4YAm/WOoKOIt0OC46SRdna0D Bt3Bd0X1jX+MJ1WdXeQY39o+tl7GC126yfLiuNR7xTVfKPybH2O9a3eUrxua9C2qvLhjig739e4q A/oUWx4gd1rj3SfvhUhJcbgl6DG13KMQtCpljRWZky9KJnZheoXeAM1RRp5pSDB/mYK+mfZygllZ e7k8PmYCFxDsUOJt7/8KHNcnkhYZvqWTd3bYKzZoNghnD6t7cgzGd/PB+otxtgV+0tlOG5vyPbii OaKwnGEAz7THs6OBQw7OD6573O+42a7Og0SJSALmRQszy6HmWJyLF+bGeDo7J44G/BPtbrG8oSTT WbbaWPEVQM0lqNJdO9HvfDCsupNL5uvLPjbqEVoffokZvY6DUUt5nZrA0cXlDcUMQ7epES0e2wm6 B4ykdC+2iFRzaN7NoCkY7OFTda1NQ3oeqK19emiE+xvN760qD+DhsFksaJAfK24KENCLISRbktER 40J0Mzef4TXg8FRz2nHm9c/0fe1+M6lyakUr5iW1UXVTnv1fc06UoydtDDL1S1A/84/qZmXgkCGl s99aNeOuNNwFQoIqXTh2k9wTkaoX8Es4KrF+OKSaiK+UfhgqakP+DHr7/0ZMwNXYU6ys0Fzmpn6d Oseqzq8P9mn4dVWoDPLrS7H3fSj57koWLsJszOoddjDKC2OovHWL+3FoEIt+T1gVqXcfUJOms9B3 rdhQkiLyba6r3CmJFXj/PY0L2t/9oIY2eGOiU5jhYZXiOrDm/MaIY9f/qmTxpkxxMp/bbzqhC70e r6VFUGdjPlxTRYkJmbL4DehOHhdSnEyhq/nPYolbUdvn8/BfhEzkg3l+tj+sYtPe4nrxNArxp4Oz 7qNMLfiPD8jCo8Gn8eyyVdj+m/0xJdiaPThpk3jUjUmM9ScDWeR/O9+wSpy6I7aATCXbHiSDAvWX 9DvMP2U8bEOVKKmlIJpXuVR+ZcDHihv5WiLKQJ/lvRQ5ZO+9/Lnmjbrir2w6s39pLuW1LHtrWwEd hBeldWm3Cexsw4/E8AkPVOSi2SeOcnfiWznjtczNUrhafov0dxtIPllBDz7Ac3ITfJcExqSB+NZF hDkGVDPR9C0DUVwykLI6tj8DvwDG5hvnuTi9x6KsxwfuBnHu67GeVowibljjMKwzercQzOwv+I+I iylm0Tfw+w1Dyp2ZCpv5bL8+rCojWoHRIO6FVOnTYrbR97QViopMkLAYpvNkvWHuYZ62pjgDfQAX amoMCd2HDmlLl/v0k83diZ7wn4L/0sgEIHNoYO/mjRZumzjufWCuvgRH4FL8bqNPZaPeBlHKe+o3 5VergmG21fzyq9tP5Zlprak6bbP09+IN+WKhJ+XAWqK2BKrIufGLWKxoCZeIZnp/jnB+hdDbm5YG KzjX/wFQxuKxtbqd0SgUACNcSsae25FgGOOgQrodp08+Ke7cEC9hF34RdR58jRrAITOIc2A+fDJT TCqLwQVYAM7O2MvV8k1hsDoHCc7KTvMYWqSiBuo6/WeOC7LJB8KEsUVMvww6tjErIakcN3UBkq/K 9lDLGkQXX0jORhFGjgohNbSu0bskNS9rENGv4TME2z+yeArgaeEVOWWZWarivsrQGXYQGjaDAYZT Tu+J57ShBKmhfQKp8UDQIj1CVUOqjZTuBLee4mdc6JUAQDJjEU24Fl7hUYePBNuYGwvgecHBaKI7 TP9MHJXFEtbY87PhMhzyzQdJi3YYWusIehnH4oDDmVTQfKryjnVVruHoUWVUKcXFX8vqMafZMJwv zfIn0zmjiapFwlj0yGTMzjxwB5Ek6Gf1Pt7iy+MFL7DyQ7ZCWEGM73LWE9/FrJWfVFnkEL3kReky jxDgDqSzPzGC/B2vcB1E+utrPlmigRzOhM2SYp4T/P6uApV22cGoc/n4psp/+RzzkycdhhTD9gkl UWIN/7u1/f58gxRJmb0WnAfLyt/mdUcqW122X82hdpn+vwUWBfw2FxXov/vBbbDycw77KI2fhq/4 LO5zVgEqI2g1c8YX327pit6w5Q3dmMeLHbU0qgGHK31DOsI8rtXyaj2poTBY+QObbCasvLyAeWlo mr0ERDLwTaqQqsNl0wEHzWEqnzmgJ1N0MYosPOZwpJl5urjS69Bn5scKOWHWVWz9099Y8LckS9ca Ha2HEBfMJSLxdxrzqb/jjr1nZc+mYRr6d3ErTzdkW8R0eSL+OqOxBX3htI+4K14HVnb59iVs2rFv upQw/KnixnoRJ5D/jLvUXUjSRB0mJuVAg9ehB2CTApZ7YsU4NR9YS8IG7BNW6TEnmVCEx1Jlvehc CzMAFLNXfngVSHNvN7FiDIAWDA1v9388HSobd3PmNQ1cDRw6bSm/8CPBuqz2wUeR7oIcYcDuleBL US0U1LFxxIqgm4Av9fKdOjSEoGIInwOBBZy/qIQqfTJ5Ub3poInQ3/qyUXQYLrKN0R5LxA0gxGhp sZuSZN048dR4yoJqxxbY6E2CS2+PVxS+yx6TriNlgXWgu3jnrUAeVGF1k7+X4RXYTDWLsE60yCGn m94O53ZA4RCKqQs3Gz4AmMP5aD4DUWPsDgbXzrb8DvzoHegQgibQKTemvpbpRRjzhGTYWxsOtUpO UMAocbveJ8TNMHeV/WUhUCA3yoY3bGNv6I+0usaNKvwHKlfhpmJxPUMcNxsP4VXvW8O0/jeBaD1g NKKBIVyTAlUszjZLVQQHsdy/MTPcaRi0qSUvlJQEH7yIOuoO46OtuRJnkYfPeAEUhyxW5QmKxa+j R36kq66rSYshRzDMAFiGqyVm79XEu4ISpeegad5Qejvc5xZkgNQZQoOUPA6hMmCYk7cTF9dXTIya s5LFOrmUpqixxiiLFtkBogEHA1IgFanfbkT2xbbroRw5wot06KaTg6l/xJQvy4soVaa3MMxXq45H 2qU70kMPuxTRAAW1xicyYiAnHMP3ldid1cfUO5q6zNDFqb8u8vKet1iIKTy31qDCvslPAvNJpb+1 5elwcbKhwM8Bios+AsLv0rFb1CmilqaGyvmhijMLvYSVX6oRSG+SbsuVQePGsKY+v+POW59Zc71e 2AX1UK8hZ1hG6UG0SORxExXQH8zm6FhGRwAshKTZe9Tf/o73jHWSjubdLWkTSR6tyLzyH0MdwaAm gH+7q4U9Zc6CUbiWixHTUNFdOlj8xvL6Wq9t+Ey8UApPBHJH88rUh2aCo5f8hDGry2HeL7/Z9aXm IHCQVO9NozCHthnd/J3PhBElUtStKPXRBZ3y7mLCfcL/qJxc00KUl9/Y/UxAxFW73a1N2859agQ8 8xxWfNu40xD4JSj2KZnSMJuYkkO5mFBDQ0bhfkKvm3TKU0fDCbtZ7MCtKkmfK1yzxd2PcmMcJGKy l7eYsJHM2GB32U4b0hzNYeZhjjct5dgf/ZFU+qyr6EfxKJatBB6jwYv6kXhmtjGDARwQpBUPYFT1 jD42w2ktQHe8i9X2lwVlMHTAMNp5SjlcIMJ8wNirMpTpW5uXAPmIC4UTnUWpkTBMY+gx5suPOJY3 oLMn2VtI85yYTjRTdgBuj/JHMHMYqwUox+Z4L3KBeVXhFB1rp9lTyIWRNDlBzHbJPRUckY1fsMt2 vxu3RtSZh39PvDhwtuUJquF0x4lJ0Dl53mpTpqVy4Sr6Jhv1McZ8oeCC1O7D9lYNkfNGt1Dvfe5D O3ZT61vLdIZuQ70iIxF4Ksz5rIusEDbFYAJ5FA4KEx9QCl+/WJDXiVEll2qzrOIGFfKF1CSZ2g/R 9cAiuHwUrQOus3JWkz7ImGJR/ZkZRmVFp++P+k8ZB09YQLrFsLNbsaTqZUT0cjybxhvoegr96jks KjdUZYFdXt7bdIFAv0tmB9pzo6CQ8tVhlsttaHDDheAEXqnIqEC9PhPmrD4vWzsz7ouRAB/mW9bK XPcgYtWAqGpRmlnNmzTKXKRYRtzQI+qOON4R5tHsKwmjinmqIsT8RwOo0Wsv4LUu0x977VeB14Sv oz6V8isumFNaGGUIy0vuZjXbcTwyRXU0S6AGMK2WnU7g72Gs+iTYX+CacLfLd0fZfAbpBw9fWtIG 2wo4EXNY2Mbh18zakxoFW0qaHYeUqGLTmZubHYVRDly3WYUuIZO07ueJ7AbU6Nlh55DhXDordMeh r2XxTuHP5wPPs47HQgukFtL0ILfBfl31gC+tyD0LLIFfqZNWis1DnlajR0Cov583At+00d04ot1v 2Rn4aFsJf/EbmZ1+7O5519/MV61Yky37EcM+uBHVcSGXdaP+rPKNhJPSMVYgTIDx+BdFeZ1eB5jj pvjQ+eGFsIlq7+fRhJrgK4RpIrDpj61zEWzFEDALduRjyKAlVGb2qvOWHuIOCwKTtSjUmRzs5SyJ faRXsEba7cK2dB7mYkkiO076aIppUyxAv/BNr1885WkU01NQH45D8EiUTHxCL0464KoAyPoqlKPp WAaDKu15FvdpNCRZCo4X/r93tZIxR8VUY/XiqiNiuw58toROWLnjW1lQwkJZLR9uakPShPMz0xLn 1y25P3awWtzZ1fTKElV+kIfEJlpMrkM3/aK5LLNm03Vsdk6hNpmTX2h2Zah1EeAEhC26xMSPKK/U cqysuzbyq/mYZqXYLw/hOkeWz69ZgMNiAvuoxZs5D89MoXSDQnF1NhgsiJdZUfnKaV2CbBm/UzpW vJQk3xr+fDXemMl7ALgvHJ48TmesoSYYvVvv1tEuxfsYLerrQuIkL5dx//i233CAJCCguE1GYNnf HjFcPJen7N0QwrqI7ZhGXM5m251Fp+ZX75jnfVAKmLX/oUxk/8ddJdYZSobnWx8zMKEazrKmuYoM Nj8bGLgHjZQ7dY+NBsAcyXX/aVTjZWpwXzsFWGMW3q9NdJVHcFR0xShVC/Wy9nG7makpnFEN+l2P +xmjOZy/8R9epqDeLNuSS5d5coZbUNpmua2uD8WJ6hW6bGrQrbWwvEWZI844Hktfhd649sDSwlRW qosu0p/KoUh2rQsWArgcTBhVV5VRVrld+emj0f9vFjyAJ0KW74TUofhYbSUbNV9/5MX12kpknlx3 nKWjg5lAMgyekZPPXmLo1Oyor8k/5NaL0K36MJ+gvCITCEJ3iatKaz0TeW0woddXrAg4Vbj5FJn8 eYn+WJ2r+FdqExa1+O2+dAFoUOEf94/baTtMlI0UPPwihpJ8ZJuOADx1UkAHbXHRlzSr1s/dQJ8Y 7svl3v8u/retqAYKFhySakkRfLR66PjpAz+p2OEKbBoZbzJc4iT05V6XHn71PnJq6H2Ooiau754N lY8uO6f2g1qUaE/gI+RJ9iG/ubWxA5cnRwvGT5UiB4x88mPOYiObeDHDeuc4otKDBQDG1ro2VVzG QSy33b0KKVp4KWvtVDIu+w+vpHOnj0u7bvz3jgzfjmJOmxKPqERsvmhfnXHiRqvIyvYj54YFV344 dz3T6w0Avl8jz6xLfZ2qe5FJC4jtEBwqYtWfA+GjOaQkfOZ2WL/2WhdSa1Y31XxKl6VUpfZpaKNc dGcdZXXTtp8Iip9XYkHrEa8a/KJjF6nScuFeESmouDfPjw0mB8GjA34PN4/LEQO++kHesYLCI0jO WT/C3NM3ZEei5FedrtYhhHQzp6L2161UiwxnjYdeHCPNsGGc1HJu84q34hup34dZgHZP0z2tYE1X O2U5+R9XxwmhmxIyjzsUhGPpJFprodtSaEMvnmHmMsTYrJjt67JV2+LkpNmKZ7YcrLoCQ6n56oVo USZtH0pePcMk7n0m35R5rIcCddvt1HUio9/QkPOaVzBhWeWhPb7lDcykNXiREpQbLhrllrSEG0ey OWytvi1LAXx7bOziXPxXnMt0R//cfQ4fAl1HMP/3C5QhfAaaJo3UQuEmOeraEvM0VhkrpXZvGQZ4 u/Hb0tV3U0Q/va9r1pQz4ZLEpLD7AYQ+yFYJWaTqjTZP8Nvvy6oKmnEIJtKpXWJuupisr4Q0LwJ4 kShZGlvnKCEILpIvEFMBDHgfwuo1UB3p19icIEt56zcaomgDCEWUgWrQNFQ2LL5Iy4/ozia4uSne jf6XXUST+7ju4i97WrDwliEzN21ONdMGrrlkx/uaQASLNJxq/EhzPtBL4pmLboP9wJBKzkPoMmFK 5BSQNRKftF2U9xzJlAKoj5vLBfBPpSZrTokktEbc5cCqp/jSsdFdcs9JiqYjnZGuud1fYiHwbkj9 XY2MKCzow5urwobkkDlvEfBEhay9lGDOfW/gPv0TjENL6oWzckcgsY5/TVgfJOsNeqzJP69hiWqe ZAW86JtgzhFoxb9/tG0DBk4z++v1GLEzyJQjlSFyTYal8GY7Nck2vvyyFbwdj377ZoJsuuEhWKQ+ XfHxZwaPh04zET99muBTHHBFz3le3nyhk2p8qALLpFTjw6jc6FCf5gACY7haivR0fJN+/5eRHY0+ gb6hgWCIMeaBXbv1VN4hQ1Pj6hsakxUyA2AF40MF1pE5rMFqc6l3ZKsw1qbX0c3YJEmqf6PuPR0x Ey0dQ9xpmJ+OJvnmZ/DUapLTWDH0NjDpUCuBYznW+1BS4ahbbAnkgDHyR8TIvopT0O25WM6I4uEq f5OyVYYnsWlmm6EgEdR3qbgQKfWiIV2xXERQOCn3eru3FOWc6IL9vUuxnvV3cnDj66ojFLhoOxxH iiaAiFssosSc3EeJ2/zZ+Is60sxfl2XNGCKP0iX2Mr0obaAxXgnrf1Vw6yVcKkfocPlLvNhufW8R fZjLO6uRBl2EjCgUpsv6eXR7qyufoVNaVNCjDJFUXWE4g2TFyX9WMVU5ZRXYLNYhSJQBi8OEpV5F wPouDDFPtHsyqPgRsaaGFuxLAuZPt/6BvFBG87WZ+L0wx6yj9iYmSpwAlh697gg6x/ZA8dogdHb6 cG2lt+/MSZTjGw1SpMw3KKOLCxe65VrbxRZt8Sj9HhisFym9VPCfEmd5ZMJ6idN5/KlT5PN2xQ6f unJggf3W4MxwWHn/rVEuYmmqNDzG3vbq7ZC41RO3lXIQlHomR0IxG3vxhV8g9vj3lE1MQGdrqt4E Gsoojlvs1LZ/Pm61rUn4/zAOVIE99NDpTuMSEG2/0ZwhCXdfA9kLWU+9Ab1HJyVjMSXtMahI9jbL QOdgfgc2oP9Wv/NrfIxN6QFfqJOdF/W8ccsftli+pgvdMraZ6AH5O5XGA2t3CaOoFoO+A3YcC6iB V9dnmOyrlIMPrcoykT0wPeHZ2Wz3DJGLYUl52qnFnOx+InBVPG066IYzc5kPI7ORqVR7vYDkO2SG 4FB9dE8rXMfEmK1q//kny87MhJoD9qzFvLK7wRank6HYg6qNpb8MV4vyNJl8iniMGgcQ/koSopnP 2QAGwLn/T3XL4poxvuafPmDPFVOdRbcM2v0Ja3LOhwrWXGSfHHwCCLynjIPF0yCs9dP1ljDXkUDN mYp1Tz1z/9WJWI74pKQKjy0UhFaiG1k4qFL3J0JXMQrSPOXLdaav7DBnevqsB5VHft55HIzs2YuC LoDfM49zzcVMv7mjJ74ulOPw9g3BVWnZxj68P7hV8kaVJeflOi11Pv5RP2jknVWiMZkG6+15cx+A 9rupSX9/4UMmnyWjxh4MXcPtt4Rr1T7fHA1qrULoWS57S8YUmQ9P60rQNwOaffSCpYAENgirUn/1 /ZQgwI+qYE829o7qj9FLJ5evc+Zo0LAUH1JiQYrePSaEerWzsN6txoYV0/YgQIbNTjTXBK46XRV2 yyakRNiAKSILvpxGO09lbVWAuASBwkJrfWS1fdeZSqfpjGe4AmxJ35N62x5YR2Vr0HWDtAR3ZUWE 5Pf64jlfV+6xRifi6TlucInagZEGuhlNm8siI739GkcJUPBwYbE+H+fk9mGvoATmNgUsxepgsE+3 +8ZsbPN+Eww+RVbO4nj2owmGmqZj4O8usdtEiJVr6XuUW4UQGo5UTsJy9yX1sSRJ3VOMYfqB/LLU ZIv2XcczQjC+ITwVB3lAeiMITG8PN//x+XqLXKW68mO/yS7EiS3YUBCFWew7IvB+g2SrznNEu/s1 RVZqS8Huz+j+sx2sr72kw6qoKq7J+xEf9vlVHeHxrYCK2Vrg2yQU1L+mDKVOCXZUfU5nOETuoANR /iwRxchGecjSBSquRQ/1gKvBHXTKtO7tJzpBGLFkuYAtahZpAk+ZE9l0qwXktYl/eg+AObfW2Ndh oKLB5vUD47rJrWMJqVZHtT5RSoZOryVDD7p5N9MJyq2XGq+QA4qCtvHiXMi3R8iWQ/qEkz8gIn+w TdDtxkYtctUFv/ifqUDPFZHXVDDj12u7BptLzdFRVOvwxVZcRRW41JxA+oWeT6iCWBvvoJyiwvC/ Xtx3ihW21u+5OCTRJBPvd+VtUo1+SJhcYGyr+ZQsab2NgutkDQvFSkPdyX9P1q1/HQ5Op+G3fLot jKQQVULnoTGTN/nszbPTknXtlPgCOAU7zKa3wNFj8qZSubgLPdbRC0bbh+83D0UbeO01ereycrOg uTCgDW8bVQtK7Dndc3/XNq3l5anZK/CzV4chOuGTEfOo5k7zBMMqaUaTSEjPy1UrjsidXBgCR+8U YSRgtfqVRyKuBLlb6Rd0JldkBhR49K5RAemEbllDTH4np0BvMHAS7PMm05CuORI4MqMyKFhhvRYC EpPqKnHvEDY7KMNjpKDoskgV7EXOZ7Sd0VWyzoS2RuxWjbd2TDcmdFbq/huiYcNArtO9cRbvwgo6 SeL/G6JRdSrYoEsOXWUdb3+wLAwoD+zxXPyANySQV3IZMKzpm8TbW7i2kf7py9BSLO+LhsIOUjiR 2tz90W/APNmhsT/OnjOyjpWTagGd8pg5ou0YVqcZHa3diLi2YqV7ILq0Au3JRnQAbfTIzpY6i35D DcuepBRafIoSuFPRd/gvcm6LIidjl95VLrqE+m/hRz7DZpITARq3ce5CI6D8OMAAzxP5tTWfUFgX hLnbJBxIr9eT/lfqdFFzN2LrfWX9kn0pN+wcu+5/6rk4Bt8fI0T6e8ngzhxRDKHicZApGvjz2ghG prBL0slg3c9HUfUB1i1+ldb439Df29ZmrjH0ONxUXcIk6Vxy2nwdDKz9cLI30CrusWG+BuymwSgL 1sGU7xgKQy1G0ef9y1xDYokPh0im2BvQOZ3g5PiapLYIPgFdXe6Qgw1anvUoURINYi/WocmS6Ax7 xY7fwCTICnErx9NgB4qELf0Gid05xLL4/4vbFbY/a/9HCLkPzpkQNM3wvMjojS5XRn/64I+ylU5H wGabz5BSzE7sMyj9WAqkzayf4QUwCJTSPfNNfCuaoDulrEqjHV4pJ7gHCFprHpIpHQ2wIcnj/8sT t1e3OHMjCg0+BDmdwlnC8GMtreCAZrr7yabcU58eWrqu00hHD2OudEk3usDzhG5tnSsB+xIbXmku t7Du2i0qMcqc8pRdhsDux1DA69x2MhVDyqxsjrUSOVtEmjhQa3gP+8KcI3uhDkElQOoC35KWnLm0 NptCRhoG9AJZyb5imO47JmUSUN0obHi7Q1xsE4PtPhUvVErjrlohvTVfonwTaz6bZlT7pbU+l5cd Fz6AoNht7p2b8BXofWITKzxS5IrbgC9ZHqY9Wyky9vz6tZ17DSqotgOWWJ7iW6HP9+kK8bf+1VII DZO3bpM58jHxvyChKfvEtpjo7wgAx7JOp07ObHnKDy/SvWPzARKXmoS99Zz22t7W35M+7M84lHl6 a3oyZdJNrOJNvsy2cWH0DozoB2sDaFWyit3tA8H5XAQkNHUJPRc8USfnRbn/Sm1dZ5EvihZrWdiK 1Wq1qbyvwVeGB1FV245vFYLDgF0tqoXqhNolDNtKI+bx5UJm8ETBAmjNr/wSsUzHdnzIm9lXLq7Z WA8/aGL7JTMtX+axElXWiF+B9kBghBFIb9los7tWC45hkFu49nq4axklKpPb8aZ/7EveCVsdcyza VC1GZD2Q7KyMZxkV443Ll6RKZRMaRf/gfXVwuifCUmVsyLr9Fvknaatg4D2UM0i3ovFKtF8NdgEp A6EUmNLAGVTkgKD4prsyUvwmJS384BLsyv8XPt2ql4yOtlPY+wc3Tirlw8TlRr3LLEsOyM11rNaf /+FgfUgd14FYYlILew8cVQMpohkmQSSSmovjgsDoZVd991pBVjcMd2sqDJYGbUoIPTmBYnRme6M5 b7hdDkEJVvqNRZwhZAV3fTDDrHG1YegjBM9AKwlWyk9/suUHCJlMD50JgFmGlCmOxO8+oZ2K/u+a ttDjD/CIGwcrnKU1xmD4ouvrwwoh/9oQFggwwkgzMXHFSs4RLjUtntnRtBTuhpXppe0+T1epJdfZ x/FjOvoHTIdONlvugpQJLSDH98tcESTY9p21JeC342/ILWGPlKQwuHwV5kf3OvGkpcudMh/1j9zD 9wMr5iqPDi3AuJ+GF0xX86pWrW3pkOqVp+gPmMPFcjl7+f5F1IU4hAg3HIx/8jtsjV7AGcSXLlp6 CrtldDDjbVbR2jRafx+wFR+Yz6dNb+I0vTDRBsXUMQqWxqNA53ic5u9qgJphOOpZzrWpRvy7PSSz O61IUDGvr0AVfmJuHxFcA7hdn8RGq1wHDrKxHMEC8pf6dzxm6atA7PpYJdce7vI+PuzLgaanifO5 Lt7OEtLM5c1j4Y2CcumNEly4MGF13AYdacz8AjZlS0+N3rfpDyBWBMZL2aoPWsrdY5JGaVZDl2a3 EltTpD0+sP+RKKhh2vYWQicRqGTNHQneRhA3FOVCU1D4F8uTKzh8Zk4SDahveSUbSyTj20PcjhoH 0G5sNQT7h6cvcqftcnc2HscVL2dvx6AbD/hJJVtQeK7+Rh0pP8T38n9uziVkAhWdRtYwJ29ErsPf vdTOviIiiY3NqfmtGm+XkGsfG5kVNQI6AXYu9Ag7jUcqagDk5iXIPnvHmk3oFIVuR2uEvuD2L88p Uae9rSvf5ZM5IB+wgj3Q1PjCpMY2H9XQlp50SUalAzh5aaOEk/xmv3hVaXHJy9BGQlGMheMyjWtj jNiSDO+Nz2GYKUzFaSsJI+xsMewXmoMrAls7eQUu3F/Yn/aW8GpNsnGv6GZ2ffstOUJSx2VzWHp3 48eeBWs1c32AFP4stN2Iowy6QLtuuGepPDMEwuI/bmA0uDqNhmCtadHfm3VQC2vgJ5JkLmjy13kR G4HBSckvS1rWW6Ys2Cxx14m5U/UPmSqWYDvsMDmH4+kGujgpo2232l0Psf5IDeiM5XcHIQ101kiC 2YHUO+8i8qoho8JP03NWEncg2cVLjJDlRRNvIcpLN1GmiZVOaoWMkL/sZ77rI4zC/NfiNavzFBvP jhdVm/3YsrbpSLzLksAWxkihgrBEYsiKneDE+RVDjcfqGIiFw3g4VF3kb9BC0XMk9y/euOJ8B2Ve YUz/e6A4KYO3zMSzzwTiAyBBe0Zp8GNxLfR4VrkYXD9B060R4Jnv3aUay2y8S8Y0CHx+PNAn5rI6 cXzH85ZuyOgFYsfyaFgXEkK6uRnk1WMtb2AcumFT3M2GzpsybTYwjt2vKjtunNEFjHTWVUvd1xed vsIEmhUiM5XbRNM8f+RDCrhsWK0cEwCRQIPaI6J9CqgM9JUxvDDZ2jCxarZ2YOliUeIM/lANjH0b EOTpiTUUOYuyVnKTBGp6WJBGFeyUdYR1DUyhNow1XCz6g49OEyCiW3vE3RWrV3jXVl33B14UhpWY 1Bxj4H4ugD14YawgJt8xTdPeC0LxS68Piqjq1Y3wgiP30AXlFyjLbKyBIP7cin3lk17+BPkM8EBS TGEkU5ljLP+N9ELwLjn13Q0E0KCP/Tuocti84NLeVtOe3u39i6eczJPgQfbO4fyQbl49uylaM881 k3iBVV+IJH6eBmMeBpfC2hWVHQ4HQ5fTRxrzz/5GqKeUbUOAHNM0H/sdFsKpZvMqN8+UBC6Kmvpt 1HmMe8qwAebEwH4Pwn7ng4Vcm9U3Wp1nWLAzpFPiedjLhJN5biU8uOii0lXkteLohYNEbuKEnnIt vwO+6lzCRQk8CtDN+i4YMlgFK/PUZ3fWKtUD8F8JvTeNVrcHWikcxgSXDBAgBzGHsdiGVr/dvlhw Ci0gnRorU3OvyXJLIGmiwRAGDkxlH06U3Q3vv0slBYeW0gvgkYIfQPVIzSeA7hb5dYuz289md3GA 6nywyUbKpo9duiX990Q00a7iNSRHQbzGF6XJa0Bikzpfn+8uABdAyBeBysYgCyBGEoARYWHAaKoV P9dU3XEBQKAcYvoLEhNnw0ZuBbAZP7XMeperB0jMkVA2u8Ik4UGyu4Q1oKOMkSkT72ojVuTl7Rta +np0ROA4h9ZE/+3tTEAwYer+c4dfAmpSUUqc3g72359jZfjPA0eNH/lptBx0lDw7PgqnlsL3kWzv FhiqsvudFphr7D4zo/77mT67+T+09K2nVTIM6SgQ9OLWj6bgnLk0yly0Yy/0SFmk1DYule4XTTzQ 3C3wEtnHBD0fuTnVQ7laIXTbKkaSdoLSJIIRXIbW5NrZTonS4d9rRXFR4QLHpohhmIw0zUc8f97j W3HmeoWd+n83H3HutkI4UaP5dt59U42JsTKYIBpIxOas8eY0i+2ffspXLFK1TQd2qC+ZsiR/bJor ycu3mrzQTXFb9HEEC0tqkppjg3oRPwnWPDlULil1RCZ45VIShoweWKtdreC+r7c4LZprCMw8Uefw gNct1t/VB/wf5+HiY0J2TIy0Zwami8kNkRDg0QmDkC1PV2N3cxlpWr5J5UeI9PIZg/ZCCbZBCc1v 92zxGSaZaw2dTOt8jZB8Ypb6bqqf4mJ2INn+sUFjjbeJspP1Ibe/KWyiIYJ68peb7ph4/tYY7n3L TJ1eH3/o0okAqfnO+agjxZf8RScEy9Ugs5nogvTQwQe4CIeyLrdkX1MB9AmxjnsbYsDVciw2fvjK 2aqFxpAjZft5X41xZPK6GwCHQJBv+P2JpfomurLjx8eKKv1bzkapLEY++YG3WuCjzSNhADsStjcT G7glEGX1/lMlgmKBledD7aIfEBqJeDfAoQheZ7W+s7078KN7Yhv/WPxOFkdbkmF3V2606hL60lJM WejzSDyvugAiIqVtzKXvQS751On1MSiV5AArCp3B2NeyGm3LsWDG1NdoWNYXL0KGg4RSb/8Gpsj9 6Ydg/nx2MueEhPzXxOTsf+2s9rsoBMuHYbnM3uwhLDMM8ugelA1P1CqqpXt0xH7OMMRo47jD88sS GergMG0y7cWUH/SR9Eb4x4JWl+YuQDcWZpEUuy1vpIU3AFtYP5pIDkPa6Nq53LpOFVNFVAspUaCE aTPwHlgtWDGAsa0vd2TWWQ30Qmj1Tqyn9YVczEXTVoiMbq2MYqyjvdEkJ2Ckg1OqRtews2TXiEkv BekiIXcmsbMB+vTCX4XlT4JNQ7SDORWX7hDsywBAZKGSWLdqo1wcrFxfsQe0BtRSYdz2K13E+1eJ hj2Jzulabeknq4OWUJEXRVPijgMeuVNLIvO/el84xvcTF+MrnXWdh6Op5Rs86LIm/rgoMZOOdksq U0QPLH/O/gqjqcFLaCVbxbnwNAFckXotmrbbUYT7nvjSRgaRM1UHV+2oxSxBR+L+SYTbUUCyqKn/ AJjmTLvzex/opv55IgasAPz951DLvbOfnh35zI1L/AQTBvgojgWDMuc9XSlkIYprratUDKM877xJ LKIgyHcPnByyaHW02x7pBMSfcnG7yibON6FOfB/SO+1k1xo9XhlEp7ibU/qAtBq7uFJCCR9Cf0wz tchy3XI8bs/aUqja8yWG+iCVfVgRA6Hquq3z5bkwz2pR5KrmzY9YeT9kmNMiq8vtQBAxRW0I3jRB mkXvCd2G24GOasFwzVew+XxxNBTN9T3v1ohTPbJ96R+z/Is0f1K3L0Sd83actixHoleDiDC8j0sT PdskI9dwoGNUgHlSTF9RL0DMbOC+W7pCH6opfmE/ABPw1R12nWAPKVpjfpQBJ7vyT9BWROX1xyLx arGx6PsmMCxzEMfXRhe4XM2RNpm4cf4niew+tM9QG4Lb3MyhLnjRkBAz4rmn/j+QVAKU9nW7DnbA 0rTp6/tBTZhNHqZcMdNJEoVIWS31eZpAHGIy5koVUQIfKK/zcL40q/AJFC3NwfYx7LibjNc1RwLc 8EMcDsVrAF/7gJswdufPsP4+FV68MHXv0WnXdC27IWi0Dm02nqcLWvGHGbi9pm1U1mc+pJwg8UDI w1pf/GA7cEUFZlopxiD8Yj6pGkM68LQt0kyq7pedImFgEN/w16sgRYYMGUZtkQSBy9b73S3d1CH3 eAE/AHv/BwRHS2fGeKLAzNueIp/d3vRA+4v6VSv7WMTmlbdyA99dom5FtxDe/4Og6di2NLb/CJFT pOgImDTWGoaQTXaOEgSuyXYFIw4afCHqKfPGuCMh+QucstOCT1CRwUcB/MN2StEokp0uFS6HQpun y28tPiyiZjzcSXZF71ECM7DknY5P3dxlUO+153uCHDKOh6906WKjZ658T8EMnQvFh9Q1Q+p2SFMp W16gK8SU9ihPc1wKmUf7YRB6KlfnFvaZSlX7V5ET7U5VhwpstUiGk35+Cltqe7JHwERo5ov/LgjH L6LkYdugoPKLBAbpkpsS+KnVsGdn+7y3mmbb0V/GY+uGunQAG/0pf82zks5SK/h0Fg+DKmm/YPDm xwPRLJ1qv3yKJs7E5UQ4uZNjcmPy45IvvZWS9C2Ns3Xhp1ki1q9daVqoTsVp+A8jzMTkW9y+kE5z Hipzn22zaOQVLxYzO407ZoTyrcjoTBl7xZ+sNr0r+i86jVwjtWSGwyuQtQ90VYIlKo8MgLliO6ES 1sz7DYoauRdVqL2azc9eBhimMvfiH+bME0wwUAaEs0BrvC/hlvbj4MlXsV3mKSvbgAC7p5XcbA2C uwpX1F7vaXzPSc17k/15Jx7D0zeNX44nBaAs1cQH8ahcgK62EK11g/bec5sbMIUlNHWMkdRWUKCu ISZdc4Aq+s1tYsvRdrvquGU7W6hndx96ntVSSpqzlBdUUl+DQwm3E7hIMiFaMCKf+23YmMDfoatF WeP3ZNkqHJXYwynEst2hj08uWgfmKvx2b8JE6MFqcjGEv57uXQrPH17vt3OV1sN7W/uHM45jhaPC Zoo2RPMT+IXfDhENI7Vy8r1vp+IjP/b9cZOwaZUCmH1QPGqJODcqMdCXNgFLrCUljHtpRkz48FDm YHKpGUfUYKbrshBll7x7ZQz5SVjLRNQ1y80Gzl1JajRov89gJ3OVLtqNozJIIrxEz5kK364rAMRC ho6lbqTfGarPWyUI7dJBjbFqrYswivVfDezlTXTMESOT6ystBdSnQ24emAKu96I+Vnc09TPYPXDc 3RcBM3P9Mp3e52BvAxi1g02qfdIDWFTbh//dJA7cQ++riWCSHnuSy+hzVcsYcUnbrK0yVVUv9pHd rNBUWqc6kr0gswZUV1+TEkFuJ3f0aJOYhaSY0vV9a0aXG7MfXaAj+fSC0n6vY7HyemFf/McUMOzl Md79LRQiERD8qolOEvAkrP1zNReh9tXVAn7PAYMv5VwPe5SQfNZbCfjeh7Pi/JZqW7gTaBJIpSHu e213i/mocxGGnjTm/0GlS4d4TvyhLr0FdN60BlHyU7+UZCPsIYZ0+on9160LnyICkx+etOBHKz4b IvDLE0Nd/uyf4vFsWPkG3lZPu6DIF+/w8VZrt+nXTwS1c7VtzXsNFGUxs1u3eOg6YQI/Zc9wixxz E2H3BLOCtljepavoRehW42Bt/+8YQLdPF4TmSvYMfso6c9Y2vAiVn9NY32EPxwj8GQut/yJDN+FQ PSNHNsXFHpkRa5Kev4x1G/58IYujFm5gd3KqqZKZ+vFZG4KOWyzdmpweVW9QFOCluHWvs0ILcW7+ YGqayYFumEIPGUYopC8bAEUKO4aeZt3L4p6EPxPkCy+Aq73Q6P7RhifDEcWe2ZEJTyEj/e2OW0rU c4pokMPJjxCBZNQT5ep6W9ny/wW54H81qp9ehDiBbHdbV0t7PRruC7OeSyS8epIDyoWdWGd4vpUV X92E/9BzmGlSWfhkxX43qHK8ZslBFpMEcYViWP+LikinLoLGYawrh7PsF3KhFm9+UWc1vK1bkocl 5rYtpscW9YOT20aualKZFt3lKJY7VaQeNwfksrJ0mOqsNkz/e11FtpGOrg1rt0K8LKq9kLon+7hS 5SNHySe0WOMHjDCj6k2bJ+eaAcjjH5AGt2jUPZUdoOFsjx07fSKeylIp0/aGnXw//wh810Ot5x3F CxMJ6d/mMJ7Ol5h5GUgoIh13EbsMpAMvPTbMbDZW5lExPFyfuoEsxUQfBelSAImgvMtfmj8/ETkI TfCWFoXbWkjQJ4atffqb8+4tq8vDMHRzZy1LC3Ukj8IItEk8OslV5SGZIzDBnm1MHtrSTl6AFCTq m8zSFL8I5vR+bAtHBkR2CIUbi788b7TGU1PUhzD03+2P3Fa64hprmXyJI9LnIHlP4qvFwWLFqUB4 E/8wefxUEYCZgkYCgFAmUb0km1fXuDR9Fx7cyTyHhtOpCeQ1j8hkUVCMGkYYadhIWg39VyXo88Ny y7+tivK4oJu25cP9HGSKWNg8fwxx+I03UuWcqxxbiE+7mNRg6/ApaRXTHQ2Z6bfMXdR3kTbYuhgW JVho9a3SXUQw7ULRqAYmTT9wQwZPFEbYRSNLF2a4cX/u/rTwO/9JIEb/8FvO06KqvC7c1x7FDFRK T3HrwDL7IiDJFmbs/VLizoKJYHlOtOk8qpH3eso09+//mSoZzjFkH6/qpqrck480ID97smWQ5NUj iy+8c0OQnj3HzDayoYjwlMIwCwAfumyIy/3cEVIFGnwbfgrMFE0LLLXNBP4Uufg+w/hpF2kaV3OX l5/NSX6jza9UkJ3dQse6wZHSM9CbaEL4yKP40wO4ZYTcq5LboDAJVskzJEoucR9Fau5+oPjMyYfn P83IliT10U7pYvT0jopEle5Vk/cwNV8EkMMsDZ09mzxs928uVXFGhZWtQ0LKQV8lWMxJPJxAl4G6 Vz2F642/LPPjL5y5lSkoQwWjqFj2jgzpAPceKDGndTdUVowrO/hF4LL7nTeoBuzmlTfvpysTIDiU ZlmOGaRIf1IcBuKzkasP0XwCNrZER6hGSloCd2lnWqbroJj3lvmUu1N8H75b54/yxdu6RU3rTjqf RU1HlYZpWuRMJjrJLYt430ulJbltsMxQi2yZ4BK42b7Xus901gS9Yzt364VOBuMqBdo0Rl+Szyue AE4JAxG6f5KJaRjyHjaobknt1WQxZarmGi3bL07/fVS3xPw= `protect end_protected
mit
4d8bd013a73e25f8b20d4ec09d03365f
0.951255
1.824906
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/process/rule_400_test_input_smart_tabs.fixed_indent_4.vhd
1
564
architecture RTL of FIFO is procedure rst_procedure is begin a <= (others => '0'); b <= (others => '0'); c := d; end procedure; begin PROC_1 : process procedure rst_procedure is begin a <= (others => '0'); b <= (others => '0'); c := d; end procedure; begin if a = 1 then a <= 2; b := 1; if b = 1 then a <= 2; b := 3; if c = 1 then a <= 3; b := 10; end if; end if; end if; end process; end architecture RTL;
gpl-3.0
348658514992b6b1b6d1188b10f6cc22
0.427305
3.133333
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/fifo_generator_v11_0/builtin/fifo_generator_v11_0_builtin.vhd
2
49,294
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block kx6QEnnQuEnjQwji3i09IhLVWc8Tpl8x0WPPKnTIdiQs0i5dVHu00GjvvkJceFh+nsgIIwTdSr4J k2gNiiPDCw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block LpBP7EUkcwo74M3Dlvw1oRfOdq4lHJVKb5OHuPblML2Vp7TqgpBb7iEc4m6j6wfYvJQHtUTBEXoT cOPwu+SaqmN+dzai12qBI2i0YVPirnl1t1k0yXeDUnJhjm/FahxWmvyQBCOFXU6xUTAKhVtCBu5b mdlLAQ9wx8pQNE+COCg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 1DlkekL4AVj7HehJoxWTCIFDxSscBxE055kFsMrVCUN40tlkvguP6Rn9gNhe4IDMfHcf7TDFyNWz AWIwE2CxHCCGDSjze72tyu4/M7tWG3zoTLpKXCk6ZNpkAu1JEZqIfzV3hAcElXiBu0I3LekkOCnA dlcMlV6UP3fBbjPBR1h2lYBOprAlqktIouAmLuPpVY/tQw39ABNw/zz7BTKW8AswSxh80QomY7HJ RhVEthvkLR57viUWQm3Y8WIMoJ/3aNlamztOmhJWRceBIvo9duk1dI1v7DOgqdekCOz1v+luxRpp 714AJrHvJbqo5m3MdBGwyGcrBJ+/1IOvXZfTrw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block nT226lVSCbh7cZIGcWPjQ8oEBkxh+GSBql50XHGxvqMSu5AduaUzAwERt9rbzVwt0sk7fxwIDrU1 8FckXgE+7KIpWuJKHh3U4SBlIJSUNNz/+xnrc5cXlPIW9iegQNMtDJwkYu6U+kqGbn3dfvB3euLg GGfQGteYV0qm1e50rus= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block PGYRh3Xf6UjfS1w7zXQjhV14852ZeRKkMIyfW5QiJ2fhhIfhRAv2MU8vbBpu68sxHlw1vBHn1tZt SzUizYjb+93uDq7MfHXULqlG/6Zh4xU1y9dZddgJeXa9Jmzc2B9FbzQJrIXPJjPpyh5JkXv8OWsb CVTHtjeFJ8H+xFlQUcpSRsIhaNpgMRuRyDuVq72eLQQSXM1ZSWmIGfYY1hKLcrTGD9uprL5kwtiv 3PLX4mOhPAK1+2hNnEXTnCYNN80FR8IWjG339/F3Ft2PBjeRR2pCHqqg0vS86xWjMiiQA3NXxIkf fkowTMhGEJgGXe49UXTLflfjcSss1rSNqj8JPw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 34752) `protect data_block e7SymfPpWv+WUQ7WjG81vB+4Zf8yQDdO9R8p4TgFHYD6S4Y3Iuu4nqUri2bQHyYGYBm6Zu4JE7lS v7b5f2BY/jHHcno2MGGbhGmewtFgvfkUaIzuDmirtm+tI0XhZ8MmZS6wHN6qXSteWJNgrBm1D4AG nKU4x5ws55p00eo75Vp6+17RDtt2dEzwEfZ9780IEnhkvSlWcPqihWFdLgVh0tfF5fWe3VjFgS9L HDEGIKbTNMC68qPFgECHE62TOsAsRVBzARWtWG64622+7gSsaIvFxJUfKWCbhC9Oh1yDdyQ7UDzy k5BQXX6LyuuzHBa2p9uPvBtG7OMy1OZqdI7XSRaqul/F7Y2A4XGR4HCQicm1HQNF+DNJbPVanjuK apb2Sgiu6ganKDXd0iIdjitBeN1lO24DS3nEwqiMIJ94LM/NC6uJiVpWI0juZOkvPsuzFfDd8LTT 8gmjIcIFCubmLw1VzcYF7LCix6Uq0FRBqp/L2FukHQJX2UrUb0fXp+U2scK4/F1ioEXb2o7eC0u2 Rx5vL/tdw+aP6euVxunJQKiJZPS/8x2H0z9d7GCdVFLDkuM8ubcWs3swSwW9IeCYNP33+d2SLjoW I1Qj0cacDImkcEwl8IKuVJNyACW+M79Et2MM51kknCL1imDVLW3OAMIvMK69PoG+1V467UwYfqIY gsXZUTkksi++/EhvURxgUPFhdM+kl4VZQzgn8rjnQIAIiqNGZ2mOF7oepZG+d0sx0pw/FuD9x6eu ZsnfKBGr2HDP/r0rOqi+qcSwuKUYlDkkGztzvg26bhjJN8FsV+kn5Ya2Ah6r5DmTyPD2jsP7AFhQ KX8Ph9iF6L692+v6yJfmcpyBiSp3R64eDcUAibBMxkIlE6+MkV5EZp5AF/WL382no0sUzkI75ayP yK2vxNflWJeJGQYzXmwRl0+9MfgTSHfTQ2re5WiaeGiNabVafrI8/lewB9GpifV1dl/EshcNVifw R/MYftYsRApqpE4bL8SdhO/1RzM/kk/nRPwByeZNS4golVRb7BQG160QMmjj4rd4usWFDSjK14ZD k+BzUQoeg9KrAarU0q82UaW506lLBual1oYn6BNAu2VYflwxJEHLRDEkkxQCMAAZUZIo92ks7w4M jcWewpjOyefvzHkRWJ9duZUopvqjXpVVJ5EQShzok1gS/efp47pdV6hVZRGL7+jPUjbz1CsB5x5z 2MpQDWwg75fUS/mOOOXREvLW9e0VVY0iPLfsgsBgBWDDeu6ZnpwNkhLgqJpggCj86Wb30PW1cuK3 67xGmyaep8JEzkBtMXzrtfwgjc35wpqyJknM4hQhNvnkAL2Sl3aD+I8z/DQSU1m1uoPHpLOB5sGe k2cEruaI5rnn5uM3CZyGF2tuq+n2nBnQUgOdbWGW8oOYGLP4gVx1Reo2S6a2/9Jasoe5e4yRslBN QKZRGz1K24PexDQZFxApTqLF6zGmUA0DTEaKi+n6hlgXC+BpfUnQnYnwVO3I0rfRejfiOsRBbb9T UYQCd+nrqLah94EZr92TzGIEJStqwLXwtIFCONliJU+yUo89HhQXKy2GAeqKJGoipcOKGWGWczjp Dre2f0PHTMFq/Fv2+RfWn9pVVynXMwAVOLyok0ihsH1JeVpvpG6PGiD/ZSFQzpJ7BbOQ8SYwagxu DN7dntRAGlgspTUXdTcnaUgkPI/ShcdoZqEOUZFF8V1vO3AhduO+SvpemEBuI7/XXwJQfHSoaFfo 4NAgB8uNPEuaY24kkz4iPhbKX12y7VBkpsTSiTj25r0ZFaJPpSnXXrBcM5cLmT1BzxUmAhkjvul/ Kq/WEG6PKO2CZVFhNY9NnpMe6GVa7JTrzu/rqe2RZDZw7jP34MwMKm1MdO821gvG+/O2pk9w5WnN 3lpXyLV4+CS9xOm/PXvuP6/zw2ZJC9aC88lCEmZ7gSV4JAY/fiplfocJqgGXk08DpfU86fds1xpJ jwvUEyu2z+FrytZMiV2sOv7IO3sskXxB5UlUQ7Onp/lCjSFI+el3JYIXSPoEWcwqZer8xg4PI7Xd 6/qnRjBTVfuMeRyUXjtibhtgQVL2VMhGryVS6CZAyLeao1XOQB1NKOY0Ct5JixTwwMhbvw8kyX3a kc6NEDD2uIuQEc9FtW3uRFXoAV76hLDJ3Haysu8/w7QcX5RLzeCme0ueyG53kf+sV82tRjLfnemE juyxWTYuA/Wyv/OBsGYgSZf94z7K9DxWtPZpI60DRaN40GW+96DV9dceQR5mBSJVNmyhd/XOLEKH 2N21DwTXbhH3PT5hVPZbfeCXjG/qkYZbwVhMk62N6iyQk1Qbd5WAXZzsr5bioK0YmHCiI67kTdqh 9JijQ9g1/zX/XjP6g2UOqxcsg5qKzVoGgCaPsAgBOF3p9YtJ7EJYEcbxghgG6FMvTY4GvDCVG9kB nrBbtWKdTucLUejtMVzwRI66Q8ejIcVb2uo7FHuExu6N3l2vWxGhvBmbKtHwiI1FG9yg9WRjYfbZ ji+J3Pxa+J2D7Gr7T58a+ABOUCy8W11oVncHt1q43OVezxFN3bKk0EInpCA/0cl/BZL4vBKHS7kg fZ/RdZAUrqc41So5m9qZ4aJ6hEtEinJgzn78cNteOAEpE45oGMKYSoiR/7uCplbR5YdnZZuHDGhF 2vh6Ru9wE8gsO9c3+DeNmn7fbYZwzklnYNfMZ3HrMhSVl/6CPJzfzf5MOABVxKJ64jEs1kfWD+OX mxsCHKnIwudfZq1tA7+1wF1TrxpmwCGrg4AovPBmaqafjbRfSIVGJt6MeZ4haIGNYe3hoAdJrRAA yO8Z3kSl4QBHzJa0YsW05Oejn3MYHXceJTn4o06IajVgh5cOg68Ugg8T67ugv5nsrj9DCLrpms9s 2fYTsDNuUby3SmnYyOzVz/91v0uQ+f7t/b/Q6c3jcjXNXiXM3xHS9F7fVWaTxg1mKf/VuPb9mZ2z vyX4VKcTgFybQbtMgljnAdZqH7pTXem2zHHy8pzRRjyw6Ia66WVlNi9Pd24uPxyQoMz5N2ykVj5m 9qfHHiBMhIFTAVS6zM5AuGwRwzyD5bkPTC3GYPFnegfu9xQHFto2xyo9OsxJu+qDlfepRK2GSnqS Tybr4cy/s1mV+bHcj8ub3o8yKjsgLyUfe7lJpy9ZhR/D2GIOLVwIhB3S7GReTg0V/AR9YAMFm4vz Y6Im7kKgd22L3ETseHNf6sCpzaFsmRKrtkEJEaD9q7MQh0DxOyRaYsKaIbDjkOPJ63bH2gPp2gEt a4uSpQXNZKeM1icaz2fca0emRZfemYGNLjLVWg/OgpCN1Vy4aderTRV1/SbsmbHtdSIGYj2xw/gp B2ad1AhtjGTUsxLcO511DdSUZAoR2y9wrkxwRW5W7Gmm9MBgCNid6j1Nawgo0PdLlo/7S5YpZsDF j3BrM9OCk6Q0jt76QzCQ0O/+6Fq+e/WJiKkQj33oLoMpFNZE1Zx5gGK1fNzxXEf9+oj9dKRf/2XT tnIvLr5qi+4UT4nNnt8M/yGUPcGiqdcBHT2bsOj4jQdjo/BMxfFCHAQ0zbGM6wdGIt3RMRHxBpJ9 fmD0d/a33/6nAPG7H/JeILkQIIO6BFtenoeNR0SqBAOlMUmZoL4XYgXWTwQoPZoJwgbVpGFGSfCV TliJ+sWhxiWZdf1d1ttxfyqsfndt1Q8CQq4Tf7X5omVgFeZZQPAa7lihrAbL5BgE97Yug2tjdbJS ZLy7OGVemOSHUuwfci9JP3Ps8GTBZEXQ4Pgr8e5qBRdxP79692Lp2wtx0hpzbe+G+J2lTEC4cEoo 0Iqmqe7HA71mPFX+kDoDg8uiYBChLSDfZVYQXoU8a5MNG01xTk+kgbRaLDqoKa8RtVV1EUB6Ia1e AJ/8Pb8Dx/kVH2MEPBDsAy+CgMI2F3KBO3KjdhXUbC2TYKDEoSvoYjvxRLc4kBcbSuaTXuDUzUXG RJFa2uKOZmod68URWpLSsbNxQAsK5z8r+ZIpVL4eo54R73QgINzbGoAiUfsiJ1Eu1pysNk5z91dZ yDJypLnGV+Ow7aMQODzVEzQP5G2Ekm89uRZz+qayk3HPzRE7GAFFACrMahZJoHrIfhQLPWCBocFU 9eu9Wp4NCOAX4Nd/jKUpdYZUqgLz2navV6xP1O8aVAMgqJIT4kF8zf5TJGDYmPLQ7IWppT/IN7wT 32geaKo1ZO3Va4gwwz8VsMUk7Oi/HMQJN0ujOoUQUMKAnywo8TnffW/O0vgIJRXS/ZISX13lnVNK gNQjJNuShJgm+cqrDN1zUycNdCHbDn6n0cspDF1qCdftfYn2leyL5Y1PiVRFzirlQFdVd4DpyBoO jTiG1oaid4ABUZRpTowhlvcfIgbKUTc0sEHriYRmerktz6SUEpGaOqgRMPTJJm7EhE0nX/gCK4cw Rusn1brJRa/aZrk8pKdaTbLU6MR/Vs6n0Ca3SphabjcomryEUAG/dool8KIUI40jTd6eURpBpE7d XRuQ8QGkpEodJpLIyXfPZA4Kh6tGrIZIn079yfnxu+nVgAxVpvkqHPsVOnsivOOnPFxx0KU+/vVP n+XO7phEPZuFpVHAVw/uWSFLna9Muv/8TYhuw20qVgfPutQFSymPOFgL1ysVpxzF+Hqya3UY3Wmd keQ8bghXgCaXejkS9/gypB1yobS88VBaTWs56InXhsFc7MoNcnjFV6CpDHsVifdDDKgwyyrW+J8P pD6D2TEgkC7YHZnNIx7mB6w/xE8gpjQybsSC9Oia3DD1biwIbjC5twR6SUtib79PPF0XB1DlEW+n cf7K3LZLQAAqmkGPUXw7Hbv/OA9cXj8GsTi7SgGuUlTw5KDM1ONNIf1SDj6n+nKmDK3w5SdZAwYN bqxbGGiBL45enlRDmBHfq2r2/LZJthsGO8ng/3O78hMpZJNponqi5p5eH4wpkMAL1EYOZ0HtEtDI +8Q0O8juwJ6Q3RQbL5gDh0avJBKuwmz714KmC34LVwAya5kNd7wMwbjM/7wn8ju8ETWk38C51ehC 2OhXEueTEugI6ORrZpWjNP850f4nOyigxOE42lkYDPwY1zZ9gstQqXpdR8YrKgnq26i4sdpjKm/b VzovleGvqnS+BL7HAWsnapuUfsuDmxhGWxtdvKM5QpoGT4xugc3dLJtA8sIUQSI/YomqQMe+Vfz/ sFmYzBY4QzlIDyxh2Y3mCf+43Lk0RepJiWrWnvi2C0rSGl8n1C1noVQDltSXDSkDlOvLnuJI/o3n drV7szjbse93SczmFDW1iajPtzoqQI6XZUV6XD4LJ2J3Varaz0q2V2cUPlfebdWbzFLpeoWeNHdH 06PcbgCEOMR0VWdvbcfs6qg3JbgKFH+NSar+iU1ADlwlo0DDnZmSZdxLOfDlyDZXMhygFoV3GkMo nBHJskI4W1utRkkIDrG5VCLnlIxA337vpEGwxDZZ/5+CxeWdIIvNHRycsURIxXQcpKt1HF271f3J HOz6lrhgf2hOz2VkLR3N6hxX4GzJLjeQjzoopsLwe8F1vPfqGV484r3pvGKI+/2AkL1Avdy3suI7 +8JIZYNcWVm3VcDT5Sm03LukA6eVRO0kAOqWgGM2V46a2qJXiHVhsfUW61NxAqLA3cU/RpnZt17e 4m9MknhgMgH5mG6pwrxkhRVJT1DUC44M5J6iWPjHjzdAULHjqtEXhPOiATfBgAG9EHrfiZ8quokQ YsJwaILkTZ0H3W2b406cAaejhuMf2L+4AcUpIi187KHbVyuWacDHLgule9IQHEjLxDoZUHcl2KDQ gTsfCy0QFdfby3k3wDKwfVP09HqY3Z3oEPqSm5hFsOoRhfyxjL905etTJKy2W/xkoYsrESile3CV Q7xZtpxejxiizDzJxfZrkuyUNzw0mPowZgcWVHhSNCVlQAhanGx1C8FwgWIY9V9E5SgfTYUFatL9 CVwyam+RAFe+p7CwK6mYSlADs775VKKHXMqZyFnzoIe8MeMOcKbKd/xV+5txnMiaxSTEHDRUvxT1 WdTi3JRSkkoMeCsA5cbYB3Dg8xX8RD1YLdyWBImarh36CvyNojsU9edz+chB8CR7pkTcIWcWdoOJ trB7qZs8tr8gjuC+ua2Dhd0x8GTEHyHEmpwFmKz/JsCYK5mc0m+im+EQQoGe3MgC+YYifIj9SvNO AIFuXjKIQ4dPM2IGQNJT1fYBNR6Wyk533EQiTS4ttaQIkgxGHSt1CMaXuIy592z9xGofg/YZ6xhB tQi7kN1e7BGuk3bgbuTsAynQZxLrbQIa/skSqX/vaqR2TysB67Gyr1r1JRQ2P8U9+Ts1VkryWgL2 9x5/wUI5KgsRVl9E6ZRzTz9gv9KIGPsxTiTGMSWEDfaK4pCEsld+y5O1mCsjLrLP8fddtnxfNGXK mHx0n6aqUln/e11k7SjNChhV7QjDPDpSbf9sKLSItdXb/e3CoyXOJV2rl+09lh16E0CORSeB20T6 rvtrV4DF/TpKB3lz6zFgAVVZocBx6quUvvSPeHyFnNURe6dSIvAJK+kA8yuc4aFFTGGhmpmNSdEj /SlAe/KR5kIzzObjSx3jp/YFFPF5WCmX6+QtbtkNi17WcvxICr9utLdh81m512NzCmj2h3l8u+eI gbGIAffK4ZlfpB9bUVjzmSfrR6nWnbT1aG4SW/tIs6JWkFXx4EUeVWyP+6ly7QK113Be1CIusKUX FXcaz7sQ1BIw/PySxaB12+kFjjZvGwkg5Xp4ni4nfGxSl8lCeZGW4mKlInty85jU3GdQ4G9GKuUD 3M/Sla9/Fh0Kw45es8louQjskp/KgYTogR1hJxBaScR5nE+Qsk34TX7K5Nx2Yy85IVEluLI51By6 NnGQ4lgqVtFU6a6oZZCZskI4gEVsHNtAxD2rjzVrPY3imUfaE6VJqfN4T05zv/4K8S8pKaNc7PRl f3f7+KCjyW5Ihghw3QUPxXl2ptzZkWqcF4v2Pk1TS18ThWGVpoFrZBLMb9IPw0ZrekcMMQgKjS22 h9qeONP10JB4AfrOcChSWNE+9BwoX+M+XZzASXZlSCTEl1CTmzv20PwO8Tnpiyw8YZU0JERHvOio a3JlDcgUKVgbQTyL13+z3lVoVUvlLdZlFm0VyjK8rjZE99Vug2lWuHRrtAHuUbaXsRdgCz5Es0Sn T2gKTiRo3hM2//dfW2dq90SpTKkcAM4760XjaPLlf+iORLyGRmFxtEC1exj4+KjNx++qkm/kBIRm 7USSERPgBp9RQViz3q87RvlLCL4SqwNtQt06xC/fR1QBBWEDr9Fsy1YJ4eF2rUHJLd3HdToiBR5G 5xyyaLwT9KvAjpqaz6rUIMIdgyVuukpja/RItFDhN/gix5oXmw/YHkTlwvu4Sf7T854iQ7qmhTBX nk4TWDn1h57bscciffVO/Z4oeXJyjp4qjyNboxRPYMbxzHsTXVKPtjyIeaIipxWk5VZwFETlUUrb NR6uovT0TaRdG+hg0ZYlFpAkXfNgJH6z1UOkY37cRGWQUfCGk7LUpEBSMQf4Nc6Ij4NqAHjPaKEQ uAHbeLzmASY1vUoOSat2AfDSykDAzP894pctEmv13WGpFk7X3WqaWEk2WtODez3pdJXkHBBo1taw 8Jb20/Z/mTUEupPWqYvM5gLYjD0YKHWUJeFgOlNJCI70hqeIYhlhdydH0HTxD2owukxT/HN9rtxO J1/o6Eukwye0eBQULP0bhAUCRYgz0agit+lVYOJE6GOdFVsC7SuGHBnHuSjFv+17YUba1VxXvSZK Fcw+0tIsigYZNEnn+PS8MFFVWxCQA14ABwi983kDhwui0NuCBkFMlL3wQ989RNbvDUYHR4hDCdhc ff5y2wY7e8wHrO1d+uks6rs0KhvTox++yMi8NWIE+0T3uRCGl/KaqbXFoxbPHqn/9lQQLeI/mPXk oX2RTR/701O7bxhRc4qKcTq8oL8rBvdy/D9JmYvPRkNJrWqR05j106XCbdMqZqPfvM9iCT2oJ4L3 Pi7BGNYCjiHHIuA9r9Rel3Y814pbx5uIA6VapkQxg6bc/7asqaM1HAHtn7LZ6g0IIa6ypa51e4DE 6fz/la6czNNoOumOlHsHgchZ9ytDwP+2DjqxKJwYZphr4ZGlZL72iMKr+tUsgdSjUQ2MDIrFt6f8 Tg8Ud7mQZFMn+0zOEmf/9gkfqOsePXxnZZfPpsMZgIvlAN2w1SMhBHSYu4HsPyPDP3ZKCHN18zdO +mpIC6E8Mi57prQwvJDGJLEunOu5SL6ZzPwPoaDyh+/KmLVevq1YVmBbD5UqY55SR37oLhs+MFxU gdYD5Km4sclcIn03X6ERItqVGMvEB+esiP0Et0tinzy3dpuzVnPQPLIeZL5PEgJei0tJ8Ib3sjG3 RTaXqqbehAL4mF7L9d78on6MauNXIHMZ7FFrYxMEQVA9dok0IpE6u0OWVIp8zbREUri1pviteMlJ hGjm2hN/T/gLTzpuoVj49Sqb5+Zh6uKFdGnAyu4y8OgKgtTUgI99iECQ4/ByaC0eVAey3InW+sXw gY2j2+3SCcyGE1TKEhxCPdGjm9uYfYbaIKPvhImbGzubPbUqwJACMQEJEOKxAUHgnAiRG+YGG34f kLHyS+/aqSTp2NnJ2CE5tdPGb/ruIdys07DUj5RJfDqtflgJCRYc6FLfTBvU40WPtgv2PBWp2ecO OZKUCi9544ArDBYWvTs8bysu7Rxh8k12v+xof1YQQ5xQnriWy+g7TwQPGXzGhPpmXjNmlXIzBaBy nadnZCxDKoVaLWaPw8Y3eKBatiaP68vkAlW55iV8yPngB5c00icX7YJiH6Bx3lZmsa5SsAY2ZOXY c3vl4PaTnNKSqva0HJXFMNvMZRWohtJttx2ILwIWn8K6wgFgvzB7K7tKYLuq0DDW5gin5Ai9/eL3 k+LKpCc6ktWB3gerBAWFLjJE7/F5FfnioVJACl/i9XNpDM4RrkDYOvTVE8U+UCE1anUJrSdUoUvi 1MU0DezdY4xyuRNXu2QIODAzIXWogIbRpJ0UHmVtnpMBEG1/LxSKAiOiEIF7wHZ4aL4jZvunVgsl WrV0lcSBOWyAvocV3uCtlZKnU8KerHIAQQNW2y9coROVfb1XeKgNTd8QI2IHIYfqBKB8EJfroLPp XGCbTOEfI/91upYpwGFC+r5W521SOnotPbYF3PnEonivMSyToneoV4mwB/JtGKpTW/vvf0ssr2Pb 9QT/BQRqxOyoxY7Z17fcEtb8cMIz3hQiXe60gEn4IWwFzUu/eNCYnOAuz7F5dscGpZJJMoVCjH3F QTv3M+RUSYhY/lOz9j9gjZU2WM+HBY92GV4t/8q6NDm7LFWMIrqj7tSOcqVY48lhfoIN8RUxuepX oK824blmMBYKqavDviQSfDqrzf0kZeRpLH2KYQl7JvE7QfbwHul/Fh83GD8YBswtJf4CQvUIMJ9z ysuv5U0V+Y3U+8UbbgfY9F9JyPTIl7raksYtJK/jBbyYFr+kXlnAb5LeLBzRHidiWUhUZ4+psyph sT7n/FyuUsDaeMDE0oVTZW1ze9lnQcmimEGveqbT0+JZkuf/aYcT7NLC/eCTAnyjjN25LnEZRRrV BzB28YwVVayssxM1x+yXyB9PTWLKxKDlHAykSx+f6kPWB9OpyzB6LeDWIhBbX970ZA6gEcitpiCs 7MQ/Vxiq5+C7aY2wA6TuFLgFAOQH4IwQOsNvHZnbN/xcFk01V00epxGuhiaoxqAqL8/+A8rVWQ1A 1rp/xViduuL5Ht+H338TcETeMfeTISWsLisSkv8DjAp5G0dCO+/yq9d6D6lIXdnGb76ymzTEDhMh +n3KrFsSlCbj4+89p/1P71AMGZOQEMRq+65CNAmpMnZ633osu49FMqHAiYg8DAa06JldiZra5sQR CsfUbz9S7j6YdDNKZbqvlyjCLohhZhL6FMhBnJLxN+PDrgwfcoD0uAe6s46QiSKRFAkI0UJzXGxs qBqthcpGCk05aDmHMLcAAJ3sTQrD+1mDiKJ0mAShsRkP1bniDS4t9J9zQG/Tj0wpAoNWOF7K3lNh 7SBb+hWb+goEaR2raWaIQhVkfMCZrTHeM/bQm3IgoiAlvdFujk6M79AFduILAngiedMuLa+/yvUY c65xOuzzqNkG34ZJ8Cj1hsAALxNCyFKRfIQU1dFpf1ALHaZIh3ne4tZ6Kc266xB5cWztlq6bxepe phDVWtkGCKsvYA5+t8q4f+oBII+iI1pSEnMPbvVpBKHGnhc7vJd7Vgi86dQ4NmeSbY/8aOpw4t5R zcn+yseG6re3caiOgC+j59OoGXt9SrEnL82qbR1uKkGWMivlBOvJRWdxfUJSFETuKUBlAeCmYgG/ QMoz7zxPwNR5au1KVKH5DYaqp5Rcnk+XpIvfP5w1CxJLAi73wzpTnGv2vY+TUX8j+Bmv7Mw73fyr oxaZnubjW4/P2LlD3gsp1bK30eZtFgxfChOZvEL0JSCLhEFKJIwU+yJYzrHuzi67cgtt7pNxRJCp ayXasJ9MKiu05qPEDLXmubIogumxZne7f5yEEX2Ga7qJO55zJMOVSmq9LymQ7LOMpdkcL4g4Cssg +0sBtw1VYyZJUTSyTB4IO3mqWdzNBwSZMUbfaNK0cr3MexSaWcGxl2GhvVpTk1bRcTU34aNVudIr 9aN94XvLHiS+WNWH3CznohTuFM5CzqIm0Ldt1dECj979Evyxy1i1NzamRzKrBCcmJJKLF0ORgzgc J+gK2fe8XC0lu7mHUMBlPEDpO/F7pDBh5K2xK1YSRPgIgM37Ho4cBwxd50P3F8Dqf9WC5x9F/QgG gM9sCrF9so1FMicfDUaQh/Nnvua6YbWXvzPvEqtD5Cd1mj447V5kge+NXh3Hb12HUrIbMgtVV5z0 SnjzLuoYcKtrmRB7zQzVWDxoIVDlE7qOtxb36t0NstQKUfV9qKBBzrxvc8nMmmububhOyLvDYh30 5pTjQUHo2fliliGSUHhBYo4qfO+ZnDPK5ShE9nxANshI1aUbL9rxjguI3LV+z3fUGHbEDxqZ3H/n hUo6G8yICKKJlp4U+zPvFf17yncUxfWG4SW4XSjjmAO5rwEFsFGP4tHOsz1LG8PpW0Q31ThjZXOS rHtfnlxWrl34UA5KOTj0fgdoE0sgHCk1L3v+G0HHDO1R7/eap7YBZa1qtxoFwRwwrBB7U4JnK8fV M9keRwXhk77sehTtCUrCzbP3N17qAtqunecZ8/PWzTsspcWfYlvNdm8Lbw9+L3fCWKoeOiUygiWm ScMp+8EWHKRES0w3z9gEDue+fr1xwACbwmYe+SmN0j92NaBbGuYZ6DFq/QZLmkVEFuenbS0AOTsy CPgGAM0LQ3Uao90nsx0vh01mil8pOBc+EGgVSZjV9o3OIWAeGQQ4WgKqynN4PQE5Jb3yf9cYA8FE SPIEM/faP+HrOn2rmFAcz5OofXgOvzchKx3BposEoI7w/mU1dbmMG7lI7eBNECySDIlSmIEWGtEm cm9rJfpOPzqle4FU0xr06rz+Zv1M8jUwDNxqzu2psLS/zDEnJSw5ajiJ1qNmZI591pXaMTF7Y/aE ZccxVX6OCSjt42Zs4Mg231RgHBqViFYWDhPZse49O4hdN4dz40nV8I1KkCd/Vu4GkohZW7PrdAq1 hRvoF/boIgYOKxs03DRxvkva44yUKqWi53B26UrzmUV7GqVtGJsuWLjAHUkHMV59kTZDkKVzVeEU jertwCwrlkBOA7lqk7bGFFokS5ChL0jHd3nQ1hsYTPI0bE9a3fs3vO7ZO6MgPwnuOdrMwtjUNTBI OjBji6JxfIPSggqHaPn5VK55DGRmw+cHEzPXdeMfE5LNEVHTvRleNHK/nx2+jX8VXX9yhkXFo3de IHa/F6IYi/HAXVPDEB/JCrTHYe3NMQEtyJsRZMsrU5nz9F7zE2Xr+MTkSY8cm7wLQdvHPXjENX+g V4dL91hSuLqR0J2PgGj3W9H0xbteAjEsctqFwEgAT/8fT6Sm+ZbnxvWan364AlOQRXeDzwzXP1Tj FalVKqrPmboamb0PTqyWt+kuSfIdHTTHtDPlbHquKIcuZ5xAUr0ohjALLrSEkTFtluzvurd+/RZJ mTfYdteWafMDmRPceBkhIkcC/6x4pa7rh7qloK/CGmcBI1J4TM//a98mRfUH1vYQX/diApXvhjTf 5XCcjtqK9OUe/F0Tz5PK34WOMKxl6qi1NSdrrO9OeQHIf6DJ67k3FhRi+KmVy7PJw+/M+XTL8Ej4 69x4Xd0KskwnKjKEy2d4c5XhQwHwrt+jfJpK0BCpOHS5evtBhgjwgU3ZoPVX1cqK4C6ndwkVb1YX tYsOR+5TI+VYDBeFUD2YIbCDxhCXslPe67BnRChleSfAKGbRb0lYs7P6xj5uqcMToMTzJdZ+4fl1 wR0H+uHm8HsvbrpySD5oQZQx/rH+BnwUGAM9xBHeIQRRnoJ2iVKNLRFGHFzzvqkTEAe0g5zQnGVi zU+hXf9EMtJxk2+UYDm3Jp4CVo3XecCzmbbwVi/C4vSsPFq97OoWRCIY71qMTVxpQhvnSGl9oc2s NwRXsN0WdmqDcC3CLaIkY2JlqMXCYX/KsydrVAPwGlu84ZMdPtLl3odZvpQ9MOQ0E+pFRFqqBez1 fLkIjIulk8LnZnfY/rha/TK3HXVBwyEEDi8XcfQjQ30gULKk0TpsPBSxFJN94IOQGGMIfkCRC55p awxgph6L5SFIKXoDeM08wMLE2lslfhA1MUeDtFqFvv+9dXUCiXaZ0zgU7f0lZD0lV17yPwI5wTgE Saf3/jIDUo1pAloNtQW2TGcudiSC3DYCKQ+iHe9afGBMAwgQha/5y2Zc5FfW6xhw0leEL2eBHbtm 3pzm6EAXmPnN87trebfYbKEXTmQ4gaftaxJX2RsgPyxA4baaYa1G00cH5FBy3/s+lRvCBom/Kfp5 JndjMgsfssxzSzY8Izzh+iMTDmiPqhE/QAFHTuRqQVAnDFoQRh1CYnhCuvahL0g0KWGoxAkXdbRw BVLp+vh4ihcA9aH/hBXzcolyeRbdOuHzbY69K0S/0JVtrPv1Mg8CjrNMj6OxcQ3gJJUoEWqqapdu XdZwEZHHgvCCiekVc9LOfm3ASwgEz8/7Sh/JJIBfuHbjG/yNrDeKYEX1hNfyJlGGPXpiBKP2mZVX CbcrgmH9ftyiL34EWzu3GQ+PE+Xgr1W3cQRVaGNQbAZTmgCO+C3Nf5W9UggMUH9fFp5VqUUwdzCU p52c9mI23Mny5yr2Nq9R8HdyFbR1fzSowRipmqPczcFcHUal9CNsYki0uyndvXPLT2EYU2gt/szV 3VELj4VybJ5K8b4jHbi5pssJVffe0d1CnZ7Bo9aqnU/9PWreLVVhLWCzPHa9MSHwSJ1n1tOhnxJr WGtWROghRjrx5SWT53bvIPH18yRwcLbm0gmRviyA9FuOCgkbNuIFv6pdJP1ajA3mLscGJdv9xp3o kBmVDqM15w+Ak2TxSSdVbTFQxbxvJ7+3U5D0W0YNDgD0v+sEw6/nISNKsxN23MaCZBwMez4ru/nY LYNkh/8bFWYem3+wE6YCK6xG6PX12kY0EWiF0mjylAVv6rRLhGa5yl0FBnhZJC7piDagy1qDFjEL 4BsDlXKLAcet4s20GqJJkaMjX5JLdK8+4r99SK/TK9gia44f6Ml3UJCeA9kkhFuDGA4v0QTLm2FF q5K9WSxGpN/9FR2Ke/g3t9zpgs5cdgjjd0U8wEQF7JiRXVHasbE1r4fMTaTZ9+Z4JnsspFZQoSDs l+a+cpC5eETQTfvT/eiuPZcNbtz/Viu76xS3KhEH9Mjzv+NNW+1n+TsHrPMNyNtkFVxKilm+bKMB p8aVcQQtI5riM+CQIzEVU0i54aMTUKVcQPnzNPhr3iOVdQ/OF7FyX0eMuMLfjAmYhtKKvrfL1uqp Z+1/C7EEJRNB9vRaTfpnM6UTHeT7jLOnItdhLRfhwIEdu95JHpRwv3cAjcw+lFH+NYmeFnKgP2eq uVQRbDzpXPM51H9huszmJi0HJ4GkaPjZLxhgCo8PHvKd9Vs6EJ6wVNoJhfMdogc1ioCQ2KMVgd80 bC4qTJkXBNA1UaJdKEOc6kx3Q9gl1bVLCtQKIqdJI/KiZbflVg5b2bII5ALl1C/QRIS77thplmkk vA7l3EcMqNUtAyl+RPgBGVw1ZE+Pi7sD0JUhr9a0HTs6pfLP0aM4Vy+bq/4lXXb0kYARjyVOvCSe 3xMJrbBe3XAYUmtXRufLsFKP+a/NiFzIYkPYeJABa9x2KkBN9y4adUtt04CqW4JDA+JPcgA2szTx /qNOts1tYk4q1TG5ihDffCESyDUVxAJlpi5ApcQJ2RNewBSZA5r5cpWz+dmd/Qcpk5ZS0+KY1lN9 KS6sNnreWXNqvQCu4NevlBbEYLLuUYqSv37wvq0/Rj3jhbWqKcVl425cAsckCOlLXst+OqMIHgzC BBScr+8wGzu+a2Hz1O72qkpHnCmEq6MUJiQzKMnOHu6hKf5KXkMvu40TDdcfJ3HYWYKYk+37AufL VMBQg87ya7dyeoGcQHazfzoTz0z7wgc2sPjnOzo4bEs2Tkn4geMaGAYTi/8LUHdwr2QtSFyzxMxP Mn8nhyKsWFCPerQTp7xFN5jbrO7qgU5BEz0TXAoxI1SIgFzAwnj3s0yNeEL3B0dFZz7pMbCCfJDq 1NWA+s2/MAleasQ8sx54vZdLMz+A8D7o8rfdZckEfKEY6G20G+ZE65r8CKwe6jHQxp7gLlg/w20i 88+ipYxOTYS88BPlAJ/jRD6AoQLC7Ba5CIVrG+ikeL1mHS5X1mP06F//zlSC55i51+Tu/v61XoQG 0r1WATSl5ZUWxwJJmNpqIPagpd+fQmB6V2CZVg0SZvCcNGXTopmfAwUv1VOPWI78Pop0pI4PqqwD U8Hm/HO64X/30eN4GOjmmSmSOqyh3eChGLeVy6CCtsbkNUuNbd9PSMWI/lTBsgacZUoue90w7c3A i39t8onG1s+eXNutwNvE/Ni/Qe0LvAFRQty7f09nF8at6A8j9uGCsSHtBdO1zNzXW/evFQPN+Lpk aEb+tQqz1W2Te3IP3Wj5a3vQq7Q1eih0NFklGoFJ83Mx+ganT/vCjjThLQ2nk2ku7AAzzE8yU7tH tH/8rujtyVavnnM4jmYBT3Edd5wHSKE7044TDvjkIjhiJsj/2LT9ITyRYN1crwQhDeRuS7MpHh0R CnUKnUraPqDy627pyYlzBoBk5j2Mx/G0BvooUiJlTBTVC2mRaL9sagiGu2dNnFqtQ1aDdI+wl2Ra TAToSY+0Y2NROAXxaqMrSCeLBRw/kQWin1ExMnU/iiJCXf4/zviN93uLRUv3wD31ZP/MFCsa0TtF 1nP14eR9qXW97ggykmfMACO3KY7a7jKTVOilwKmWaagcg6bI/jK2sZAzU9A8vIJbJ6GFY5ORONgO gdZ47bo3OUUbniK7RJp6x6iZaWhXN0KaxDHZB4hHUh7UUMYqzv5btJXIb/TnYgsiMai4S5OCG/dM wDDUJiRKv3ws5KDUHklceZGsJqi9pQSnZM0TWfYPystXt8aU+FEWFDlSt8mwfGoTLcgCpyubvrsL ntSJfOvQHOnxpRzgvh0N5HbGkgI5hnmIWQtsfuiHt6M6KELW2QFs1b/WuOvVJaVtYFQBaIf9uR2u Pu0h0a4j3hBfPK0tjBxss+lzu8GlhtLXoUc6sNkxA2HFaAokzFdBME66ytAaGLA4spgL40ar5dIU MxEjf96/D9NhtCHs5MSLxJ8Bc0C4VD6Jipvl7ZFegMNVYwk08GvrczHro/7waWOatnyIc1ZNG279 yNtI82LL6ZLmF390TgS895xMPSmvkGAJiGRZxb8AvepJuU+Wnc92XyhU7vBMVST7UO0uFGZo3r3P s3vBehsGbMrVQ/8k4eHYyEO+yc8MLNDEJU/oKpIVnNThBTZADtlCmUYxX1wMZ8oMfcG7O8FkPFdH cH4nJv5WOP3cSCKM5bIpzyaODATyrCugtyf75s2EqZdqp3o6CQfHjlepjW/dDMNdS2k8Ekhdik/I QIkhSZLN++TvSE6ynvcyRu34+/mXwPg688a0efNBQ3SN27YPmMu31gBTCICUj7PgTeVuPWSF2ULL oljRFzw3nUjXX20ENIS9hD2RHhNVlM1LJuOGJC1yNchKlVKt2KCaHpnJpLZja9lmjSnOQc23VeCT ibGDjD2ksBCwlhZUvq4pezQjGGn+Zs87phXixmubW43xnULBLkswTMcs++q79MyXgQmVSEFBBTSZ QOhMfhUVKWJRI0sYweJ1g6UKkHxyB6Q55eOd5MhYCyBtFDSrmr6GMU2qHF5pcziM8Rybw/8MiUcP OtQNYv5qK6HUXRoK0b5NLHc9155bCNIPW88ph53sUsM2VcKjXjE5Xsvhcdt/QWLTM4hfmr1WTL99 3VEWxSeOhQomwLVfYdokgwRwnx7JcPFnxKD6HiUzSXW6rCUIIT4Vr7qb7TCLzD+8sSQL2opyBD5k 099GSeBm4boKaoIuO5J2W74WjQR7826JM/zI5s9WotHKR+bHAp+3nYgvSpLYKRQKcaXEYO2L2VHk Led69MnDH6Ri5z726TQO3tKSgpj09bV/0NImVmTdH7LChnzBcVeKuyxAqYQRXSBKc4bC3r6v1ABs MjdLNvEnzBiP2Q8UMKEbnsvCPS9TdSZ565aS/DcKh7qeMXY4c5hMqQzqLFGpcBs01mYoqcB56EZR HuWzlQsyZ2Xu2mVIGLkA3h/ESVILxnSh6qxXr4aq7n2qIH8xJeYNSke5PfUCgZV1Sl2jUPuELn9J 03wIBbfMCR/zEWTKtNwPC3dlwAUvMuhjL8Ke+Easy0WZ6VMdtVvvFWcMssOwfCCD+HYQ4WGj2INA 08C8GeVlDQjI+0xkiXql+NPEwNYbClmt1bMkY82n8PvJ5jsEExbBVSxNuw2vVNb6szgGphOG0gTo 6/1Hj7tmmmw0Z5/08JZBSO4uqW6z8M2a+ZocZKqRiFss2Az9wYIfUS/L8jOjphmZ3z95z0VExQQA uyjrCICPeVXZC1Hep1VdN1Xt07PqGi/trDZpEZT2a/wGxmuQujcCffqh791rjRMYaaFxJzZNKw1e TbQPnQNK3XArgVXdP/C/zb/O+IJsgOYMHW+vGYG6d5NMzv9sxo+1maT+DUoBfiLAj020sIMxzujq K49GEYV3fxSiLWmXay08GUQrUB/bfOnHsWuuoXvfHE3+NRljitHdP/8YirlMr6pEbbduK4qKKclC SgRg4MKEVLW2i2+UKHul8wwlZFRMNzbgNnyvk/D3S6d5MNfzRy5S21043gQI6PicxYmPYNXp0bda 5v02rc/qCGFcTgdNsCoKk1L2NqSZOwVhcvDoWY94WzDJgt6tfZhVfoSpPDs7+30K16lHBylPX+Ea OscQyt/pCJi07kKwA74CkodiuQTquyJau3Hc8ErqNx2PDSN5vqRXWYHV/gVOlL1OTpFRCxUwXMaL d3tttsBEwlQyPyH5SEBHsWer/MMLTdgboVu56+nBgv68m2KTV4BI5ihPk7HU2nhaqNzcwCg5FWey JeklVio6cssv9OeSNKM3Ugg4xcCpowJti7Ydt8VmDj5KrajaNP92W34A8H9RJOTTiFxBMWSF0bBW 8xCkDSfypzNoQIO2mC13J1bQzjJ7/374HP5od1uHiaStDz5aLb+7KlotOfbXqpMlTi+EdvLfpcNV Fmmr0mbInhTCmSCOepH3By10n17/0S3oAz7r/loQAZVswP+ZgSLuQNJlfpHc+BgL3vCGJ8kLnoqN WbUX+vwFjcjz1yXwwqskhU4BAHYCbo48lQaXETYxwDIDo0WmgsJVnuts3ErwMVhgYBMVRrhZvJ1P fLEWkCoyE3I+9QkuWWN/Q2sTkY49mp+S9YF4rA6NlGLfZrIV6QP+pRBdgo3yQzVuFb5jETg7YLcj aACzxo9doyj0ZY3WbH21YW/sYDw4KgwP7okCf/VNwihN+bcJ4dH86YAYSzyvk4TR2BFXQO3Rgc1+ WJ/duW3bAsdVR1ZN7sqyPndLQis9lPkPz+9p8Yaa1fXNaK7tP6c8xZ8sbCYWfhGvRHfp1rhCquew S8fu3/iobr3JPAZbtLkZCzLA/CYHAdM2I/aH9wt7teRWrC1o9SUU3FUTdUa9qcZvl3tFFwzfq6ld iIvScxn4J8jw39ubZS8Hqf+hGSf9f5dKN8h/KkBNrZVMzMflaAXqR5L2IiGscBiZZzSjxvs7QWRD GhZYYbC2x9zUKu0AOCWyCnR4kCWZSwO+nZjxzpB+tsqFTpn8gygae59IP9X5iOEAJwzLptajieOL fnMBRjGKHYAoirEJ+nhW4gm/T0+hMIRzeMLwCFe0j0fm3V3wV00z16uITbP414MyPfKUYcy2osxZ JTl9ZutCL0yPnuB/TYAFT5jpnPZN4ZjDNZhIWJnvknE4c9SkVspmoqcYM7QFQ6WFyUkXzi052p2f 9rzx8mD1OWgls+egVsrArXgBmhunxpFSMS7/PfRbb7FXpQOQEsxz98Gm4KNYiSb4Gw8tOGZXGwNt nAkMnBvlZ9zcszqTvPv0vFi8lkC6D3+D8XxFw6OvSybjYPFYEeOEZZ6fVPWebBMxWIgQqg85VKAF p9DPHhQGeZIjTK1+6iYhqeJ77X8jxC8A0I89/+4ygjKah+dDyfwGXQnDj6KHddivGpEwruZEttZk QZhhgpaJLeL5otn3+8zduFJj26i33Myj+GL0WL46+2yl01mRx8mH0jDqyHNDoxCKYUCyNAr+98Vh +hxiI4CBOiwxG8qhMlbFSp+y5kH7p4rEMkXt+EWszFriTWchNyDtqowlQyX41pJjtHNr36Ol97bP 0h7zCard5ANca/uI/fFIRHpy8pqXAe4p527lnrmuCrNFaJq0LSweUO6fXgGC8KKIkEd11ruWl06G qUH8tkCSOp9OcBpzu1fNcR3iErm5HjI/p2URx+NECSAi3eS5+ROP5Qxw4KT7jIU72OjDqTSUh7+N dGBBZZ01EBPzPjXN9PE0qWxk+J8tXCLb4LHDnlJ2VSPnfOMSisHt1cr8pM7rdXTOPXY+ksn4YZKm VJ7m9ZyKcDPP6qrKB4l58pTTnhkpS4PA8nw6Bp4wpXldIlZxFVyo1drBnYv8oRHrw7Lc2fTAxw5n vW/YFMe2zqmkR1FmpvqIDxOXVy0AA2/Pw9hZwuBJoeWJrr+SrNl/4L07Xelrsy5b8gqNhqdIajyI y+u30MeSeKs7lqEWgbU+hmJmjxWyVoFgsHdsY/o0bvlsWse5/4aBHxF0OTv/PyW1XmpE6xCJQP9Y T/GDIoiyU2YIolatUy61w6AYRmy2dpyoLsl/7wXaHaNFwl+5MuDHeGeEA/cTh2+u+Ve51ijROsdt 7+b1SrLDh5lfhGeg0GTPlnTbI+hpulOLj5dUztyAQF8bI/0nQ5ar1+4BpWwEDSlw8v9g+v9FQBra WfoVYI/6FIQ0e2oyA0ZLabpceJVeGMeXrZ8BGARnQDkLZtzU3qr8wrpFW6dfcBCZet8GkwIxR2du H76lUETq+++Sgof2TZapPqyUngcjt45lZUmbjfypxBpNG6PwQK6V215sAdhokbW/IwLobLVeMawL AQs6bNYYPqgbIEkUtATTEZtz0nSo/Ukqvc5kRRXT3lC3g6BWCYFkz5QlHEWDqOV6JCxYvpZgmPf5 BA9R3jL70tPNcf/qB6mNPp08/s7MXowWK3P+7Rgvbu6uAdOM0VpzfJvOGsDBj8KR/uKI1fMz26a3 oD8lCohwMhyhukrhg4gOcbrS/MJgX1zP4KqNYGvmOtMC3fULa4vtYEi6MIQfzqu1a5aPoByoVa96 P6be5cxqEtqi2HgJQrfD9wTpH13ByHLEZbHT6Jf4u2lMJMKD0w8CtaLVsvHON1daJ25ECxjxMSZS RoRlinW5cR8wGHLtTh09xKeQgWuHRKXzH1zkxCa/D/+uf0hfl3ZDMdQhATXURgXXHPwQpGz2g+2/ s/2JORpcZF/ivblFSCIc5Gyjw+2fTFkiwkxdNPsOA9uLSub3hCaSvtk/w6FKNvGxnDT/sSPB89/k mtf2I590EHAilLEMRkIr1TUgGDMpBp+9Q8KKUzIFpf0DarP8ymsyDDbC8ZuX/fWyNI6U3o+DhDUU bbbRvf6LKoJ8jTNmDonSy+Y0pIH5QrIoz+J+PwatA6bu0875tBKW/IrnRdp2IAc6R4Dw7/1BCJNa lsHr5wzGOJD6TWAWJ816vQDl5bQI0DyBqySxAOd7sICDsD3zYkcBnbzL5yF5Hb+7f7U729l+hYBp 0KkQbF5ZflAwuDzm4zkfS/Z533e0wdtJaHjgVye92Ko6tsEVqcAH6IbfHAShNd/ywpEsywFyf69W hItGYgpVX2qWcX6KXMv/XcJ94XPSQ7W77PeeNui5FARAJ/UtFA+2QE/lX+1bYGeYa06LJEi1xJLz sWAXApGxBkCvdnndzPjgKqhSl668qJCjALdVV36Drvqq6XtrdbmsMk903tvOadoo05MOOq+48YIa RP8TT45EicZa+0wU+fUeH7wB999mdND+G/UyYaAok/61j03y3wjK+Yzu+fbKZn7ihvkQYk1xi2Ym /C3TsQV/Yw9Zu6P2SoZ8ejUqfbzeIzADqt8gUpu5mm3LMdxZUeyhIUZGizVC1bsDXKlu/2eyGBKy lOMRhNZzvBaPx3gBH3ny6+VAPht72ZoLbGG3dNplSsYYjmwtuH+YChLAT0zQ2WamfemiHKtsrcMn 4+haPfBN5otNSG3+kX7g68p6F9Gmg94epYj/3PzmyrPs1xI8a2ufr4YAsFhfsR3+1hvGzv/g+Xpo LWB8e2JiErpFG1tfupPQFtTtLf1m+Z5fBpyHVBikRx65MUTZRoeRSypc5P+hnBpyvDvpggNZaAR9 lzqj4ActwToPiQAs/sTLmViUcHEUL1sKzFLEi5RwCA2gR+51xHoxiYtVZkiTasDpQJPgIP287EQ4 h9WIKtsZJGNg2roroCxKICJnW/syzNS/0lEXCg8o1JZEuDe086cPKrCw/O53/3ODqf+QPoVG4bBb EKx2OU70T/rHX7DfEx2ANjSLgvu5+UzCkUGwR2TVIQ5KHIoprdvyip3qQ1Ap918BsEouGOd+cBuz MABtvdtN0QlkrZwF53KYwgeYZdfPqZqcKfSuLUnJdTXJJNTdt1sYlg9JQkwlscYsTzdqHzWDGBoO UFc4h34zAiGBnjmkShUJ4GsHncVgomT6/UyTd46uHoV132LcBFKsasxQPcEEpe66bb8dXfBvEq2A QCw2hK7Q+0ei3vP3aMhS2yaEyUIGrP2dJyISfvgHJn2pAGb+JBKYV5UvtG4IVlDxxkEJltJKgssM gb4VOYUuSxsNvMx2YCrCoTwwg7BX/O0TJHdc4WwdLT13aDsnmfUzQMnceAnQHRstQdsbxn3EVVui A1G0pnFmh+fMoHSMrfUOEVPQ2BKbJARxA4ttUteKkTwmW8EXBoP3qsJFUiv0YQLHuLM55D0kSu7w 4ZJJkoOzDSwoXeB6bKDm6QYB22/zj7XXLji/vneLShF2vP0zbnyjJq5s+q+O1WDA9r7B1ZQpjhRU Vd4J4dQ8yjarZcSH2TwKf7ywlsMHb1y/A5m4/0LGar7zRS+zlKJKnemAA9r3R+Fu4C+J40eU2K1p DG66HnXRNaA6fDsoXjEsV6LZYVpQxzNjJZx9Djgz/+w5GZ6miRk3LXMpiN4WHElX+eMCG6mE/T6k rO5JMoQ71NpC/TUeixei1U3wV0O6oka2pliOLynlf83YnJ2HU0W58iJ2YlbFLQvajGekURfmQ5Bo 7SI6lBS94Jc26MxpyJTI7XPSq1j1QpGW1MK90uenDT/7klnMnabBfpfXh2KdsPeCZQGZ3Rnao7/p sRnYwngtatw0hrTJyUvaUrZAEO9/V/by//hoTi/njXm/Ol0UtnJ2H8NQKJ5IqOSy1pqLzmn16t26 HujSOY4BcJbgKfwpZm2VK+XbRSup8tkT4nbSf3oKlrOTKZOmyXyl+m5TPU9ymMgWV5JvjLrYwudh rCFYde0UeP7q4xsv/26VvXd0AUd552gsrihEYIYd73f1YMwmhlKQHrdJvSAnM7+qjooiSlm3UOaz 5TbynsODItElwWyiBq1ybl82IslS4zpNWkNHjrFI9zAyBhtr168PoCmDr1wwqkEnGUYKRkN4x6Hb uz4RoM7Wk15SaMEoSdWn2dDdp15xlw3UTH0UyCiCHI8ePSOJCmCajJNPds3s9RpQbNnR5ZGtoiVn n9aY+lqe4m1iSZBLAVs5zQHqFsmnNR4VljMH5nNeQaLlj05lsHhB3RzoIMl1DmLpSHxF6H6tSjom FWmd0YeCQlYyEVNZUlFAI01mJbnBIDfl5Zo6jojsNZQCgXqBDqO+E/jV0Gyyexuwjf63Z0CDaV07 Yss0tpxp6K4UVxQRitFM61m7bTLvzEI4xVlBJbZDrGMJeAb601LlBoQmWHv26bZL/LP8tBqxZwWz Z24B9mnR6BA8vVvMIDzQcpKDcm+oy4yfy3fQhGcEbHiot3BDU1fiG/76GX4SUa2ZG0EqR+pK0gjX lzRRlJrYke5xueXbyGM4j/mU10FMfoFG9Y+u98aK4p5IsHAQ2fXH2yUND7Mz54ijJZw7mo/DftgL drAN+yG+HdQQbHL12alc8oYvSlCw3NbZ/4o+dVvZaxNOuzLBJzjKKaarO+VFTODUXSVVNZv1o9KY q3NYHsmWrzyWKhI9HWWD1rtT9MEFgzHhrqLXSarjilTGD7L6x1rtGwDN/UA1q+YFbYAjzLXZQjic aB8trlvjaRx0JfidYM/dLZLRr26KOSlXa4fTHNpwXDZmOGM7SPFw2EE9yaSp/HtwIlC0oYOmQEIM 3fvmk6YEUtmPYT2jka+jiFrYpOWyuq9gjPuEpY6sNWePa73r6ZDBanQbEqM4cPVdDY3lNLGF50eR RGiCM5Mz14ZOQZ25ixojkU86uUy4ssD/hDhY1IQuNhmoYdxCGOK/jVaDRDAROp391cuWSP2HDIJA BsJz1jYTtF3abdooKljaQwGIXceIdypXdsa7m7IOICkmFfVBwzrX6XfR5FCUx6WYEWKYWSttNf8L 2O6gmuPotkzK6x4gosShzSPI9ULTxlpPmflQt1dURKpNxrNtpo9jYAQo+ZjDAh91ibonOMwWQZ0V p8Z8VcQGVaUW/71xgU8slcOa1j55hgk2Pcmkrpw9/Kxn64hTutbN6ygOr3SO+dp5el7kIT800K+M G1LCgRHPunReUptYo4JBTx6ZE86a3BgP3MSYNVezzFzRa9uXEqT8ADKnRaDIG62FiqAwnKkP4qtq ZLmWowkEv8au0Pj3hlpd9VjMZlF4GMOpaBaf/HhTjOUwQAQdBc0usxblH0Ayuby9IHzy6+TGYa/J sxiXVzDgLshXs7aKEEaDidnX/hquuTrD1/ee+fUKbMX17+0GQntiO6ijZc5EUY4gzYZmeZhFwauz LZFhqRKOJT2Q3wtA6b/XK2mveylDddPFVA8grmo1PNRvk/sI2oZo7jX6t3+WQzPTfdvIg9w98+j1 tuc/xvCdFanVnYlc9sIWw+qqQJP1cdDcuQi34PTi5ov12TCtfvsNdYFwFn3yOUJ9KKXnJ7TDD6aR qPyNI6cnc4mTbFc2bBvpskYkNJAHQ/bB0i6YIy7sbFF34bbxmBBN2QfymI6q9HrJ1vwY97Fgz/h+ 26mGTUJLTbUIcp47f1S47oH/haDkryPhQUbU1CGb8G0UZjXOR2ZxA+BmbK4B8XKIxkysyDg4+OJp lzMfo6FnsiOQLzZDxn3A95XxUUrxHGc8FcM3xuoKu/ZP4omH+8AjsOV4uq/G83arH7LLKTAsSx5n aoDqmTuxbNGKOe/RO0wj0ZNKB62gPdAJ4KHqJ7POvpCeQhKuFWw5EV1PGEcw9PBg3RUf8jjZaaVC /CIB9u6na4BFKFw8yTVDO3YAvbsyXQZzj1oKn3Gg1g4Fk/5W6It7QNHbGdunpJ9GQkOpOW/bu5XZ HQqGzNKzRdhHvUPvRt+fXVtEPJh0TmV+4FOmotH7SnWN26Cz2MacsLW9fdgaR8ZT0iCHkY2b1Wsy 2MEE/0vQgilwzcwBAJS585D0E1fsyg/LLJG9QkoGYSlccnniXxf3QIhu8MzEukQWU8l/C7+LlMmT faT/mbXa7Ruh/nXcw7xkPDyN4wmkekD5cXIIhQzESvdBQwy+uWvgrChA1stI0suxDi+S2eb4avzu E8n6Q98FQlSuK+caieXy67TSdt3CGm+dR5tbWJGJQZSNvSifNBjpNA4YZVvRsuT51SurX9PBVpQU 1R4/VmAlrUvMULdX3v7jXA3aDNZM8UoHcD8BcsEMPGaR4jcbIr7M4dP1rUk9uAcOI0MCHv148vk4 DFVN0tVnk063ziv/hqhwADyJEzDSdeyBMN7r02IBi2boJhkcZWP9RRqD623FBzHGVKumHlanxa0X U8ot04Gf3vpHHJj2Gl0Lbb5pSe3Fjozg1hAFxOKWFOYbCrJIlGbfi+xh9NVZgfmlPEOvnOGWToDW BMN7Tm6kUx27DnFlM+aw2q3U1U3z3rAFJKyrKl/OWbrtWW2cVcgfBz1FLdznDOkwuduLdLuVgHt2 gNAUj+1JVbXUNHQ87MD9dRRRPgrO2Ll3G1i/Pe7uTdFxTLePDtPkFYmBAyZUDLaSCc2o9flr8LTk SJ5mEXzztC1qHTbl8+kzDlwv6Ljx5IOnXFBwaW+chO+H9qcJV0bMrqlsPAcBWipGnOmxseMKM9NR SiL3AuLti2OTWesOE/cL5T7moslzciQXz6pCY1a4e6Z/fVmWy2mokwJIveR39xqBMhh1LZJAXei+ Io4FZ0yZwUiNvQFxmeoKNFG9pQVl/mUe4EQ0Xs6J+bj0OHwH3UpCBVdsMM603M5zfAZBlbq6nl/Y Wi0iBrW1XEtJr8jMzr7+Y56qwrPqhWt7kZAjuWI3E/Pr7UFsKcxR5pOPksa3QrfVaX72HCuo/Bjp EQJoC3Hv05fPlscxJR3gfAXEcCodDnC2kNvLLMtnHAlE0plGZuJD8KWpc7OWGLop1XgEXUklO9x3 1TiB/VX0TYMVu0S05C8/C2+Rwn7Bz2oxhPSBrPf72Xz610WjUI4oA6MPpQZ+X7mujCC52gvec4Kv bf91E12/nkjJqMgPT9j1WQcRNX6CwJ2n102T4fxp7+ZXqdWhYANuTX3PUy6BEaMXU2r2bo+Xyu5K 7DPplgOQcH5CCzhsJcEKbj+nFQ9irsh3+Ba9UQKgi30YyzKYi46sP3Wu1p5ra2Uw5WCKLRE2Y0iM BMHXYawjGDWVRtwbVMkfcctY+OEmPmPQvadwZmVBVF/rWcm/hp+na93RD7pKfuiAOtcWgcWx0pNH FOVemJpHF8W5nNLAoQGjZJk94N49Ife3jOXsSpL3WsBXDlpszIgtniZOsLD57HSMHRHgYdxrWrtb MD+oBqCwzyPQjhXM01nRRXUsWIaknp2doAA1oktPfLl8WNHkvXhuZd6ABL79zFnZu+LB19BMo0AR 0UJp9QuYe70KjbgF7mjVk8cvdVyNP6xFuyPoMFs0d/Uw382oDQTglNx3pEHPRlp0qGqFLYn/M+TU YzQyqKEZxIEIz4lihDZtWrQLP6IBtsdPQadI3oZOBvl4KQsTajmWy7oZYkBVgyU1RemfFkm5BjVz 4dMhggoQOrENKSYmLEiMtekMewllX0fQMwhFlWHoBQLhZZahrtUpu6p8SmIM+jAnoSWSh662y+H8 0+rJzPOLEBWBTIaMmNAKKbvhnqNA+U1v04fbv477J5jNFSqNU5rjBF5ueAqBNB5lFoNb3Gpe+pL8 DLmm7SBgXhiBGPcUwv8b11IAC0p9pFgdD1tIFEHtTgqWaM0cEa2v25QVUCkPTb8Uv4fGsxI6Q6+K IGQ/D0+cygIJ6cFJjaujJgeaH6NbS9eb+zs34lo8ZE1Fcsi2xeRBRA0k/QZZzmEvyWiv40T6UaVa BfyTGfenaz12hw7fdc98tWddDd5X3TOEXlZU3XYwj65mpmjdXxXsMhujs+gZdvN2MYgRFaZe6zf0 DJtjvhAmldWY4XA0ekE92sNMquC+zvd6SuhuKAmDpicBZpHtJVoS2im35aKTZLjkT9OZBMKyRkRu WEQLeatqzdJvhRXRnm2GxrUwjLekfok8im43vpE4STCyJUQ5AScYtphKXvmBzY0wHk1M2ix9a8A+ FX4cFdG1vuhlPAPGG8wwfmhB5dbcQIhejerL+O2W5kUn+aVjqXKCeR0OfQMqtLAkUDqAJ9k6w9RJ FHhL/MfluzGwkBSckNe8UMnYQ2Tolr2KgL9eJ1xJz1dxWHb5g1JUpz2o4RsGkQ5GMJlyh1/t3Wwu 4hWgTREYXEHiZxleGHILfa6HI4iEWk8F2FFM5l7LetseQ+Si7c6WQJ6QoFwucZUNEBwUZRIDSCwr NlY3tXVhAUry7sxSKAAAOX7vtFQI831ezu9cQQ2N4oM1H3wSepZK+gVCNosMNVguVj69MO27HJWF Z1+mzflTGolRs0aMrjB9Wio1ktordTyTPxmD/1UblUs+fMKawGXYK2WIpmgheWkUdlDHAcJwQJzm 6kTXKXzUTHmcGB+CjKmBvb2OZqIvx6Tb2FbynjfO6chNq6TbHeXL19KbLgCafOPmKmG/526ZXWc/ 3NNlLXjaUamCSX4xSKfPMnTk8OsCsA86Yd5DBK0z/683hCNmIvJknvbuY3sUIehiY0qdbMc1C/Ew vjQQgSXZBOCH1EFsyM0jozqAjMA1KGqTTVxCGGw8ShMGLC4weWU+GggsW3TNrYUqiB3aDJP5AcFf ZFGUHCUXp2D198e+ZW0dR95FX+my1uOK53+v7dAk93N0Ch3HJkCyR3MLC74nnzuicFq4AqqCq5TI XnM5Y4a5dPbWl2kEgDYkluAmpHKk7AqWeANw56lv8Q4xe0/EaqczRH9rmqablKDRWVpjc/tJNyqu 1g7B0KC6H7u8rvzXpO1SGdyK9J9IxX08FBtsNgI85EpkAdeHsZ+RK3s5BwgaUkue7TXRM180Z3SV ziVbbcfxuyXLJnjxNIq1jVVOonm03+x3Ag7NSScY7G0o6wFugyiKuhZmBi8rcR7a+alT2Wlh0ysD upOVl5Q9x4B0rmOv+HYztZcI44yzvyQZVGDXw+kDFkrn533WXqkK34DethV4jaaCHJVGSa22cA2F MzqQvAYkTCYupK7Z/fT3E6QQpnJp/qM9lFi5XstFHoCRZkuKJWZx2BRqHqk2MVjhITXstlhrIwFK oFxZqvKKW0Qttw85ed5TMfqvej0vkp2r5AILLLXmXx0onhMUu4ozmoA5Hv1+SRgqNDq9JttAdaZI JflruqsoxpB6WLEz6vUohINO9FZwYUvkt868kPa9QhrSaYZDF23rvWzkw2hYu8QgpCTZAk4KvzJ/ Gyh5YLSu9UiuFEwJAp/6EpQdjInjhja98f1FkYuawgkXtNaH41DsIKnPyTC6Ye0gltMpczPMGQd2 BKVbJ9xCSTGxXdeEYhP52dSBBvRYBOKOHtg2woBpb1wmQdVENojfrGMyvWR+vuxc99Cci6O0Flih QZxF5A4264FjlsDkV/Z1HDnC3R6a9oLcybPOF+QmY3+7paSHh8NNmSL27f5XussWdVvLLmD0YDOs nWwtGTm95TQ82RvFMNssJngZbSG/MB/j8U6ea37hH9I0A9xQCaDvJM+q6bZWQDRjSu7Vru/kxI5+ /hOImRlmaa6fyRJBLpqnf1lcQg7fQaiesSqjOUP4ev/gfl8f9qV/YFtRuuH9AKy/XsKB4f4oJAyI b+NYPYTZi3eN1i1DgNoIhEZVp7F0ojvwe7JtkopHia5DEbVTljezXxWxfKEtQS6qzvuHbIWoRZZA NCwf7urhGI+XzVDGZqAfaCDx6YkL8sxpJOfn3o6O1JE70wl0wWO95iDX4LEbZSzE38ffPwSCzR/g nrn8UcFQk5mtADrm2brlGV18FCt1Rd1QuW+vFK9zb7mxkChktpRCrNJQK5/GHADihocYdWuMMuWs dr00+9/Ah6xlvPEn5EJQwojcRFkN3KGuDH77q8q4+IdV6l31jU5fQNc0AdhLySY+dIoPJfBd1I51 o/VXnE7824B+OKNpbKDF8pQj/5/gsG1JtfYVkQBVC0DJIvMZY6L3hCeh2k5Y8omYR3CZUbp4fxl9 No5qJ+gB4DYcfcxdqGQ1jTZe2OORE2HopqAphm0fjt3ACeQjbRYe4HEa+01YKzqD9lzmxZoSVfJK Dk2Et5/75Ha6koFPxRsvmUV5q0ZCVzOOj+6To4XKkeyEuWSBe3LeOV//htMtJPBv6GZ6wVpgmrfl y6RZP/drwYOkf8ya3ugz8bVQYa7B17vfICWPVNH02R/SpaVhlk0hlZibJ4PRhSZN5xGq4ab0WQPQ P2SHMCYqBjVT/+WPMdmphjRxcI53qEo2mCqR7St6Cm18ktWS+MHdaQs5v3H0nSXpiUKwVjTf8EuW Pc+BViZbCQTYvikj0E/eabXNZQSk22KABOXh252dq+KFwb66m2CmOt1TpgHCZzVJz17wUhvMLCnz x80mhlQGR8o6S5THM33jIwnVDoJFalc+IKbKxXAtuAWJ1PZFo5l+kQEq1+t9tRHrHuC+Svz8A10B 82sFUCr2NzcXPqZVsCqxhs7Mdnysbnu+ivXPcJ2ewMGCANN+Cmfef6RvTyxba/kM540E4i1hlZak jWU2w5myq3jUtEuLdold+h+eEXvR/dR9Qv72QhvhgEcD1fOx/9fn37rd4oHU0CiwWqj+PFy/Jh9T VgMNawqMh+Pch5bzXDposmEZkbBdNsaxKKkUSvj48xVc8c7ONXgSGWG52EfabwfRkWZUg02nmtM3 6tbfmv+G7F0WVcpJmmU3LOtDQ4Dq49sGW1Qnsq+QLJ4Me5eKCQuraFjhHqP2Dd8loUR7isFBv7Jw uWUmRjR6Jp7VS0aVLlm5XhjNhNMnq7ZEULMteRyt96QLwL4Uivzwy2CLU8LhAxh7P1QFLZIbOy7Y gaFOvV75dVC3FTQpiDKHSq54NmzxfIC/mC0hvuAyUsByifOaKQA3Hkhx+IUc7/QI+xDCUJYYIzQZ ZiR7IqHfp1MGBMy9/zO2RGAnUrRyp5NuGuLD2H9I9tjuoVD5wrdorXPi1+hzI9r1xgJTY1Cin3BA Mmhu8ifJ0inzBftl8gU2GF0y3qp/hGy+8tou9jy82P2KvZuFj+l0uCGGGuqyxM8VRoY+V75OQQwX AEEShwKaE1hRkaRgLegfHyrkYswplULPlYyhaqKpcWR+Y4KW0wTelJUAOKzcXIWRk2DH7r8fv5Gm 4yiEt7XkNmnVkYYnIfLeFC4TLv6PxmcMSPR8a4QUuUIFxgAEpiu53N3OsnZn3sb+NmrdnXB88BoR Qqie6i0MBo2WEzGS3ROr5yd3zqyoucTZwfpaxJ1Pb+MLOGoNBxAw6ZgIwSeXjbOlZUzxDDwnpUkn cVi4IRD6yMW0xhXNRhhb+xvdXOgge2bHT+4CUjMuOTmQV1iRNmZrMwPrEPJBYvRUOIBagAGbVoJy I2BGOjQ1PlayB8UM4et6mzb0F44Mn/v3ld9N3bwU3Hs1Y4tYAvA1yEP6oasSzo53gldGSK8L3TYv MGEtZ6uoLs/+DkKW6ijjiPqndcy+H3yTB+CXNoCVkTprgixGQVxBIIkQE9LEhsnF8pS96ip9blUQ UB7ejgh95KRvATZp1dkn0N2iszVvpJ7XROaR86eBAQi74FEvdoFrsYHjrmvRUQU6Lvb8U0RB8W4N JXeeqglU41ALFffNVkkCubnAAbWC+Ez1xjJ2Zif588bTtfqLHo81rHP7tnbHDUeUv8zNZqDOJH9c 8U8RujkfZfLdixO/hp0jxzhQfaqYzoQdHexKay0oPZWyUi306dSkp63N5dArtN+fpyIk5MUrOUCU bY5knuhf9dDupx+qWEG+q/fU9mLZ5eG8V+JLNSRBfyOlBaqZBJeIjlxgfjAjQyROwpJ4OudaoKJ1 VKo+PPnr2B89D+C2ohIO3UHrTIG0/KS2ES13GAjabIYjL8X8IZ8XkjBz4US2GTDsYynDHWOt2Bh3 rK74WXbvOholMsdbAo5PuFixKSE57hCfvdDUP6gmDhv1uuc5jcm8JeCZuENVPRLK37M8R8NWALxE cZKJ/sCma7cR9ooPMIt2J8XE38UTiTCNme6xLdMPgFGuwgdMyTEyDsBoVvPv2CMnw9BgoVGIQLFV x/tJX/hqyD2wjTvm/+nw5A2p0nRRobk/g4pbuIdR/PK5++F+3RaaedxsvftVfxxUpCaEh7BlsvJM uS/cZS7btl0AUyrsG6ISUcPhRqHj2Nmg2CORf4mIjuoXpbo7cpH9uza0fOzZfCKpiqoHy58jwbiY x88OCuC1s5iHEEwllACpQ7XgIoHIqbZ6QhfYCBHXaN7IjpH10d9REMKkE+n7v4JrloecqI8kMLVx hdn5rjfbpSIUv0LpZmsLGmBhyJJ/duyWZ027L9Wr460WByXbGDrR3M00+4mq/TuMjJi9gEoyjUV+ rxQjxXyirG41tqOGAqfUu4YG0FR1qzJ3nNbV3fmkjX8A5oIQj0OIyeuJRizUFw2LgMS47FIb2Vwl To1bNuXpihadRVL1b+Vm7XXRhPglr4YmlBlwm0uiIqsv7Ru85g58rIg5Qe6CkX6pq5LC3tfZaAKY P7G+9N6+C582ZWXKDfsOPZuSHHbpbfp9D3JbaRQVdK5ovDERhcY7jnXJaliv6GbuFM4eZxZ/u0RD RfAZ25prTivb0kMhyU3KKL3qEqxZ+ZKh7sKb5JYJA8xPgUYGI+s374R1xAQDjMs/ZhbSNIgZyOm+ pmVy7alwMxGGBgQzN0o1UzU28UwCf4t1qAt4LIUgm+QXKfq+Rqq/UXFPfGySbPi4uI1z+CBMdqhz mxfmofY5lACubBptDzjSR/n4Qf1KtO2ADGGVf5AGVO6RBQOSrd2k15qwaueLOXINzyQe4v3k+1iY 13jV+jlhziKrSmhcx0lYqTQS8XABb4GbbLDgolCDzfJnrWzRgvPtel3Qx9v8YUtcWotHynGQzed9 PsGF/P2exVU2xNJ+26+cE95vKWJO+KGpJ+WYLwNoqP7UwG7EOSy/ZWoRESjfPOEb6vRwxZA1+1++ nb7pdGP6VUkbIayB6T4fVqWGl3qfTXCh+FQcd0RNlp54ldP43X/bpVzzvhzv8gA8gFXuWUJ4Q2It e6pNGqYVj0EOKNxGXW86Q2yadUH1/IJRQbYOebv7g3aHFD/+DOyVj1R/hf0OZCz9nrNO9HfLvuKf SDwB2PKEAGgoS0n8Cd00uvh7W0PDisSOzHft1E+LPkuDpzY1w94w52yDxBe2qV0Be2UslGwxQFC/ P9sG//gErOdUKS3rl++uMlGqBANXzkYOEdpuNoQ6ov3QhQ6Zow6hQfvk78NmsYSgemgJXmBFNJ6s t7fJGkJugsAL+ArGdZA2zGQM38ccjK7KFng9Dg6Y5R7EkX/qf4lhBpF3cXoVbAu/kALHieKKO3mF 3Xsrmuh63ISwqgz/2XCiaqeqGjm7KP0gEoI6FBT8bCBbRQvly03H0rb3qE3JjUdiQ/KMVQO+VO7G LCHPdcibpMh6Kv4km0QSXEufWQTBEzxYfrwKnCUtbndAhJGcKcdG55JtpzjbTBVL8rVc1p9NpW3f gW+oAbAbr6ofrRK42/aULcLpd1TipRftsXpt2dAcmLUYuTJjep8vjjyV/kBRHSOamabLut9IwYYn xUxymnrqg5mIFV2UHZrXoT4eetAFDBeDOwtDlKiwH4SBC4r/16aopAAe9CKRHwDrYiVONT8NQN13 EJHjwxVwsyDy/Eknus1km6gWTtRkix5LYnxkQMjATzfoSSq6yjuUJ5jaj/vW05bIjJSZBJ/ULaz7 jGc6HJi8BvV0SuXtUTAugB1TkRs1k9OPqn7MYUbJgi4sxJkY9c171YcUvVAXkAs8Ah5489P0UutK M4dqPzKWANEiLP+pPHTUTANjHbUtQF+sO/+D8zN8kbnrCj7/UPPvB9hoE99ecTZ6HgHQ7nqcjcvm lizEP5ezG/iYvptrQzgFvRbUGYV/V7cBfzRTG811UvTAIpOh4qtzH5pnD6671Ei1ja2lXOMgmxu9 vLFdh+OLKVdrzUghH5HZFIj9Vk2QIzBs+iGrdT7+F9xjs9oi/ihqe8N1s+f0OzHVd7V45kxyBerl e/+i5Hvn/k5dQq+etc1aiyFd6MFJmnc4yuRH9qJZuDuLrreci1HQqTSdB9KcL/V1G+IKGeWreeVL O/B6nh6PkQFoHzjd5pYskEzjwn6ICbL1aCwabAbekufvOZlt4zSunES6tVa3il/eSO0/S3JD1xHT C8BqNl3gBDaGcQN7VN8qSX+BU1Y5F6j0Iyaej8K+9uKytTSXkXf8lmZSik1T7AkefBzc386kXlyR nNTQlHaCHgoPKfOZdSL1Xzr5LOUyMJYRJIfmWNsVFD48h9B5EYMQpWNIRSG93LfOEKTHNux71yCO Xk8WksZ3j03dAZv+N5INJU4eqEA991PjR0FYElR32Bmu/YmhXKnQgkEdf1NbwhhJV2Q2R5ssjDD9 ZcVMjRunWBegt2EKEM5tdO4shHJG1sjRgFNxJPGmFaGbai6JaSI1lphNQueIvK39ohpCdISlxK0R HW4fiHRTKEzqHP2rw4A22Rvyq6XwxW8yPJAyzGxwgerR9XdDVvF9NUv2/6qSnMacha0KS2fODhGo B1zBVjgky83wXIeF9FYRcMes280jsHSXx+SnbhHVn9r18NXS3yiMQWm/3d0DeLlwYkxf+CRNM/Xw hR51LKHo+31qXkxoaCP/a9UULhacFZQbsyRMV9ITOh/2lyCNF//FkUFBLnuAq2Y0xdKte+H9b0a2 dOaGR0E63p8uNtCSuPXwdsiLvIAucetK1F5ERzmisgx65o/81BVbFVFTwxHKB5GG1tMRlWv2Qczq Yi7ogIdiOdRmk1dGsvnOamjNjywwRdGCbpm60LP3uP3b4IEDfRZgZmDlYKyPTlBktm1AIXsbZbYQ 70vj8+4t3AKKMahvbOEjn4FK7oSmTWFvIflRZcoo5pgzxztc5nV+6r353sMaJhcRBK+gBiHtfx/6 p+95KnQ6vnwMACnq7RKZPYnVTBUfbcUPz95E/cyhZ+oo2H6E3nfCJZgwhj1iNoAcmZ6oaMjni+Ok fWfru3Hml3cvyV5ABj5EK1SX5tCe0VhUtWzjScumrrMJulyiMtnPI6UG5X7e3c5UCndfubS8zqUR YhaCy//+odwxDfrlfaJrk1km5t4K7d//n4JkA4RvGtK+WsfYG1uZLqjzzQaaPkv1rriIjpPJrkxZ fBxGUWg3Ghe3HRO2RM+7YvptoKyTM5jErIkP4kEycrPd5c5cY5MO/1KNrJEUfu3ETxSDT1NqNXsn iPATehnSWd5WPlC8h6umq3eXYrm/STV9lCSLOO15iGGrRLKg6zqAt/+rSs9K0Ezm4OuVitlDTd2d oFSY4KPkoZ8npnp9OiwTlz6uAdM9EFglPAHLHBIQdmmJrsBG0KhPTPw5nN7sbXx+INWL29p9kjuQ RGjU8QOAul7A+/IAkkWmXm/8ftt3EdFpOpUeH6wXHXWbtGk8ePig+Vduw+/GzCbEe89nGOwwU9Fc t8nBTRekRfPBZXFuqH2a8otMThaMP2x2yiutyWgBXvSff3nMcJCoIWqDjVFgOs+6VHh+fORHnxwC DU3CQ5lmhej2Mg6jiOT1QlR5i5ZasrdPiISBGBhYX8vXr0BvwbuJASxFSZSOR0gCIDEcv2DZ2J/g ITcifCv9lTSflrk1GT1XGyC8lAu3aj/j4WHAG7/jlLtHQXu72ecDrx2pKz/GymYl/YZ6eiPXCW/p x93IN+Ap6THVwlQ/mUTqQXa1iSr8I3qFSWkGiemRNwEzvoAmnnJeX9BntZId1GWPBNGvM4fiqJQp bqHV07ihZ2zUs6KSw6zCWjtFgYppHAmJ20mixaMhlBZx7Kmz7JZehXxt0ZTrJ21cQWYI+odQzW4z /IbaEEIGUoFgXeBLaguDD7uvgspiZiF4B3wg62Myxnn+dqnNnPdgAu7khq1X6JLrOBd4SlVc8eu/ ZWCaE+t69r3n8ohyORc0WwHvKUTaNmwFU5GJkcSc1Yvl0+Qr3mUvs33/3jFIB99WhWP3ZDVnnmmr zMpME/Sk0Oi64upnEfk32CpfUQ05+aj6hpJ/swo3+qGjsSIHayYuet/DEIaCheg45IbCrmN+39pI 14qZIlbpbypby0bBXU8qD2PbLrN/6wdjbPeH07lI8PiWffquGMHkCy47493btRJM75VHA1h0q3G4 g0N41lzQCeC8tD1MePZDG9+eSmyCuUhk+aTxXmIAEBu6g/EMrmZ08yS0ji/49FM64Kc1D0SDmLKH oFolJFaNF5fZ5RV4/exCX+f64MrPfmbG1cJ7OE1UnRNHdqloGox2BaXQZQxXLUKcbXrwuC/04IFQ MKmBLh/QhYyOlcDwlsuxzjoKG/B3c8cagacYcelQf1Jsla5m0XsP9oNWd4EF+yZJnfNtPHPDuYxD VrabsXNgSSy8gS848JEP6srr1r6qphrzvW7O4B3x2C5IZghrxMlZP179D8OngxqyckblVHVDFUP/ jd79LAZRfkc3JL/ohePscqamWZEP6kfpv3CK2DXFIORUcu1pwfPV4Wu2YoDRR32t4wFmFuoZ26o5 KyTIztlbInNRk9D+6WFyBlxCipnE9fDlvLbV3hGZWcavaaNijFPnvl+7pFMXuigRH3PjrYi6e2aD dsghdY8Qjbjx5rboTicOG5BIeshojPjxWr01+q8IkFjMrx+JZ1W2zpR0I0r8zoBhGYaodnSZ2oYT jS8jpbjxFhU+6cDhaoeUcPAVyoaJHKv3RpbvGzH3vywTAfShz4xF9YAtO+JlzOu/KFitTSsf6qk1 DaAGKejHouSQ1beqPytE211ad74pmtVOXlGFzQQ0HSfoqTHJPhKFwV2mAML/mwh5gSN17uNKGVdK UMJypul0lu8q71XgeNGUnqG8uqssTgqRxhh2t+CnR9Lje0ap7Oz63KzveBHbvZjtE7j9buL/kGhR oKoXzZJWlgBxPrwK+1S1dAPeBuJvUXW5oxeouOwcsmFyJ1ZxUyEUq90aClgKCAFN7b7PHOcpJabC q7aLtcODKctUV+wj6MXLhDTc9FmTJoQghAmp/6CkUEw785/SRfMjvdgrg82QgaxbKAOiSjHkxcEI DPproGFWZ0wh+lJYbfpMZG8iU+9pbAbN2E53k5Jr1/vtTw6PRz4S3ohX/aEkcLk2US+ij+Lwv9iU fNdgd7ihqY1ZzSCZoIF8ROCT923HC6bCQsIaIqqb6Qj/laBNWCNP11w+jOjgLlQue6GwG2uCpORi zUTNVW26UgGLgzOg/neVusPtc8Kvv+iZXNTFoOTLHCCC6Yd5/E1WRgHog0WjBUrIfT+Feo8WQHr0 NpD+syLHH5yf8uUcyy2ON+isNEzSDJp2syIUIoz2ZQ4hpCeU5Ras3Q2h8X3RGyYhUEL31xw/SCg4 xMLa1V9BI8d5qaFKYzPi+m8DGn9l7pP6GCS999cnu7ldD+W+mc+XVliiTRWQLDpRZf/CTCTcRB4m X3ThhzxQIXuVA1c3UrYLVz0nhAk9dMf7MZbnyzMen5qtLrAT1xREKGzarZVCJQBbooINIqN2G4Ki kMWecoI7KxRzTiaAE+UGhzJiWtGSf+xE8Zrcy16IBRYahte6o05vqGJmILVFIroqUSr9bbV9yvor DAT7+ahK2ha8ln3lD+a6k1ejPudjb6WsdUN+u8JFwk0gVrf1dsVXU02/9RaidKdK4iyMXUTbNPkx IugAgCIAhQNHNyONco68caHrJ7+5LYGnoQ0IUovUdCjky/K0g8dxBCJoWPfUKDcQwQ+5nZNwuazv 1cG//k/tzVo/5C2SI8Z9YKT8wUQmblMhRxaeYO7ARZZpvXR28GpSaJhq7/oXJVfpNjbYMhwRGORi 3C5FPTBVj6e452+V2ZLkQYfIsXWXVcYdsNi78CfwwEka9NcwL9i8ZxExo3zOlJM67NCoOOhVA0KD q1CAxf4pGh17dhGhNOjlDU+vKnhlFheYbhBEnvjldryPk5zIkW2LgztUb2q/rz34+ntZAVvbX1Ec izUeYQLyg5oUuQvZ8fHcm9i+DFV4rMRfiCDVJC6hzGlyJuitcKoCVMTC7GEa42gOFrcf/AQUDZMX oNaCLoxK7C6Ft9ewfV7JdfB1YaPCFsRa2INCddvPykzw1pGWQlZc67x3ajxURHxtOxn13EwatWSK XGHIvWWS7WcoCZiEqVK0x5zCOmRahjI+PXhbiYmQQYu6aTE9TLY6CFD+cpvVhytzH1offbOnUdEW Fig5UL7w+rRmD7PZifsSAMMzC4OQ1Chtm6HZqDt7FYbiwZvIHv6nhc+nt2gt6Vztpr/Im0oMznmV FX/8eGc2ujDMsADXSFceBzQAQCFhtq/uGIXDy7KzdORmnOROw2IF7Iu782ecN2H2d84DjHTNldqH kEi5Gm9pq9G4oT7SRF+PJhxGsU8Fq955nHpygFJX9Eg6W8nad4JZui86M1f72ezY6CqO7uW+TvRY 4kz6craTOXzbG8VfBpA9vf05v1CteAObmM062t4/8NA/OG3ek6p4yJax12Gtb2Hxj01YT19YNXTW KvqXZ8DsqZOaNQTdlcFuwnHRyT3T+vf9Xag+tgXJkKR3FsAvSnAklNgGCZd9yCPhVuxCCrmMsC8s Gk0CaPzO9MGjQw7uZUsV5RN+ivnVqlzIn3yVpT0tmyOoR/Pt5BXhfTJ7mvae7uV0b2ttSebw9wmM lZapOgUnwlO3Il7TCUal3NtgMVc+L5UW4vqV4JeuKZX2nkSn33aXvTEc4+dK+iToWCOnqDb/5E/q wwjjFACAjHA4KmmSNR5oLReyQXFtFboyi2tAv3S5SsUp3EnLYxu/zKpGTAyxxTVtoE+0FQACLrCy gGWpG4L4wTFvsduMrMD16isDpl+oz65bUyP2i+eUu90WuTPDN6lhJ26NMJtmFAa45ZfNhEatY/s3 lxEFkscru3j47c8g1l4tuG9Rz0dJIoImMT4I/xhWWT2BuWLTKIqIwYu5litLXs/L+Z60DkB/aFEN B3Q16H/yD1R7VjoGDSt+c2ThbK7GFzpnjH5l5Srd+Cfg9eVGFDpEbi1IXZSgM5h3kJuPhvIGpNeP 4w+nMPh5CcjLE1FitMHk+JsrtyKUEOt61ZgsVbhXvJ3E4WCaGsSWs/xgGGJC4KLinUF1WVGRMwty 11m5LOAkUjsIfa2GHtj8i0aAIej8xrVSX4pVs2qIUAvD/arlFzDBVanGjQwK7Qs+QurGU0lQg7c0 c2TM+D39EmkmjXlwk6edgu/uSvOMNOeKgOZJvlk6XLfVK9F7wb+WntMJwe7ytKwKPZmL1nTpHQjw kTxm+OcqJqzqtsQ7RQzZhpoJTjQ2iX7LntzLZ922b+6mFdvM6TaQuCiP2SzXCNe5ow4+yxVTKe/Y EySG8B3lVYYlDb57BDmr+oYdFhqj9VvkVTf9v9kmlwY1uJ4/aTusx4LAsvclC7IYhnSovtrTNaHq pcGOSlCCyu3Q7Y8ecV0kutLCwFx3FR1ciqDowdkrG32R7rumvD+DZQO+5ldMO8velDMk1xiypz5t UwLTabwwyrVRi6n2yT+IDpWSAeeF2SI52kBTQ+6ipuvD+uLye+n8Yjpn8A1CeXGzr+U7Q0z3hVCW wOcQJihL6M9YA38diVDXoHA4sPJ7s48aM4WOMNdsVKvU3wc4OI1K/4CqMT2tEfUgCNgZvbXBcAa1 FvdQUJgWcxRKPwd9TpHntdZTj/NwjbMGSS4kyiGSiG4QR0atzO73UIM4OmXdOJLHUk/TWvlmVZMV JlTXdtk2POs0l+HQs4eElUH3IklpEswW0b4iBpp1zAz9AHiwluYrn3cr1OgaulHVWgjYSMCe7Yq1 btKYgWzWhlNO42CjapCT0QVhhA8O+MsVeIQWnPkWBtV1Ph1POG1ipb7GuBus2R/x2mxtWybAgi8x /QmPz+KQBa5K8wQSjNtlUJW/Q+29YjBXRaWmtUCYsPaFOUU1BW3kZBWwRi4/rWCUbxCsUXrDj6QS fwZrY+Z0VKEJvN+5UuI8ZOWFjhPs86fGf7QTbPAy3N30AtfXixQf8N2YTNK168LUcFVcMA0QbM8K ss+7WupauwK7byPdj1jVgR/nzIQcTEG+ji7ZA1NE48KnnhpH55zwLIf+koH3JpaCLfnwJWiYTps5 lghP1zS1ALrSanvPyMIxDXEvn+lMXsNcxxAQxGqiBPlNpQ43pv4L9TyuyYpsfVf9J4WIRgYWDlTv Me1gQEbbtXYJb2ibbOWRFn3pykdtZyVEVzSkrbnT+TPLAwia8+kiRdu6SyuuVfQYH4HWVO8dHWa9 l9+fSTJCsPuf9pOjERIRj64aw1Y9JOyhrz7ERE2mJOdw3omP/1oiCmlReBssu9qMnP7zeVczwEHV hNsm+3LmE4L0huly4iaN9YrWovP7CDuqHVQ90KsSagbCMgOx0yCcsdDT4F/OJxicy6lENaKylU0i 3hnuz0X0juhUkoFl0y1Xn9oxhZA4TRyhicU/cdWFoRpRBBLMUqd/j/u3eSXdaME51/wNb4EpjV91 KX4xuDdCztSLQ2yN9RqsDyOmQtRgsqwrrFtDwCeCijaV3CYRaXBPk2OiZUF5eZp72H4g+/KIsneC LqnR3KSaDzvhwgcSGoJ90ZDR+pKro/wnwjgGZjefUF7rL5d7anhQYifaxVdOsPTVgiCDEm30Yos7 oBKNlbq/oFOSef9Dem/sXwQwr9EfK8yWBazrNhNJX9obmoFGZyufa+tOWkO4w+Gmf4Cni614SJ4Q 3V8tLI0b6poobWWSlUgc6k0dfB5a+MoB+Uv9Apmzf0ilrJINcHraJIJ+BSCx1LCkQSWvWxlPK+Ah kekEegTd157q/owutdoyGnNnLmUMIF6HeLB7Jel5D1+zP5nMnqvtHcy8oZ03AoRXmeN+68bUGvqT qfB3SHKZx2JdEi+RCxVM8MzcEVHIuTtpLTYOWLEmV4BLSpQ64IGJMKSXGDPs+EJryMenE2ai7RbE 1PtF/KmnCw4kLLZKdC/n0PjSsLcpLVjGPw+OOaKv7KjCgst1v/CCpiT6r15WrVDxD7wAgumtIYH2 A6hT5vzUUepTJj0WT9YDY9VSOpZV+teOWgM51QVh8JA9RI0L5WfWKkXmtSfjQsvO7VcUQ04WxdyR eqt//DdCD6Oqsc0USKIpDQD4GJFYr7QhiKm46CP9LfSkGKSY5mF4B/GZVQO5Iy7tp1diZiGZCZGZ N0iXqcXflXN7giZxgMR/dSVGUQKqjgQC64PAp763ODPGC2ArBhJl0AnzsRy1LupdDOLVNYk2EZaT mowv7RdGvAsiAVVR0Sd1Adedp7hXk1Kg2q7gi1UPiCJNVfwxZp2hcUdPQEP0c2+z/VABVyV38ilC 5eIdt4q5tcWwK8/2r+v3LPCDnGP5Kx783jSuE9x8Nl/0WodEXe6jNlSbhqMToDh3AUmCnIlZz8Mb 6dYw0gTt5nGVp9/kHwfj3RHg0WCHv+YBtFnTZ39RXqjnOhF9PY5df76bBkRQiFqH0bbqIkeSMaXv RbFpdNBXfsZKM7Fi5VUZo9dg30wE7A+ufRvL4CFqk0YtZpv10cfwn0I2lKWljEivy9yqi/C3MyWf qxL1CQTGd3FTw+Wfe1xiwjji69akh/mERwuxY9hmwrejWXnFX1ZQKPrkD3U8Ti+AEb86QA8X3AyH FikCSgkkkQon/yz382R8xlnqwCO5Z2357qAx2Ir0XBmZSi5TVLpvulujF3QzMe/4VUlHHVeg70OU CxIZtis85INwUnODnCTzahxjpHFXyZt13XYi+TSubMaIU1/MUAloOXEHplNIiGonKgCsD1GrrbcU WHLjLv5wp9iC0VUMt+OzKlyATWqlLOhrAh96sPiHH5iFmuIlF3vxE/GgMrTPf1i4uxLnaPVvPqJL vpKtihMyd74CswPvZy1kLC0ZpT49n3QyeAVK1GUBc8tOBT/8y+v7xi7Y4bck9wFs76KUXG3iUD1M +HU37JjT41RNdNN40oS2sbbmpPgtbcCQsMydW6IcXmtWBwp9LqKs1kSvHNZa1Hkh1HGDkjqCkbMb 2vv/176nOI8SihRxW6e/YZ5eKoOT5K2+joKPJgViq2uNChRYSqvIBayfr9EqYMJEHeGZMJRA69D3 H7PFX9aF4hf76t+cpd5BqZW+luPEu9wbohiy4brmIrEsslJ4jqmIyaljaIJsp1D87eAH0nlnRINV 9ktaPFY9DznJSZld3RybZUcJj+pPhcDwZLAw/sVB2WbhX/fosHKSwa5IJWETtJkqDQnXi4Limm3Y T6VVAMI4Cy2gFM3nw6ti/RS9hz7Gq5SWGnYvzoWaQwknIoH+EtwiDB8Sgy2dgAVct6Qk3lJZjzuu sjbd+/qAfm6VFDnDySQLbfW1M5Je3lwmARks89x1n3LAXJLRmY3jnIjoRMukRNgmYpltIpjxQPaa jOUkDP0jzrLtThSVDC2nKvO2b/K0lU2vQ6Nai5RtCMoJAyErGAJfj6DSKEEUK63kbah9aKk4ZHds AwXN3nF0W44Ik5y7HMh4Sps1lMk31P6Wtn9lwCmBHqIAGYx4ZVemX6NcQ0trHzGDuvwDplIiYJdH 3wwuZzGsPi/Inpb1xQ08BMJJxgSTB6/UF5QNehPKfOW51Rca24wSML5/6kFvyyEPF7xoZgB3m7sH DHu06FBhLSy8/6ls0EGwfhkMt98sN4ormlt4cP35GmLRN6QfaxC0TPPWFmITdiyDffWaSenRZ1Rd QIGAPDq5BoiGoC6CQbxfg4yA3qH8OnbNWT4MQL5kILBfLTiCbgzOREFbYEtJNgIkgRPoUz5trcRW NBOx2Gy5ak2C2rLMHL3UR5Y2tE5cXQ7jLdxBeEdIAzcHdLPSgBtP1QzAdQkLYbi5LDeteyKSD/lu Hn2nxYg796OOwYir8Nq4SABwefGA6eoxz6XHSe9JWwQPrdvUFDnj0ycEEbsvqhgCaa9S2K+DWOf6 9d6OQN9usVAv54qP+LVarIKOgItMumwp/cwg6159pE0mONgeOxqsWOTBEvvjh6e35zs+/AeUjTqe L03yp2ntd7z9Sx6jMHivO/NMXtMuRGwcSZYjVzyd6AbYxSEW1aZ/w/yo6TIwtfHgBSPDi9Py77WH yihfyozSnEu3G8io7a0xlJTVhUADOX0PuPLCC6ZX1ytdh9nzfhkMUGa0PREcXpB1IOCKlSSDUqro vexIyg4mlIfyGePLnJfd5lqiy0E2E5MLinwl1YQdiNOmFO+cAqiWntEEvyllqesN1n9SlmBcQBaz BLuQzDgWVmi5SFblghnuNnijEQWuLeiyDVPlzfqAiFMAg97kzxzDzlo05gKrS6AbUM0rozv/bOGt ddUEkQO3NyHCDSqsMAdJ4jcwAM6guYum4tLOwHVgWIAGlKLPF0v0ZBCbcNBazou1V2as5xOPWnqA U1dxOPZ651aPh+37Bn53qdHf588VspA+TXZMcM8ZDHy+0/gBZ6pVSR4NNyc4W8udw/SDXB5vwKJH lmpMEvvsGpIjo+dP618gvP0yACjD8ZSjyG0USXWuFr8mmOjh0gY5Dw8DE7bzRMqXCVXlFn22q/Pg A4+4f+tsQ1ii6ns2gpkaOrOE2JaU+RCfD2w7HIJZFG5dE5BwCp/22bUUGewtU0Yi+oFcLPACZfDB m3Z7s7tJLXczwp+UGBrolKlM0skpkl25Z08ECjxlbdCpJkahzQCQR6uZmYlYGrSFHVMxMkP5mTiP AfitjuHTJ1EBaQAyhHVc4MIPrXxDE97aQRiqw9c6ZvXFt2SU2f3hr1RYj0LkXduLrvv7qsuwFX+q opvS2afmLT/16KiTLXe8cX08dxBR793MHo6z0aEnakRh2WIW54h3G8Xw2U8DJwr7AL5y4pHGe2lp pLZmH2blyN44QlAg5gwUBOp1ZGqiMt4jBRBKw8XvYHzZdf1kWH+X6FdDSnjTYpY6CDyeUljqcYOf qdhwnJKk9FPSbMQvn8y/1JGXwjsz+6QHMXHrJ9Dd8D6/Qk8OneTgdrOl4t1FcgAijYxZYWKrPH36 M3h6DbdU5U92dM4eUXCFiGCekAqCGZlMT9lwdiBeS+tFdQ71evdMJQnY4uvmfN6Zs2VFJsDyQUMG X8Vr/MJPYQUp9tuSn2iFWR0OcmUlZao4E7bo85lV2bLaJ93K8fAwN8xA1abpAE4QKcxaIjWwWRU1 8qZcfK0EugGzj/hv8jA88qymNU3dLMtW7twIm+uyFujxMNjcTutCoCjzMos+M9u6uQ6/qzKUM54U J5WBNOIA1tFeCK5GcGyf/G1AspcJdRd+NQrD+UBI3h6coE9h+PQ0IEw67HvCmppWmqi2YLgdXFg+ f7t3EyiSG4U3tg37RKsZzX745e+qCVvKTDl7zvPojLDs6SqVQUSrmT8xa6VsblwhTY1WiDaheNWR KZOzL1CwZ0htwDXv9/jpxzPkHMeoM9gPD4azYEjMxzBkao0CEv4Gb3SoweHiQTcDvYdWZITwl1vw r8kzUlRHpLvwffIAxaIFyPnu5ck9GPTkWONbjEgodkHsL6pgkitwHFSvxeD8brVWpGVqQoatI7CK Kbqn0qNTNrmbG5xAd4SuIh3ZfsHJljpJ2gCl0j00zIYM+mLrw1vguDm4mJCbfFAUhe0OJ8eNcPGD JSI+eR5PcVFC3xg9ahZ0h/odESi96gsQhAaKj/z4bBHe7pLuw5i+ApMP2sSpgwGAfzt7r8fKXrSi JlWOPN04aHHAF56OO4iHCX8BLWBOme4j7GOR4+OLAxxrs/wYFsPZQRDzkB+qaZDG8DOmjx5UUQRX 1vXAaq4MLuVrZtlZRhyoqOmRVWNhuSl6wOpnGOGKuFkS/Dw+a1cF8HWJcgPFYjoZqPFVyXB9FhF9 IX1+eGSiEH42sMQe4Ojw21D2PHjUy+tFUKtX6Kr7EIDCasjYEGNyVFCCe6xThG1bKG9S3Wz4obPi 8Hi2YPCe4M9ajr/cp0Sn2NfYmWlKq8Ia/UzctgTPJGJHnludQHaA5MoTAV4LGEVkrQUS0Z/mTpAC FLuXRV5uWN0UZaUVYVshzaaYs/p7Bl4OojtpAwiPMc6aEi2FIaA05zE3osE8h8aHFnycegQLcx2y /Rlduoerdy6/sPhZFiBOEbDyz7XEnNel9RYpTS+PXdgzUJV62SLo+MtjbuMGAnLIwN5mxxriMO/b eljMReX2Ep/YVmwTxgJd72xt1jdlYfdGxE4fLzksNboHq0w7llD20s2qhQlgGhiY4N4rs8VRyqnl UZnnCN3jNRABeNHbM+z5ZoPnUPh5SydI9TYLAoAc/Zcy/uSKugBo6zUbf9Ux6/K+hDUABkUkOeVx VacSBkkDHw6lzQ9nTGUK0EOCHCcS7greiObW9PB72GcJho4HYyE9xNm86fqQz1SVi73Ga2gBjNFm UkqiXDFmV4HOM6+elr2VvAl6TwOMiDKCOKBw4EueJ5BzRDNkUdPYLHQcbtB3Xq6Fp0xrEUW7TlvS 2eO9RK2+TxkGsXphpEUswcPFj+hZIzY3alfNQAh42vnJBhdX2mAel421uCJBSi5t3mCPBsca2dH4 WkyZazjR7D6lbMvAcVcFzUT3/EYIgx+QMEm559ySIbMcf3d2ktYk5WiTLYTi3RbL55nAa9pmvG/A EKtsLYRMBccWCyBztrkqu04Sr1bK6FFA21+4l3KUW4emyGdXlI46iNvoAAWPhSMZ/VpEcIheH+Eh l64zL3BJzszCwlps+e6vM979XiPk6tITlyyhDUh7ZW3hrvNITqXfUjYXtf9gHPXZ8LjveVAAImiv b627YKL6LTxLB/YlVbGgrlj4OYa4ZdF/yuAg/M7nyvIwK1bV820ozRefCVO5qH9iEeMRP9GXOTsN I5Y3mTQA4juHqdx6cjrtMxbudV7+ayGZKvdQe7HQWNgoWEamp7ph1iXhsVCqNGgC5HMkFZG/J5Ak z0MvaQWRhQiI9j2dEm8yQ7U00Ku0iNDpldNO0ln7Dlh8NHTH3AaFvgZI8UNsxU3S1w4UBKYYmZc0 z2u3OSl4U3UFYBnaOq07G376TtwCWNZa24gvb4AqILZPJrn6NdOcw5hyOwLc8ViBejTYzhcfiqiv 4RvXI6iMM0EwIcamSBiICBMLJZdhIwg+Dl4ruHTW2Pq4sanDpE6ke/WoYA6PiYa6CfD2zpwI9+gy qSvS1pjabRqEuZT6frLe0HXV6VMwVe2wkz59TA+N6Q5UmtsEBOKOFRvifCrIM5l/g5uYomQ1FB1I U4rAW2l859eYuMGqIiz9FXL42OdmomaViS7JtAi+pDSuZtcqyOxBWLJGSgYdomji35h6KkhWmeEf 12ayWxgKrJquh6DMrOemj1GENENOE7/Ko1Wv/FlEZo8ZtSh3yUeNzHBmkgKe3lo5RIfqSpvMzpXp 4ksi+gxq0cNzlcQskt2VWZhB0EtJpN6Usz1rYAT+DLjyOrWaEQk2EnTbBDtMfka5sw1ewaZ+4aTO h028thnn43p1vlVCcXdP5rBRPfLXJ6K0vFn52aQrbl2PnSWmhKzJdekdUGRvnI7wjLr32dM86iSH uBDT7KZ3Mj2n5O/viYYnTUMHAcyL6WgIy82k2FzE9VG/9H8+BPoSAdSK+ASWGAltQcfeRA44fyd1 9CznTDYU7x02pMkZwDXhqVdN56MqZyFiq7VG2eHJMkeFx4G5mMD6vsREJVlZI8K30sdemVO/u6N/ WefwhH5D+NUEjEmI4fBM2kazZTQG/KAHxlGVS7yww5w/OpqmCw6cT/N8w/8VoFYdCFLId2rJyQjD UByA5uXUfahVw8+vqYZDs8KkOLn3URjbuZv6ABwzAnwAMNzLzfB66I9REixdGJvjiGmVPqoF8f4z rQw9SXOGzGd7M5Qsx8kM7sQkzyc8lmdjrmRVj/F52G65KuleXxZojJyny+o0XAvZBhLyOu83CKiI roE9Umzu/+J4QsvNKnx7fO+kVYw5HlYwsaBCoqfGAuapIz2suWZlfRfxPdAXqJ1yb8sgPs5sSUsl VIGuzx9Pm3YyOdLqBXSi5QiVurtYyIz5sLmp2T4kH/U4YndTUyXEbgxLqgNtEgQdixohYLtDrvVu KGh70DxwrTViQJ9bMTGsroH4lhGmF0rOEUW3ULRsmKvbpiekz3/VZLvkJ1Wo7WTcdwpcqeI3euig Dhk3zAMnaw8GUVi1UFbJQed67wq65VQ4pWNkSDoLXEaQR9ESMT+4RnvkKYGXdSESjRpUYWIKcG8O wBgao7SpgWx9W8+l5bIQ/3EGOkVkjn4nf2qs3okszXFEjh1NkJYs3ejao1BSkWDudcEj45oYQgOF XropuU0fYbN6lfb3XFycJE3oZ4FrtFlkzwvvW5D3/Wl7erSFMRGje/Yu21F/OVSQeCOE7GFm/vBx YhoTrKqueu2cH48bAEp6BZQZ+2uFMvxMpXB2SxB951WHM5lGBpR7JmOgoYZskQLAL3Gpgu/hgIxN g2SVdz5H50F56DJYKIpyGRVbbEsvHcr59Y2fLls+7vyvLVmytzlTwDlr7rcoK1LFIuMzsQwftWdC L8s5Fyo3rIyc8FsF8XKHOpvfvadR+yb6PnAmfRXS7kAq3Y9LW5HwbRj0iB/vKTXLXhX31XOXqMDY LDJSG+x5Sx30E6rHrDaXO633he+BG2OzoWX6KGvLjgBRrXOVwamGBe2fao6KAVP9bCT9x/kMrxuG pTBz52MQVr6Ii74YJMqwH5itviSHuu3C67ridmD+ytiwL/sI3KVbbQ1mk5ztaGkC4YJonl2BD/UL 18Q8WwVfUq2BP4aZ7Ssigw29I666QKXc7v4Z525aiQx8jVWaPS2Nc1rLsQYsmLROKrpayyYPMgLG vE8a6/0NRjwTGw1xpkqNoo9bRF/12uL5bH3vJTmmlJsCaZRnATkDHFyE55yEMuLtw/IR+X93hOsG PjGlj3kVw/9yQ8YcVv3ZsYjkl3/ON+nNugF/Hpdx6UJcuadzGhtZADPQAwUn2CQbTUSGu+9Z0Jp3 /WYLb1GOO6ZbKVDUSw+hFWOgkpIo4ov6kORA1TzhM3c540OlwWsUXcHQJQkH5khKRhCUeHNbMP+9 YSsXEvL141eLmMZeubrxwYZ+amMxA1+GsWyV45Z6YOLrc7Iob6rxrdzuya9pn4hbFwtaBwEK4skY y+/FCZxSAK4ehIj2132pOoOf/3cXApqm9fzhDCrQYrlXXohzgcA8 `protect end_protected
bsd-2-clause
f82fc786e8c2e884f1adbc553be3f0c3
0.949771
1.824352
false
false
false
false
siavooshpayandehazad/TTU_CPU_Project
pico_CPU_pipelined_MIPS32/RegisterFile.vhd
1
4,714
--Copyright (C) 2017 Siavoosh Payandeh Azad library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.math_real.all; use IEEE.Numeric_Std.all; use work.pico_cpu.all; entity RegisterFile is generic (BitWidth: integer); port ( clk : in std_logic; rst: in std_logic; Data_in_mem : in std_logic_vector (BitWidth-1 downto 0); Data_in_CU : in std_logic_vector (BitWidth-1 downto 0); Data_in_DPU_LOW : in std_logic_vector (BitWidth-1 downto 0); Data_in_ACC_LOW : in std_logic_vector (BitWidth-1 downto 0); Data_in_ACC_HI : in std_logic_vector (BitWidth-1 downto 0); Data_in_R2 : in std_logic_vector (BitWidth-1 downto 0); Data_in_sel : in RFILE_IN_MUX; RFILE_in_address : in std_logic_vector (RFILE_SEL_WIDTH-1 downto 0); WB_enable : in std_logic_vector (3 downto 0); Register_out_sel_1 : in std_logic_vector (RFILE_SEL_WIDTH-1 downto 0); Register_out_sel_2 : in std_logic_vector (RFILE_SEL_WIDTH-1 downto 0); Data_out_1 : out std_logic_vector (BitWidth-1 downto 0); Data_out_2 : out std_logic_vector (BitWidth-1 downto 0) ); end RegisterFile; architecture Behavioral of RegisterFile is type RFILE_type is array (0 to RFILE_DEPTH-1) of std_logic_vector(BitWidth-1 downto 0) ; signal RFILE : RFILE_type := ((others=> (others=>'0'))); signal Data_in: std_logic_vector (BitWidth-1 downto 0):= (others=>'0'); alias address_in : std_logic_vector(RFILE_SEL_WIDTH-1 downto 0) is RFILE_in_address(RFILE_SEL_WIDTH-1 downto 0); begin CLOCK_PROCCESS: process (clk,rst)begin if rst = '1' then RFILE <= ((others=> (others=>'0'))); elsif clk'event and clk='1' then if WB_enable(0) = '1' then RFILE(to_integer(unsigned(RFILE_in_address)))(7 downto 0) <= Data_in(7 downto 0); end if; if WB_enable(1) = '1' then RFILE(to_integer(unsigned(RFILE_in_address)))(15 downto 8) <= Data_in(15 downto 8); end if; if WB_enable(2) = '1' then RFILE(to_integer(unsigned(RFILE_in_address)))(23 downto 16) <= Data_in(23 downto 16); end if; if WB_enable(3) = '1' then RFILE(to_integer(unsigned(RFILE_in_address)))(31 downto 23) <= Data_in(31 downto 23); end if; RFILE(0) <= (others=>'0'); end if; end process; DATA_INPUT_SELECT: process(Data_in_mem,Data_in_CU,Data_in_ACC_HI, Data_in_ACC_LOW, Data_in_DPU_LOW, Data_in_sel)begin case Data_in_sel is when CU => Data_in <= Data_in_CU; when DPU_LOW => Data_in <= Data_in_DPU_LOW; when ACC_LOW => Data_in <= Data_in_ACC_LOW; when ACC_HI => Data_in <= Data_in_ACC_HI; when R2 => Data_in <= Data_in_R2; when FROM_MEM8 => Data_in <= ZERO16 & ZERO8 & Data_in_mem(7 downto 0); when FROM_MEM16 => Data_in <= ZERO16 & Data_in_mem(15 downto 0); when FROM_MEM8_SGINED => if Data_in_mem(7) = '0' then Data_in <= ZERO16 & ZERO8 & Data_in_mem(7 downto 0); else Data_in <= ONE16 & ONE8 & Data_in_mem(7 downto 0); end if; when FROM_MEM16_SGINED => if Data_in_mem(15) = '0' then Data_in <= ZERO16 & Data_in_mem(15 downto 0); else Data_in <= ONE16 & Data_in_mem(15 downto 0); end if; when FROM_MEM32 => Data_in <= Data_in_mem; when others => Data_in <= (others=>'0'); end case; end process; OUTPUT_1_MANAGEMENT: process(Register_out_sel_1, address_in, Data_in, WB_enable)begin -- here we bypass the REGFILE if the address_in = Register_out_sel_1 to avoid some of the data-hazards -- be carefull if you remove the checks for Data_in_sel then you might end up with a nice combinatorial loop if address_in = Register_out_sel_1 and WB_enable /= "0000" then Data_out_1 <= Data_in; else Data_out_1<= RFILE(to_integer(unsigned(Register_out_sel_1))); end if; end process; OUTPUT_2_MANAGEMENT: process(Register_out_sel_2, address_in, Data_in, WB_enable)begin -- here we bypass the REGFILE if the address_in = Register_out_sel_2 to avoid some of the data-hazards -- be carefull if you remove the checks for Data_in_sel then you might end up with a nice combinatorial loop if address_in = Register_out_sel_2 and WB_enable /= "0000" then Data_out_2 <= Data_in; else Data_out_2<= RFILE(to_integer(unsigned(Register_out_sel_2))); end if; end process; end Behavioral;
gpl-2.0
1e5c923864e7b8fb9b16e28e813f2ccb
0.589945
3.155288
false
false
false
false
Yarr/Yarr-fw
rtl/spartan6/gn4124-core/spartan6/gn4124_core.vhd
2
36,218
-------------------------------------------------------------------------------- -- -- -- CERN BE-CO-HT GN4124 core for PCIe FMC carrier -- -- http://www.ohwr.org/projects/gn4124-core -- -------------------------------------------------------------------------------- -- -- unit name: Gn4124 core main block (gn4124_core_s6.vhd) -- -- authors: Simon Deprez ([email protected]) -- Matthieu Cattin ([email protected]) -- -- date: 31-08-2010 -- -- version: 0.3 -- -- description: GN4124 core top level. -- Version for spartan6 FPGAs. -- -- dependencies: -- -------------------------------------------------------------------------------- -- GNU LESSER GENERAL PUBLIC LICENSE -------------------------------------------------------------------------------- -- This source file is free software; you can redistribute it and/or modify it -- under the terms of the GNU Lesser General Public License as published by the -- Free Software Foundation; either version 2.1 of the License, or (at your -- option) any later version. This source is distributed in the hope that it -- will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty -- of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. -- See the GNU Lesser General Public License for more details. You should have -- received a copy of the GNU Lesser General Public License along with this -- source; if not, download it from http://www.gnu.org/licenses/lgpl-2.1.html -------------------------------------------------------------------------------- -- last changes: see svn log -------------------------------------------------------------------------------- -- TODO: - DMA wishbone bus address map -- - reset and clock signals -- - wishbone timeout generic ?? -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; use work.gn4124_core_pkg.all; library UNISIM; use UNISIM.vcomponents.all; --============================================================================== -- Entity declaration for GN4124 core (gn4124_core) --============================================================================== entity gn4124_core is generic ( g_ACK_TIMEOUT : positive := 100 -- Wishbone ACK timeout (in wishbone clock cycles) ); port ( --------------------------------------------------------- -- Control and status rst_n_a_i : in std_logic; -- Asynchronous reset from GN4124 status_o : out std_logic_vector(31 downto 0); -- Core status output --------------------------------------------------------- -- P2L Direction -- -- Source Sync DDR related signals p2l_clk_p_i : in std_logic; -- Receiver Source Synchronous Clock+ p2l_clk_n_i : in std_logic; -- Receiver Source Synchronous Clock- p2l_data_i : in std_logic_vector(15 downto 0); -- Parallel receive data p2l_dframe_i : in std_logic; -- Receive Frame p2l_valid_i : in std_logic; -- Receive Data Valid -- P2L Control p2l_rdy_o : out std_logic; -- Rx Buffer Full Flag p_wr_req_i : in std_logic_vector(1 downto 0); -- PCIe Write Request p_wr_rdy_o : out std_logic_vector(1 downto 0); -- PCIe Write Ready rx_error_o : out std_logic; -- Receive Error vc_rdy_i : in std_logic_vector(1 downto 0); -- Virtual channel ready --------------------------------------------------------- -- L2P Direction -- -- Source Sync DDR related signals l2p_clk_p_o : out std_logic; -- Transmitter Source Synchronous Clock+ l2p_clk_n_o : out std_logic; -- Transmitter Source Synchronous Clock- l2p_data_o : out std_logic_vector(15 downto 0); -- Parallel transmit data l2p_dframe_o : out std_logic; -- Transmit Data Frame l2p_valid_o : out std_logic; -- Transmit Data Valid -- L2P Control l2p_edb_o : out std_logic; -- Packet termination and discard l2p_rdy_i : in std_logic; -- Tx Buffer Full Flag l_wr_rdy_i : in std_logic_vector(1 downto 0); -- Local-to-PCIe Write p_rd_d_rdy_i : in std_logic_vector(1 downto 0); -- PCIe-to-Local Read Response Data Ready tx_error_i : in std_logic; -- Transmit Error --------------------------------------------------------- -- Interrupt interface dma_irq_o : out std_logic_vector(1 downto 0); -- Interrupts sources to IRQ manager irq_p_i : in std_logic; -- Interrupt request pulse from IRQ manager irq_p_o : out std_logic; -- Interrupt request pulse to GN4124 GPIO --------------------------------------------------------- -- DMA registers wishbone interface (slave classic) dma_reg_clk_i : in std_logic; dma_reg_adr_i : in std_logic_vector(31 downto 0); dma_reg_dat_i : in std_logic_vector(31 downto 0); dma_reg_sel_i : in std_logic_vector(3 downto 0); dma_reg_stb_i : in std_logic; dma_reg_we_i : in std_logic; dma_reg_cyc_i : in std_logic; dma_reg_dat_o : out std_logic_vector(31 downto 0); dma_reg_ack_o : out std_logic; dma_reg_stall_o : out std_logic; --------------------------------------------------------- -- CSR wishbone interface (master pipelined) csr_clk_i : in std_logic; csr_adr_o : out std_logic_vector(31 downto 0); csr_dat_o : out std_logic_vector(31 downto 0); csr_sel_o : out std_logic_vector(3 downto 0); csr_stb_o : out std_logic; csr_we_o : out std_logic; csr_cyc_o : out std_logic; csr_dat_i : in std_logic_vector(31 downto 0); csr_ack_i : in std_logic; csr_stall_i : in std_logic; csr_err_i : in std_logic; csr_rty_i : in std_logic; -- not used internally csr_int_i : in std_logic; -- not used internally --------------------------------------------------------- -- DMA wishbone interface (master pipelined) dma_clk_i : in std_logic; dma_adr_o : out std_logic_vector(31 downto 0); dma_dat_o : out std_logic_vector(31 downto 0); dma_sel_o : out std_logic_vector(3 downto 0); dma_stb_o : out std_logic; dma_we_o : out std_logic; dma_cyc_o : out std_logic; dma_dat_i : in std_logic_vector(31 downto 0); dma_ack_i : in std_logic; dma_stall_i : in std_logic; dma_err_i : in std_logic; -- not used internally dma_rty_i : in std_logic; -- not used internally dma_int_i : in std_logic -- not used internally ); end gn4124_core; --============================================================================== -- Architecture declaration for GN4124 core (gn4124_core) --============================================================================== architecture rtl of gn4124_core is ----------------------------------------------------------------------------- -- Components declaration ----------------------------------------------------------------------------- component serdes_1_to_n_clk_pll_s2_diff generic ( PLLD : integer := 1; -- Parameter to set division for PLL PLLX : integer := 2; -- Parameter to set multiplier for PLL (2 for DDR) CLKIN_PERIOD : real := 5.000; -- clock period (ns) of input clock on clkin_p S : integer := 2; -- Parameter to set the serdes factor 1..8 BS : boolean := false; -- Parameter to enable bitslip TRUE or FALSE DIFF_TERM : boolean := false) ; -- Enable or disable internal differential termination port ( clkin_p : in std_logic; -- Input from LVDS receiver pin clkin_n : in std_logic; -- Input from LVDS receiver pin reset : in std_logic; -- Reset line pattern1 : in std_logic_vector(S-1 downto 0); -- Pattern that bitslip should search for pattern2 : in std_logic_vector(S-1 downto 0); -- Alternate pattern that bitslip should search for rxioclk : out std_logic; -- IO Clock network rx_serdesstrobe : out std_logic; -- Parallel data capture strobe rx_bufg_pll_x1 : out std_logic; -- Global clock rx_pll_lckd : out std_logic; -- PLL locked - only used if a 2nd BUFPLL is required rx_pllout_xs : out std_logic; -- Multiplied PLL clock - only used if a 2nd BUFPLL is required bitslip : out std_logic; -- Bitslip control line datain : out std_logic_vector(S-1 downto 0); -- Output data rx_bufpll_lckd : out std_logic); -- BUFPLL locked end component serdes_1_to_n_clk_pll_s2_diff; component pulse_synchronizer port ( clk_in_i : in std_logic; --! Input pulse clock domain clk_out_i : in std_logic; --! Output pulse clock domain pulse_i : in std_logic; --! One clk_in_i tick input pulse done_o : out std_logic; --! Input pulse is synchronized (1 clk_in_i tick) pulse_o : out std_logic --! One clk_out_i tick output pulse ); end component pulse_synchronizer; ------------------------------------------------------------------------------ -- Signals declaration ------------------------------------------------------------------------------ -- Clock signal sys_clk : std_logic; signal io_clk : std_logic; signal serdes_strobe : std_logic; signal p2l_pll_locked : std_logic; -- Reset for all clk_p logic signal rst_reg : std_logic; signal rst_n : std_logic; signal rst : std_logic; ------------------------------------------------------------- -- P2L DataPath (from deserializer to packet decoder) ------------------------------------------------------------- signal des_pd_valid : std_logic; signal des_pd_dframe : std_logic; signal des_pd_data : std_logic_vector(31 downto 0); -- Local bus control signal p_wr_rdy : std_logic; signal p2l_rdy_wbm : std_logic; signal p2l_rdy_pdm : std_logic; ------------------------------------------------------------- -- P2L DataPath (from packet decoder to Wishbone master and P2L DMA master) ------------------------------------------------------------- signal p2l_hdr_start : std_logic; signal p2l_hdr_length : std_logic_vector(9 downto 0); signal p2l_hdr_cid : std_logic_vector(1 downto 0); signal p2l_hdr_last : std_logic; signal p2l_hdr_stat : std_logic_vector(1 downto 0); signal p2l_target_mrd : std_logic; signal p2l_target_mwr : std_logic; signal p2l_master_cpld : std_logic; signal p2l_master_cpln : std_logic; signal p2l_d_valid : std_logic; signal p2l_d_last : std_logic; signal p2l_d : std_logic_vector(31 downto 0); signal p2l_be : std_logic_vector(3 downto 0); signal p2l_addr : std_logic_vector(31 downto 0); signal p2l_addr_start : std_logic; ------------------------------------------------------------- -- L2P DataPath (from arbiter to serializer) ------------------------------------------------------------- signal arb_ser_valid : std_logic; signal arb_ser_dframe : std_logic; signal arb_ser_data : std_logic_vector(31 downto 0); -- Local bus control signal l_wr_rdy_t : std_logic_vector(1 downto 0); signal l_wr_rdy_t2 : std_logic_vector(1 downto 0); signal l_wr_rdy : std_logic_vector(1 downto 0); signal p_rd_d_rdy_t : std_logic_vector(1 downto 0); signal p_rd_d_rdy_t2 : std_logic_vector(1 downto 0); signal p_rd_d_rdy : std_logic_vector(1 downto 0); signal l2p_rdy_t : std_logic; signal l2p_rdy_t2 : std_logic; signal l2p_rdy : std_logic; signal l2p_edb : std_logic; signal l2p_edb_t : std_logic; signal l2p_edb_t2 : std_logic; signal tx_error_t2 : std_logic; signal tx_error_t : std_logic; signal tx_error : std_logic; ------------------------------------------------------------- -- CSR wishbone master to arbiter ------------------------------------------------------------- signal wbm_arb_valid : std_logic; signal wbm_arb_dframe : std_logic; signal wbm_arb_data : std_logic_vector(31 downto 0); signal wbm_arb_req : std_logic; signal arb_wbm_gnt : std_logic; ------------------------------------------------------------- -- L2P DMA master to arbiter ------------------------------------------------------------- signal ldm_arb_req : std_logic; signal arb_ldm_gnt : std_logic; signal ldm_arb_valid : std_logic; signal ldm_arb_dframe : std_logic; signal ldm_arb_data : std_logic_vector(31 downto 0); ------------------------------------------------------------- -- P2L DMA master to arbiter ------------------------------------------------------------- signal pdm_arb_valid : std_logic; signal pdm_arb_dframe : std_logic; signal pdm_arb_data : std_logic_vector(31 downto 0); signal pdm_arb_req : std_logic; signal arb_pdm_gnt : std_logic; ------------------------------------------------------------- -- DMA controller ------------------------------------------------------------- signal dma_ctrl_carrier_addr : std_logic_vector(31 downto 0); signal dma_ctrl_host_addr_h : std_logic_vector(31 downto 0); signal dma_ctrl_host_addr_l : std_logic_vector(31 downto 0); signal dma_ctrl_len : std_logic_vector(31 downto 0); signal dma_ctrl_start_l2p : std_logic; signal dma_ctrl_start_p2l : std_logic; signal dma_ctrl_start_next : std_logic; signal dma_ctrl_done : std_logic; signal dma_ctrl_error : std_logic; signal dma_ctrl_l2p_done : std_logic; signal dma_ctrl_l2p_error : std_logic; signal dma_ctrl_p2l_done : std_logic; signal dma_ctrl_p2l_error : std_logic; signal dma_ctrl_byte_swap : std_logic_vector(1 downto 0); signal dma_ctrl_abort : std_logic; signal next_item_carrier_addr : std_logic_vector(31 downto 0); signal next_item_host_addr_h : std_logic_vector(31 downto 0); signal next_item_host_addr_l : std_logic_vector(31 downto 0); signal next_item_len : std_logic_vector(31 downto 0); signal next_item_next_l : std_logic_vector(31 downto 0); signal next_item_next_h : std_logic_vector(31 downto 0); signal next_item_attrib : std_logic_vector(31 downto 0); signal next_item_valid : std_logic; signal dma_irq : std_logic_vector(1 downto 0); ------------------------------------------------------------------------------ -- CSR wishbone bus ------------------------------------------------------------------------------ signal csr_adr : std_logic_vector(30 downto 0); ------------------------------------------------------------------------------ -- DMA wishbone bus ------------------------------------------------------------------------------ signal l2p_dma_adr : std_logic_vector(31 downto 0); signal l2p_dma_dat_s2m : std_logic_vector(31 downto 0); signal l2p_dma_dat_m2s : std_logic_vector(31 downto 0); signal l2p_dma_sel : std_logic_vector(3 downto 0); signal l2p_dma_cyc : std_logic; signal l2p_dma_stb : std_logic; signal l2p_dma_we : std_logic; signal l2p_dma_ack : std_logic; signal l2p_dma_stall : std_logic; signal p2l_dma_adr : std_logic_vector(31 downto 0); signal p2l_dma_dat_s2m : std_logic_vector(31 downto 0); signal p2l_dma_dat_m2s : std_logic_vector(31 downto 0); signal p2l_dma_sel : std_logic_vector(3 downto 0); signal p2l_dma_cyc : std_logic; signal p2l_dma_stb : std_logic; signal p2l_dma_we : std_logic; signal p2l_dma_ack : std_logic; signal p2l_dma_stall : std_logic; --============================================================================== -- Architecture begin (gn4124_core) --============================================================================== begin ------------------------------------------------------------------------------ -- Status output assignment ------------------------------------------------------------------------------ status_o(0) <= l2p_edb; status_o(1) <= irq_p_i; status_o(2) <= p2l_rdy_wbm and p2l_rdy_pdm; status_o(3) <= arb_ser_valid; status_o(4) <= arb_ser_dframe; status_o(20 downto 5) <= ldm_arb_data(15 downto 0); status_o(21) <= arb_pdm_gnt; status_o(22) <= arb_ldm_gnt; status_o(23) <= arb_wbm_gnt; status_o(24) <= ldm_arb_req; status_o(25) <= pdm_arb_req; status_o(26) <= wbm_arb_req; status_o(27 downto 28) <= p_rd_d_rdy; status_o(29 downto 30) <= l_wr_rdy; status_o(31) <= l2p_rdy; -- status_o(31 downto 1) <= (others => '0'); ------------------------------------------------------------------------------ -- Clock Input. Generate ioclocks and system clock via BUFPLL ------------------------------------------------------------------------------ cmp_clk_in : serdes_1_to_n_clk_pll_s2_diff generic map( CLKIN_PERIOD => 5.000, PLLD => 1, PLLX => 2, S => 2, BS => false) port map ( clkin_p => p2l_clk_p_i, clkin_n => p2l_clk_n_i, rxioclk => io_clk, pattern1 => "10", pattern2 => "10", rx_serdesstrobe => serdes_strobe, rx_bufg_pll_x1 => sys_clk, bitslip => open, reset => rst, datain => open, rx_bufpll_lckd => p2l_pll_locked) ; ------------------------------------------------------------------------------ -- Reset aligned to core clock ------------------------------------------------------------------------------ p_core_rst : process (sys_clk, rst_n_a_i) begin if rst_n_a_i = c_RST_ACTIVE then rst_reg <= c_RST_ACTIVE; elsif rising_edge(sys_clk) then if p2l_pll_locked = '1' then rst_reg <= not(c_RST_ACTIVE); end if; end if; end process p_core_rst; rst_n <= rst_reg; -- Always active high reset for PLL rst <= not(rst_n_a_i); ------------------------------------------------------------------------------ -- IRQ pulse forward to GN4124 GPIO ------------------------------------------------------------------------------ irq_p_o <= irq_p_i; --============================================================================ -- P2L DataPath --============================================================================ ----------------------------------------------------------------------------- -- p2l_des: Deserialize the P2L DDR inputs ----------------------------------------------------------------------------- cmp_p2l_des : p2l_des port map ( --------------------------------------------------------- -- Clocks and reset rst_n_i => rst_n, sys_clk_i => sys_clk, io_clk_i => io_clk, serdes_strobe_i => serdes_strobe, --------------------------------------------------------- -- P2L DDR inputs p2l_valid_i => p2l_valid_i, p2l_dframe_i => p2l_dframe_i, p2l_data_i => p2l_data_i, --------------------------------------------------------- -- P2L SDR outputs p2l_valid_o => des_pd_valid, p2l_dframe_o => des_pd_dframe, p2l_data_o => des_pd_data ); ------------------------------------------------------------------------------ -- P2L local bus control signals ------------------------------------------------------------------------------ -- de-asserted to pause transfer from GN4124 p2l_rdy_o <= p2l_rdy_wbm and p2l_rdy_pdm; ----------------------------------------------------------------------------- -- p2l_decode32: Decode the output of the p2l_des ----------------------------------------------------------------------------- cmp_p2l_decode32 : p2l_decode32 port map ( --------------------------------------------------------- -- Clock/Reset clk_i => sys_clk, rst_n_i => rst_n, --------------------------------------------------------- -- Input from the Deserializer -- des_p2l_valid_i => des_pd_valid, des_p2l_dframe_i => des_pd_dframe, des_p2l_data_i => des_pd_data, --------------------------------------------------------- -- Decoder Outputs -- -- Header p2l_hdr_start_o => p2l_hdr_start, p2l_hdr_length_o => p2l_hdr_length, p2l_hdr_cid_o => p2l_hdr_cid, p2l_hdr_last_o => p2l_hdr_last, p2l_hdr_stat_o => p2l_hdr_stat, p2l_target_mrd_o => p2l_target_mrd, p2l_target_mwr_o => p2l_target_mwr, p2l_master_cpld_o => p2l_master_cpld, p2l_master_cpln_o => p2l_master_cpln, -- -- Address p2l_addr_start_o => p2l_addr_start, p2l_addr_o => p2l_addr, -- -- Data p2l_d_valid_o => p2l_d_valid, p2l_d_last_o => p2l_d_last, p2l_d_o => p2l_d, p2l_be_o => p2l_be ); --=========================================================================== -- Core Logic Blocks --=========================================================================== ----------------------------------------------------------------------------- -- Wishbone master ----------------------------------------------------------------------------- cmp_wbmaster32 : wbmaster32 generic map( g_ACK_TIMEOUT => g_ACK_TIMEOUT ) port map ( --------------------------------------------------------- -- Clock/Reset clk_i => sys_clk, rst_n_i => rst_n, --------------------------------------------------------- -- From P2L Decoder -- -- Header pd_wbm_hdr_start_i => p2l_hdr_start, pd_wbm_hdr_length_i => p2l_hdr_length, pd_wbm_hdr_cid_i => p2l_hdr_cid, pd_wbm_target_mrd_i => p2l_target_mrd, pd_wbm_target_mwr_i => p2l_target_mwr, -- -- Address pd_wbm_addr_start_i => p2l_addr_start, pd_wbm_addr_i => p2l_addr, -- -- Data pd_wbm_data_valid_i => p2l_d_valid, pd_wbm_data_last_i => p2l_d_last, pd_wbm_data_i => p2l_d, pd_wbm_be_i => p2l_be, --------------------------------------------------------- -- P2L Control p_wr_rdy_o => p_wr_rdy_o, p2l_rdy_o => p2l_rdy_wbm, p_rd_d_rdy_i => p_rd_d_rdy, --------------------------------------------------------- -- To the L2P Interface wbm_arb_valid_o => wbm_arb_valid, wbm_arb_dframe_o => wbm_arb_dframe, wbm_arb_data_o => wbm_arb_data, wbm_arb_req_o => wbm_arb_req, arb_wbm_gnt_i => arb_wbm_gnt, --------------------------------------------------------- -- Wishbone Interface wb_clk_i => csr_clk_i, wb_adr_o => csr_adr, wb_dat_i => csr_dat_i, wb_dat_o => csr_dat_o, wb_sel_o => csr_sel_o, wb_cyc_o => csr_cyc_o, wb_stb_o => csr_stb_o, wb_we_o => csr_we_o, wb_ack_i => csr_ack_i, wb_stall_i => csr_stall_i, wb_err_i => csr_err_i, wb_rty_i => csr_rty_i, wb_int_i => csr_int_i ); -- Adapt address bus width for top level csr_adr_o <= '0' & csr_adr; ----------------------------------------------------------------------------- -- DMA controller ----------------------------------------------------------------------------- cmp_dma_controller : dma_controller port map ( clk_i => sys_clk, rst_n_i => rst_n, dma_ctrl_irq_o => dma_irq, dma_ctrl_carrier_addr_o => dma_ctrl_carrier_addr, dma_ctrl_host_addr_h_o => dma_ctrl_host_addr_h, dma_ctrl_host_addr_l_o => dma_ctrl_host_addr_l, dma_ctrl_len_o => dma_ctrl_len, dma_ctrl_start_l2p_o => dma_ctrl_start_l2p, dma_ctrl_start_p2l_o => dma_ctrl_start_p2l, dma_ctrl_start_next_o => dma_ctrl_start_next, dma_ctrl_done_i => dma_ctrl_done, dma_ctrl_error_i => dma_ctrl_error, dma_ctrl_byte_swap_o => dma_ctrl_byte_swap, dma_ctrl_abort_o => dma_ctrl_abort, next_item_carrier_addr_i => next_item_carrier_addr, next_item_host_addr_h_i => next_item_host_addr_h, next_item_host_addr_l_i => next_item_host_addr_l, next_item_len_i => next_item_len, next_item_next_l_i => next_item_next_l, next_item_next_h_i => next_item_next_h, next_item_attrib_i => next_item_attrib, next_item_valid_i => next_item_valid, wb_clk_i => dma_reg_clk_i, wb_adr_i => dma_reg_adr_i(3 downto 0), wb_dat_o => dma_reg_dat_o, wb_dat_i => dma_reg_dat_i, wb_sel_i => dma_reg_sel_i, wb_cyc_i => dma_reg_cyc_i, wb_stb_i => dma_reg_stb_i, wb_we_i => dma_reg_we_i, wb_ack_o => dma_reg_ack_o ); -- DMA registers is a classic wishbone slave supporting single pipelined cycles dma_reg_stall_o <= '0'; -- Status signals from DMA masters dma_ctrl_done <= dma_ctrl_l2p_done or dma_ctrl_p2l_done; dma_ctrl_error <= dma_ctrl_l2p_error or dma_ctrl_p2l_error; -- Synchronise DMA IRQ pulse to csr_clk_i clock domain l_dma_irq_sync : for I in 0 to dma_irq'length-1 generate cmp_dma_irq_sync : pulse_synchronizer port map( clk_in_i => sys_clk, clk_out_i => csr_clk_i, pulse_i => dma_irq(I), done_o => open, pulse_o => dma_irq_o(I) ); end generate l_dma_irq_sync; ----------------------------------------------------------------------------- -- L2P DMA master ----------------------------------------------------------------------------- cmp_l2p_dma_master : l2p_dma_master port map ( clk_i => sys_clk, rst_n_i => rst_n, dma_ctrl_target_addr_i => dma_ctrl_carrier_addr, dma_ctrl_host_addr_h_i => dma_ctrl_host_addr_h, dma_ctrl_host_addr_l_i => dma_ctrl_host_addr_l, dma_ctrl_len_i => dma_ctrl_len, dma_ctrl_start_l2p_i => dma_ctrl_start_l2p, dma_ctrl_done_o => dma_ctrl_l2p_done, dma_ctrl_error_o => dma_ctrl_l2p_error, dma_ctrl_byte_swap_i => dma_ctrl_byte_swap, dma_ctrl_abort_i => dma_ctrl_abort, ldm_arb_valid_o => ldm_arb_valid, ldm_arb_dframe_o => ldm_arb_dframe, ldm_arb_data_o => ldm_arb_data, ldm_arb_req_o => ldm_arb_req, arb_ldm_gnt_i => arb_ldm_gnt, l2p_edb_o => l2p_edb, l_wr_rdy_i => l_wr_rdy, l2p_rdy_i => l2p_rdy, tx_error_i => tx_error, l2p_dma_clk_i => dma_clk_i, l2p_dma_adr_o => l2p_dma_adr, l2p_dma_dat_i => l2p_dma_dat_s2m, l2p_dma_dat_o => l2p_dma_dat_m2s, l2p_dma_sel_o => l2p_dma_sel, l2p_dma_cyc_o => l2p_dma_cyc, l2p_dma_stb_o => l2p_dma_stb, l2p_dma_we_o => l2p_dma_we, l2p_dma_ack_i => l2p_dma_ack, l2p_dma_stall_i => l2p_dma_stall, p2l_dma_cyc_i => p2l_dma_cyc ); ----------------------------------------------------------------------------- -- P2L DMA master ----------------------------------------------------------------------------- cmp_p2l_dma_master : p2l_dma_master port map ( clk_i => sys_clk, rst_n_i => rst_n, dma_ctrl_carrier_addr_i => dma_ctrl_carrier_addr, dma_ctrl_host_addr_h_i => dma_ctrl_host_addr_h, dma_ctrl_host_addr_l_i => dma_ctrl_host_addr_l, dma_ctrl_len_i => dma_ctrl_len, dma_ctrl_start_p2l_i => dma_ctrl_start_p2l, dma_ctrl_start_next_i => dma_ctrl_start_next, dma_ctrl_done_o => dma_ctrl_p2l_done, dma_ctrl_error_o => dma_ctrl_p2l_error, dma_ctrl_byte_swap_i => dma_ctrl_byte_swap, dma_ctrl_abort_i => dma_ctrl_abort, pd_pdm_hdr_start_i => p2l_hdr_start, pd_pdm_hdr_length_i => p2l_hdr_length, pd_pdm_hdr_cid_i => p2l_hdr_cid, pd_pdm_master_cpld_i => p2l_master_cpld, pd_pdm_master_cpln_i => p2l_master_cpln, pd_pdm_data_valid_i => p2l_d_valid, pd_pdm_data_last_i => p2l_d_last, pd_pdm_data_i => p2l_d, pd_pdm_be_i => p2l_be, p2l_rdy_o => p2l_rdy_pdm, rx_error_o => rx_error_o, pdm_arb_valid_o => pdm_arb_valid, pdm_arb_dframe_o => pdm_arb_dframe, pdm_arb_data_o => pdm_arb_data, pdm_arb_req_o => pdm_arb_req, arb_pdm_gnt_i => arb_pdm_gnt, p2l_dma_clk_i => dma_clk_i, p2l_dma_adr_o => p2l_dma_adr, p2l_dma_dat_i => p2l_dma_dat_s2m, p2l_dma_dat_o => p2l_dma_dat_m2s, p2l_dma_sel_o => p2l_dma_sel, p2l_dma_cyc_o => p2l_dma_cyc, p2l_dma_stb_o => p2l_dma_stb, p2l_dma_we_o => p2l_dma_we, p2l_dma_ack_i => p2l_dma_ack, p2l_dma_stall_i => p2l_dma_stall, l2p_dma_cyc_i => l2p_dma_cyc, next_item_carrier_addr_o => next_item_carrier_addr, next_item_host_addr_h_o => next_item_host_addr_h, next_item_host_addr_l_o => next_item_host_addr_l, next_item_len_o => next_item_len, next_item_next_l_o => next_item_next_l, next_item_next_h_o => next_item_next_h, next_item_attrib_o => next_item_attrib, next_item_valid_o => next_item_valid ); p_dma_wb_mux : process (p2l_dma_cyc, l2p_dma_cyc, l2p_dma_we, p2l_dma_we, l2p_dma_stb, p2l_dma_stb, l2p_dma_sel, p2l_dma_sel, l2p_dma_dat_m2s, p2l_dma_dat_m2s, l2p_dma_adr, p2l_dma_adr) begin if (l2p_dma_cyc = '1') then dma_adr_o <= l2p_dma_adr; dma_dat_o <= l2p_dma_dat_m2s; dma_sel_o <= l2p_dma_sel; dma_cyc_o <= l2p_dma_cyc; dma_stb_o <= l2p_dma_stb; dma_we_o <= l2p_dma_we; elsif (p2l_dma_cyc = '1') then dma_adr_o <= p2l_dma_adr; dma_dat_o <= p2l_dma_dat_m2s; dma_sel_o <= p2l_dma_sel; dma_cyc_o <= p2l_dma_cyc; dma_stb_o <= p2l_dma_stb; dma_we_o <= p2l_dma_we; else dma_adr_o <= (others => '0'); dma_dat_o <= (others => '0'); dma_sel_o <= (others => '0'); dma_cyc_o <= '0'; dma_stb_o <= '0'; dma_we_o <= '0'; end if; end process p_dma_wb_mux; l2p_dma_dat_s2m <= dma_dat_i; p2l_dma_dat_s2m <= dma_dat_i; l2p_dma_ack <= dma_ack_i; p2l_dma_ack <= dma_ack_i; l2p_dma_stall <= dma_stall_i; p2l_dma_stall <= dma_stall_i; --=========================================================================== -- L2P DataPath --=========================================================================== ----------------------------------------------------------------------------- -- Resync GN412x L2P status signals ----------------------------------------------------------------------------- p_l2p_status_sync : process (sys_clk, rst_n) begin if(rst_n = c_RST_ACTIVE) then l_wr_rdy_t <= "00"; l_wr_rdy_t2 <= "00"; l_wr_rdy <= "00"; p_rd_d_rdy_t <= "00"; p_rd_d_rdy_t2 <= "00"; p_rd_d_rdy <= "00"; l2p_rdy_t <= '0'; l2p_rdy_t2 <= '0'; l2p_rdy <= '0'; l2p_edb_o <= '0'; l2p_edb_t <= '0'; l2p_edb_t2 <= '0'; tx_error_t2 <= '0'; tx_error_t <= '0'; tx_error <= '0'; elsif rising_edge(sys_clk) then -- must be checked before l2p_dma_master issues a master write l_wr_rdy_t <= l_wr_rdy_i; l_wr_rdy_t2 <= l_wr_rdy_t; l_wr_rdy <= l_wr_rdy_t2; -- must be checked before wbmaster32 sends read completion with data p_rd_d_rdy_t <= p_rd_d_rdy_i; p_rd_d_rdy_t2 <= p_rd_d_rdy_t; p_rd_d_rdy <= p_rd_d_rdy_t2; -- when de-asserted, l2p_dma_master must stop sending data (de-assert l2p_valid) within 3 (or 7 ?) clock cycles l2p_rdy_t <= l2p_rdy_i; l2p_rdy_t2 <= l2p_rdy_t; l2p_rdy <= l2p_rdy_t2; -- when asserted, stop dma transfer. Should never be asserted under normal operation conditions! tx_error_t <= tx_error_i; tx_error_t2 <= tx_error_t; tx_error <= tx_error_t2; --assert when packet badly ends (e.g. dma abort) --l2p_edb_t <= l2p_edb; l2p_edb_t <= '0'; l2p_edb_t2 <= l2p_edb_t; l2p_edb_o <= l2p_edb_t2; end if; end process p_l2p_status_sync; ----------------------------------------------------------------------------- -- L2P arbiter, arbitrates access to GN4124 ----------------------------------------------------------------------------- cmp_l2p_arbiter : l2p_arbiter port map ( --------------------------------------------------------- -- Clock/Reset clk_i => sys_clk, rst_n_i => rst_n, --------------------------------------------------------- -- From Wishbone master (wbm) to arbiter (arb) wbm_arb_valid_i => wbm_arb_valid, wbm_arb_dframe_i => wbm_arb_dframe, wbm_arb_data_i => wbm_arb_data, wbm_arb_req_i => wbm_arb_req, arb_wbm_gnt_o => arb_wbm_gnt, --------------------------------------------------------- -- From DMA controller (pdm) to arbiter (arb) pdm_arb_valid_i => pdm_arb_valid, pdm_arb_dframe_i => pdm_arb_dframe, pdm_arb_data_i => pdm_arb_data, pdm_arb_req_i => pdm_arb_req, arb_pdm_gnt_o => arb_pdm_gnt, --------------------------------------------------------- -- From P2L DMA master (pdm) to arbiter (arb) ldm_arb_valid_i => ldm_arb_valid, ldm_arb_dframe_i => ldm_arb_dframe, ldm_arb_data_i => ldm_arb_data, ldm_arb_req_i => ldm_arb_req, arb_ldm_gnt_o => arb_ldm_gnt, --------------------------------------------------------- -- From arbiter (arb) to serializer (ser) arb_ser_valid_o => arb_ser_valid, arb_ser_dframe_o => arb_ser_dframe, arb_ser_data_o => arb_ser_data ); ----------------------------------------------------------------------------- -- L2P_SER: Generate the L2P DDR Outputs ----------------------------------------------------------------------------- cmp_l2p_ser : l2p_ser port map ( --------------------------------------------------------- -- Clocks and reset rst_n_i => rst_n, sys_clk_i => sys_clk, io_clk_i => io_clk, serdes_strobe_i => serdes_strobe, --------------------------------------------------------- -- L2P SDR inputs l2p_valid_i => arb_ser_valid, l2p_dframe_i => arb_ser_dframe, l2p_data_i => arb_ser_data, --------------------------------------------------------- -- L2P DDR outputs l2p_clk_p_o => l2p_clk_p_o, l2p_clk_n_o => l2p_clk_n_o, l2p_valid_o => l2p_valid_o, l2p_dframe_o => l2p_dframe_o, l2p_data_o => l2p_data_o ); end rtl; --============================================================================== -- Architecture end (gn4124_core) --==============================================================================
gpl-3.0
8fa0ff7b30d2377f90a9ad6f5c1ba57c
0.447568
3.481161
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_ms_strb_set.vhd
1
63,093
------------------------------------------------------------------------------- -- axi_datamover_ms_strb_set.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_ms_strb_set.vhd -- -- Description: -- This module implements a function to detect the most significant strobe -- bit asserted and outputs the index value of that strobe bit. It can only -- be used in applications where the asserted strobe bits are contiguous and -- always asserted from LS to MS bit positions, -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_datamover_ms_strb_set.vhd -- ------------------------------------------------------------------------------- -- Revision History: -- -- -- Author: DET -- -- History: -- DET 04/19/2011 Initial Version for EDK 13.3 -- -- DET 6/20/2011 Initial Version for EDK 13.3 -- ~~~~~~ -- - Added 512 and 1024 data width support -- ^^^^^^ -- -- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; ------------------------------------------------------------------------------- entity axi_datamover_ms_strb_set is generic ( C_STRB_WIDTH : Integer := 8; -- Sets the width of the input strobe port C_INDEX_WIDTH : Integer := 3 -- Sets the width of the ms_strb_index output port -- Should be log2(C_STRB_WIDTH) ); port ( -- Input strobe value ------------------------------------------------ strbs_in : in std_logic_vector(C_STRB_WIDTH-1 downto 0); -- ---------------------------------------------------------------------- -- Specifies the index of the most significant strobe set ------------ ms_strb_index : out std_logic_vector(C_INDEX_WIDTH-1 downto 0); -- ---------------------------------------------------------------------- -- Invalid strobe input Indcation ------------------------------------ strb_error : Out std_logic -- -- Indicates an error with the strobe input, either a hole in the -- -- asserted strobes or not asserted from LS bits upwards. -- ---------------------------------------------------------------------- ); end entity axi_datamover_ms_strb_set; architecture implementation of axi_datamover_ms_strb_set is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_2 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 2-bit wide strobe value. -- ------------------------------------------------------------------- function get_ms_index_2 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(1 downto 0); begin var_strb_value := input_strobe(1 downto 0); case var_strb_value is when "01" => var_ms_strb_index := 0; when "11" | "10" => var_ms_strb_index := 1; when others => var_ms_strb_index := 2; end case; Return (var_ms_strb_index); end function get_ms_index_2; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_4 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 4-bit wide strobe value. -- ------------------------------------------------------------------- function get_ms_index_4 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(3 downto 0); begin var_strb_value := input_strobe(3 downto 0); case var_strb_value is when "0001" => var_ms_strb_index := 0; when "0011" | "0010" => var_ms_strb_index := 1; when "0111" | "0110" | "0100" => var_ms_strb_index := 2; when "1111" | "1110" | "1100" | "1000"=> var_ms_strb_index := 3; when others => var_ms_strb_index := 4; end case; Return (var_ms_strb_index); end function get_ms_index_4; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_8 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 8-bit wide strobe value. -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_8 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(7 downto 0); begin var_strb_value := input_strobe(7 downto 0); case var_strb_value is when "00000001" => var_ms_strb_index := 0; when "00000010" => var_ms_strb_index := 1; when "00000100" => var_ms_strb_index := 2; when "00001000" => var_ms_strb_index := 3; when "00010000" => var_ms_strb_index := 4; when "00100000" => var_ms_strb_index := 5; when "01000000" => var_ms_strb_index := 6; when "10000000" => var_ms_strb_index := 7; when others => var_ms_strb_index := 8; end case; Return (var_ms_strb_index); end function get_ms_index_8; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_16 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 16-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_16 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(15 downto 0); begin var_strb_value := input_strobe(15 downto 0); case var_strb_value is when "0000000000000001" => var_ms_strb_index := 0; when "0000000000000010" => var_ms_strb_index := 1; when "0000000000000100" => var_ms_strb_index := 2; when "0000000000001000" => var_ms_strb_index := 3; when "0000000000010000" => var_ms_strb_index := 4; when "0000000000100000" => var_ms_strb_index := 5; when "0000000001000000" => var_ms_strb_index := 6; when "0000000010000000" => var_ms_strb_index := 7; when "0000000100000000" => var_ms_strb_index := 8; when "0000001000000000" => var_ms_strb_index := 9; when "0000010000000000" => var_ms_strb_index := 10; when "0000100000000000" => var_ms_strb_index := 11; when "0001000000000000" => var_ms_strb_index := 12; when "0010000000000000" => var_ms_strb_index := 13; when "0100000000000000" => var_ms_strb_index := 14; when "1000000000000000" => var_ms_strb_index := 15; when others => var_ms_strb_index := 16; end case; Return (var_ms_strb_index); end function get_ms_index_16; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_32 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 32-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_32 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(31 downto 0); begin var_strb_value := input_strobe(31 downto 0); case var_strb_value is when "00000000000000000000000000000001" => var_ms_strb_index := 0; when "00000000000000000000000000000010" => var_ms_strb_index := 1; when "00000000000000000000000000000100" => var_ms_strb_index := 2; when "00000000000000000000000000001000" => var_ms_strb_index := 3; when "00000000000000000000000000010000" => var_ms_strb_index := 4; when "00000000000000000000000000100000" => var_ms_strb_index := 5; when "00000000000000000000000001000000" => var_ms_strb_index := 6; when "00000000000000000000000010000000" => var_ms_strb_index := 7; when "00000000000000000000000100000000" => var_ms_strb_index := 8; when "00000000000000000000001000000000" => var_ms_strb_index := 9; when "00000000000000000000010000000000" => var_ms_strb_index := 10; when "00000000000000000000100000000000" => var_ms_strb_index := 11; when "00000000000000000001000000000000" => var_ms_strb_index := 12; when "00000000000000000010000000000000" => var_ms_strb_index := 13; when "00000000000000000100000000000000" => var_ms_strb_index := 14; when "00000000000000001000000000000000" => var_ms_strb_index := 15; when "00000000000000010000000000000000" => var_ms_strb_index := 16; when "00000000000000100000000000000000" => var_ms_strb_index := 17; when "00000000000001000000000000000000" => var_ms_strb_index := 18; when "00000000000010000000000000000000" => var_ms_strb_index := 19; when "00000000000100000000000000000000" => var_ms_strb_index := 20; when "00000000001000000000000000000000" => var_ms_strb_index := 21; when "00000000010000000000000000000000" => var_ms_strb_index := 22; when "00000000100000000000000000000000" => var_ms_strb_index := 23; when "00000001000000000000000000000000" => var_ms_strb_index := 24; when "00000010000000000000000000000000" => var_ms_strb_index := 25; when "00000100000000000000000000000000" => var_ms_strb_index := 26; when "00001000000000000000000000000000" => var_ms_strb_index := 27; when "00010000000000000000000000000000" => var_ms_strb_index := 28; when "00100000000000000000000000000000" => var_ms_strb_index := 29; when "01000000000000000000000000000000" => var_ms_strb_index := 30; when "10000000000000000000000000000000" => var_ms_strb_index := 31; when others => var_ms_strb_index := 32; end case; Return (var_ms_strb_index); end function get_ms_index_32; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_64 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 64-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_64 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(63 downto 0); begin var_strb_value := input_strobe(63 downto 0); case var_strb_value is when "0000000000000000000000000000000000000000000000000000000000000001" => var_ms_strb_index := 0; when "0000000000000000000000000000000000000000000000000000000000000010" => var_ms_strb_index := 1; when "0000000000000000000000000000000000000000000000000000000000000100" => var_ms_strb_index := 2; when "0000000000000000000000000000000000000000000000000000000000001000" => var_ms_strb_index := 3; when "0000000000000000000000000000000000000000000000000000000000010000" => var_ms_strb_index := 4; when "0000000000000000000000000000000000000000000000000000000000100000" => var_ms_strb_index := 5; when "0000000000000000000000000000000000000000000000000000000001000000" => var_ms_strb_index := 6; when "0000000000000000000000000000000000000000000000000000000010000000" => var_ms_strb_index := 7; when "0000000000000000000000000000000000000000000000000000000100000000" => var_ms_strb_index := 8; when "0000000000000000000000000000000000000000000000000000001000000000" => var_ms_strb_index := 9; when "0000000000000000000000000000000000000000000000000000010000000000" => var_ms_strb_index := 10; when "0000000000000000000000000000000000000000000000000000100000000000" => var_ms_strb_index := 11; when "0000000000000000000000000000000000000000000000000001000000000000" => var_ms_strb_index := 12; when "0000000000000000000000000000000000000000000000000010000000000000" => var_ms_strb_index := 13; when "0000000000000000000000000000000000000000000000000100000000000000" => var_ms_strb_index := 14; when "0000000000000000000000000000000000000000000000001000000000000000" => var_ms_strb_index := 15; when "0000000000000000000000000000000000000000000000010000000000000000" => var_ms_strb_index := 16; when "0000000000000000000000000000000000000000000000100000000000000000" => var_ms_strb_index := 17; when "0000000000000000000000000000000000000000000001000000000000000000" => var_ms_strb_index := 18; when "0000000000000000000000000000000000000000000010000000000000000000" => var_ms_strb_index := 19; when "0000000000000000000000000000000000000000000100000000000000000000" => var_ms_strb_index := 20; when "0000000000000000000000000000000000000000001000000000000000000000" => var_ms_strb_index := 21; when "0000000000000000000000000000000000000000010000000000000000000000" => var_ms_strb_index := 22; when "0000000000000000000000000000000000000000100000000000000000000000" => var_ms_strb_index := 23; when "0000000000000000000000000000000000000001000000000000000000000000" => var_ms_strb_index := 24; when "0000000000000000000000000000000000000010000000000000000000000000" => var_ms_strb_index := 25; when "0000000000000000000000000000000000000100000000000000000000000000" => var_ms_strb_index := 26; when "0000000000000000000000000000000000001000000000000000000000000000" => var_ms_strb_index := 27; when "0000000000000000000000000000000000010000000000000000000000000000" => var_ms_strb_index := 28; when "0000000000000000000000000000000000100000000000000000000000000000" => var_ms_strb_index := 29; when "0000000000000000000000000000000001000000000000000000000000000000" => var_ms_strb_index := 30; when "0000000000000000000000000000000010000000000000000000000000000000" => var_ms_strb_index := 31; when "0000000000000000000000000000000100000000000000000000000000000000" => var_ms_strb_index := 32; when "0000000000000000000000000000001000000000000000000000000000000000" => var_ms_strb_index := 33; when "0000000000000000000000000000010000000000000000000000000000000000" => var_ms_strb_index := 34; when "0000000000000000000000000000100000000000000000000000000000000000" => var_ms_strb_index := 35; when "0000000000000000000000000001000000000000000000000000000000000000" => var_ms_strb_index := 36; when "0000000000000000000000000010000000000000000000000000000000000000" => var_ms_strb_index := 37; when "0000000000000000000000000100000000000000000000000000000000000000" => var_ms_strb_index := 38; when "0000000000000000000000001000000000000000000000000000000000000000" => var_ms_strb_index := 39; when "0000000000000000000000010000000000000000000000000000000000000000" => var_ms_strb_index := 40; when "0000000000000000000000100000000000000000000000000000000000000000" => var_ms_strb_index := 41; when "0000000000000000000001000000000000000000000000000000000000000000" => var_ms_strb_index := 42; when "0000000000000000000010000000000000000000000000000000000000000000" => var_ms_strb_index := 43; when "0000000000000000000100000000000000000000000000000000000000000000" => var_ms_strb_index := 44; when "0000000000000000001000000000000000000000000000000000000000000000" => var_ms_strb_index := 45; when "0000000000000000010000000000000000000000000000000000000000000000" => var_ms_strb_index := 46; when "0000000000000000100000000000000000000000000000000000000000000000" => var_ms_strb_index := 47; when "0000000000000001000000000000000000000000000000000000000000000000" => var_ms_strb_index := 48; when "0000000000000010000000000000000000000000000000000000000000000000" => var_ms_strb_index := 49; when "0000000000000100000000000000000000000000000000000000000000000000" => var_ms_strb_index := 50; when "0000000000001000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 51; when "0000000000010000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 52; when "0000000000100000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 53; when "0000000001000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 54; when "0000000010000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 55; when "0000000100000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 56; when "0000001000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 57; when "0000010000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 58; when "0000100000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 59; when "0001000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 60; when "0010000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 61; when "0100000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 62; when "1000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 63; when others => var_ms_strb_index := 64; end case; Return (var_ms_strb_index); end function get_ms_index_64; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_128 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 64-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_128 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(127 downto 0); begin var_strb_value := input_strobe(127 downto 0); case var_strb_value is when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001" => var_ms_strb_index := 0; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010" => var_ms_strb_index := 1; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100" => var_ms_strb_index := 2; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000" => var_ms_strb_index := 3; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000" => var_ms_strb_index := 4; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000" => var_ms_strb_index := 5; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000" => var_ms_strb_index := 6; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000" => var_ms_strb_index := 7; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000" => var_ms_strb_index := 8; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000" => var_ms_strb_index := 9; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000" => var_ms_strb_index := 10; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000" => var_ms_strb_index := 11; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000" => var_ms_strb_index := 12; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000" => var_ms_strb_index := 13; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000" => var_ms_strb_index := 14; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000" => var_ms_strb_index := 15; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000" => var_ms_strb_index := 16; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000" => var_ms_strb_index := 17; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000" => var_ms_strb_index := 18; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000" => var_ms_strb_index := 19; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000" => var_ms_strb_index := 20; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000" => var_ms_strb_index := 21; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000" => var_ms_strb_index := 22; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000" => var_ms_strb_index := 23; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000" => var_ms_strb_index := 24; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000" => var_ms_strb_index := 25; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000" => var_ms_strb_index := 26; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000" => var_ms_strb_index := 27; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000" => var_ms_strb_index := 28; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000" => var_ms_strb_index := 29; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000" => var_ms_strb_index := 30; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000" => var_ms_strb_index := 31; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000" => var_ms_strb_index := 32; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000" => var_ms_strb_index := 33; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000" => var_ms_strb_index := 34; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000" => var_ms_strb_index := 35; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000" => var_ms_strb_index := 36; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000" => var_ms_strb_index := 37; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000" => var_ms_strb_index := 38; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000" => var_ms_strb_index := 39; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000" => var_ms_strb_index := 40; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000" => var_ms_strb_index := 41; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000" => var_ms_strb_index := 42; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000" => var_ms_strb_index := 43; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000" => var_ms_strb_index := 44; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000" => var_ms_strb_index := 45; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000" => var_ms_strb_index := 46; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000" => var_ms_strb_index := 47; when "00000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000" => var_ms_strb_index := 48; when "00000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000" => var_ms_strb_index := 49; when "00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000" => var_ms_strb_index := 50; when "00000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 51; when "00000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 52; when "00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 53; when "00000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 54; when "00000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 55; when "00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 56; when "00000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 57; when "00000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 58; when "00000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 59; when "00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 60; when "00000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 61; when "00000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 62; when "00000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 63; when "00000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 64; when "00000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 65; when "00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 66; when "00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 67; when "00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 68; when "00000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 69; when "00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 70; when "00000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 71; when "00000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 72; when "00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 73; when "00000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 74; when "00000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 75; when "00000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 76; when "00000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 77; when "00000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 78; when "00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 79; when "00000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 80; when "00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 81; when "00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 82; when "00000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 83; when "00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 84; when "00000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 85; when "00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 86; when "00000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 87; when "00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 88; when "00000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 89; when "00000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 90; when "00000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 91; when "00000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 92; when "00000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 93; when "00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 94; when "00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 95; when "00000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 96; when "00000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 97; when "00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 98; when "00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 99; when "00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 100; when "00000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 101; when "00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 102; when "00000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 103; when "00000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 104; when "00000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 105; when "00000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 106; when "00000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 107; when "00000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 108; when "00000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 109; when "00000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 110; when "00000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 111; when "00000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 112; when "00000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 113; when "00000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 114; when "00000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 115; when "00000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 116; when "00000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 117; when "00000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 118; when "00000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 119; when "00000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 120; when "00000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 121; when "00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 122; when "00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 123; when "00010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 124; when "00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 125; when "01000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 126; when "10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 127; when others => var_ms_strb_index := 128; end case; Return (var_ms_strb_index); end function get_ms_index_128; -- Constants Constant ERROR_INDEX : natural := C_STRB_WIDTH; Constant TEMP_NAT_MAX : natural := 255; -- allows for a 0 to 255 strobe index value Constant TEMP_UN_WIDTH : natural := 8; -- 8 bits allows for a 0 to 255 index value -- Signals signal sig_input_stbs : std_logic_vector(C_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_ms_asserted_index_un : unsigned(C_INDEX_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_error : std_logic := '0'; signal sig_temp_ms_index_un : unsigned(TEMP_UN_WIDTH-1 downto 0) := (others => '0'); signal sig_temp_ms_index_nat : natural range 0 to TEMP_NAT_MAX := 0; begin --(architecture implementation) -- Assign the ms asserted strobe value ms_strb_index <= STD_LOGIC_VECTOR(sig_ms_asserted_index_un); -- Assign the input strobe sig_input_stbs <= strbs_in ; -- assign input strobes -- Assign the strobe eror output strb_error <= sig_strb_error ; -- assign the strobe error output -- Rip the valid index bits sig_ms_asserted_index_un <= sig_temp_ms_index_un(C_INDEX_WIDTH-1 downto 0); -- Assert the Strobe Error output if an out of range index is returned sig_temp_ms_index_nat <= TO_INTEGER(sig_ms_asserted_index_un) ; sig_strb_error <= '1' When (sig_temp_ms_index_nat >= ERROR_INDEX) else '0'; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_1BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 1-bit strobe width case. -- -- ------------------------------------------------------------ GEN_1BIT_CASE : if (C_STRB_WIDTH = 1) generate begin sig_temp_ms_index_un <= TO_UNSIGNED( 0, TEMP_UN_WIDTH); end generate GEN_1BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_2BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 2-bit strobe width case. -- -- ------------------------------------------------------------ GEN_2BIT_CASE : if (C_STRB_WIDTH = 2) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; begin lsig_ms_asserted_index_nat <= get_ms_index_2(sig_input_stbs); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_2BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_4BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 4-bit strobe width case. -- ------------------------------------------------------------ GEN_4BIT_CASE : if (C_STRB_WIDTH = 4) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; begin lsig_ms_asserted_index_nat <= get_ms_index_4(sig_input_stbs); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_4BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_8BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 8-bit strobe width case. -- ------------------------------------------------------------ GEN_8BIT_CASE : if (C_STRB_WIDTH = 8) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_8(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_8BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_16BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 16-bit strobe width case. -- -- ------------------------------------------------------------ GEN_16BIT_CASE : if (C_STRB_WIDTH = 16) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_16(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_16BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_32BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 32-bit strobe width case. -- ------------------------------------------------------------ GEN_32BIT_CASE : if (C_STRB_WIDTH = 32) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_32(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_32BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_64BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 64-bit strobe width case. -- ------------------------------------------------------------ GEN_64BIT_CASE : if (C_STRB_WIDTH = 64) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_64(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_64BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_128BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 128-bit strobe width case. -- ------------------------------------------------------------ GEN_128BIT_CASE : if (C_STRB_WIDTH = 128) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_128(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_128BIT_CASE; end implementation;
bsd-2-clause
ce9190bcd1daca347a846aa8a5605268
0.643716
6.012293
false
false
false
false
okaxaki/vm2413
FeedbackMemory.vhd
2
1,330
-- -- FeedbackMemory.vhd -- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use WORK.VM2413.ALL; -- -- This module represents a store for feedback data of all OPLL channels. The feedback -- data is written by the OutputGenerator module. Then the value written is -- read from the Operator module. -- entity FeedbackMemory is port ( clk : in std_logic; reset : in std_logic; wr : in std_logic; waddr : in CH_TYPE; wdata : in SIGNED_LI_TYPE; raddr : in CH_TYPE; rdata : out SIGNED_LI_TYPE ); end FeedbackMemory; architecture RTL of FeedbackMemory is type SIGNED_LI_ARRAY_TYPE is array (0 to MAXCH-1) of SIGNED_LI_VECTOR_TYPE; signal data_array : SIGNED_LI_ARRAY_TYPE; begin process(clk, reset) variable init_ch : integer range 0 to MAXCH; begin if reset = '1' then init_ch := 0; elsif clk'event and clk='1' then if init_ch /= MAXCH then data_array(init_ch) <= (others=>'0'); init_ch := init_ch + 1; elsif wr='1' then data_array(waddr) <= CONV_SIGNED_LI_VECTOR(wdata); end if; rdata <= CONV_SIGNED_LI(data_array(raddr)); end if; end process; end RTL;
mit
5bb85d295219db4448018ec52abac885
0.583459
3.518519
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/if_statement/rule_002_test_input.vhd
1
1,532
architecture RTL of FIFO is begin process begin if (a = '1') then b <= '0'; elsif (c = '1') then b <= '1'; elsif (a(3 downto 0) = 0) then b <= '0'; elsif (a(3 downto 0) + f(34, 56, 72) - g(f(35, 25, 60) downto h(45, 32))) then b <= '1'; elsif ((a or b) and (c or d)) then b <= '0'; end if; -- Violations below if a = '1' then b <= '0'; elsif c = '1' then b <= '1'; elsif a(3 downto 0) = 0 then b <= '0'; elsif a(3 downto 0) + f(34, 56, 72) - g(f(35, 25, 60) downto h(45, 32)) then b <= '1'; elsif (a or b) and (c or d) then b <= '0'; end if; end process; process begin if (x(k) = '1') and (v_y = '0') then b <= '0'; end if; if ((ctrl_done_d1 = '0') and (CTRL_DONE = '1')) or (dev_addr = dev_addr_prv) then b <= '0'; end if; end process; process begin if (sync_reset) = '1' then b <= '0'; end if; if(a='1') then b <= '0'; end if; if( a='1') then b <= '0'; end if; if ( a='1') then b <= '0'; end if; if (a='1')then b <= '0'; end if; if (a='1' )then b <= '0'; end if; if (a='1' ) then b <= '0'; end if; end process; process begin if something then b <= 0; elsif something_else then b <= 1; end if; if (something) then b <= 0; elsif (something_else) then b <= 1; end if; end process; end architecture RTL;
gpl-3.0
a1b9146d7b34313f2c9f96d78c652604
0.434073
2.852886
false
false
false
false
siavooshpayandehazad/TTU_CPU_Project
pico_CPU_pipelined_MIPS32/package.vhd
1
9,048
--Copyright (C) 2017 Siavoosh Payandeh Azad library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; package pico_cpu is constant CPU_Bitwidth : integer := 32; constant CPU_Instwidth : integer := CPU_Bitwidth; constant InstMem_depth : integer := 20480; constant DataMem_depth : integer := 20480; constant RFILE_DEPTH : integer := 32; constant RFILE_SEL_WIDTH : integer := 5; --should be log2 of RFILE_DEPTH constant clock_period : time := 1 ns; ------------------------------------------------- TYPE Instruction IS (--arithmetic ADD, ADDU, ADDI, ADDIU, LUI, SUB, SUBU, CLO, CLZ, -- logical AND_inst, ANDI, OR_inst, ORI, NOR_inst, XOR_inst, XORI, NOP, -- shift and rotate SLL_inst, SRL_inst, SLLV, SRLV, SRA_inst, SRAV, -- jumps and branches J, JR, JAL, JALR, BEQ, BNE, BGEZ, BGEZAL, BLEZ, BGTZ, BLTZ, BLTZAL, -- multiplication and division MUL, MULT, MULTU, MADD, MADDU, MSUB, MSUBU, DIV, DIVU, -- Accumulator Access MFHI, MFLO, MTHI, MTLO, -- load and store LB, LBU, LH, LHU, LW, LWL, LWR, SB, SH, SW, SWL, SWR, -- conditional move MOVZ, MOVN, SLT, SLTI, SLTIU, SLTU, -- exception SYSCALL, ERET, -- co-processor MFC0, MTC0, -- traps TEQ, TEQI, TGE, TGEI, TGEU, TGEIU ); -------------------------------------------------ALU COMMANDS TYPE ALU_COMMAND IS (ALU_ADDU, ALU_SUBU, ALU_ADD , ALU_SUB, ALU_PASS_A, ALU_AND, ALU_OR, ALU_XOR , ALU_SLR, ALU_SLL, ALU_SAR , ALU_SAL, ALU_NOR, ALU_COMP, ALU_CLO , ALU_CLZ, ALU_EQ_Z, ALU_EQ, ALU_COMP_EQ, ALU_COMP_EQU, ALU_COMPU, ALU_MULTU, ALU_MULT, ALU_MTHI, ALU_MTLO, ALU_MADD, ALU_MADDU, ALU_MSUB, ALU_MSUBU, ALU_DIV, ALU_DIVU); -------------------------------------------------DPU COMMANDS TYPE DPU_IN_MUX IS (MEM, CONT, RFILE, ONE); ------------------------------------------------RFILE COMMANDS TYPE RFILE_IN_MUX IS (CU, ACC_HI, ACC_LOW, DPU_LOW, R2, FROM_MEM8,FROM_MEM16,FROM_MEM32, FROM_MEM8_SGINED, FROM_MEM16_SGINED, ZERO); ------------------------------------------------MEMORY COMMANDS TYPE MEM_IN_MUX IS (RFILE_DATA_1, RFILE_DATA_2, DPU_DATA); ------------------------------------------------CONSTANTS constant ZERO8 :std_logic_vector(7 downto 0) := "00000000"; constant ONE8 :std_logic_vector(7 downto 0) := "11111111"; constant ZERO14 :std_logic_vector(13 downto 0) := "00000000000000"; constant ONE14 :std_logic_vector(13 downto 0) := "11111111111111"; constant ZERO16 :std_logic_vector(15 downto 0) := "0000000000000000"; constant ONE16 :std_logic_vector(15 downto 0) := "1111111111111111"; constant ZERO32 :std_logic_vector(31 downto 0) := "00000000000000000000000000000000"; constant ONE32 :std_logic_vector(31 downto 0) := "11111111111111111111111111111111"; component GPIO is generic (BitWidth: integer); port ( IO_sel: in std_logic; IO: inout std_logic_vector (BitWidth-1 downto 0); WrtData: in std_logic_vector (BitWidth-1 downto 0); RdData: out std_logic_vector (BitWidth-1 downto 0) ); end component; component ALU is generic (BitWidth: integer); port ( A: in std_logic_vector (BitWidth-1 downto 0); B: in std_logic_vector (BitWidth-1 downto 0); Command: in ALU_COMMAND; OV_out: out std_logic; Cflag_out: out std_logic; Result: out std_logic_vector (2*BitWidth-1 downto 0) ); end component; component RegisterFile is generic (BitWidth: integer); port ( clk : in std_logic; rst: in std_logic; Data_in_mem : in std_logic_vector (BitWidth-1 downto 0); Data_in_CU : in std_logic_vector (BitWidth-1 downto 0); Data_in_DPU_LOW : in std_logic_vector (BitWidth-1 downto 0); Data_in_ACC_HI : in std_logic_vector (BitWidth-1 downto 0); Data_in_ACC_LOW : in std_logic_vector (BitWidth-1 downto 0); Data_in_R2 : in std_logic_vector (BitWidth-1 downto 0); Data_in_sel : in RFILE_IN_MUX; RFILE_in_address : in std_logic_vector (RFILE_SEL_WIDTH-1 downto 0); WB_enable : in std_logic_vector (3 downto 0); Register_out_sel_1 : in std_logic_vector (RFILE_SEL_WIDTH-1 downto 0); Register_out_sel_2 : in std_logic_vector (RFILE_SEL_WIDTH-1 downto 0); Data_out_1 : out std_logic_vector (BitWidth-1 downto 0); Data_out_2 : out std_logic_vector (BitWidth-1 downto 0) ); end component; component PicoCPU is generic (Mem_preload_file: string :="code.txt"); port( rst: in std_logic; clk: in std_logic; IO: inout std_logic_vector (CPU_Bitwidth-1 downto 0) ); end component; component ControlUnit is generic (BitWidth: integer; InstructionWidth: integer); port( rst : in std_logic; clk : in std_logic; ---------------------------------------- Instr_In : in std_logic_vector (InstructionWidth-1 downto 0); Instr_Add : out std_logic_vector (BitWidth-1 downto 0); ---------------------------------------- MemRdAddress : out std_logic_vector (BitWidth-1 downto 0); MemWrtAddress : out std_logic_vector (BitWidth-1 downto 0); Mem_RW : out std_logic_vector (3 downto 0); MEM_IN_SEL : out MEM_IN_MUX; ---------------------------------------- IO_DIR : out std_logic; IO_RD : in std_logic_vector (BitWidth-1 downto 0); IO_WR : out std_logic_vector (BitWidth-1 downto 0); ---------------------------------------- DPU_OV : in std_logic; DataToDPU_2 : out std_logic_vector (BitWidth-1 downto 0); DPU_ALUCommand : out ALU_COMMAND; DPU_Mux_Cont_2 : out DPU_IN_MUX; ---------------------------------------- RFILE_data_sel : out RFILE_IN_MUX; RFILE_in_address: out std_logic_vector (RFILE_SEL_WIDTH-1 downto 0); RFILE_WB_enable : out std_logic_vector (3 downto 0); RFILE_out_sel_1 : out std_logic_vector (RFILE_SEL_WIDTH-1 downto 0); RFILE_out_sel_2 : out std_logic_vector (RFILE_SEL_WIDTH-1 downto 0); Data_to_RFILE : out std_logic_vector (BitWidth-1 downto 0); ---------------------------------------- DPU_RESULT : in std_logic_vector (2*BitWidth-1 downto 0); Result_ACC : in std_logic_vector (2*BitWidth-1 downto 0) ); end component; ---------------------------------------- component DPU is generic (BitWidth: integer); port ( rst: in std_logic; clk: in std_logic; Data_in_mem: in std_logic_vector (BitWidth-1 downto 0); Data_in_RegFile_1: in std_logic_vector (BitWidth-1 downto 0); Data_in_RegFile_2: in std_logic_vector (BitWidth-1 downto 0); Data_in_control_2: in std_logic_vector (BitWidth-1 downto 0); ALUCommand: in ALU_COMMAND; Mux_Cont_2: DPU_IN_MUX; DPU_OV : out std_logic; Result : out std_logic_vector (2*BitWidth-1 downto 0); Result_ACC : out std_logic_vector (2*BitWidth-1 downto 0) ); end component; ---------------------------------------- component RAM is generic (BitWidth: integer; preload_file: string :="code.txt"); port ( RdAddress_1: in std_logic_vector (BitWidth-1 downto 0); RdAddress_2: in std_logic_vector (BitWidth-1 downto 0); Data_in: in std_logic_vector (BitWidth-1 downto 0); WrtAddress: in std_logic_vector (BitWidth-1 downto 0); clk: in std_logic; RW: in std_logic_vector(3 downto 0); rst: in std_logic; Data_Out_1: out std_logic_vector (BitWidth-1 downto 0); Data_Out_2: out std_logic_vector (BitWidth-1 downto 0) ); end component; end; --package body
gpl-2.0
acd5618f08aa39a41b75947a35715414
0.496132
3.998232
false
false
false
false
cwilkens/ecen4024-microphone-array
microphone-array/microphone-array.srcs/sources_1/ip/lp_FIR/xbip_utils_v3_0/hdl/xbip_utils_v3_0_pkg.vhd
3
141,590
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block SUDBPwqPbcRgk9irF7VV9R3NJGO89+ssUGTZoHSclJiwzeBkzSyX4/yxuUnbj9l8fwO2Q6bftdnz TmXXb7YyYg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ZWs50knNil7ksX+jRPPS23wIB04xrhAiyrRiTgqlZee2NgVFigMOm/tA3n20Ixzznc5+s7rUjlHA pSYEypNcDi1Wnn8MXgomrH5BLEC9JQEYG4/4UZ/NYlnwJOv6buJaAfSvkaaZqSAFC/zx1s+Mkmis cuXYRnElHDQ/zc44u9A= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block VQxBnIbA4BiGXaLLjHqgj1nAIonnnomAgs8dqUwMw9qLB6L3j5EP8k1og1pIa3QP+2sR4a1G5O4t bOKx6qRQeImGwKGspsqFjUwtaODLkpMark7+m2i3V7/ZnAP8Xp+KvtQD4cy69ucPnhJP0/9fwWfZ uAaE3htdoylURa41hHFAdNSoC7tPESh6uCCUBex9S+SWKrFEgHL32uYx3t9Q/54K9VNTq2o0kRCr g2ZLdPH9jTze1BjW10o5Pwoj+kuOZbk/jLqMY+qXV3eVE1s+tCWrGfRm5d4sefaFKIVrbhbkUUjJ M16MhuA+L8WI052R6Gn1sAQXa94AXvxE20dBBw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block hNGhKT6DyUav/yLoZw50ukZTTLkQZeoTS4kfqcOLiAu3H/BvblUuYxklycGsp4eL1sMZniL4HfCR lOoWaMPDUsavSnetbeN+Rb+RPQCVUn+6/13CF5SGHOyfLBy9vPRYDlIVbmYGKN7nddYB/o4V6rvC DIsYJSfXY9aS3u23h5s= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block TKCmPbfl1RwJjnyiV8o2tvSH9C1lyW5N/kg01QYyNxqQsYOHzW9keBAJw5HSu7rVpwaop2zpn0UD D60a/QV9TdI7fz7D06f/Iu5yaA2Yy4yA5KndjhTJk1cLvti68nBFczg0aKHLCe1dx6W38Jmx161x xf6eyhPp7O/SMyiXPBMON18eFlnV+3TEgP4z1OyTZfauzIeCiPj3ZMDImylhB5OlaWxJM+PF89Mu jvKuVdN0iSn1g0lFkCgcEFLY07SPoRS6tfBashCWNNHUxU7/ZTNb8krqtKpzWIBCo4sPAQg451yt r5OFDr9T1J3D8XxiHnwciJrRS8MvP5EZkF8UyA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 103072) `protect data_block /k73J+F0Ax/+f6AGCZQQBVbfg8fTutnZpqeHWtDKd87ru8V1UH1BBqA2Iqbe3ubirpCP7mI+WQAz oUVJUSEYcy6M7V+71lQCJEf3fqJlnjWizbf4fhEr+hm7Lfo+qAg961AMScUp0jmJOy4KyjCABwf4 86fX6dE/w7aNkIw85yzQ0MvjD+jgJVcTxhzRtjBYZa0N2V8yCOOZdZefTJAphzl8HZWjl5SCdg/d +kgoZI0Yxr1e+l95NOYdDJfM/7A8OKRAuMLDcnAPTeLfFms7lx2RLWLwmUDyMAP0iKzIKhEnIfe7 gHGZ4K9x59LcsTGdN5hX1vZ58dFC62TKV6U7liGU1OY2yYMC0v//uhMZZY2vXsI+/wVigmvyPgQN 2hyRar+uKub+KLVfnRXhTk3iDFcpDv9TMA3U26VR/KePrhPahEhy0LvSE1EIqIqdoGeD4PlrO5jg dKF4Cj6Bi3Jb9hiqJyFkJPpyJ62huEyCN33mZwlGfq/DolLPqygfw1QMrq39OtoGRLUWrGIqK8aO //aUdgxkH2Q/trGZYi/ZbxVVOc3UIaVATmGhPx+D7auCUA3zhdSODy0YRAu6paGWQhxHd1sf19+g J3X4ZFAswn8cRQjiH4ESjjw0zwgwLRGed/L/ljH9nj8TmjvELjvAXz+bqnxWSHC0HdTy6sd/O2ix xt4laph3mp5PlNjmaCQxN2EFU1oRSzR/dJN92Kxsr/a9c8l9/4ziSBQyHlxw2zIzSrc9le9Vk8C7 voowg1gRt7QczxkbmcQm4DD5mVpTLBuHT2E9jJEogA0EXage+VfzMF35THQMNGIPeBhfqFF6nIOK y8s/fPTMl46Esm10GWrGberqgHmrtzPPARRMp0OJvYBnRHzJJ2OglF8zddmJBytnPZKoV/A1XnZb ZgRelAE998ym0uclU0E0IzCcODGu9AdJ7+vFKQqltZUWsec5V9tmWxFvlnd2cN52j3EMpDUn/pbk ptFgHCrilOHI1QBxrwUAYvI9AkYzfdyIRu98H/0+fD4XqF/iP1APwapI0/mv+78WCT7NhYV15s6g /V3p3lBqqHRrJzHZLqn+rXwfHgX8zSvdiCdFen2p68Q3EHyWG6qWiFEKPCuI4wH/NZ350EwCU1Zj Hl+QQaDpQZ8Uf+rG7YcozCEzPfLIJTcoaggMcCvay4OAFLWAB7oG88vkvLNWh3TY0YRGgYXlYPWF KkKFEV5EgxkHRgcdlAbtI24XMgM3o7VyBODlSJTOT6SYGaVypuOovirYSdAyT31D4D2V3TSf2hj2 gWJUvzwXJ71KzRJPC/xVRWE1hqEUfWyziCVxJH/gYS1o+MJXSAuNkzAxlwgFkS/kLQ8qfLBchk0p 9C9Ob0+PSH4bsJKmim5j/yy+cAjKCXL7It2nVJtu5YHxvoFLNdX74Jnn9fdVrEQAm/70X3Yg2CMr WTyUqTXSz3MUhTHeAbjg1bFREkRudYJlti86AfDfToCYcCeXCqGs6Ewe8zhyEjSemCk3B3CiKguk 2E5Hsf4MFn4A0LHH6JKArHhfiXLBVHg/nG7QEnO6yfViwvuhHKblp+bw9JyeN0ToTcY0WcN1sFkP AWOK++tkpJUQOq+1jxCbp2maxSDIShZrbLGOWM/STMpnx8M70VLj+FgIcgLeqeLl+J6Iq2SS/mxo CzP23DZDgTVOLL/wrvCjg1FkPQnFgZLS1zX0ke9LQrhvdSVzqiB7QX6ubV4nq3Em1eA6/gNJofjR ofAjmlI2S1TPM7KdTohPwSLK92kcztcAx5k/kFB6ZimVnAspzIJBCNTvTduPi+NAfjj3XcuALyTG IN1uQMSNpuDbRIrsxoRZQ8c025tGHAAuVcjIls9lH4GWXmTZ1VUP80kjm3BpLTgttYyJUtdvTZbB nVIHo0hyOASm/RGJ7WMhmWv7IlsPGVtbAvRgv+/uhRTQvnDu1MWN/nmzfNsNFSBZJUdScJQ4jMon 3THvTIFa6XQdGWYEwmQdoxm3rQGzA8ge5qPTO8BdGz+8CuELsIuAPFxcQpZftPmnHanuY+szh0dW k30sXVXn9wwxnM5HoQKHgZDO/g3CLNIV/3xntgqpFRPoJfpVtvOpEhmtZMqNgcndg9klJhdEMzZ8 1bHyhDtg8ao4Ljh4zd8OjjXjwGCw25N6TGDXiRewSdBbVP6q1VHKl7UsW9KifILjZDSGp7lB8Jdi LBIotlN4fKXEvF3XyfepYb9XYdYEzrg4XCFo/TUUddtrEVuP3qHTJH/9hT+TqUT9x96WO0ptNvSG a/X8s3fkyazFwkqJ3LQOAkNkcJWpleTG6ORzlDyena0HyJyjI5t+GkQj2+yC5/3AyD/FMoCimV/2 fo7Va8n6B5rVBg3kHIJ4zrPRrgR4C3AYuEWwJQQ5BH/SxvUUdZFDZa/JK3hk4Lk107TK815uiNtY A5ov8jdTrOlO5vripAUJ9M5Mg6NWUOhPfcM/3/lQJyBvHZAPwhk+zn2totf1GwMuyOQobibwQKut swK7ctjMCY4kTH4krlJOA76U8QScaA9opCynmbtSA4Lf1X8d9uQF2q+pKQRFGK/OMzv19DpECnMM ffY1bpzY+0HMDT6lVLea2M8jLFFgbbKg25XIuS8SUzBSckvI1Nv+rg0XX67JL6rEih85L2+Ejzh0 RgvrY/I9z9EwIEBeWpOpiAK6xP4Nt6Hrz0sU4o/MfiFsPpv2fGnubuEqozImu0u0grTAVNRDUetb Qd2XUrmOQMQVSSIGJomG7NnPiiuoaPuyLA9N5l2jannzIEnKzIV7CK8GKh4+Td0minzVX9XT2wT2 P7B9ht+ZEykGJO1B3ldQR1OYusD8M0tFjjQOJkcMxOUO09ROSrwfVNUTzYtn4hfsoNz8hrL8YY7i npHSqVdTK32NDx1UIUgA9Ps5jPGNhnLZDx/7vjU1H+byy0Tko09oZxhjh2SQ0XW2dusNfA3N8AuB 0ckhXFyP5UL+a7eqs0HJWOh6k2CpeSqiSujJe+l1UdvE6/HlWdC4K9ROUiCu2hNz8vPuQ2hN2+ff h5aBznRmFg3ve70eTBsejWrc+7dFfSoxqfbbNBYwPHDrVdDKQdzAyTi9ZiCShKnG+hKmWeysdoQV TA0QoksEsW+7t4f/YSCpwZY567+ZtrJG00YjbiTED/UXsc+BQap4kd7YSP2OlIuDySUW5Tv6tk/u T6SXFREdAVGyHVb5SUXQ7NO2Zrzvx6OYReigiXPuMytjEj/ZmKuTqkv7wPhJn2Ie9zhnWrBP7Z1p DnQiHhbWW2fPRNQvq+bAE8HO9MiijrXXYMCi1o4pvuUJyF/EQ3zcwfv0BykTfJGOjmNKPMEbGFwf Z6pSpWGKZA4UZzTwuMfBJs8ptTAbHEmyxiUsLMj8IrBLIv+XZHBaEA9U1rmTcm7nhleuBnQnrG1L jxHd9zqe/rRFbuaS4XRna1Zbs1o4v94NoBm08jFh9E5AebuCowZfkYgNq3cNkCNdmu2ubG/sc6ER 6iRhYQWpAwK7LSwNfe9f4q0anw3Y/bVty7oc24muS5O5+Z4bC72pfvCVqc897AmkiWB0aJN9gJnj iI3HbOW5heWCtDSIBeZecCiLB4kesCzGpttE/Keb0N8Q3C7MT/hBj2tZo3DdIz3Rc/X/iunURZTb 7ZFRv7QbE2wYunMCYjen9Xuj9k6C2mQP2w7kmlIEoUjdm2kH0hSBsdSrkCNm0/m/rjZqn7o4jqgt JIrB4S3QCRTQjI5ZhbIgr/Xzc5Z1wD7x9OqHUHjiQLNxLoGn1zIfDENpIuvaWCWzGI6oX9Lsw4yF 5a8PuuOs3Y5iTOExPV9uKlqK4voLhRBfV5k6xExRJqVCVK3TOIyhf9jEBq3Iq69sPSpuC9ETUJfE tM5xiwCSVuBc+WjUg7S4ros1Ej9Ow5CabpgeQCo3UfoW8ennonehVAqCNfLAwlgtItRUcxu5AL8B ECUDOIyXjlPkBq77uvIAKQDNmyAci5D1zPLa6RYWoBaWrtFLqO9mdFA9VCftWk23hBttOe0f3tyi n9k5xmjUUG/qv1C9O+eKDyc+JiWmSnvqiMQtppIT7wVfv9yfI85AWsTR3/j1YcJcoNSsYnGYl8EH xTdterGO8/4/FFp/GR8IzFVDePtnpibd63wdK46QtEip8Oxiq6VTlXAu26UZ+8C2XJAy/DeVekjS mAbUFxg/HUpEDATSN5+1bEKvBhMtrh4JbN0dTbYzlVsdc1JkUA8fQZal+8LlmjfYe3gx5cxt3d9I ElXMRWXXtZWSG+5e4630F5hWD8gMkD7cXWf3CAPXZ2m1h6PpKZPec23J28RLEmdK1L1GSTpyouzO 6Vf2Oj3H8Li3wD6lgdquxKD3iMpTRaLUQwgKetkATJ8Li1IA+G1mARh4rzTLLlaTVaNGxazivu09 z0VyTdsq0xZ15+UrpapEXa0hFcDDwzbAA8y14SHaUFuv9mAoNy7rHn+9rvd67/esA/wdTYHaWLax LKyAwcMkA/ROV1E9Q2T4SF5rJeYbHaNYUKGpwqrjOMOXERogUhUj7uVPTC5jvmEn6aJHhnfWqtP8 2qYArsXClYfa5XcnkAIokSfRinFEpYER5H6H/94bMt65YUgB2N46Z+Aeuw2UaqxRcfvzkB5fCRfy Yb8z+6vEIcd1LsGhjlqizvqjLPqzeaCIRyapngYTZfugy3COcIb1BtrxHxjrn8tDC4X+q0K2LnRj 9rtoh2umaiHXK+6elkLC/Nhyf/pQQH4AQgTPumzixdMqf6UXb7jgaoHEpO8nRXT+uBR1Z5mSpAL/ 9YCKuTORSNv9zDVO9C0c1TVYqMSYNtU0PirE7WsxcUs6dAocCtP1YaJBa6T5/ezcQDGSprPWmLdz ndB0QaYaUs3QeWanVwJGgHq9wrD6fNjlwxosCVm9aGFqb238+hpm5sqMn34YTbSZErIdXpRhIqEa tyy9AssrULa92oV9XqS5jAnUG8nm+lfeHBtY61fx6xi3eTmIK3UnGs0xN5PpgVb7bC5Uuu0s55Ks 7owspbugwj8HUOlI8bxPVylUl4K9e7F+Mem9tkhmi1VESLt96unat+PNFwS6gOiOuPX7nqlZ10yJ kk7hFmMu4QIxQcEK8WI1wtBCZjFbpGy67+42cRYPHuAlbJyDHWiOFxIqCMOYU8rNiNvQ+tNurkcf rSCHG+uqPutAZ8b6GUjLZsM/G9kqOhv8EcfFgRx6hgW06F03Xe0PeRr6kIUDOj53FzjVgsk4cYK7 pqsisoSmajDif2cU2+ZbCf1JYMwm7BF0bY3MX6AZKmNG/cSJSjUnGF0LBn++PJ5r1Se3qsgmQ/wq KoBpAww3ZeYiFwaazw0PhgzRCeb1sEDnP/Y2S6LsIygUsrVDZYY94l6ic3lCK5s1XolfsxE2WROr s/aLm7WyRSOuS/OmLJ1VePtNiNHRNC3jNkWdazmFRaNJvQ3uWFMGv0f354p9JUAURfOdTd+ZeIkS F9iM1Z2Gp+7oOZ1v20CuWmBuXExlKIR5WP2MPpaOe2wc8H3mtzLnbrat2kD13nPoKymZQTgb0QXi 77XUpCaLPX8D7VOSwoa4o7KA8k7kODp42QpBLuVn6v6eMITdjZZB0V3Yw8JK1VmHsiZrClUoUvv4 9QLbCZlthtNmjEwmMnsQ0tVdlo1CsvRZIj2NkbpSz8rN1bWfzG5RpB5ZhuPniNghYTdI/tCcCFbi bt0dDm/DWHg93gYyKlB7xhuHe98yCM0zfSgSJOzm0X1Cpw62WxgIK9+MDkxf/Gui1j1gDAsZLoKi Y/5x4SQsZHPsy5BD1Wk5szpazo/RxBxKY3oKN7qVQVyEZPzmwxV4zMXvA3yMZcgYsjHRAv5WSscV 46cV+jBnENQrb4umG/SpHnGRzVwcRFEY0Q7Z89RLmYa9lsIVMZX0fR8UrzjzuibJ6B62mODF2eJI TSAeJRl9zaXDX+FIhPEegzZwbYOYdgoOgUAi9tVvNj6+HZ2rL/cwYlllOF7Bj1sN1ze/BMPH/UBC GldtRC+GKiDTY4jffFdG5QeQfrZO4lYSZzumGedzny6SWG6HHMuLnIYf38GquiJsp/cax2XOCT77 w64ifeK+i9T1icVmaveoEuhV9Eb6eSlSY822qeYztixMaBR5pj/fNzSu9hTTkp/wD/6AZCx0s2DY HtW3ZFV+h5TwZ1jY7uGbCIJZMkSS4NUUUzPmAeOZ2IK3gVCeB3DdgGCS+tsaap8od+/+ULouINqA 4mutHr11QSN9BI8kN3RnvNNxRsE00MT7SIxKC1PLrGZtLYA1FNBz8kaZhB8EcHgTZigCjTM/rT62 59M0gn2FnwK0D4VQfS+oBgwRzqw2miZPlze18+v0btbWiyntKKCrwPAwtJwUeFULUAsPrKOgBwoc TP+zMYq0h3u7TYyLTnO8bAnMm835QidMxlEBhnGsR8/FFghPd2iMu1KsSLTXPZol3ZLIH/w1qHyI ml/Diu5Pxk/Ri0iiuMEzbkxpaPy8UeyUbJ+pIf1jQtDB55iirXuuGYy8fGKQS/vAScv33r8Bb1cg 22umdA8NYhH2UJa24Kk8LRyZ2ytBox/7+eumarZZTFJoUctzhHxn7ENv3vXLLifYgWcsPXOtftnA OOp0ecRzjwOiLpoXMkBElwd7pTP9dyQRgkXKZx4XPbSMHEhWM8OWlKPA04SNkhBrIuIagOrNDp46 AB9Bi/tHEvq2PEW3Ube3PSuVok2vOl6XjpR6IWTmHTQH3grdxh1gpuSyHvU2PKd5oxvvPtNh+moQ rsyWc3iDYvsC1tb4NlejR9A8lHzkFCGq8hckyi4iPCeMaiKIim4lqIKGXRmHgxbAc9WLdmbTeMWH esB2j36ZQ9VamCyBVqfRXP5MkdiX56OnQvLplEEJNXxTV1gx0OpgZ34EIbIMOyzFwHLOG2u27YP6 UYrGSBljSLl/QG8Z+miMUaQtXwE4pCp1KNiA7CqVg7k2VFRf8w2YjygOS3Uj93Gm43TP6r+78AYE Vc35nQ/zqpzKoBbqtVW4DhSsnDEG4tbTEBE8LNz8iKM+Z62XUKRr0avPuMN0wGl1KMYHUmtaaGXP IVg3MN+5ImIotV2mJqNrxld63bjAfVASeWMbX2HYlye8VKb8FQcJjevicDREqsguCHEYrbkRYqtV kj59v6dip4OCRlDnbvgwxxPeb3yLMTLp8Dj3jzijL6fOyZ74GNsk20zMwL2N30Pmq2gQObwIsLI1 RQlevS6GtvciDX21P1j2oyEEaKBJmHcb67dFFPjOUumfCwF9isEV9fHJvZuP2BoS4yjznJuy3fCv R2ABC3eu4+Q666GbbeqDlBGJrgAhzxMizw49/kPN1yLqpp+qjomX8Y5ddEzNsEqpVSvOwenataHe L9W1fGWUCzuM8010R6zJaNu7T+RgqCmFMphghPTaIq1gAK23GgrN7n55EObKOOd2bQEsYlPPui+S euXuCgY9uDGVXIQPGos5zRl/rVPaAvu9RXqyf89yUV9TaAplhtrLa6/CktjxW1H4zeHRRRE5vVCh zRa9+2GzFOHFP0U3zs5zamvftDTdeO5IYuyrGoVhw07P7AbUChzVdgTm1yiVIzQffjwCmfp8aVsz qwpfpIR5+0PLwV99tfZtZfAxeAeb3jP2SCuDrZ6XSMQmG0mxHicjcy17HqXpgul54fV5qziUwZJ2 UAonf93KX81XX6J71WMz3V8oGa3TV/vX6GyvfVB+5qBZGHL3JSzBWpnE7bu+ZLYAsPG9gACwRr2H nlYF/zEYiwr9rZa8ayAY7xEAePSJi3n8DeE1VP1umfunF1n4/PUrAfawUSavWlQ7M+k6359D2SXE 3/E027CBuDaKpVLAO+QYA7pOrcEwWsr3+DiEcrvuDko6h0VhTo7Caj3IFYnB2ghqerLaCxg6X7iV okbuRZq6sMti1M6LqVTNCZaOeSRzWApQkFKgCjZTM0DBRIBxgjtxDcE99PI/s1zPeROP9PvnofEo zcvyyP330OijnQh68JOmkN5NG/uRJlgOSezu6bpY3gJ4z4n+kaYggvHG1Y7KQzLgcfh/HRPfLHyY Hb7ZzI+/dFYp5NNcMbU/MfsYzMefsANcxDey/m5i2qhlkkv95sa6c//M63gXfw/mtre2hOGkZj+v 1ohS/RTeZ5VX81sFXl4yI6u1LXF933TKQqFOcylHjPn4mdeov1TRoUxPeYDbQu1Wp7GE8O2MKbTC txT8/5iW3iGt8bVO0itBvPrbsqBTxKXkFGpM2Y1f79MYn5JH1StTVULGjAnfxhJwwF1qbMqxGOgC cMJGqx5fgLuoZOxCQY/b0zsvGgvQrSH6LZV+a9Fv5qkg4fkn2iSa0kaWHArha+c59k+HYQOKPKfv AMQZILwCg5qtTbuhKROWo+2+rY47S8ZMYekZxVStVIqebfRWzNptQneYCoM83XoGmZlfVdcuILSP SAEGqiysCZoLwwAfRmfIdcv1J927PmQaSv+NM9I2RVatSkoRarJdq7K4eeAj4ZBa1jzRXFLUbFWY IoZj7SI/O16SgokE3Ho6vNpsBS15X1yzbobhcdl2Hin7gr/nUyRuzgxfmeKdng4NzSn1oOdkeymc gho9kY48pJxZPuvqs122mpfH8GUI6HkWsncwn8MTJiRARV50FeLaH2N2wdQqTsDLl6EKuuROiDKl JR48cm+GgH6/nMLlOoQdKB9O4RhjqbyF4u/4BouRVZcx/soFMh+1b2KCQ2vzS5gPYq8XvS2Q57hq R7Yy5iCdN2IovI+ntWmcabOX67JogKEo1SF4vF0keacQ5mA0ZEfIFwTxKJ13vKOEEpS7jfRGuqfl C4RkcgJGqWuGGYTBAUlUor49MG1tMPvEPXyS9b88+P9iuXvWpmMVVLILXWj4W/K5azDfOycDkUel 15uSFAeqSHqndE/W5P4ca2FUzqClUM8P5O3tXBBU4U/HGtu2+keFMgwQNFX9XRJi9trrJQ5kMBtz KKzuFxLQ8wDfDDz7uZBEsyLfBOzTBOH/q/vqV2eihYNyHHg495UlmbIJbXjf/CCRzp/OBfrSg0Xm nTZgquk7fOFkCpsLdxc+yZqSG9IMPAaYQCwgML9ndMF7k1GMdvjXXbO1X4D+QpM32uXklA6LNZWI JQn+1/jdnQoR8vOlxnMjZ/sO4w2K1hxdoRSNdF7qfyLpQkWSl7/DRep8RQSVwYUI4xHD7Jm2Wp8b t15qQBRnTPf8zuhyttjQSWpHjJYuJyHHm5NjFepSdOtKRciA5qA4/kUe1JonkQjiMFop+YUUsMeB LziUBivCo8UQfWtGg4m9OrnKLxz6L/cfeSYIt3QHXFfz+0ekQQbiVl7nMQORzx7EmO7/2PgL3yyK bQh4OYILNzZnQc9PUokPBDS4Si6aJdIVTIJ/gd9zgUeUknBEMSpbKoMoKJ+f1kVbxmCC7wMfwO6n hvLH4z8Eh5QzevqyIUJDHO7OT9NXk4o9cfKLC4lxSXuPB4sLfwwGEq698QdzC0/HaRZPJXmWHYDM fDtvABtE6gcApXyQI0dFMexzGttyhVilx38cjMPvo5SqnNJMWPuAmTckHFcRNuO9To+g41+Kj/gS /JeXipri8KVC9e3sDbLmrn4SiDDCVzR57BlIwzlR3E6hbEhdgh9HvTZ7H3G70AMberz1mOSympIA NhZiPixbjGrVNGZs6wqP4lTSS9nFnc7TvaONRFyvYXp3Vzk+QUtNcBmY4E/OFnMr6csnk8vRDxB0 9ovKHBNmdqVjz1jdI8brD87RZTqzUneXsRa+8Q4e2O05+SABH/Nkxh2KpH4CL0OMakkmfUK/hE8D iiPvGi0o5RUlEhAK6gHMVgDW4+XFicAAf6A7hOeiXyB13R0TQCNah+43OtNKvbCXf6Q7xd9qtKU+ PC0Ecr7sKjYRxXnGHVitAITlFr3eAeTXKobocy5/hTUkjPhirVocwbypLhRMyIM5t6WK5qjix+AH fMx8xUR1lbkHStw4ldF1qt9KKcsoWXBcJOaB4AxWXhOFKwlM1dAkJPcVGuCZoqIN45CneG50zAAz 7v9SDBtAbU1B/8EEIE3+knoryUHjcuMeCN6uOO0A33aIbG99iORG/HGsZuNi0N+xSjfTE6OBFCFo Hv3SAj9wnbam7BObhUMEVXCQkYLQxOvgv5BY/UTl+tq7BQBjmuTkRzEzzbQduMzmZtiNelztnvJA e6EyNirjc1jpk6fnjIc/SFgmGCZ6wghXtXG/fVQ4Hum5O5kLLZ1qjBJLWJM8iusX/wvFueKutMSx 4TETO8eQmSEQKEgRx64rJTuBnKkfpmT5OdTUPUn1GA14Z3sV9oGWDG+/1B50pvZQhMygmlGzdaNw Hl6aNbKw/KtCbYxTFIwvnUdFcFCpSP+ylHu/WxGKbu1ORUQ7g5M12js7K/EN/jzLFKJwXR6S+Be7 lX6nIMm8Jzonc28OGRWO3DQC3IYfvQD5QJ4jMvpg+7CNIdXb3PggXCqUMYyNKJ5EdzurmsvIAudl R2t9tDWy3l/DZS0TxmrqGwnK5BEJLKLBSFgKjQ5FmnbtOQ8mmIwcRR4gR9ufj5JJ9P9e5esxc/Aa qqWRRqVQvT6rtY5R2rTSwAxIPj67ySfVBWYK4MlpJkxNqvUReSHyKZ5NQkMM91jRITZfipZkVpWF iN29zWvIYc8hnvZRFQr8ATcF7AAKeby9rj9Ye0rLx6FhAu/RavgAaYpeG3yW/bIYNMdV5di1cPFB REOoLil/RmzcZR/nCf55ETZZjXyhHtxQz+nV4n3sg9TdvED+JYPThkp48SraFjZzLqd1gqEwPuZR aGKSF78ZXPAsRfKd/GTT961g2MFhqCk7TI1pus2Xy9vBgINIOheyWCFcrVgSxy5SlYt+mKcmU+Js NwKBxfy9d63ErmKY/VH+4MPg+j6LYqpLK3Rlfi9AIasTMwv27sPDeG+q3T7skU4zo3xuUWJTT4GH qcJiPMCYzYfdu5LkXLxV7i6j4un+myWA0V+4f7FyHr+FR6uuSkFeWE8cnFhKXyxDvXtR4dKr5ioS gaqR+N2I4/kVnSw1pfQFrblCSR5agYf7smboRHojeYKy7wEuCU5agvbGFwPXzaCEavmWqiRAiVIZ R5jpOKjjKeRweVzU2vvH/15SOIga/YkRz8YxRCIu+6X1nTyQeNYK6XdqAqHSxJhsPox86S2G8K/0 pfXJXb+KwtsVSLAEvFqiwVSsenxIGV0IAkG+3ApqXWXEIzzXvdGAbMDk+cfPOUnEbyX3yprK/U7I qmltgdx2dmyEYfZTAB4MwwNH7QmzSDzDMt+6kAQnVQu+bAePP/ABCjEJdWT6aB24vfb07GiGp3A0 ifeLH7RNv4PBjOdNxcG99nyMRfTOdCI0SJhdQgXgVoRwZCaqoJoYkpMY70mrhjk7m381cgQ8vQjJ UWHUDKQ2Pyen5yzoaEUAVGnt8E82jhdB87ohrEg3GY+UB6sZhP0/q7VmDpHJlIO6RQlLvbz+tRhi q6TCSuYVTuMldRlRh849Dbmo7FOjz62SlJx9r4D0jYMIgoh+snezBoNIOLsbAOhWZO/KtZOCM/f2 1aDbUAtDGlxTbrp/hOUquEgpxXWlCtSiT+U+Dyj0bncwnu2y8l7ultCAMX1Do8o0Ah4CvPF1kTp8 U8mnY5RABTRH80B+lnlMOR5X2V8u3UA0bdGmuCeUwFPaazxR5h+5Tu+AcIrwDID3AfixZZdQohf9 W/h1ICw5VredJsLW9D31/KmRH0+AWc3InsNDj+vkOBgR2mFHO4RQIqcBlmNqrSa9zG4Ugrn79XXR Emce7dHE3zF/UXb4UKbkT4lcfienQe89MQTmgR8MPVkVzvvpuQ/NNl4xiVuLjT5uBC2p81jb11UD ObCGctwCrQtf0oQE3UM918cBj20bDAVU6E86MLCftIkPNrlOwI+iWE+tugZF8GBJgcyWG8NqqgsD YMb60fc0xzc3K2YDonWvwDUmmrwDRea1a+0LofQrArS1m0PAkFcdsdCnEmXXGR9ixVOjHEHqS2jY 0pQF7V+SItuTSMvPBqTS3IK6NEK67p3JnaX/jUCoGvyxmRTXCvxbFDkiEeh0SaYgyCemn6Mv3ZPC P3FReY4FDBySrJna1UlRZ3t19SIDztXSvmDRYFc9R04EaB3mF1rjk/URZZeE5jgNhtX7yxUGa5vj UwKzKueY2jFt6NVj7uP87VYV1erU2tzhyQEqBmy9BGyp09gRbxV7P8TvtTg4RDUdjm8p/obj+Wtu 2kBXmA0zM6CmKQ9i0mbnrtyoIG8pyqyty2VBsMoQdbFtxCpZ1LVQUham78j1xNcuWgwD7YI3V3H5 Z1L9wvKJCBEaFlTgf+DYYZWpT+2Hb8aTDpXCn3aPGMVndB5vDvUvtWReamqoa+3vqCQN+UzQqGso m5xUGNOSEn2aS0h5LlL+i1ShKtLrCld9lV9+pQGBgG2PpodHJSX9ZPJ7xHg0ArtZS5FRFz5PImEK gji8edRdbAGgFQhfDPqtSETUptZkwOiI/0lcdDKnOlLiSLERj1B4hsLIHM2Tn6MoUmGM/ntt/i21 b0hon4Bc7ajr2DbRSZVG0LFjVNbynXsJoEE+p7kUZc13BcYxGo8gupAWteDOX4RrfSRZXHiHIUra /cLKfIrSdDgUvO7ngj9KJObrJ7yVy5NZTMZq+zoIm9/UH9braVRaHsCh9xcjmPYzUbMlngVMZOXY v3nbSAgCtDjmseR46k3EpxW0UiReyrJT6g/YKiyRIOu2ZpGQcQ5u+mwud5n546GbAE4+PDr2vomN DpEmM5N8AHjJgTm8Tp3+/8Ez8rNWpaZRi1bgQOa7GTCZDeivZWflUk2uQncTAnovyYi+SbpaHlSX QdXeXoSmYf4fL92uFOMjA1IcT64JHSXx8S2noO6wkphfLYzfA9fpaALOYmG9piNlqb1qqG17Otto ZBppTOPpHOPXk+gxwxVMRahp1zqLj6p11TPnzZlLPY613iy6GwlFwxForNgFpg9ZdOTC/F3CqYge 7bj2AKop64z5BovfNTb+mcSNKb79Dy8CLUAx/37pAlD4iDDWUnu8j6IcWalMED5ukzIcnLG2gRJc 5cCabGEHxzXhAutQ1MFJnqbu9qbMV3OcIv0qettNh4pZDQWyBSn766jkW5wF7kzx/VEd4yNQMtvV hwjTvItUVoAMbopP0z3AmEj4wWJtFA8+OyhWCtQhH73swTLhCbCzEYiw4Q6v46Zwue6GJDNT7Usd L+RTbLwxnul9pCoNzbQT6Z5P23dJbI7Pq3bIgrJkvXvXUo4vZ19FlKxo155I58mQ373laE0zqEBc rgnxwXp9nC1+5UBwX4lgM4wZgHGvj9H/RarU6H9dfng7zEohbCbYs373NKFVW6op0Gr3uHexHYyU y7Q8WtBOoKegqZl2k8qKGh+iowSRTsA/4tD38iMU5THPEpfzpk5A15ILYR6p2H1On/yyWHTOXR0w nEpKP/dE9/c+bqfYwQxR8OqC8FJmoRnPsKLb0MHfpsTGAr/WjeWQzE4g8SneSIwMTJ3HpJiJuiFQ qlwWqsuNebJnHcILbsj12W8Mm0NWMhe3twKrguBNPnb1Omo2cgKZi3h/96KOaidBEX37DpnsmlPY fuVizcShKbteFH/fNUxqX69aHMGVLhFDr+W6NW4jnltY88aTxrB6FsRC5M6m+k6L8D3KfibG5VrA Mq8hP54+lcAjWwsoye6NArYVkaHEXSpQ6GVbCLGhgfQIjloEoowwzVuYWP+hvhoGCwOiXZZ7UG/L 6bFOaf6BAc6yYviRLuB73SprDbRAp5/RAV+/adyvFsREgvhdyuhue/r7cXt2NRfqcJOm+Q/lp1LJ 9FgnX0Oi6rrC1u2yGohub39/S4g1bS1gaay4jDew9aVjdqopATJO7gIoj8hOVqaYcRim++3h2WIF TXmrmcY9vpi+eKK2uLBQrdWigOtkFL9l9ai5XamJKcp2PsTOu9QMHhtojSYAJWqSsVq/A0xDoyVF P9AeQv6Z9kI9dclocsAEBN00tuALsbM8pJW2ddUVG6buy106bC1bJBeoMZoA/hVp6UwhILkRr5/u dpXKzvmAqGmWmq9/JNCYnY8bxqPGny7jAmIlx6oOEyu53A4YBjvGj21pmv5vj3h6ywS8KaPh5Iw0 tRXxpQAjFGbZDNCQdBt1CCGTULq3KQi1KP57WA+ig+IWtw8KF6B0tQCGIp2PeFhSXh4DvkR95D5n nd6ZMxRWckENfmt6uT8T5ygpB8DEraWz61ddIz2QzzGVa3jsd6GgTEAwevcxlA8byeSE7bVhoDwm BKyvbvOQFIHGR51Rtk2BsPMYC2iqokTdvYjPISu9Vf/GTaavZEjkexbmXV8rrhp93TuIjl9Hef7y QPZ+HXBHPziEqhQPT6i36cg03MiR6X/WFpIqVXpQJ3x5256OPOUfmtg1w4//1OV2YWb1uoRH0lg7 U/sqesSHVVC0CRYL92+v/9I2Z5uy5bB5tEN1Kn56vbvuE/HFRBR02PPc9lxiiMid3ywNLKuL10qb v00daD3DLTQe4FfJLongpMLSQVJAHHn05fJMablQsZ0NUluikQFRL8hBqxDDBFp1lgpmBVfSso0Q VAvNx/O3qHsO82u0f+H1pp+b5viJcQL3KTY0K5+fLgnOaxJMGU96XbsHOqrnDwe4MIYT0QZYD6xp 5ir4ubdA/JOzDQGSVDebpWpIk37b9KcAjxQgyPtnPBbj/OliX/h3IReGHlK2Bkzr+Bfht65s1PNg Mle3xVz2J8M3RzCnBxWGxGid9BnoQaXeBcS8OoJx9SMnamhCcxUDmcZVxKB3nAMkADKe3h71BAOy px34C0E3g73O90Zc0NRkCvfgAkmSHZK5lZE/dLs4fiNnSZV8qGcHK4YV2a1miLy6mykZEZrqYQsC kMX0Ltm3GUwbILA75a+fyaA1SQjSxc4Jh0+wO+ifl3L9cuKF7sAAei+nyNZLRlz/Rz9N9bcDUGA3 5ZdNmmoG4NukSpQ5Mbc8Gar99nGQMA1sO25qCO6y7l9LGNU9kXYmWPGrJAff2ukpuLilVtgUjPxn bvDM/1wkZSjXEh/xtpJDNaq3HQGeynfWA2SfzrRNMFza0QlFZDpDygiCnLegp2AadlR5JK0af2Lg ihwh1ACQnNq93yH94rhusis8KsVX2VLXtZhzNhtmkthUoRgDrGQD1d5njsEkWD2VcTgLPiusRcD1 3xRwEiWKXtpuRI38M8BlWBKQ5a9rst0jXyuMIwJKXgAaTABOAikP79KcWs4rMAVTyljGlOd3CQvS vBi5UvVBo0ITiH27nrge5Thbp+mo2WupRCxdqGIXj+Fr6NnfsnRoupsHsuWtfq9S78mjANpcKB9p RV0JkKKLvS2Y/A2SSDX092l2VgauHx1+ExwRst46q0Vr5DUOhK2pB5YBa6RT65yvJBDF90T8a5Iv oTzcmRltrqugo4+JonUNItNzPkY3eh4WSgSUkTJgbJMJErBkOeE8xb5R6gWwP+Sme37g2PLE6Y0v 5VDprMXI0HY9PdVaOo1tiL+Db72UQCKQmWNBIBm7wm63PzzaADAHRK9eoMLhculFwt7VWMEhdZwP Zu+PigvX3HbdwXtR5MWu39t+34N5LZSHuFbZezhPZmSJHH25GFz9PXBTBV89aMjDbJ/fBrxCSpC+ 4M6rRqgYBPGxGCUsMUa2i9bL19bRBsKrCFuNL7fxfpIOnAlMe2Ozpce/LLfnaacxlrG4fOEK2mRT W/vUxrLokmo5quShYW5l0SP2KSRS3OUlyu1amQwuVNcguXYwBqwZX5bgGKmayHEV73OD6O+4VVuC x8hnoCl1eYk9CrRfH0FTAIOn7WCeNYw5YlT4rqmvbQKzqgN5IwZDRtTtXRLsdVRJXC3zLWuolCMI 4Lmh5L/UuItNL6+x1zHyvI/GCVo4fUGgnClm4+Ok9BN+giCzpU+K7wR+lGOWYIu/V92vUSpdstCr G/cHWrtNczhRxyEM68/OfsVS+waLOI2uvrWgxZ3Qx1IlmSRT+ytP62rXhYR7Q+0Q4PpEkeuyRR3O Y8CGbQFhJbalAywRcACKJB2w7DwQOej3GJwjB4qKgi4TgKfTWACJbQTzuTDkmmShI9kO7XGv2xxG pdHDX2gshKv9k9hx7TD9OIjuEPKXQDeYmbRAHKyL5ULyYusTr+G6xIi4EA21TmC2lgkqgoDwqWfL jgzAZEj0ucDYdg189r4dtZQf9VuSiOeLk3GH3SGj8QklmBfioKT9k2WTzMb744Y/8YueUsGsjV6i P/2UZhmlilm01eXjhYZG4G76I43mudD9c2Gf0vzvcXo3Z14n9KbZRbPTg3jD48AksDtMW9/pS7t8 5vIZAkVD2JbSkHoKde0J3OgJqN5A2v7a7sArQCUqIWhkp5Xeh0Cj4hHU2sLn6KUZbiO80MtdDUly bVTLJ1ZqQK9RKJ7cZ4FtSFluNiZDWq7kMkGaR+S/h/cyewhqHFpfOAWeHq6paZDeWbi1KcrBe4yH GuGEnzASwZ+/LKl2GCaFKgSKMeexVNlgXKgfuQRFKG5T0mk4n9r6NiUNp7IvhDcwfpMus1iAOFhH gXivfc2UCdLO+jlZqEsMXlTs4i0JmnjGIyTFwFlkJqx+6dZVOBfy2vjDNfCR1pjqKRjr8b5DKpap dZpAaBnmqGMlci8Re62BIjGrxLDfKpHWsVbL3pzFnfjlvMv6ySOaMXD+LiyDq0yh4mos9e+lPEQw pt3KGTTlVR8/bNbqsC+MUSElHUSPN+51nnDScXJTtdHHy68bgl6lyNKfnnQ1AgiRQg6R02pYdMoA k1IkvQK5RhbM7QBJb1jkQBbnvZ0rWgI1av4TOY8CvuH68ySn+1jKbjDw5LGNVyp0UhseGs2INeSh THYjeBn4wLjIcgP8JE1U32EQbJqpug2HsC9/0rNt59luYoBXdWvuyyRUMT+XfwpEpuUybkeLE/7L gu5tUX8q35skgC+/hdi+e/UB0vNz991X7/AA8Zg5pFNtgInJ+MJkigGlfLzQufquBGTYLGsjJ1SE h7accHHzXbX4ES4yl+VLacYyET5a0BK/xl2jVtx6hCdXK0tjgGRwj0WE97hEIn7oEjgziHz5CMN0 YfAdeKnU0xhraFJPAvUm7La2M509c36LVUiW6QPlZhw9Go+Yxj/f5K4DPGP2cD3UZlUgII+AUNdl fIbaAcq0c4n4I6heorwy4oUsHR0Xqx6yFISyCCWle5ScuAtInHrX72ZRbuQ+LhGloFT9gV2YxBoy +4A8vrzrB7XblaZRwzDCsFkTdOtPRepY8nbqbQmU9mKZabVy3svDAe2sGNOq5d3au15vvLTGpd8a dQ392GQicBJtBilEy/eoK7iaWup064VEKfuHXyPQrN4bb+SkVJV5o0HFFzcVaKYkxwN0wFpJPpIp QIlFbXVhN4SmjLO0Tt9TchTGyyx5FrZiTJuWXOUqBNY9Yx25LrE6vEMkmGTfhpfDOcfJhiB1uOwu tHKfSVS6pxYSGk+q5X/GuPVWafxGLAKaBBOrAojhaxmdZ0dyh+EwiwLvBw9MnyyrxBjmuZwF3hjQ TKDbuCWpLlZvwzqLvZyvPKwrgkJcOgmFUpVae5CZhrcmc/UgTDTO27bwO24Qec40Vf7//QdNvZre UBAPr9lUQ4zmUSvt8PZ/UUM3aJvgvxnxMq00qM3jiznqC+ESAdhCeJewN+Rnye7RtNv0S0piFM9G /DNBGUxHE2gkqvEgdZVxJY0gS5Gv7APodmxyRvEWkR+KSWlSjd8SLg4/LEx0+/a2OECeIzJs9sOS lFXUQWIPPziPtLLLsgfNpJsYHytlobkrmJ6Yo3fzyV+D5V0Da43o61AgiumQZKeAg4GPQQTQsIiB OJAtRN2Y2KQGqxrm8LIG0pB/+r63NvG74fb+fUXqAnx6hNss0zXSaekmEUSGvcbbJTAJbf4x+rZl YcGaAF6bj2tHX1byW3xpYYabMeGDtL85hCTPR0paiawumfDHumn3QQfTTyM+Mu/Nsf44z0J6Kfd+ Pw8E0kKyOrApIhE31Ft5d/B19RgqPvM9SZC9nfZsC98HWpWil6f2JqkG+aflHkXe6ud1adLIUYHc KgAl7PqpibyoVye2MYI5V9GmC/EQ9PORSgmzcvbKzrbw3pzaDRWrs4YbyersMhTIcanHPiWz+FfI 7AEQFiVUdA9uCcqp10ittXydTKAXWZT1KF2Z8K4UiHD4Mrey0XNy3sRPNB/YKJAF2oi0MuFdOjph dG875oIbX6xcdnwcnLV0obGu18wQlvlL8rLtD352eD3+9/BKXvZSjDBvLXu/xZ2W9tER88KY+Qfm Gsxi61TLWKMFI+/rQJvQodMp5PbwpHa8/iAYsW6AUS4/UUz5PnfRhyVuUH1JaoUwfIOABJw8NxFG YZGo5m+wATTlHzAH32KhPkFBEcPJKR0dZChwGR64Zg9HDs0phPLSHYc23YYflgQ0s+ZaWKnCJxBW 5ZGadbWRKLtPi70fwr1Z5cNUR4icPe0sBVO2mcpsIVmknU+yV/NjfGlGxj8UQqfwxToJ2D0zW+kN XTRYLcZSqMpkEOZmAGM7ltpjDm2eaSpyUPRL/SO3BV3yJauEmkvpBblIY19fF2YRzJ1k+3M8y3kH KGO2zASFs7VdL5dxMfPBodlQrmlm7BBHA6X+GW9aW0yOUz6UXLis+uOpWjP/Es0wcEvLQgcLdy/P 540JQHO7Kke/djgsiMgdPVtLmYiMbpBwqQU8vYWlvAmnNSWt0xe7krbJoltEogTNyn3fJ3Qqv82Q hnGt3sAihro7N/ZC0lnTm6plAeEEtuEsvd7xJ8J/MiPZGJ/GRhL0xttStTb31Gtj4g6D8Pos2DYq PIS8C2Z/Xnmf664CEyLE/RPY7D78pglZ8h9TTp8GskktMD/4PxKi2GT5AG0P2MrkV8TEsiOqOCgq UFo/3etQtgTvyFTAohvfwIWyhqJXOwkA65mZ6oo3rSpA/uJGZahMVzsGX2DkSQ0RGRIwqaz400Hf wrnpALVDlZuJxXGgabDmbfGwIzdLj2lFUogQt74FyvEbhVW6pg+WccMbRP4G4mOmVNF7y7BAt3sM bTKOI9nmlhyLp4mxYnqPZpIgkBTAXqoflgYFkzFC+ZN9GWaCd5avRVqZJ1yyVDlraTMPchNq6q+A l9z+1yy+CnNOU0Qd50csPOYadqsMyWJCBc0ahdp5bcqtEPQ6GI8srXCoa7xXR+i+gAc2E6VrwhmJ /mo6fZiqdVVspvwXgQGjhRPpsh0mLBOgO5Wwh4+1gtLOTBu62rNxLzfaZM5HP2zZffxmWjkr9fgh MNKYjVPop1rO+5arQphwkpdpXllzCE1eaIh9CtNw7WpQlBeu0I8dpniFilwlULcn8ki15AHFPfmo AfI1xdNIXa6EAiHvydc68jDdhWrETuRy8ky1i6AurlZnGo5DhUMlGlok3zfUDjAl+GHxJJ9en/7+ pr48KY+vdO6XPPI3QjrNXlZqqI5mNIkSfcm9V26/7/Dc2h3ExoXbbSzghHlXNjIEiva6u22p3Rqz Dm3adTJkw4swZkpfeyuNBUqcGX1gQWI2O6cN2V56ynkUREyENb5g16NJk5I3m417ELLLEX5pmz9t ASbcmwbaITVhImKjFMN5Soen3xnkDU5tw3v2cQbMmYXrF1YQOvbSsdpjChUS+sNUOZpAk/1RwC88 /MK2oLynnOZCVYruNrOiZ04XsxEh0nt9pcop07EKdZzJtjQ/LPbRLgD+gCfd0tIt41CDpiDiDa7V w9kMEOTzKR61eQyceW4GnCJuVNxwpRf89b28s4ROZT0ACW3OpBJqirfQpZPqyU/uhxvrb5L+R7oL 9macL4nt7tlY14ea2aWXczxLKiREhniGRM/7LpnDOExwgFmVEkHF4TXjjt7p6bZDa4TIE5G1v08g tvlGQ7irt1rfxO7nQfVPcQyeiklUcUuisZC46vXQwkZnHaqQmAjGaXymPtrat6zhhclfznmh5iLD MYp8+hWAD400/ZuxM5n7XsJ3GdxfPf4V2n8J4ak4cJklxAatBhDGd559tmKUtSaflKZRMEmjQEBO /pyHnUk0zqt+7cYRI2JMgsPiA9MUV5o5gDes+TVnfpEVOZw5vWFQujod6FvBIjy14t/9voJJi4DI /C//4Y48TPCx6OkiR8zqSlsviSS6mPGOxNnXWPQvwiepQQo02m7T0Tn68kc87CJz02QybT+/Kfwl hAlKweEpSIUl07PmbrIbazLy8PKUSClP8nKffbKKwNbd3De0vhmf/TOzqx8a1zaE2y0i1W2RzW27 lEJSReOMN4xs7Ye+jK0tc35YqrI89E5AX89O/3joEv//qUxIXlYUooOap81I0ubwPobmXmTENy1x sxBVt383r+ty18Wnl4uqXDhbpgZ6qLzLqjU4Sq4ixPxGD2LCPj8iEfx29vA+nRkJmaZ46VDSPkqK hTsY8PN777uB22OBaZzXdmfSY6XjzA8+TQT9fyh8EgZPXnxzPOsQz4dXiE1l4H/MrhgUKwZ7XiNX YL0WZoVEu/HxzGUjxqHFddeD5W5sOBpkrv1DHaz7NMw6HKxtA/Ri8383JXtqaaoY7LYjbyNOmtWd vvur2/M3iiu7ciSVFm0BT4YV3/VArp8pEI6Jf9DpVWE0MHGDwbi5/fQAz7FlLWI7huwltsCad18h kZs6995grsoIeR9/VEr1+Qfq933YNTDp3r6P2gA/k7nc1eOtWBKkqFWUf5w/IhimfUq4djUfx8wx VFVsGCNbVcgXLSOEadI2ZIejpt+Kmv/xry4xNuJ3h13PU0jcQlLmabyilJSjT14ZQ+IEg5IC343M 1tTwJMeyDmgXqCpfL4WsDiNcuOgtBRzuEFPrc54KeV3KTCHSIZxROu8QnDSkjVw5+/vlIhyCKNl/ xw/UmSbqBuvaXToKRlXyZZhFIR364v9zY6ulKqZVgskvb6g5CobJQR+ALVmSL2dG+lcKnr+D6svu 5i+LgXwsK/296ji6eBvuMsDM+jnSwXR9nIaeXhdtuSwarB5hRX3x65DKUGYNhl+zE8nSEtVvewY/ ne9THJbJ6rS6Im8OnF1TNX7zJxNg8YN6KzDfNUPeOdZ81mTZ/q6p8fXLNjg/rbgv4rp5HO493y9i MLcub83Hrb1sRnUqzvN2daqqv4gcI1P6QHmGXgQMvQWjpTIyXe8ioSEzGS6kdL6A9PXlCfA+eCQa 6Rsxlmm4GFZb+JiEtGsTqfnx9q+DGsAYU0zWruRMSCVKGvyo1NNYoJvBFeVsKYBU6yI6qid3kPDe R3coH/D1g/yBo1bfLrc6wcoznPwpEomt5mrLWh3LccbqqWh3BOfxs0vozo58iBOdBTvzR/jDkvtC F6valkND8AKfytJ3hvW59g4EAQKFLXdkLGOagMO3dAjYnOd0S4HlkBV7Cy+Ld+uoyyuVxzNLlJvV n1AZzXixUBlcyfF9cZIzfaLzFaj/mheSdtUzkGebp1z5z+gSY6X/yq0LA3xCON9CfKlM4IAOtPV+ 3DPI20kKBW1FwCH2zT+FltBKt0EiHjRtF7Kr10X9CnMVSUcRxZlNseJGnNFt1EZbngvlkrtGkQuf IkENRQULJO3Ff6b0gIjOPY7a2V/M+IjtNdt4LFOHE1yzmlZrexb97Cq9K+OfYl2BPoLjV7R1LyAw w9uHPVubO+7Qaz2O8asutyzlSwQc0SBunvYeDR570k8gO17XtmURfKWrQm2bAjJcE7g2oM4J17Bs Iu/e5o42X9wuwlu4uoJBwFKv5rR5l9o9TxPZrgoWRw1y2AkPYWuBod6OsNnDISMkqk/EJgH9pdsb whvd7R933s/kdo8KUswVWyOVpJgIb73RzcfmYRAsufmrammPURLkZQpxpBBu4iKRqgAIZz1BNDId pIi32LylSmcAkZT6hKn5SxaQ+3xKL3FchaT8O8tlv7bZnXs7fdWKn4AjP6WM1gHxqasvisoyk0WE szcnRGloixo4D2ZcoawppAp94ncWgu9h+w+P0EGpCTFPW3Sfv9tlRTMVmBYUK3iz652Pcow0Rs5b PzXvbqGtaYYNLhIgXCWkhT0iVvkt5yTgeVEy1dp0hvkFoorXgUiHAAsZ9XqV6/Q0hzusjsbHInJe fry21v2uSfBZGQQfk8re3HQ+m82wuhsJPNBf0T28sZAMv6Bau4zVNE3Yr03Ay7XiSOTzVQJrB07U 09KJxD0KpMNov6+kGFXVYQFkCeDN879R3MQoNAT3b+vaD+xIiiSF4yt9nZRZcKrxyg727W2x8vI6 EEdg1Q1h6p8AJDypx+7bf97MUMHCV4djBsLp9q/sm5A2JAJZHoNbtohxN0TwvXdxapwmJDg1RS0V qDk2WSThVkhIV+OQdECYe3VnTAjDC71QPD5gtCVT4pDfl0TvJWwHMXCI0wvgLpJvvT+0qVnm8/JA lGcPoOCVnQAY8wDo1ARqwvcvtwhT5Wf1AP2CU0ROaRED03lncndQuYx8hJlpGlhmlgM19+itXicp z3QWNMPwJrgOcI/4ylJUAR5RZcU+/WzeTkG/iB3+sw84OjZbKgIelFc0hPnrwBVULr/ofHzAUIzf ubIyL8tjVjdkpIhzKVk1Cre8SoVEHlTX9YidIDBei+LVnDK5yRc8IQGXSjX8rKiSaCWkOkJrH4HO T7P921uAR2eVVUvrfVKiF6MZIeKydU43J7+voLdBOEZAoYRlMNS1Au+K980hbRVJqAiTqZ1BwJ5d hSLUOGmNe/SD1Pf9dM8jhDU4DmnbrfiSkdfl4bPTCyOF1UMBsshCwuEZh0jSbhG1kN9Zmt0UrVVY 8ZVrAAAmmmH6bFUrhHdkR6QBolz2L6ZSyEzx/bshXnFA4HnO4R6uroeaeLodRCsJRk6n0aOA1qPp 0NWbSBg1BgGnYTEQ+3h8qA5x2yI8lLKwPMQnoTwh1KLNIi8vtzopnVpbI9iHpAOBKeCSEm1D0C87 3IJIuCufXVVfDqDM0u5X8JF5F3qSa4xilfCqH6vJh56+JyrSu1Z4n850s67UB+giajk4JUlSVpXw LGiY6rlpQB5muUxRdh7ZG7hNpfnV8pbOSAHsH9qcETjN5iQMYtmxM8K+uYYMDTQwHfTBbu9eNNqi LJsdJGUK73+RRYYKDEBeYl/kn8Hf8imx4sRsEqd5xF/Iwv3bpZFLc1LtK5dokoftzjaiQOgNgZYW wltsrKhjQNxU+AednqzyfAqzvMA0hyonQ6+WZX9ei0ZIg0hWMOwZJxerd9cySzae646wb+m2b2Mj zhMvY+IwTXF+lrLf51xKFupiF6kb9fStfuCU+C3csIYgttGVL89Z81pBzq3zTIFcsqpETX6+6ofA qYbWL79e33zuCrGbW9ENgxN2OE7xt/WqxbnqNDJxnZgPy19ras/uoI57lKt9yBg5wRbaYrBKW12T la/ZK1UOIUrSzondQzswYat5p5cKc291F6F2sYDenWHJDS/j2/qd1rXtSWm7lYSTdjm3FRdEuKSz Alj6UBLMpef0HC1KG7pdR0Di47EOxVuvCSSxHZhRHbJ3JLOO9myi8TairjNfmFpU/7ic7vahuVel GO6ybkphmtDTScPX/KjsFDU8bZAE8eQTYrMNMqEaUEqv9p/NZmLzl8TAxnRmRv4stP1qy+acvxre +6awj6AC/eME52r182IIaDsCq1G8P3I2VogJzyo8fWlw8X/GvzN/Kq2gzNxLs0J4AXrEm8AGobTC myksqlgqkKBds/RIPtaW72WWiAzZge8MG7feP2UktQgvFGNN5yR0G8veKlrKzsodGPSK4sREHq3q /06Hkn9N5zV0Yqsz+cHIlE2FQE3m1FLIb2x/cLMBMjPpEJOWrTAJorFatqRqJ6MuYX33668ev5QV DDTcJd8xNKHM2AzAY6nX8B25hWZQz8Nb2COGy+VtS9tsTsiZrIkFTCqe1ohljLrjNHfLw9bZBfx7 Ug6EyAeqFEd1pJlvRscJteJ39TvhAK0b6AGO+qyK8N0ka0T8gsyGZ3u8xGAP9R9lbF5hR8ZoqHUP fRPaiw5B245FI2b6gnl4XNgXY4Yx9bfwGcpa2cg231DJHYqnL6LTT3PRWeJh5GXTbt7T+XtsBMRu 3PGAK8v2z2Z581c4PlS3w17Hiic8nDH1blCD3h6x6VyoRERTbUsF4aFzDtyvNur0S3Bz5nebEjp5 UfgVXYQiBzCgAcj+tQHe1FMKr3eqbS13EA1W3dQmlyn01849dG02hFlvC+jHu7CC5f7gXEnikGCO 57i5/midAS6xpMVkfjYMd00zdwVUwMoZYGNDsyJT363gZHDZ4BMXP2UH7/zT/owvXpfWc2Bp79ME rpbA6Oo1/g69jQLe8ZRhyBvBBSGA37SQ+kLs14oG3bECoVtvBf9d/G+bkGfU2F29KrQAnuniLCqC /N25SQ+mNOF7fe0JE5TxpUyfoKBTwzDEC+F8l0IimwJb2W7+kN5BasMB0j2HpI4nDuPEA2eiy7UF +Q10eTEBv+zOMEDitzVwfhvZT4mSinJOMCaXYWbyrZTSG3go4MpPUSLGDm1m7Z1m3lMOJPkMGjGf 8BzGD7gO3ScDHLmqkORWll1MK6RMEVM6jA/yfDmn1pulWgTQcs0qoCOClQN6M1yeCeLL3cl6Rcpf 53FdlI/3c3AKc04SBzXzruPHTS55eIprk6WrVJ+FGrWkq3YsESw2B4/r1V6k4j0n+K/DYSa0NW9J blIF419NovsFLughMRdXEqatdAOT0zoQLQlDMWDkUKQY8Unqb05xe8IS0j354RrgMajg59tCHXQK Y2y92irRScK0HocZ0E4kteo4ljDHpsr2VPQzZRpLw7h/49gqo3hO6ZGzA5f6TTFhJd2UyJKiIDNt 9MTvQTJ+7aa0KGeNIkt9yj+0YQKztD+PHJm+Y6BADpTAnVyQLzoYiywNLp1PZSFCpXAinSeoQEWV O51FwyzQJy5NK5QnTt1nR/WZSYz5upxo3Z0DLOhf1CJTbBe/i1kZkcB0zGiv0CS4gW1rfVbLscOX w/25gmmPBFsDqNEPPU26xHEouIsecnePbZVm8abMMN38Pg9cPMRMgli5ihxBQvlt6+kJoT/Je1Lw zEZL1lZzxE0t4Bc56J9NOCEz5dOzw+H5o1MASoo2DPA3g2ycZxsw6i3ou/8OJ0ntws2LuDh0nJ3p NKRXTaFaVU6WlJXX8BOmp5g979qAIBtMtRicvCWd0Kh/BrELr0nEubNDfUBGwy2a2/hwnlf+DiwU /McKttAVUh863hjlWiQYk6EXtZ9B+QS+vE4MP+2f6O4N4ErjbarX+1+/++B9qOEOa9PIoNCcyWQp 8tB9P1G+TvRzpFKzud/ad9VjQy0IvZl2J9r+2y1iz+LWAL/CFaaOWJ2R2RVqV7TW8HMyt2XBsdEX 15JaIcHJhGHJEUW/d0oLHcOybvp0spP5/C5+m2+fEspP3AkUJjJWuE5C2q+3HoEwZp4GE/mrr5W+ q7S6SMT7DV/edOGowmDLxGYf8lz/fn0OyMxdsS5Owcvl/rKVEUxHjhG4XJ1nPeuafMY48Kq3YgVg oUBdYnuSyrd5Sx8yIn/5WeelaZ7/cKq+VSjUO1n8Blpltn0Y8CHFJD1DEcma2Vib21yHk+oKo4oJ kELDZvRUzonNEGR1drAc7Y/hW2LFaXZzehcOxaM835JgPa9eaVtVog/MFX/MPb7wRj6fNPbbKMp0 +HhZjjeOj1+Kz3wlC+aOYhHOuxZ8JSmw5xWM890OmZUA6MxuWOZGfPzFrJ9/JGnnxXI00cYgKw7y UUq2Ko0egDsQ6t7LQgSSwq//P3lO0/JV748ZpqcKUI7cQhPY1YeAV5I/ZqKFMeOh94rzY3UrDIkf ckeDIxuXn9obMajiSuGsq+8LIshZYUrqXwU7WtnZUXTzyuNHBjZ++4zQXo3u0hAelnEhWaOA0qKe 6wxdj5kRTDSGBnXOGpw9hDP1zrIPwwJiT7ME/fiysELzEessTFENWCZvD5tEjv2FJSo2eboCn8vw lopdAXu+LDQTUErD06+bKGPxeK+S/wFPNvoiEvobg+RAzbmLJonSo1yxDfV/pNwYV5jgsrPdrbbG y7vxK4vPvwYjq9b1oEpdu8CQJPmqDThK07oDuu14Ynr9UtytDv8XdXox1C4D8tWfXHC00o7FYwQQ cy+Sc/EhGMdY757xJ+PRRPOGaAz/M4LIlgGb+E62J8eDNC8J5svKmkfdbGuXvBsyZj9T+2nP7jDM dD99cTHUjak2Q33Qq5VZMAM2SPDUlkdx83bNZ6sEDpu14Ws9XVAYFAr52lZp1PrcLIXNiuEr1m+3 0h82E9AJEOfpbHw9EsThehUL1u8XCWwtshoA+HQ1ULEwS9bXpiOkVRobW4N4IHgdgYwe9Hf5je4m O02pwplRjSq3Zt58zbGeh/95dvDKD4oQdSxS5K/KnEpymPyRUM2UuyjA0uiXwFJsbTzcyrXdA17/ HcreTDdnl4uN8LZK7ccAYdK6yP87yCrBXNhG/MQ1f/l6GdVREVuVf7HUgA75mJstLvJ2KNHXsBdO KA7E9Nf4qPoNJwjjfbSBCq/UgH4+16De5jXoAmAxaRWlaMcmne590KvvniFQUVk07Y0X0EdolNRC prdHXi+Y9MvApxT7ItJQzLBydYnBZMCGKgmwMxm7QtXD5LX4JC4W+1gl10OgktT3bGx9V88PamLf s/YmKhRTUlH+pCWg+QfYGxgP7hi3k0xR9qYNHbZ+fe/UBKuBnCvHLx6XglnJjP5KOvbClvdYm3E6 oZ5nQv1N3r2x2oKRr0dagbuwTQn59nAFGqW4RJvZgHx26rKg7TjIrJiEE7N5ZqIqeEYRMhrzRDsk ZsXRPyUu7WVauJrq/0t3jMZ9rmRjLlWdlawswW3NHMBiQ6P7l77WlUzPWmKbFPOKdoAIXLO5HRMB k0/hClvJVsyecJPLW+MoBJmDVTv4zDzYvkxSFfpuis6/xff0SMAH5EuioBiZg1KzI9zjWPp/N5WR F2Nfv8SfVRtTZXGu0ACoCHz72BxQnw+6s9YqyHDyEdNxja0SVHNJELl3YZ46Qb2Xor/4LBgdGQl2 5dkxVI99i0aADxYwbo1XjPVqG7dzSjFBox2ts9xMArfVu6+kH13n+Yo+Uo8KGmvNykiCH03SeW65 AcAsB8v6nDilnWIs6X2Vj1bl4JeIOSgrm+5qC+BeoSYxQ0uCOtUc/6TmAwSFpJQT+euXHL9ajZ0h 3tdM7RUG/u0t49/vxwLCt4vDuyNW8gyGSr14l6Z3vIGJf1CKWREXjk6u+gpr5yKr/5LzH6eo1gzs QcYc5VZsctoxNVNbPfZ9oUktWAxvVapDpmTtBmkXxjkYXggaQ/bInB6E4ZZRAqWIc5MXylB6ICmb HOTsljSIcBRUgIJha10ii2NiRHM/MnmVQyhWcPvXZPaxQ0VBxlqHrTIQWUfxhFBf7D7WYa+03g9r F0XM7DWUhBVyJLkSjp1CDaJWDyhkI8jMQSl1I5ECwj0dni2EjI/WagVEurSMGWcyw1uDK9s0nxIS Y4MjwKBDLJ/WdhMZoJSItWzvR7qr2upBxvjxU1nmcr36R4y+MGoM1ny4EAUdlRseKaClseEPEpA3 P/GWBMy5TduUQVLwfYYya5LJE9M0Rlo+USBcxBjmZE9IAbHmzSM1PYuXY16Tfy87U6SfK2HiETiL cFpPHhzbVKvJQA4toyKAhb7ZWIrUz4ZHxRVAw1L8RnmyGifQ8/M0eRMsNacnJUBE++SivZJk+rDX zjUrS+me1ErbNjjlIDtMM1RokHxPtgn7S6TpTP5VasFNz2JvyIgY0zR1giLIziS/Sc7fslp3MIHK 36vQUQi4yY6NaQt8C5zEsMfzzcxMgXLxYYoJ6J2XQaeZaHtUaq8AbpYYmAf6YdA2YlylsxNucMww VU4H5iwK9Evfv7cP25cv9RfGaoRM4O7ikE7QEG0kiJS5/nukSm/omi6xh1doGbEG72rV0YKUw5Mf pnahdT5p26e3y4fVo/rffRbSIv49sLoptixOMkN7sKwnsD/sEVE7wzoMrwDrUy+8x22Xsc0fsW0w j3ONKnJtwXSXzk+lstF3BWqDvoMKKBXpObaWZWVPtv2fs4TrvSJROuAP1wgfzYhZuLJ7Kt2rRWpg 6C9mL4oxVix4Fn1DSTx+YyHk3DPva69zWjJQck+XObFZy34tnWF3PNIx/wpbO9kXxZ11ExXSiXw0 PvCYH5D8XPNl5m2LS1iDAl5S4hF4hjDbhs8o+bb21sE1/qlBe2TMrl/dv30/Iyd0yDBKkKVcLeFY WJ+uYyX1zfvSGJw440u+NSIbDgqQjgL2zfstkATJT06hBVTOghU7vZ90Zq/uU6HCDT3w0QMDrzvn BY2CYYnWVZviRP3dxoWQZAJw9U79PWT2/NgMzrZDVw6R+IkVAB5ycsmOIMdkMGDiqgWfe1z8zT6/ WHaSiDUtHMuDyNNMBvKz9Q3vJa7poS1ZxtIFxLOQIKFd+6vQ9WZ7PkZSHOtyJITUJ98jMU5yHTS9 YzCtxyj28abRlrnqQltTgXJuLEc/zANUxpXDwNsmPrwqKjnY+mtv/h6mZDf+2B48pvPhcgNPF2iu yBN/b+iGgX5jeiu8eKaTxcKrdOh/ZhvS/gy5DPij079RDvNwgcraH8r8nx1dRQyiy59WwDVO7Dgw pOCOkuRIlwn03g0S7pcpzce0zx5ifK2f7o0vjxhuPnhGqyXFWIB4t9lwSqCPkSXQ/9ubnrduCUcZ IZtcFmdXsGij45VYUvL0YxOUY3q6GXlg7HZsOqZvD6EyKrVDTACCrLophRXCwGtzZ0DZXL3VlxMR OEhngiBXHXRQm9DNmGBrWDL5078gjBc5lizrBGj0GcMrioVOpXW1LrjFQUsBU7I2fvSjwkfmfE0T M3r6IpnL5Uy8X1j+hXBCO+DOJegmASkdPFEPl1ny2jsqluXmxExwuHMJOMNKgFkOcXaBFAeeRSUr EMFvgpxxR/Wj2lLVStMYiqpwMz7w40bSmRLADrQ2OXr20eShxl7J0Fr51R8yWo648//ttPegKMqK lKYHoswvTtexygb0zqsehvH8iIN0NAmc9nJfDWP4wQzaEdGdDqpUOrTYp2EWjZjBOzFxnv2JeTDE UA7XAh9VIdkhkzgG5lJjwWhQaRx3ha/gW0dYL+f1j9fF/K0ECPQ2T50+iC39pOxJD6lSGvVfnQvO bYzdD7xV9l0GzyQm7N2bp2OEuTrq6EvVU0g7uLbsmMavwzRingLJcOa0aCZJ0S0yeQxLieeucut7 8bflillFtOFcQx74XPwRG/cnnKJVIy4wq/X2gqB2wzoXvoPCtpu88Vf3r4nyRemdeJBXrIhBMs0u E/i//WQWmzx206gYXXECD5AupggPtIm/4wiGocrXJl9AmrTTtsB3gQZNnOcvizNGKQXrCsvrbujy OX1U2wPBQ9Njst0EpNU3STu+WWcuCXyZCy4tujcfvDk6c1Wnq6e/M0xK2uiyYe2uyvh9uaNcIWqw Si5ZjOA3I/LxGIxtud1wk6W9+JfTguaKp/82YwHsKQHDqmktsVMMTQnvqzHsRaWYS2B49pyh97Ay 0tRux215NDVCvhGJRocoBbC4ppaUNMX8YJGccbF04xhr1svFfRTN8secpvI445NiKciY1Wa1SsCf QNoRwDNrkY0yNDiCrcMMOLiAYE/CLZ78H7lEoGyK4x3ZOTvhM7p6LG5pMMnZnsDpYjoIJXyiEMc4 ogFoxWUzoHzSx2T6H7Pa1pSUSBF7ejrT7SjSa6DbizkJWHfjCQkLbWs7JX5gh6ZNU/wk0GH0WFx8 m6y0W0rs/2prZklN0J1BTV06xVUiWWfVSNrs2cMzsUKMniaJNyt1LuG0katLBpSyLW7865I2uSjx YlQM7xEpJLg3++4t9+WYIXL/LgCEWXR//WLC6fTL83E/bWfoee60VUIMuRB7HlMvrV8FuBw96CTi WrRe8/dLkS/m8MGH9Ykn7u/PsgV9bCemIBnK2sMphkGQ773LX1z7RDN/GTGwRm7xmAM4n2mUFLdy mhCs9mJojYHW91UGBiCGiHdWGKzqCn+OtjtynbOo0K6dLOBzESOv8TodtF/KOsm8xKChv0Rpi68n 25TVG/dFnk1+J8VnknDjB2HeBLzIRyeShd9tEnJmNso4hV1RzPeMsiFO3y6ktNRMo8xi7/YL0dSX K6wTfHKtFRj84NQLeftay77vXp6FLn+lxoU9ALEgwioPwam2JVfoep/oE4m24NnS/WxP3pp6jepa dsZiwrgpBXXso7EK+H9SUkyLuTTWIocoOFlAz8SO1tpRcnmFZ6yrQC2fjzZwrCKoG5Ud5ze6GI4a 95GQT+J1ZmT3wEqNbQDNv3julRn5pe9OL06PUy+YWvgwSbzKmgbEm2XoSuzhRU/357Btj0gjpTMo NBGgtTZaibnixeVbWpfmC5WoWQyCCrzgoIBg+7oWtqqxaaVAVkWOxTpXQHMCAmyCzOlV9BolIOEr TfrcDICLsoOL5bI5jmMBw4+6GgL/F+TaSy55cVPVtk/0NOrtemALYBM4i24GHaA+MzrF65iLmDGS 83zS4Ul6OembcXlwaFDb5UFLI/JtqW7XII0u6C+VrNxdL7c52R1cJW9w8GR+0TgB4ol9tzkO5vFd blqjlqUgNkSZRwQgZhJkY0Md7ARnLS8O+VEFx+wOeAXVG0ar8Qod6o8DQ3psw0huWOeC5mRGKmdB hPF8gZdGe549Zum25apHSXJUcnHakv2Fn7nbstiStct15NGAElpXcX/qaLOPCyS25usWGw1HsdwX iDDSu2s3af3/KrWlBnOnIrWxtpWPVHBLVV3vaikL7Hqh5Fz1naMYTJwwC9t8ktsEEllEO17OVHoD F7QsBPFtPA1vg+zEmzUwX53a1gKwjEkfPWey23jd1Zz3eL0gqGkVR6QD8D/UKBlbaGWuA9mvTlkE Z9hNxVs/vyt5hC0WURgJJdhYmV1QRTUHQ0zhjQiV2ip/HdO2Jh2ya4L2ZOPArPBgPYW0AncJPe38 FolNcxALduaUzw/r4w1LxANY1qtlJdbKFYbOW3MVX6SC5FhmqKIXNJcP+kCyQ9Cq0VnBStJgExQI wt9hwuM5vGpCobnpTyTl5YepLQ+a/ZSws4UUGiIYHeoz4M2Bpdmhn+GmOmyMg2FntkJ5SD+WAWqn 4yknb0yQzAOUawrbuNQmAm/N8ndE/fgH5KQhSR9bqFMiSFJ/eePMa3xH6IXjlkWfB465tJN71b41 GKAlPRANf6slexfR5lBmxeYxjEFSpL+yRpTsKVcMX/RhQyf5/5UDrxwTxnwKSpbv+IaCwPH3W8Wk +85zpmglL6DqCbtAGqs3RxjR2UoLtMv+knqOL5thMk9eJL2bPUDbS1UgJJQY186WjmTI4I48OE8i er1eVPyL1AuUvQz54kXOItfp+eybDv5rFLsz5YLrwV+zq4PkmypqVFiXqZffJai8e20hI20bYnI0 4joaVua3K3EEPvzI/BVCRATyRMYNUpgTA5AkxT7th+VwGnkuWaoPD/Bx3+Cx1zDRHXChTwOdFVjw kR9aZcAK45BOJEgOru62IeCyiL7EDwy5vJJrGtPP8fc26YLUKO4MSnYApWkMXvvmc6JoEFK5hPSk hMJMP9/R7IwQtV51FZfFVr6s9KQPpJjkm0afCH7F1AiHCtdxn9bGZJ4+K8uCHjGZm2x1+35jDjva 4iUb5Nupg3tP6gYNTrSCLLmh2K/XjDJrlef/Q0zcTgkadqL3Ei+1u5iMSQadS6GhMXi6rRJkIby4 7rzLkN/hk6IXur03gNte0j/ZFMM6UVxhuBIK4Sx6PSUiI/vRdedwKjv3WLkPh99pBRAoBbFAihMn T/ii9E4wcIMxca6CgD5dabL7+ZEJr+wQuml9U0EteptGvNCI0oZW0Ki+n/StsGnx+8jlBRGM6//n 87xLRqjoAypL3ZATAjxfmYymq84RgF/VqzqjvYiFfq3OaWI6fbV7Fii/ZdpyppeGE2+MxebHcvwy R3tRNKAylbGxp7Uc6vd/En53yh+ojamsLK6YeBfZaOvngdojP/6tpfbDURE6oeLNMANNv3E2qIx0 MWgzD88x7fvVyh7Gd+12uE6rxks9Ehob8by1sYYIJKIRRXa+8tPSBd+XbkBhICTiMWzkGpAZiMUX 8YAzVrfihmT7jurJEDfE4KSGajFcUA11fghhtf1SGoTaLDfR08Sqm9T9xkuaphq5KYqMUZzS/bFc 38RxecQ3JteZQvzttyA+Bl/xpcB8VBghn4kvJbCYLdY/VwR/+ABR/8XYXdaJdVtpW7S7pBe0lil/ UGoa/b+npV0X4ix7OuglmTIlJVx7eChemBmIWAxA65KBV7plzU7wvofljkwkTK2o/toFmeYoiNGM BU2HLFGxygmiSaZDJ6SOaZbMO68Plj/1tSYq6HbVrSXuADpegu8Nu6se1Hz1YCHz26J6Fc3Bma3e rSD8H4i50PnoPldw5MxBL3/iVOX6hIoRA/tseKdkYgqG/K/qlNUuQIa0DRr7UYmDEx1t493GQdlJ 0mLV0Xv+NyDCm2uytZAN1QbzV++vPm4DtkSKb79ULaqzFQVzXiOHpyLQPuV5d5dnhPYyCbxPD9xI FaShR3nTlweZHQvh8XC8VFMujfpmGtfw9hqeuy5bI2t0Kelvvtx5QL3gFnwgN9Xz1yoYuMqQ+mkg 5uE7ZpKg2e4aLAjJ15jD8vrqh5dTWTjWv7vYG0TGpOXkrVE3Ty+qzBHr4In1ajQEecQlWWRybSeT CWM54AhLRLPb5qYJ0pwKWjElTURoRHbkcB/ql3qKOtvp+TY7I5L0Nk2Wll/2MDq68DE+D3GTgGfj jcpwV59JZHc6GOYW2pKAaWo2WAJuPRGoOrUhJkJcG11umXy+v1T5KMZbKMRxpLvXsGns/Wts1l09 P6FEBfbFofH0nyeLjrcHtjEfLmvSXhkXyTBDjcttjHHhO6dCLXBkddXdu2OQpTTOtpVvWZbr+us+ DkZt6/v7kapH4NZ/dJiTedQOeX3zCSJTiR6cAunoSyCET21xS5JQ8/TOVTJZWFT93mszoG3T+J3X iElp2rs2d3aP02kNnBZFvSiqbrA6mgMFHaoGgDC4vWYhYI81NarmET/DG6Ko/OpU6OVqfWQeY2lg pFP3IumHWR4QnLvsclj+cNTz2sHMHoVv4Uc8xYAjxfHw1zeHd4mXN1W8mXGhH+YVNGtaWpixAEj5 m9Wr6ORtJhKDyNAsqgb/036Y1iwMft9JehewQ0uqWUd9LsrD5GezZLLfsbMfYd5z+sBe2BP0rYPu lC2B2KUJHE/D3z91xS6fRIdGZZtrg8bRqUzxQXK1DHq6kW36zhWi+D491YqmvcICfOJSY+VSUZXk HajQPg/Y2jkAnqo0j7izXjdjMLjnxtoaZDfqa7SmOkESCFnnNmDlS9WuotbKOUblCHjqPSBxJPtj lknvsvHfp2uKrTGua6Siod1l4vnMXLZVFhjXS9lSkXma1JbJslhSLzs8nJUZDLDdGExJA97cSmo7 y0V4VGGusiIXUwOG0LjTywzNquyz52JjRc7O+gwwDdMSvf3mHX3ErPbOro2siLlMdv8tyIocASxV 7BA0AqLsqq1KiQEKahy9dmCMEcI7iEAh6VFStG4PxDz9VsIOdR12ft8OQsTMQli8wgZ5CxgxOKdr aZQGIOxBOvVv/wI56m31/hajUmgXTqvD0WzK1KPhNcsfRifQgqmI//I+sV1bTSlWlO674KaW3ZGD 4aPlHpNxy6f537KHinT305VdHSO8lZWR3hmPAgCCwK3gL6foQX/pA0aoXkqZ0IEwjZC0JZ5gTNE5 On1lGC9uaoW3ORWJ9eV8ZtWWsaRTrYHSfRwOGjZPszFPrEoKI06g71P+HebmXTEWOViwIRsB/aa/ 1Yy37t3AQ8Bs9spxSfLBEMxQner7IJ4BxzYnfX/OQ78PbPvHlQkWYh1HsSUWm5d00mFnCHK9+b1U P7FRxbZp1DPVRSt/LD9FlspU8GHIYzQ4xmCblzkoGtZ7CNRzQVuRY2G1fMwaYRLjtpD3yKP5hBKB aGPNHyWUU15EIkNlYdh/hCxMz8qJQpgtz+1ObRcPZgDZDKYl+i181IsBYem/flpbak6Od+vrOaOQ +ED+bmeRTYRsK0FDqPiCDQGI9Foxwh6Ag3iR44Twa3vvx+ZmWv8MyeH2KChLI7VORIqRVvR+FxOQ GP2sIyrAkkSkZXt1IAvA6rxlXWcGwIA2NvzFvYw5vIvvNM4RpIYnBHAmpRg3EMVwZOnPzcUvAcro TqAfWAPpBqZskf+8fB+WLnFzkBKlNB2ms3liLxRb/Sam5i9PhtSe8SiJOHiis2HOwSTzOGu9eC7z lsuK+bwQLM5QLrSqCvbnsKNeTlCg4KXPsjMCKasH88BPwv86jac6jYsNc0Mm5tcFrQxkC2sX94gu /UAxGRUoMdxodgb+F6umBaj6WJj0Gr7Yq+auZgkAIBTmLGeWuCePzAfKDO0w8RxF/pDgvs473Fjr 8Rjx5UsJXTMmO0FoAurtgY7LpIdkGETP3h6HWcHcHFRG5OX4DW9eZm764lOS5c9YbExdhGflAfqE IL4j7ZQsLZohOuQpmQ2KxZ4WPoXTHnInoiqtanrcpr/ihLYA9IkkCzOFpf7VO0hH9TLQDGTT9gSr gG7HYjb0cD/yFGYSS7Vprwg3raREagI2cZZHFNg3wQg1+t10JcMTC7Q62F2eczyKmJPCQRNOJDRG 0M5stH8dJBUvZgUSCBhS0Gft5VsBg6tYoXZarPn5yzhxgEaVyVA3PTn7XfipQFcGidTvNKdSYMGU iMd+RXP4cGdgcubVz4bKg3nB3ghjeDkzBP048I9xWr4zzX4tBrAe8fxn0Kjzde1ym7zlqRQmaHFU FPACGNbRJIBBfUCUiWZl8cVSDxYFTXb/KABq6aihl6nGa145D5C+7UdhRHYG8QN4yBt/qQtL9q2L QV5sz/emcH0INbNtlUOjvcISBOF0FuKiVigY6fWKVTDbHDleMeU3fAb5KZsv5Z/An+KzbC/J9BYU fFAkBzDZkzFv15rxdmWOVKZ4RYgfH5AUYDwrnBLlu00/rRLRdFhE7A2/Pk5JzlDxkHNkybP3PpBQ 0Zg7azhGbcoFTbIaXbAW1Jihy+8Fj7oIcoiZZbl/fa6TraqnCiTjIsImiudw0wY0IAZiY9IxlRKo ODEl8EUlO5la/QR/egY25kk4HmKmuElyEu6g9aucMwoChSNYY3RxOywb98j6IXiGirWYDY3ZhxXl llEZd0qPq/w8tKmPIXJgoz6A03bCqq7D4G7ryF7qcRKLuEGnAvdbBjI00hwVJP2KmAB84GMdfbnu TlbMhh59g7+1iqhCQT3OubX0TEt+URe1ofzT89oxwfMfkplaFldfu3Ihl0fk6Qom9vrziNbnuc5A KjuT5hGIUI2PogOHEkAZy6e+D5OVPBeJD2ssLcYTO/SV/32hQtwufryYbTU/ES6hqVrMMUMqiLl8 6vT7lcbwgAEw2WET/j5nW8c88nwB9YViBzIyAWch+oUAdjTZhEt1gDhMWCaORzDtFe1LLmLX+uVo FdciuM4B6xrNeMhBwbUtuh/vCtVPSAoWRd4bia2Qdpi/Bjij/YiupA230cvpcTbzdBkAwbYlLAgc 7nDv1rDdWkLlSl1UPudle85/cVsVPZf7TTdc/tkWLLPoMcOh2Nts3RdCk05WpfH31ugjgy+YEcvf Q0siP/Zv6uG9YB3BdzXpaG5kMBVLbhNGwVtnluGfQN2TC5gKV0mXicEAlc3kEWgEWy7xEdc71WOk jNAPjubu6kZ5G1yjmaMYHSSTyQG7Se4XirSZBWrYJJL2RwFsXl9mhcVWQ1QV7L5HN3DDW1iTQYJY vGvrmVDSbhwyjgIXku7JzkFfwjOr6w7vMyezEk4e2+Ue7aSLW4A2OUG4m8Xw6fPT3ddHPo0NTt3p BSOre4wkqQrVmFkzZT0Mu4cghwuIKwZLm/1ZNL5vmRBKO/duxBCrMe/AW7R8EUUg/Mpkes1WDEOP /RjjTc5RPbz16odQhuql3/7xpvjMAuJ+QD3yE8dHZsQeXAMpjbIPUlDIXTYQnEm7bKdjZBzvdZWM DxwiIEFNca5rztrfKoXrBQIkgb+u9OlBw3pTBvGaM81VobTJEvNM7/TQgHggJPTHT/qeMsaN0Ifi ahpM6Q0cljML0b09ChcgLKXlNubzG0Yxx7JA4BaEQoSjaHvP4eOeVlmkDPEgu5HtUHXalVhtMqvw O+Y8J4oGMEmS5/b7b1y/rc5i5VXNVCBb3yziHM/wnsaeEOGcaQPi1leml5sYRCvWqlB0XXLIV1rB XOXrDaOJTWeWgKGQ1gXfluMRvjX6pZLJdLBTgtfSfSNEWF9+BYIo6KF14YeDxQOxO0wI4rszTcCZ bw9ZDGdsXs2insEm67n3/nQP3BzonqQN6UCMPR50XzuPQ03jGkOHK0Wqefa6neUYFLNT125Cb4Ed FvQKCCgKJi5w9IXK3FeCVlFiRtJrjBTi1MYRDH1n7RIiVDjk0xFNNmReRxIahThxlkqoqbuftC1e AjkMWG8vuG1zjM1CPuoqWAQRqOcAERqinA5iA8aWrcQtUUrvDrXM/Ciw0DMaajPagbdIAeExE+Uw q47x23Kp89183ugRy8TCOZ137wJBtTFPxMJ5/2G3WYhxf7Khvr1mYjf9aFsWqYI6nfk4pEYdooqp xQIyHG7RKdzXKX7dwYtw/rNLAEH2YjTSAK8TLvhIjioxJDY5oq++Qu6fE3p1/n0GpSW5QyZqdlan p1DRI1Sjqu/DPQIZlRtsg236bzTZYNEYNHr8nv8Y20f1EQ16EmODLgDn/6zVppm68fiSqKkuLIcB hzz2TUNakZ+LaN+H0H24UdT2RYjdOUjKXQGQbRi6TXQLIxvrB8qZ5XoXdYk57Pznz0dxZhqV3i74 Pp5IKmjM/IyKL1RbG0UkjTSLRbwRXGmBnvmD/h/VmwkMTp/Nop3OR3Q+ljiMFIrJyLgIbP3+mHGB sFyFuJvYJUrCCkUFmG1WYz0nRHeB821522UgR9pkoc/0Q2RQQNkAbjhd8a6X9dPI65kjdHhMY3/0 BwAkXK8vF3TCyFR3HZSdQAS6ftiAHsUs1LzM1qHxExjOH462cDMD9+bh009S10JrIm41ajqqjPev OSk1un//g1jxwejBXXG1XPUBXFyHKeHZf5LiUSB1ReYRxKAjOsD+1LngPDf7QEvzj4s3mZW1ykQ2 DT+ecov4CEy3x9wrAGeC7W8Ebxsa3J25u3iDKDGRLqpA7BcXpFBIlV+kQHWTMZzZz9nQwKbDGmIz VadGM+2ZZRRZ8Y2DU7XD3AR39UHGRXL4NLfT1GlbM580Jr7B6XDVnDqMEmfWVkCv5dxxdCWbggt/ YMpompNi+4849S0YFQMEaGhmSNa/F8m0/sTmLii/s1i9wRahWm2S0xnc+9Dkri1IKqP08/OABD2Q v8+f2lDpBC8ZwNfMt2G1rBhxsKYVDHTPzzm5NPScOVO/5jtJixqwu+LATXBBbFmzDexOcyMT5wj5 cAoRTgCd6X+WwWJ8GhNYRk7ccYQmLEOjneYKplO1oDg/ewxSHlWM4ZBX6RhFcq3RgI+w2l+R9NpA P6P0c+F+OWm/VlAMAlu1kvy4Xdc+00/2DfhiK0tlV5EPgsNh0uvxg5kJp1cGMxHBJ5Jxu+s2bnvs ISQNZBic4VR4F5HtieToR8TWfPWqJ8a5e9Jvh/G+q65c7yLzEp2/NZ5bClV1krSAuGP+BkE4MCrN acQlNv//qdF4oKocxzDzZ/l8xRVxzhSvNblKnaqoZPCH2HrOH89psrfdFFYPOUZ1Zw/JbIgYSsv+ /GHTP5XvWdRon2GgQCQi0u9DwGhlRfrFD7fs3cU8a7ag/6zfO7PXqRwNBdiF+wtbFPZzsr9dxAuV aYOL1y2HEjv6llsV7FmQq/uqSYHI2QoRWoIa6kz2eXsrPR7U0XP0qLZ7uyUB+NWXoi2slqZOg/Tf 0uDpl1btp1NfhTx7FJWziNx/TWouWC8ZMDLDLWuRW8nfnKfpnAMRamW2rgFvTCQhh9DhPdhNnC0p 0i/r9h/QSvQyTK46jq7eTkCc+OfbBuLqtUxYto+Qc3zuQq2eEMlZ8cYY65ge4rm2AQnTzuOPmQjo Cd8oXc8PR9t3cbW8Vvcb3FFP4cG52LrpfvrjvJJVdDBgpMERFlTboNO+wx5rc2RN/5ZljBouNejM zytSnAH9RRj/OVBCZGxZe+r0QAbrRR2oxhJRYXIFRdywqxiQkzMVOF5WGWPZMesgQHvI8qqDKzq7 nP77u7HK/tluq+cJkTn5i7yfbaqqIfhbknHWzFqWEhRu5Q5dHmmsj/WQBfVWYeg0i3fQdJV9xCrK UhzF7ITdwAMb8ar6SBC1qrUyG/cgZmYbuuoMDUkJN9s5rj5hcMKpMHZFaRuqYPgoxSgoICeIWuXM 6wXr3sD8cqz53Fdhcp6qfz8CvxXs+RHafRYgkHkA5MWF9jQUDVXttS3ARjUD2irytBFmXJtaN903 K5wwGndQdtEm1VNYGB4xP6gKFoJENPoLI6LbDg0xo2AdCP0sZowe18Qa7aGnwajSAllIz//vDofX U5q1NO6y7Xswnsi9e36snJjbmQiE9+fwOq1qw3qsycJoHXbf5G5QnW4eaPNN/+WGWr1MlE12F1ew h+fOZwbBIfLRyh3QCsdJD+iGfB4V2uDn0x6e4G4ldv0zwMY6XlYxYNnIV0WRxY9BhbZ823bKxxdB +yXgMq/UvQiaQ/PmYnrbvX4Su5MrKkK5kdzVKZQRDRO+ej7YY9llw/y8KijHjD2S99d90VpXNYBN OT5/6m3nQW1bOD+Ch5RRP/zJlIM8EAvylBUjjKh4V0IOhRBvw2e9unP97hlTG+0rBJK0d4MmNX9D 1MfK4rV6YzNImNn4NxZYjbaMBi5MXJPD7+o3Sh72F/3IutojdFri7N50QhFylrgb1YGPn6OUR56p SzJU6bG2YQgcAvqfbRXqk1LsZPTEMAlK4RbbXn5YHo3eqtrrEFW2WNRiFIrLQFXtq3v/MQWUCKTL 4WW7teIZNbwL5JKEmGYNvNBiunl4/DMPArF1ebtLIMh4FqWVXN1dOSKDXyGm0lnE3Tzid/8BTlW7 pPAG4k4fBzH1Qb6vPbhhqGNghZe32AtuRBbvBbsxz4ZMiUaJUth0zsUbcSqA1x3jhNuVlonG5oKm dd3sjObhEKc7/fJgixOOutwBp8jGT0Jehro/I+xvxLm0zV+KdXvfGA4TQsGj1E9X+aCCsdaKAAsT b+mPZZBkZCaSz1Phd1cI9DcD0OOVCx5bf1vYrnRWruDmzBck5VBHddiPeOgQMZbSB82qgh/e7i3i K7l9ZfHlGvv3Gi6jbTDqJGYRhGHSFnJSGBGmg1HA4iJfhlqtXw3+VXA5RrgcViv9orbpNA65ibVN Dnw0zK8SFiqxb9cdC4J/rZSjWCiNbfEQC8AR3pEXGHPgP4LXBfJ0qJ/ywJzIXyyF4k+eEnyocOtu m8ZnqNrINuGt5NG8HUkkIHBFLIyC7geU9AKdEzYq7h79FWX6qSBS6Ql4iGTl2r5TkWhXI+donQgr EBFXiBC6Bc9Vy/NFYZFbtSAf5fQv8wuE1Vfs12gTW4SNoxyds07oiHqDei/hVc19Vmx+MfzYrwnu MxHjdJQS0jEdLp6VRcHvjSZeKpOxAB9RY76nUCpRv1U3hBXxEcXm+HFV+KOx78iHrP5EFcln+y3W J4E01KZvan/WFyhlSSJMx/vsVIro9jBdx3EFP+gtM+8tXg2xb7cOU8kmja0oQLkBeZGS57tOoQVM xQPyUqTC4sn0SNMsd7NlNZReiCIwIoOmlDUQUnONGIykobsY2fF2Rb64Qk/Rnqg3kFyAV3cfUNn6 ccRKXe6NU5NzUvJtlSnEXML4tR3wCvE9Gb3TTREphzT5P1wYyDXnlJradviT0atIMM6kKls6O8Cb MNbcXZVoMUYG/dUd2tQnq2KTItexIoKdTCrAV+pR/TTQhBV9y6Jl7vd6isEAlp9FRt9gh0dcWD+G dINLvUAPav28l8XHhG55MQi9tk8Js0ajmNF/kb6qLHrMP9Zrzy4SK5WEfKsS7x/UMfuuG75NWIF9 YHaWeFEVYXtBUkN0/jdHjZ8aoNRAb1daqVkKf1XMdwQVnTV1YJpwXMSn32idsAUZMbiWlr6q3Ttw yJuhW0SBxRjEq7JZY95mXqcBuQpbbbHh3Sabrabuh3XOj+K+X2QOLS7HMi9B1NS/tDyaJccJiYEa SsxoMvtK8G15VX4eJE7+UJGh5HnSeh8JPckOcUj5NjW9qujV8E/mge7DRYxASutkYjcmq8OwkSKG /1+Z81562tEsnxSb91iApz0gj/DXIoXCcaOMKMC2A/ixiUowD6OfSWPmaHhAEAlwDVT/usiuuN2A dHV+XeBIq9qaiHdsdZpVliXVmizD27S8LWQcUkE5JGmnZ2DRbydWLMUELfLLrCQD2YyvuLAGnNFi SgECpGUsLTFsqmZ+PXAC5GeGbaG0YgZ9cQPm0TFvS8APrCxQiNW/OpneoVR3jrVU6n6qYbE0ct9A +iwTEi5mzqylfLaxP2yh4gqlw1MhZLjqoPiFAVJ+eQusxFyOHxvlm+3c46prT0Q4DZXmV68f5kDC VToqDnPMayymXpp1NBkoLDv2yoxIT0J2N9ANh0ElSRPE5nagDnJMktFnDVX4KgQWSnsRHJmPnFyq KNb3wrUX6FWVEScn/ak2zmis7azlJUn0fpM5GXgSXHuPKgbJaoLkdTopleK2CZ8uDsmvRy802JlC RZ2IhuhCRvrNRHWl2hoZZAoYFfVJuNUBpGJBnWzOdF5Bi5DCnV6IAO4IEbMkF45fk13G4kL/DrTx prhNkczzOt2EK18HIvSdiyZJRpO+2D1PbXRPsLQwUNAMoZJwy8BPFdBWlk5IRejhnm8Ulo7wBqLI zTRQYSWKS1sszxEVjbxrs/1k85ixTV4jpiR3P2WPLIGc58zzzqk2Z+cKImoD88lPywxdTmeNsHtL 0JqL9niFnB4nD6o8mJJ127gw5G7EUs0dlN0RLGHqVWDwO/dBWxX1g1fHoPaUFGwQVA7vLWQYPz7i gxKIuOKZHKeTLzODLq9BwF+E48wP8zogot1QlkTuEcXhUS/6bTtS1e//3qwSsRb8GfseFADqH7Za 3z8tod3K0zsCN+M7nk0D/EV52D0nk/oHl3U941TnhORZ8Ki9d+dlrDEXxFyc43hwIVVFai0zxzEP H6CfpTZCCcuW8GTbTyQ9weQKSsUnetYlrJapKcN1sxT5P0HZdVFpDQpHQxTsaXRNIAkAvytV/Z80 K37aZYkSmBdt2fu++jTJczjltgAuNJXGyJzA+0/+Ry3Pkbt+gyAO/IfLKAXHTxneQZDPXGa4MNuX 5Je95rppeMjjT0ggUGnrgaPES0PT5YjQFcnSSWFlNQwZfWBFHcDW3lJiXp2WEyAn2NB60t/WW3Cg 9gc4aUtnv2StI4BqACZL6tfbjI+PgQ0k0siqR4o7ftB25ZzC5XdXt+amANl22rUITRbQd6DI94pN 5sZAYX//YRyUIWfnbhg78YOggjDGqtfe8CImozBuJnzqfhtw6H8n8oitFNll0hhjBJokSoz6cz6s HGXyENTWBxldFK2fdbw1RLvSYliSV1QUVn9G0w9DoSWTvkB0PTjz7AX8PQ2/298rQhb2nTp9tIR1 I6aYxuazLEM00ZYbihg/fDl09aU4woAotiU8/DSNmkE8TXTmi20wAXIEnr+UPM3JTqE4nlF+cfTX S+3EYq4vjJ8pSmygqSyc5E6A9XKp/iZPzkwxl3Wk0fryDzCMPwWRXORTF8AapfttnQ5Gej3Rx4rX poDRVWHGZN77iKkI9Hajc6BeYNavW+fxJDQNDB2MSJq63Xsxyrvcgvn4HvSn8PkcHyMtGI8lR1D4 TIvGwn9faYGJWHalO+keE9Zslx+LqoPZlLVdH91KUwH2xi4QYZ8bj12QSF+6h60rXfQMKG23a3ZF DoKDv5wtZGDzA2kMDhjSzqKupQwStE9Ie3hsU2nh6K/7mJHleckOS8EQldR4IoJAeoMBuOJn+8gg XJMeeiIFLEDq3qgDtGMCizceZerxEzaDR6wBYxCqI4vA6dZUu+uIja8qgElipqsYBOzBwWN5+3nS TLNvFzMLeYqWGzCaqwQCYAK0johny+V2AmxrlC7RVFDbEYZCFbY0OBAntH6iINlfrMsyKSeLB/nM kS+pUWeMRFV3bnZvFvwwMbmukn8JLUBLBZL3NvY+f3+Ckjy9hLGFoUo/LRaUiLGchZSdUAnbtzaB FUAPD8KEkni0n7kMaMIwI99ZIfiHZfVqesvAuXOiNtDQwa1KsiaEWnnzms/UfN+gpoxQgggdgMfY sadGyaXQ/iYWdKA6ImZueZ7y1h8pHfxKMpGGb/FDNR6Dw/L2MYPpcGyrefqKrMrRQVosc+kule/D NxPHr6KZJ6uZ3CqQWd3tZ7QU4PZvFVDuJdbCs223yluIPN8v+IuFlou2TRyodkcoTaPUAvQ+9SCf xgOYBIp8qeN/yhbgLDVdOITS5n8N0AHW813wAYrqLhgfiPlFbYp+jsg/Yx1EVD3Gj7hW7mKYq4Lb DOzZw706uqeumcHzb+ka8Xclcfo+1yacu2WrbRBLMwKGV/V+FuLgN8EB/yyJaIvKxjyhe+ono+Bp ufd8iHscPpSMX3Eg8HaJxnvZ0lO00RFMVUaNJY3bNScSbPGuDkhGYVN2o9l5KNuwsJSKf42Vc8M/ GcZ0EPujKQDgZ+po7Xi6xQC94qw0f3Ju6XtP4poZjXaXdOk8jADTIDX434h5loVSfUxiqXio8fHE l86ZM/YNPe9vwR7gRGPIpPygHZXKp2kYpK3P+lvlbqp/hf+pMsWIXJlQ/4TjJ3SdAuc3494uzy3F vLiQhiPz18SHOcqCtu/605SUZwG2BFiKBLw0Roli1HVzCdz+9tML58y6HsHfFmQeYGU7/YDK9lPG +YSYnasuNhG1ci5NdauK1CfiiRUqafNCdMEbCzTZqSpFlj5et2+ccMeh7kmuFVCsmsvv0OLSleZ5 eSfjEEDM4fg8kX7khRukJlfU/rAERzMur5V3xoHQt6LNw9oY7cMocFbMRyTOPeFXRro/N6tpGFLS oo+AqtuieV0gEjOkiIuOK6WdE+pstXMocXbRKQ730qzcE8kaG1T90yx1qe9/QZO4PFT3eVJ2CV8D UikHOE5VsfwfW3H0cGgqHx/DdKl1qQR9DCFBxLFaJqSgwfsw/VuLCy8k9qMQArfdL1vDqJVnWCJl 8ptx2gfDBazuMxWaaAJOxhS/pwsGkltJg3DOoNnI+C7uWInC5WVcB8k9xfZFrbvdeUiv1x7G+U83 paDbv6yevJtDJRk3HMelVBfB0DvoKhvuvoVylXBpqyBbeE1ekzAb+olkISCpsZkGmBt+XZLya1ek C4woK0kHrZqFG/gJNJwA/xOOY4BPNvOaXRTseT9baacvQ2t8Y6j+BjH0i2UCj/ws0BIISc+FT3a3 QA0Ir1zyPC/ydNkSvMrjiBWlycUu6REImthN85gQRbIigEkAeUeP9+1Nw3Ba23UtnK5w/7gNwgkI 4UoTbR3yaAfTKB09a7BElifveWsipEvkMpRZ4J9RKDgS4wFhordgr9gQeS1mstqoiOJeabmLsVYZ h/d10sgSwG4r+C87s2yvNdgRzdJjofF67uh3yVTqEQMFra9cPj/81IhmSznDLnRqC+4qdW8B60a8 6NomfrA7ZBChPggTX3SgcnlqhHc2mim3Ea6Ie+/Fp0ekhmf4lpaIkO7fAFTindBfut4fqZj0JcQA klSzf4TUGeXKjHRzx+6FeojZndmR3w8Vdh8eXz9hMnaoNwbWirZkqtdT/RAoR7kMK87mAsz5kBN/ 1oHp1UcNvRNqnfnwcTXT0MEgeknj76xnvDeYBIIJUTQU/KOjF7gY68AstN4BQklNTYKImI3KIMyl h90LV079o7cw0jh9zuWP9MLSppjgXYknaTrVqazx8B5GFOqmHStpdUg4EAH4LWhb9kCo5c7s7lMt HRVOpJdiYer6FymOFydjeEy/cy8Dg54AtiPWrds5lxVDu1Iwalm4LOgLu4qwO3dL5z4A04pZ0umH ZjzW4M7zlpb0HtYVHb5yDcWLKR2qtI1sQFAA1YaCSrd6PRI6mlLJROflp/jEaMsEcJulxfF3I79J LRhGBeICmAASzAh+lv/Ys6rFM96ico34trkuZZzVHYZDNo47MJYShFpRVlBM/rvD55Ts8Pdh3vm8 3SmFHdw6/dPgPw5cms8HnHhqKPE53wlfy3gZ6/QSb0BmbhAqMebmRlwp/yw7H9Qg1aeEWBaiSDcC yhiQCXcgb8fre4IcrNWFp+RNVVY7wfESu+Wxo8HHSZs074PaJmW5lnE/iUgL5nE4e2sHeip0hPCY 8HEde1696e5oNWQGgHphQQAQ5xu/Yh4NhBIv4RSzRd5VBzGHllvh5z0ceLV4hjlvbrtk+N3q+3dI ieDCm47ILbOqwgHXE2QaFB/e5LKnL0V/H5BarzTNZe9px65YDUyn3VOWaaMXk90RP/WbfBdaghrG J5SyWpRbWMIkQavOWK664zqz7iJoaCE2Zb2fbLK16rBqjDIOEZaUfLXjbj18se4umNhxVKBGiEgx 6eDhpmC5XBqRWCLPjaZpAxvcS/Ltug5ykIlRM9j0ektXQz7bP/02z3NNRooCxou/+lsgoekpJEqM TXYiswzyqk5vH6lymfTavrTIKN0cGzVlAPXhvXA+66CwozyQB7ID4IDuZt7c9O1x8RT6LSIL4TbQ oeXNZwm4d1k7ChqQK37zNQQjRUq2SUXoqnB41qhvMLj1LSp1FYnOcjYivd6HSrI8Hvo5NLxKOCKo WiYr3FlKjSHtooTJVw7hHQLrWENeG5gFLTRqPBPSgyd+M3b6GmuPeXflxMCvNOR/BHLcVsPRmsgR Xt3SGBN8jo/i/Id8CBtxVBX49e+wxMzHVvDxD8/HlemozZ2QcBJXEwiunct8Q5rRc5M0otoBYTBw n8hbP1IgC46Yn1HMY1KIckdnhbVWJp2QG6RkGnG+AfApYpVq24q8lgusE/7C6dbnW03329Rdpk/t Vlnyr84QByYwP6gyAHj2M2ni0AmKG5efyteKGyNhS7uY2sda7YCFuIjxx3dvfib81O+GnFNy3jr0 rMR/dh9j8XMDRRD9fnxlrNjmApoN8lxOSHm/tSedqVhqnKJ7bseb2gKcKKdczmXIeeMC8s9DOA5I gPheZgCaaIm3BhpBINEmDawfd2xdDjTolihlbGee+vDfJ9aWlqTxS44+loA47k6K+R9Kqu6UyrjB hYyYuxxDlAONqVIIUEmawr8uz1Dy9kkbKT7IShRXa9yOthxfG5UAD92x1XopgmCDbgzAaU78ME7a x39PojxUgr9vfQInR0P0ik0yPn0myxORhjODqvcRA/YgM4Glpau4/TSHMkS9Xskr3CzwozrjL2bE TA0/Sd3DHV2LUl/OT8CGIMfp2EhZLbs87v5ssElrbrNJNjV8quuU2N/X3wedI6HMqV20PtLuhqMZ m1eyjYdg9b1VVj+gcrBAArUfp7Hi0ciYza/QcW5Qz++8g1kJcRiMIvfzpHeNlhUfgbgMQABtYh5s zbPJDWCnqH406M41JV6ehwWj9z70MFX83r+2olWszDY/tpPih720iDpqKcYZPc+5koKhV4mkRzIt 81V/4uT5AXDR+GrQXymnY2fSUHZyiJn546vGGVGeUgWbggF24xg55JDbjgJe+Vs65Y+ZADC/hl/0 HVTsXtI2Mb5ygkmmRG387ZUc+Ek9wt+s7BMo6QODmYsk2gZ9AfBBFsUEgDer9kvPOdE9eCwSfJzE MILqNLoGUXzyDThZtxoCMiwZI96PvJeMd6vfB5igans2s1+upT86Mv3nEMKKJVIc07MFfs4h2zpQ HH50ylfFunc2lZ4jZOm3xXWVVS2D6nniUCP93y8PESx+78mOUhdZd/DGPl37EtJZJ7vf/H4SJzY1 BCOhUHfT9CFzPxWjb9rF7wvQFzC7AAnQG5GVFo78yaQoME3FgCqJk5aOVc7+z6NnACjigZ+Hh2pX p+VqYYWThVoIGZNfGFx5cPpXHvm5dmyD/x+hFZgM1FQbzbeGueewZoeX7C3ZAsWGxQlnliou75Za 5YkcneyqMbwChsgYVfAAAlR6+ImcbpmJJwvFXGafCXwfuPTX5EEkGAFYQ1mJlXBJCiIHUXc2o7IT m1T+6zmrv9Zs5AsxHcmEpwv/rSsySSt/fWORcQvS9rCFY41hgBkuexKIEAKpcd2BsqV3BsLd9WCl pTc+2Dt3/t7dngcr9LEv86IVBAoGrYkfl9uyzxJjxUpgobx+zKqB+PgG0gLmYotDbnC6vJUmgG7a g27rlxg92uWJPeGO/PG2WzB/sZ2btqWeB0vgOhzZvBMAbeoeNUILHn9tb9YYWC3gJO+ztedCLVpR G1OFgwEYmW4T4IOGoKWZWpUKXjwmH5XizGDuDZ7sSieYc7eeHHKAqlzuo+Gf2twPhohQ7DenBSFd /iRvF75OsUeAuldiclpoVOZeEW/wDXOZZxV8ICJt8MDf0LGGmXBZXB8znv0YwDcFvNTGLjKI7AD5 XYNwAB3YxbplQNWu8Q1FYoL6o0oYtaQYHlE5HKF2vQ6tT7GGtr722BcPw69dljBrTeLPK+Mv1yZu 5mfvh5lmFpIAWF8cIGH89Gw1QKqUNDEmsn8pJIwisqXtQ2CanZns2NiiXqfk6JuRLtPXezZD+0Bb s29UwtYgGRLxb25nfVx7mVUH1PXZ6GVxRi3lJS9GHOoe3FLI8tamPju162cAC7V2AChmeAXoEPEo RSTuVGREmcVuDJKQX2r/YgmZ9sgya4l0xC+GeYF5o+RUgREGF1BBtPm32+kiZCsGF3s9A7G9HsgF eCFSjHvgbWnN2a3Qh8FtOZ/N+e0ny0GTXarm7NPcz6qRTYH2xz3/Ux7IVp12xH5hL77cHpTPzGmt fm2ESzyVAlc1qYCfRpFWjDC5eWX2/vjb30ViCYkEcH0IzpGSd4AQhMZ5oJHYR4cIq49j0gon9UMk lgNRzD5wtQ4y1NWpgNpHfepOq2dK5kQg+Oo0KOao2cfHpCHGMQOC3LU+yvfK8TReaGR+vqVs1k9B MIP41v6nZWUdEXsT1qBP9KPB39okEilLAVPDHK7D8pO2kuRckyfeQUoXl/qmHAE2JM1DSy7wAx6p 7cWEhvq5c8zWPardRTn86LzZOu/+oF44ZCy7TP7mK+oV8raUWn4g3Mkzgvw8RhoPSKTbzvuqkSwC AT1WQoLsnlTmRFStysTPEBl45udLrtel8qvDXHALxt/Ms1A3EstqQv9wTWVUL/3S7sQ4kuZ9RW3L 3fwMD5em8oy4YIZcMhbwEmv9ktDpEwz0gwQS4aXFBQAbrUIA5N8z8Ban/7JYbHrAFV/Olz8V4kvy VYqphSwM+ZfaHF30g+HgtQlmclJCpbj2AkszjO8rX2DYtANkC5PavqQfdIeGm2s6wlWnmg6b06zT nc8d/7IwWcxshxAKVVxZCECQvQ81CSpxkSfmNrBWic2BZ+0I3OFDhz3pVXxopWgA7TPMABn7EUZ4 NlVQnKTpr7iGyG0dnlKZN5s0f3LE+vrK+kzPI8MeRGXqXn0YhUxSKmxC95J1JnnVaMHeCilKODmB 8s+3dwgnM1+69OJjm6I6otXMuTNWxougkDplLB3qOEOQxUIcObce6ue8OX74KzU/7mFlngH6azyg iv9By/Td5BPxSdQfcLmM8mp6Kj/VhlljWlXYJzjw/o5LF4ufnxaYXXlU2LLSx7kEIF+5rMOjJitn MNFxvRBVq4OnQ6Q+oL0QwWAAy2i1rCAX8MExMByNoN9f3+9MT7FYyM9FqeInSRqVaiGBM4QoAxE+ tZptukLXETYtZ6gN5SSAgh6vsKeP6PpHiP/1AhcgXachH6KFOTSpDzwClig5waazxyPtRwnt5HfV hZsT0pP18iZCPZgyG+h8g1kkhX+WugOgMkg1fMB01Flr5/ddoX0IpItWydtx619Vaa3gLqgMGZwg 2ewv32f5p1dV8RQK9vPaAUK68jtfFgVvQJH+cZ+HUidc9vabM75TctlzgROxrJxgsQcj14ec6V4M 5C2Pnvr0Ky8XhBEWIva1oOYUtYyqAoE2XZC6MIp/8VTySOtFMaWdwVl+okYzH7x7ypTAeQUUx3Yb B60HgMxRhPaBMFCfH9+RFU7qqlW2EckX0jMV38k03dqCnPD74aTG0CLOADOoUZCNO1PV0lPYUm/z Pg8PWBJVXraHUDvfETuduipLieFUC9al0390DbXkrxXQ0PQJv+nkHQLJMlb6H25HRLNILBxyNzjr ShRdlRA0qkM+LzQ6JA3UfzimcsJIdzHV4Z/aavfOpMcynKkvqWJRXlPtt1sz6lGIZp4RM4mZwLTD AWQzCIm1zG+5P4lp2Xd/sFsCQHz+ddI6JyV0NuIV3gSMRQG4fQfI+v9KVa3UatLcpdHQElixMt11 Blc6YFEN/3w99Vc7N++IAd3ZgEfrTH3s3HpkI9ZgxWxHkLKRyqV2bYz0/hKtWlMzp3PfB6kOkdBj /6mSJQO8qVe10GgVIiaZ3EX7HWmN6BMGAYE1EIqkjFDsmACVpWR6L/wUwZMRWDHPQWK6ZfwVwiRz 6klOJwD2ne1dZbw3r6kPOlAKmEtNzXxo6KpNgq+igaC9ci9BTNV+sh8tIiXmdsQNaBwyoGyNlCBs JzlVvR1hgVeQZuDB/woX/9tbo2KGUpG/omb2Jh9bgZVxemkKWOS9R3ova5SgChmtZW1BV80OwEBG ht44FmwxuRtv1ytqVppD3/UcwOyFlzTNKTmtrr1HfZosZx+z2rLYMCiTTG8IShvOqj93G3MGAzg8 onqxFrNQupPiAKTcqYagvzr2+Tohr4uJU0kR/OetU4OMYaCDftOcvSmCC/wP5KFWVGrU4SbbvNAc t8ld0YrHM/woYnb6odxCprFsKbr44DwoUyTBo1plDz3gC1cKp2q3ztF8YjrL/XCA0nkUEPISiP/U t6AjkB3U6W44MVAhAcJ+5WIX8gS5IySh755Y4TnCHu0lSRfITLgTq8Unw4pvpp5vl3LJoqSEBFht F4v2P02otlit/H7CDZJaT5psZJGR8SsTORlF88gP7uH8+gb593P0N7hCm5TwrjFspRJnziwlT3Lv ZUB9Uxr0JZ/Y+VIYAx9yQl6vKpG9sQbmxP8vFND9IxHoJGKy6wx083B6eincGpuCX3sewn07ec1R 9agrMyNuBUQhl5Hc4upY+UDvF+V3jbKVTC5NhadnNIvqqsxFl+RmAW0Yz/hKyLAIGXYW18mmX7yR Njh3ZklGyraP5XzjQ7Wy/IwSxEDBJLzm+rwu9Yes4ac1obzNpaLoGCs8qEJuBzfrJJyk4L/xbS1h 8iaqhxRLDMm0dEteKHCfkyUDjOx1o/w99p2L+EDLg3ndxG3vP8B2mJD4Q93PmUOR2AK+FosebXLl W26SgnKn6UIxXefgwyhpfMw19jeKhfTqNW60XeT2Fa7S/1fDdx/Oe2RkKNKG4rdPnz0Did9p2BNb XQ0ynNXiP0q0WGI7cPcFiUPh/3YcmfkhX3icKBSLWAtiCBdRzy+DAqi34DH05arnuth/u8ln2eBV 328lhCjlA9srJLWFI9hDkSzSyokqJHQ+kg4Js6UFHS2S9eD8vgHUpXiDIXVEDrnbAO2IBjkTE3uU yJVvlFUqtQn3sZcMT9xt8WJW9+1hK48zEQFXOyIJVgx52sVUasF/ZfUGko5WOWnWO3kjgkUELHjo yWUNme5v7t9+ZkkVjzTKunmebHUrF69BhME13AwZMsk3JKWSM71JOHAypEjBA/Viz3ubCUqnU8Li QdZTyKl65wHpnBPPMhlTV9SCaWp8CtlQ8xqsO7Qdcr6t/2DjRbmPyrmDmsTwB7LS4PXXFsuFdfSR syhkZnKcmxLn7yI1VBoxgr4WNj5gDJf2rl46Sh1Bl+x2HKIsaeFZNfLVM51Tf3HI3Tl5EdoLG4Uy cuD7jkxRvt2lqgKuz16lWsuSWv3gvRlJzAPcRcp75hnJKuU6m5iNM7obau/xxXGF/Bb1tdJKbBNA 9EcI6tELbo+x7uiK9GH34tYW6vjtARyC1AyIsS61T/SjcVjINcxdVkAWubKi75e3ti9nFwVo+ZO8 62L0Zoa6C5uQPYzUlW4UwTy4P8ZlAnv/HszBNXJIAU2p/PfoJXxe7TmhMTo8rriGtEdQmG/wmueV Avqh83wyU95KNa2GZ9cbMtEgRey0rriJq/U7W3a11GTKLqwLAtEsLcBRSRjpHcboQUmf6bxTbihm Xitmqf51Ma7xaM2xQfOfFaSFz8ol2LKoiZjD5yJ+T9h4DJmjmt1tlmlqD9a44I02/x8LNDnCWpuR Xu6Da/8cglX7O8ZuBb7m1mNkYbkgC/dXrNZy83Fglm0zHYrGkdsUCqhNNTdNNzs7GoLtrBASFa1Z PvTkK3UiW954BEsiZSlX4PVQHhXZC/JNDNdfQsPXdXj3Asj13tG844ntz4rmwv7W9QN9at4+T3jp FOw0q3pRp6piuPT+lQkEvxFaE7maVyUk38HWhajPtX1QrrP+WemZ4GpJfDJL/M0nB7BWm+MoXA8W RHR4Pi2lEwROOa4KyYAeUejjM/7CT9SaG+OxSmisYNJt3KgotynjODp0Q5uRz9YWFveOKdq+4mZk 2KMyMYZAj57W2HTCBHIa2VknilNi1D2jRXqjPeEUORy3JJQV1IaiI6swFpb4HRtzL/J5opFHH1lS Kx7iFfIrPVXP/PDKZacR7pqOpGoD7RxZdhL9MG8k+6W92fzJofPGvoCRXzZAfzFsF3iq08vykwFi DEH4JvIOEc7dtWttd7Zhmk73c2fT0gDI1C42HGHkjfESDZ/H/8m42eAd7nV5ZmmjW7AmyDc/7KAN 8RgqAdPAgxmtJbM9TELYFuqOKqPbDIFs2cJXr3gcH2b+ptK18ENf3DZvjXNDG+eonxk1XIN4Mnh0 CuiF16IWl/Qz2/qan/cqgZt74H5YEhwDgzdpHPXBmP1C2MJ80iR7c61AaUDrdG0hyVuUyHOvwfVg NOX4tdfGiUePgpX0ZjsvVN78pXr9+G4VoMNom7xU8jkijKfinsIthgljz/bvED0KoRo8Scc6GXjN sKDp94p3DS+V2E3XO00/qunBTF4mCTwqO0MI09piFnm6oCoSiyXmTRMqFP6/hE623BtzAOwlWBOl VB0j2+Z8TsXIdNS9k7mUIu3neqTX7apCZSVMg4Xzk+yR7AdXUEvINxrjiQPT+aKVEVLk83tpsNFW TzBrs0lGuGEQHo1RjD6A0Yu2TYNKAWaXy6PSRn2nFTY5RVcgM/X5geP6I5+7zp97Dhhaxm4XKR1v xhIe3beYnA1+kPok1xdSEAQVA6Yct5wo/OlAVyeQgumNuByCSznLmv4ytFrxY1DBi0q4LASYt3wz WvbdOwg8bxy7wIjOaj6jLKIBNL92wpCl0LHDdHrCBHh8i8EOWQN7KhSeim1JpNeuYEm9y9lhBqGF ES3eOULKdssk24muYDWouTTqWMi01/GWoRi4NBMVBMHsVrAnKGZBq/hSAM6XjfVipff0RoZbccOR DgphOUMpwqS69ZpFqckWKjhxvobYQtt1e3GQdHeeY3FQ/8LoNPpSGR3ebjkPj4WN+20RTQwSmBvU DqwBsKSSpuR8PCuReep+GtI/0v14sVpapPQhGHQXDb7ClB0DfDTExeuJxWKVzU4VtS5qc7wtdN5r aGg7JErKq+OWOwKCTsUBTNbrCgSX20AEfC6vkBldx0/J6EpL3ZUKxTNm4ji4o5G+BTpamjXe4qDN v3FwjRuPi/wyhA4oCK8DCCk02GXML8ckNv6c12l6kpl3VArtF8VlDXjXTA7KUSSAVZNNfsA0aVz5 jwM6HI+BdnXJ1QUtt2CW0Rtc2M9IbrlukCpKb/T2cr2jR5nzKBM8OZmelDe6H/XAlagNlnso5GTa UeDMNPcjh4DcOloBH4AMxE0KCjXedecmfNnHxXJV8Or4+1x6rsDkpFgiBG987zYkjxNXAbOH9N8a F5StCppdl5kv67qK3fep4AFvu3MHvpxa1uuZk5h+C9sIuIUmy9+/nByh3AUcftKeBN7CPcNSgmkn kV+D1adp9ESLeOIEyLPtWLZ47TlnXo/4tP5ategT8JoGZolG3cIU/RAXBkeC4bQWLWdhpHgE1Ph/ pzwMAUGEXZ7VpU9xOpy7ojScF2JyUIW4fCT4bYL64tPJrZDnDWxkiqf3PWdIj3WZzwOIRjmQUdwe gB9+0jICq8nXUSU/p5wxvfnr6Q1FweYOOVnkYWnP99e8Of4RnkIq+/goxqsIhzA8YaAWVTzizWIV g1YEATBa94ie8NrGeJUz4a9J5qxcLDRcKlpyY3HP3JFIB//lm8b+jETVjB9oFXKWzucIhBStdrAU jL0K13oFhnAmUTPCjkc3mdvLgVaE+MfP1h2PW1zvQd3H3WXTlbrncE1Y8cus9fGef7i35/NXjv1q BP64tgyzOeXl8eAKcJdITRWaEQWHYPjqrdIR6ty2QAkBHCwSR44fRSUK1Vo+tPoYxS6RwrHXLOlj 7XO1H/1BEq2y2CBO4KiEcG4/qn0MzFNi0ODpBn/mC6nWyxIyvVNDPs7WfJqwMFVBtPVmw8zBTUI1 rDdjxrIxh9veK4Eke2Xkea6ixYeKy0Gzedz8iXXjEJkn7eJfAC2aq6m2/FhPm6/RAw7fBwsT6K49 YDpZrr4eX0fB75Q02xnMRViDg3qygpdy90zx7yoBQ4pdE8vPMDx6OBReeHmLICN9tYkkgJxfJtCc pJ+Ii9v1g0oZcfXplg2KUzOx++qQoWm+e1JTqv8STP/47ti9aRV+3Mb3gJZ/VG2rLIXCGs9mxC1K LGZLHsg/gPfrkoLT7vl19FT3zZwoeZxcKxsDE1n/e0g8pOpDS3h7Lsl4OnqegyFysnzDyzTV9VfA QOoLCb5/U6KSRGCZR/xoKuJuEsZolHIaWW3TIr6Xkb0MXNISB9ga88DicRCm/Wxjg4CVuppQtc1t KAZGasNNJ18gO1RUJjhrdP6RCUA2hWAcWVigpJtKdJGoP2eRx7aN62//5rek180f9OH+CMa5b6DP tYjLX+K7+Csv9PecWvKL1h/9Ia9YRuiDz06TdxFUUeM9tpBH+zMMWyYNBwE5qVDW+hA1BXAI7spr z/m0PPkwAGGJQR3VTFFsBKEByshwq5sk8zhdmXI8FchKVlIX/jYFo9H7CFuXVZ+Dy4uP99vnbLNF 0pqPk1BuJAh4tg/PzXDp41mBWAo4t7Eq9XXRNjuyHNYzFGgS0xaTbw5SDvBA5wOTJdb4IMgl9Wl9 33h5jPUmuVMHRyWLeF4Z1+JKk/qEgHeGOcm45xnu/vODXvdgtAhOHbSnCJezm71z9uRw9xvdnwi9 e70DSpKMkOAff20nJhCK5eQTPx3hdDljxLevuRNy3tS9nUhwIyCnL+lE06HJPCIEiEoQjmDmCjsQ YUDzUpRPkhhTSWGBnxXSeNWidu5VPoiqDOlzv6r/rUN9P+oQCC1pzc/Rii//kzx5vN/+je34Wi+A KTZz8JquxQWt8Fr++9JoLq+bCkPK/wrocztnkIOjNHT4mKKKJjITOdmT6raxb7CQHwgjI98ddgge cPm/IVZN5se9AG9QMDxh24W2S2OggHl9fuC0tuXrsiNg/b1hbkU+v++I2eb5h0cn/R7F3DQ4Xicf Ood68Zv0WoZPGI0gWnGDIrAeAgNa/G+bOrJhNtkQV7KugFwZMkMLmPDoetfBqWEYzJkh0rIKKXFN aqrpe9GstryCSTbrRLCmhzu1Z44bE6K58thPvWfLzGz3laR/V0XAGghKitAViVulQmgOqd5LPW6m z8oLrtrcnH6tNIGHvP8baC8J4e2SkfnJwA6+l5bQcGGSsIxGR7nv6S4gwXvpBWtRrxuqYVoWPjik bwnrfWTlJI+O4PdR/F2G724BkeBjGca7mdrTFkjApAizL2sHKQy5hV8uCv+xbIetNEbfeV5a3kbl Q6ebAn2u04QdDfzjQTWC+UGqZ1jMs82kh+ViAO46hqEi0k6bMHUmHu3OS9IADfvIUmjbDxcH09tG preMPvGUPyl1IgBXfygxlNxKE7P1qJTzClAezF8MfWYXcvbGYnznvpYAmGP68Guu9YfllxvcaSE1 rn5z7Gyrfh//zIFw1qcaJhXb8QXro8cTbJVakqR+fa81tkRUr3xph2xIUL+BWRsxBszhsvkrJrfM VwE+PCJ5xpbJi7giziB8sT+f76HVBKYZWSQke0H/98BSORB3yISBkGFZz83yaWEhlJ0axO/fDfnq Dz3PkeolcMOyOhs2ubVOaU0+Ol/6V6R6b/HKxfUfL6T1EEQPPF5hbZr/kYVPfHcOEcwEDsOk1REV IkfJpqAJvR+qSQjUP7bf/WBkPT5vZZCCjakiV980HKrz/miPq2ybGKyZcXP2Oi+DLryt3sMuusda mO9Od0ozN1nJiyhW+i1DrCD8T5D2MkWMkVJUaSZGXXQroOZMQfpqStmAScWUz3AmA0/P23ODo3UK +lhZwTbru+tezyjfnJCwZ5gvtt638a8n9juXMDPNQ6l2yOajPRQ+Aks/ONR9WmSqQFcuaC+inEu3 nuNBaCY2OrHp8vE4HQ8MT2KGP11lEysyXfsf1sfrFzhoHIKDMFbIlAljPCnS7VlgXZ4/xi6N/3ZM f39vg6iqyULtXkrdZwYjFXpP+1Z+udBQyxmILgEIpm7KKfVoNBPiADmnaRrnWvCne2UdIhHIlCLZ yauyXtzPQ9M6lEDlxhdwjXyxk3VUwufYcin3VfB2EUWk7SIMEH4SkVYXP+vPc+gt/7Dxi0kEPl4c 5Vkl2G5EBw3vM92QBtn+lvA40d3mLhXorqp1lxzT/Pu27pnDaqkOyOiPOfxx7K0nbXVwyNe+EbvH XIAOtfF8uJgCYVzoF9kw1iLQhPO29ovEZ2DqL4+HSIKuNUbs3fqbcfDg33VAtWQYzlpb4SNSeIqA KvC/OewNJpcTcLCUkOV0nY6977bR3o0SOzM49ALaim0J2gLULx/TihiZvtHf9Um/6JhTs/s1qmr7 XyagCfrR4f9Di3YielknllW5/Q1S34k7yxsiXcY/LUSQ+8D7OVI09c3tZOZRtdjyX2jBKBFHOJFZ YyPHefeA5CXHrMMRY+gPbD0ib8J6UeqCPjacxOJRlyIkYOyt5so00kcMfNKgxuvfwpAl0Xkj+bMP +6n/TbuvCaMYd70+W/iRFwgXF7RzApEwI6cSQBCTQ9bUvx1mO0Mat3rnD7oILkxF+fzWmFVg7Hex PNW+TJaJ30KEjk3xqOq4enn7de77kB2SKBEN/RYDvRxbcpCz/aZSd/rTURdXFdtcrEja4xe7GeSQ R29bNg8m/+ki8Uc+KurRI7jmf8CcdP1F2xcMM2eKDJMj8b3UBWhghcOiCuQdG7oB+rPwZVmlQQmA tc2kNP3oI1oSlaBOAgYPzFmD+Wes5udBupw7e1gmsT/gqNmMZiimMrf7fR4sSpdXomvIl6ffaNRX ABR1xKXe9/Y9Egf/48itd7Xw8uc41q/EgBcdSSrx6PB56YMU1UVwJ+axIgzkUYhFlHJ2xI7MvejG I0fUIWHeZqQuK0imPq4txK7Vuwp3a2PALq2kJwgNzNoLoCBWNleZQtREAyzhRcfRrPy2JH9y+LSm cSZm+5tCFaBNS5jKumm9CM8KcE+qSYSlO07h5Z7E3uLUhVeKDqD1rKQ+wMaqAJxhZpgDWGx8AhGg Qn6JnaqAyCtALuJhG5iIjdsLi9GPbvYRRNaDme+417bJKL7nyWFb3htxo7WEYzlmPG2uFDYJHOSk OfgnM6KJSPyeceTslWkFDI63kzPvLmJ+3cfYxuHBR9uLwCQICBV+MpC4/gp3kK584jGXaTl1QD7S kB0sLKMzDY9c7flLIVoQLFV4F73B31am1i6OpCW1gFNd3XiPZsAnpBm69zBqolESXpYyG+RGjcpr tTxOqZeyFCSF3FFow7pb5SuzL5ZgqfgYrcMAwoqYGIFRIm2YCLweKyiry0DxgTQGDMS17zmeBjqP 1TjUS1cOKHpJTAkUl5QlP5dUQYbQPuha/3bfNHGkWnWg63qkMNg5NnqNj5CX/mXxG0j2XWT9VDaQ 9mdK2q9JNZ5xtvoyNKTN85lelyDOexImbsULl7IwQapedb0+g+w6r5pZsU+akItj/+yn+NGK+1V6 9jj5NSjyIoLxJTuDGzezilvgnqEhEifAkZWLPaEOHe9VybNHkHqzEMmyicF8f1R/alLS6RtwhnTa 7R/SA9qtFLm8pgSUVdSevrsU3f6T8DjKZ334qamCBW8NNAhoxv/nyItUxIrH4Xj+FwfB0p42LoAg /oJ6JQI8+iC3o377jixZDWlukGULI5n80Q7R/1ot04PKGToXc5Zohz8YnpwZqcX94aKwkFgT1Kkr RCAob0Ifj10zc8HJqdJmhlYuY+ERcKcu6dwg9SEYHWMSmFRc5pOnEWMs8l7gnq0xQTGV5tiAxVsk HwKL48ojkYFJ/DwhFxrEDsFCWwONs67LgbdUh6Ypn3k0nB2C/G71H1VT4WUKuFZtDLeb/yoQ3d10 JOpuvhv3jSFEd52dkKq5IiQZD3epToReZP94nnCokglOYWuGHPSm8Xuev4IPZeo2d7VJgYJEKXjE cPnV4Nl176sG2T8duxwfsg4MYzHuzJTbrFUK9P7FbqCJoxIAxcpY0PlIMjz/jKk5QdyZE2JniZo9 fxd6BG+TS0sEFGx1Wns4i6gYQ2P1rjWMA4LxobFMfS2940k6iCoykJfdt2r9kcf352eLA6u3mjwr iLIVAckW1Xwv+kBYCx0cfZ8illcShwpFxLl0RlCDzL2u9fEnG7NdAPW/dAyb5f5NAfhB0ueLK5CF SV9COQaYnK1CmopAzryRQUm3M1C3bZ1k+ZEBGTeT2B5XNp+X8A166hx+HwQdo2loUxbZMN2MVGus BLnla0hI+PKoFXW4+TvxX8dn9LTdNY5mnV6oBSO5VrjPn3E7gVoxDQHgBZXGE0EuM+wQcm7bZDhy o0D+WiitPIgaT1TSvjoGiICgKKtjwRs6adELWmMrJB6+yuYSGXn0aLBQSZ0EBxJMdwj0X4XXf+TJ aTDjfqhbc1h/MlI+ThrBBQAFgCOlrMScY+JaHUNq4q7pL6K2KmUDql8QDb+pYJl6y/uNFzHQaA6Y qcw/lfcsXtvEj2vMFGJtUV9RHtIfmLUswlIOzh+weVd7DJTyyLmlq6jHtBZXeXcvOU4zk/q1c6s3 pnlFEBEG6iIZGOakk2EWEHh5fFlamVGxUeg6NZhJ1s6GCOeUyMH6iv75QL45ACnSUS1Wf1C1cfH/ yOGQeQ8Hyt+3NxOGvw4IM+P6evfkx21xhNZt7lhjdo5ricrvWpE1s24lotpTlkzsI2mQ8m9/IM7I ik0q9Ur5Lkmb+i0kbYvaG7E7xRMBu23hQ6xRfQIkAbz8USN/E+iqSxq9XneZJw4/qqMXuWiPttzf m9RjaaOshM6r1JFu/LCtX70Cmjx6Ng1vUUuca5UwiMfV9QXAux7x+ZSOlIYkbSlTbrEyQuc51vyZ 4qmk0vSM+WHANSMQWKTC57d07CF14mANdUjn6uHziPZI/vBpX3GrlnLXJlNz34bae2tqqetScMbd A4yq5yyGmevpSbDuQJY0gYV4TDb7Zrw+eN3kDddyEOUgW68FgMHoUyYr8wC7uRyPTz5Ns9ARZdWs AEiwhU+gttp4bNqCtQg7CmQlvy8P4ZKmsnvkSiwL85coUPhq2ZaIa0c5wYkgSrGn+DCfaQQXelTQ AWg8aok4b/Aq/r8B4eytHhPOz39ajPmLAu81ojF67nAaKOzWdemzIgiyav43u8QNDBVdAlIZTkJJ LwYYdk78HTAt2SvpJkD43qTuraYjCHVoYUy2MDoPnApGYhCOzQgIoljSJSE74y7taktD592FLwsg mIpv5t0NABkm70vxTb5j4oh8bCtSpmF0fccpaJLDsUR5EANb0vuR3a6lMBAbSvX68jHOt+c/6GZG jOeQ/ORGlLTR3k+K30uTON6KqmxeLTaaK75OTP47imd7PMzpEAENxwfhXCXATmC5qAdBObDYJ3jb pH8M5SSnhTzfTykrc/3iwNvDQnFQFbGSNnnkHvu49/WsSP54F1f1W5w/pzcYCDghF9e8x2KOHhkt 37ygXon12fowy22ttG7kc5RT/65J+rMxzO7BnlzdplJabh3hTbxlkmgIRF1xTbHg59iGdEuTLUDy oqROQVfjfs29w4/R/v7xmcoMDVxP6sVLrNqBvPeb/YAX7EPLS/JCjZ9pVhqtRaldv9z6oIyuSstR AhJ4tgHLBD/1z1y17PWFNHo8VeAsEkNbiif7jHhfbDfLnM11cxDSXhm0C8WWT5KiF4oPEGj4OCj2 z6YNyLPJDgUP/JUg+U3zw7OqVrP/6fGoO6tJVa3fLXHyjFY78Bll0vDv3CGNZOOqHYrlzy8Pg4jf 7ZceooE6RoGidUYPFeYv7I+gyGN0JxKAvaATklqUuNHcFKYJnelPTpNXUHfHzHZo+2j6FwMsqxLH aKdRluQw2cV0oGazMyF/iVIh2lanRI91hl6CEvemSMaFl5kY1hXa92fpQsOcH0gf1Oo5C4K7MNQt MPya12dFN7AHS8pjRRUdMdSbMUG1lO0+JV2+xupAXQHYOuk2/Tx6NWKL2mjQSNQTLJZNUW0dCh+R RU3Rvsfs2qTMZYMb4FszjELy6Km/+dytiCfa/EzjrjlGTNrZigI9WfNwtvhnQLyj4TPO/D88X7MK d33XskqmcZNnh65nuBsCOfBPYzvVI8/HpqsdI22av4E2ubujYWlZYicqwVqmR0Ys3xFbrACGjK1y VsdZwiWo8+9jHildcct9l9ciwvgb6xTaIBeBZGD7WZXRQMbAGQ9FUHGscWaSZU+AzVxZWkVlfhyh TJi8Ezz3hFQFWHVnGez6GTsvh04ChnETfFA8pgp8MT4qbgHlODIuKjqhCBXqrvUlw3bfLhHkXTFC VY9bemlbT7FwUekcMZNwsq983/TsPxp5DNMk5WS/F/9KK5eTucmBfmO/vPDcn/V0HDSfEV9R6muU 7Z9krPEjjWNXWFYOvr92p+vJ7k27er8ndnrPBefirQhwLWK50zf0X+0u4gujsF18R4FTnQsAXMCW J0VIYfQMfAWhUWZ8Xo267HMWUnLruvJpUazgSh4YF+3hphWGrIOGYjgkRcOltByyxZ8sYXolK1EL sP65QgTau5OnhDfM3jb0kENM2NOxpHi9Bu5pMAsSYdHFtcn9kJw+6uGxn/v/od7noeK0jGvpcDZp Veq1pYyIAk3ODrFwFXeLd+QUrh4IPYsX+d5TpPUQKhPWoVGSPXBQ3ngOxc3rzE6oZW5iq7jN3rZr tRLk9Y4Bl5/GNii7KQ+BiCTl7pcMc+Z1pp9SlotIRrRJr8dSZ0RO35ygnRnDGlY+5G4IFoIp60yE XhDPF45iOhOdlWg0RKOME6u/FNUYRfRITPIe3Qmozo/wfO8XI/YqNdLaqb4Sp8HK7r/oF/5yh6ep GdsocFuXkqL3JWbjpc2yxRYmvTW4TxSkLRiUQonZQ3ooJLkDx3rT036FktV7fK7+j6Hd3LmsqGFn 5P2/vnXPrsjg5oSBcVK1c0jy4w8TQyYLlo+Wc6eU9nvGu9xu59c8pK5z+nvLu1WR/9upIFetSLS4 oruX+r0h1r4IP+gzfPbH18hvO5UHHaqPPw0RYtcss8vdDunZXtCIFkTK1iLdbXkwFit1r23PVOed GcZK2tjpNMZRjRdnqbslX1QJB3v/ERxDvHyUdPz+JnrgMfM4I+DfERYVqHDffbq95i2ne6oVXJON JIJ5eyNVdMn9+bowrTli0+fh2cn6vUiU6/oVTaA6QTt8EMofQ1t1RaI4weDseN+9Rid+krj/fbgw Jn6SHKZX/0a39WdUtdi8O4ndo/EekQ5zkMA2CYsxjpztR1kTBZeNtQics99SUUblDmgGWkrqpCeG 1WLQoCtqAP6EmwY8l/8iJkHlj8MIUpdD3gfhj693QGHn7DXdCJLfhQT3zd0pnLwLOscKmnqlcvXY HuZIt0GjmzMDKsaM06VFLx/WjrDycMU121o6fmCfAHm9GBp2m1oanTaVGbaK+wT99ZoWUshQpU4O 9LDmeVYSw36R4K+BhD6IBlQWK6fuqlakEJ1n9A5CbvVG8d4trtM6o0nk2QqGlRK+bj2HyoeyT4lu V53AOqistZGX+FAEwfEdL+AAgTAa1/dAR6ddQmlX8t5lG0V6lTxcMKyGZLpf+0KLrYOZIgdRNelh 8jX1OqSCmiJtiwKT2sngL3ZvDIYRTnmMuU7sXeo8zMPCstMejw6m3AZSnyObw6BIscnQF179SMF8 hh7S1UdWHbEGE8UY6IpKhP23VS87frArET25MLabF3zeVcPP2Ufwos/gAt86pl/niJfphIzlCTKm NdTSqixq5npTmjGs5SuC+zj1voq95gq/VU54vRc7GJZgW1B8/AAdfa10lHPpQOZnTvPNUwfNyuhh xbznAnLHs49MrF9XzgrpI8qhvHLcaKsqodmDrmVxls/U3ABDzqJLpl/J9/v7cMxER0jseu7Tks81 FWTkJ+JNfPEj5CtyThitXtDADwH4YjDMtuD+6hGxpoHV1MCMXJ/bHm2hZyGxYfOGsq0n8qKy22wO iOCbFN1666w4OQ9j66uuylRodfQ7vX7NCNwMA0lZ2heZsMsaIdSbnplMJMpvt8eG6JFUyJ3Lfmo2 O1upZfsCeJ80o3i6DnaflQOIEdL69N73oS1Qxy79Mf4TjsKGtU9BQNWYy6fOrhzeKJxO4WEtM2fe PS6qXUStCqURG8AFMYaMdO0lnDlIHTgTTx2tj6vwA0Sf6qmYkLwRJvE/94ax2s/z/OQQoIsWMe/V o1ics1cqUE0MjD7DbZDastkVmmKYTErVGwzg2wKAnSXguAF9JE1YtnKbEfZHzvoBDOVyICJFy3oi RbO99NcJuUvSYk+kr7tZpl0xQjgjGmGOHzhnkv2V3sF7EPz5yAPMRSKFoebKxKH68Dt2o/LoK7Mg uhe7E/X2Mj2FYLiUNEebeaDHmxKIUuc26xRL5GD1Y0Bnpn8kXTbJIc2O6nGOOVPfbRvDK5e59DkB sv9o6D8c9ozcWO411u/KokPmSYZaydkuc5drokWbGGcDWv6x7XgvKw8YIspgkYO+tqHs/cmgV+2n gXcAakZVwlteqArHNeK/NYhZj3D2OmSbpT5IjUuLpB0TcKUea4CF2azWZyDk7M1NoHEdIclBn9yQ Xrqm5Oa1wQ/RyKV8A6nVSEu0kheK0Afe5QyszKjLic8wxU1fMPU53b7SWOos4hrqepfEl6y2d3jJ 5/9WgaedSqnqCzLhCQe+ngicbraYq8VHIt/0gK32ytSCysX1V34isFrTyT8l/jOFjEpOJWmsS8Fc yfkicujVcQx/rBEg1OFspsHNrcTTxarWQIx0emuJ7uRjxGFaLO8/mYPGEy7ag1XSQmt5YYozEAOO oNCD38Ow6dM/ibbCoRXMi1GlDH+af3PHKSRVfRPtcwrn/ZmG4+phRb6PksUevO6zw2Dv6r8ax2dP egrImjIASVQrXO8o3J4Y6CyP0HfuUFpaofhiVG49wJAq5Z+VHHPlbVI5xipRoKyjecZenK9E730s xjdnVHIeB3IT5dbXu7KDxO9v6z/Zy/bftiW1M0NyPmA/d/O5OVlS9yd71ReOnk/vALOS5/ztxQ1n rmiFPCXoozLXLDZ19NIVvqpgJWeYF9D1/+Ll2R2jZBJ7s7h6VDL4I0i4iXNWswbVh5i4URthbj/Q YXMF2jSIHQYGiJfmVKcTftcvglQUBlc0RJO/UmXSqUSNdELAi30OnPZZCkbKbxrFUXs3aGiSAcgd 0fxvNPHhPDAVmkVtMd5AYwEsyvumw32VGIMcrOgeL+2kREkknpJy8iFY25gGJ4G3kCHK1XHNnyqr ztv48t7gyss452tpl4bQ9aRtCVC8W24T9+MefAjw677hQM4uOxvYJOFRzv5SqMknylp+Uqhf+uqj BaYRdOa+tbLxDjsQbVXFniCuYCiGCn1J46GwpbWEfaGPPzn9//DpdbMbJtY2UrXa/DwxkUOZaj1C EMGABKtTKSjdQmYNQ7PYcdj7Z0vW1USqv95bUsPtDw8gf8Fn7N78r2HIfauuC6HbA8xi0H8SlX2u SqqpfsqF5729j1VsSC5Ytf8tLporLBAmf2Ta/4iMhMjVuNe0ZEB7PxAZ0bx/Cgmd6R/y91B7fPCL iiiEDNc2o0DU6id2blHz1IMEH/qcBSz2viYqTndQUeJ5Vv3Eez+gUHpLLmbTufSKUfBCbnrFppMt 3bM+Mshq/7yN7Mj7B2MWv2LZG4mbjoVcHP9nXcWo9CVfK/Nppu9XSKIyUOxRJg4d8wyznZOz/goC 9MchuATXzZ+24gXvoDhIz7OMm1GMPyMUK3JbhYRWgg0Y1P4Z1fCoXieHGr7y1M2r679/tarJWTdh a28xxLNRX0g7NjnWtr4DIKmiD2oSl9UqaK/EFD843NYiCavTD/c4xLJVB4Dl2wW+VV1dcxvDiKCW vmMASCGzJbeWTX8JjYzrc5wk/w6RukYNwcZqgI63jIHzWCJr89z1NdOiWr/Qy/HgSaiHgvLs52DH A2Ul3d+8aFhO4jqb9sBKEtMMc6SWWjyK2JVhQEQkAUAtUydg3KnKzts3DyDrIAfGK+lZCzhoUk1s V59Unl/tDbJyKFPof0+wrJ5EeTRcT9mDL7yvla6YE6cBpq92Jgly9ThErHTzDEWY8jDcsS2jRqoY MjyjW93pxa7x0ynRKIhscfJTsQHDGu+l0Wibh/q02wcgJKS/m4a+io62sdGlz0s4ABWBbCsCbPIh QTnJl0ptjXzEViX7AA4I3p4j4Oq9tTL1BX0rK2Ji3dXIp6bw7d+lyaTnIUNCWiWEbpvh6B3uyqA0 feiSSJ8qUJPMjGVt/wahRNgA7AfJxYb6D5y3gUo3C7djNmPI/R97mLo7V6hMIqkLPdiugZAEbAE8 v3xTeNE4OsptDMvtsLBvNW/Ci0mFylFmX1sieWcWZH5/iZ9voIGLC4TWcAJJ3cRgSkdgoQMCazbQ pvNctkELJ597bVoJVYvyHiptKHksDr9RCuROa+qNVM95EiO2W/YvKEat/gMViehMT+YvtA57+Eg4 mBpwS22APXxO8hsZvIw78ZodkWmNjw3xFBBMoxFKKwX9oVjG/eUsphSAa22gNUlcVOLErBDEIfuE eWEed7SSQJrrt6mbn6zM0LJEC1/WB+bIVeeBPuDO0iBKS/Svpii3DfqUSTKqYRErNUX6vGy9GaTp /q+g9VYySmMZVG/bfspguufDdMcdNZU3tCotsN9NSlYNUhV2z+pln7dLJc9szRC/QgiDTg3DbZFC Ge8I1hJIZ1Oc/5FEoCkOc19l5wn/6BMHRXXMtKbqV7SwJaGVKyzODmUxPPK9FRFrK6UaV+w0HvI8 ddkX69UxKyxLQjGnL/GQ9IFwKnbzQcB8UnEvs1cWlKU9RAIAi5YE8o2elBt7tlVex5NAOYFJyEmL YkD5x01bRNrZzuxDi6LDbL0TdEKUHxI6bROIMp8sKJCyjK3mmXVllKhfOfQMaSLGnj3sFsxYfZ+5 Z8FIiGMvdv+yw9WhZNBhUeLbvq6oPzMwCZnI5iegQLnt71uZzo6YFX0CECHSAE5Kda20RZuIx52m ulaEx3wMVz4Hdj2zG1wyWh6xtwa9t37K9Lq6C47NjreOmMW+Q0emqpIO+uNsGl9rQNmGFOHY0U9P Wp5EMHhEuBEPJvq+78kyqU1Pola8sW7tGUKbBZrq17+9cJCe1+HfKR+fGA235A6QICDYFPRlB71z iBoE9Cn1hKQMOMwchmwTnuvks52eSVT/xYn8o4si+/IehLVxHD0oXBw45xlCUnbZnaPn+ps5n9Pz 3ucz1AGrf6rcSqs0iwgolYY4RWqHXqGUkRXPkFxmMH3TzSylwf3UrU4oSaxUYBtQwAbPE1QewGsY igOr2er4FhjrEqzxLTcOe6eEWbiQwt97lubE2c6PQtt5+d3BeyOL3v3RkKipJLeEKbMB+yrwdCrh JvNvTcpaekgTWAW9vC806phueMO2AopoCtezCrkv2pkI+bay9z70gcwMgmLv3J1ZO0/Vhj9ECiUW 0Xaf3peGCgWnTUxQCVz+66PN0+sNkmvXC/mUp2SdzrprHL35Pe23reFhil56HRlzr7+KxAw4sjJH shB6EcC1enjdrezRbOh+c0kji1SmA8MbGkaJDRcmnrFWqbjZMXqBhavfAU3qIau29oGXqQpcrR6J ZzaPTew0qpfnobbapn5sr+/YnL5mle5NeMjesSj5UUX8TnOJRpszBN8x1t/hDqgYwxAuPaIOWIGw EF8bomfXDFTbm3zwXmgVQHT1cHNt9IxlyRrpDeYUDADlgLhyn34ac7FLMJobLh/vNfAjkm6HU/BJ wwXqLuNQ3HJfIgPXm4Gu2GDyrNOzijxf3/IOi/Z/N+1yFRJ87Gge9HHjbCjOis4WbRbBUhspmJmF BHu/8d906U7EoUFHpb9+pcqXQHyo7iyoGXVkHSF1V1JccT/Nt6xURV+wdJlXb9vXnhTpIMFD6Fuj Wt2MseOSdrlYgAB0S9qmqsZsEzPTVDHyGshG8LLVaipk1Ac1Q/Zq0U4jazZIeWhlwlRnr6ns7wMM AWlNApLTVpeV6FMqRtGYxSp7Z3uL0ofYcHhDS1dVh0ek3BVEvwUFfrQ5uj1YgBe7j3yUpvekXvz9 rGuOIqJaqhvGUNxUJzLBig1UpRragniVgTzhoBAPiyHT7bx7XD83gboVhuob8P2dwhsJqP0UUkhP KC5gxADOv/4vJJcXxDsjmGu40EBC3jtxEykuL2YCeZKF2HahIyox8OUy1WZJjg76fkRkE9YYm5Tk Rme1ABT3i5R/xI2Gag9XQrJswxWlOL8BcjiV08uvI3SQvQkbdNxJJoU2t5ZA7sYbKpkj7GlhPbnn o7cn1tyPuHCxd7xYrkArWskRgNexGpnOF+qg5iqiL4dQajekwdK6IXbCqr6KjKVUkfumZ18zp0Te BeSn23SjWW5RWOH6PzCgYR//CYdGOkUXssMZxNrPkUD6q6I4Wzz8UhhDG8LeLMbZp7V81TQYitS/ xZ23uUBwz086JCVY1oKusbThaLpC6qbk4KG/V22RgyOLcffNu25zmY5PD1arIN8QE2MjvegwszAD Jh6C7SI7yyj5sKlG093Obj9/sAQzgWvscbwyndy2dB2bxtZtBSHBYYJiTlTWWc/yIg/XdI8SuaSR C617cEXNVlwxLgQyLSbr4bcmpaIHExMG5pesQqcmN8gPH7sVlYZA8yd0QbdRvyJ3ykTsvseQLaJ3 DjGorqjDKWyV6voOElEPD5qly7QGSbXBzzfN/nWPuMusJDp8pd2XCrnkf0+Chq6K+3TOhJSnF7T+ A2jIZGHHUQH1JYDiBM8B6Z4kgeaiYzmceUQ5KtCNYfd062yYOmducPdtyvRqaeosVJKtCimxV1DJ K2RRLxI0zTLRHNAlnE/SORGFFOkyb5SNyHHyFti6MLhe8TVjDhbM7DDtL/T/uM8+UIOpz4lKgfvs z9wPsMfJcKJk4cB9jb7l9IgLN8rl8A8PFmMjmC74DjW7aNvSgnlDBAjXxWgEONcustSV3kp74Umj pAQjtJ2UKC9zKpU5OAs36ly+1Nory4UwOW4+eqLhQOr2wNBmOBIGwpK9pIsQKUyDQ7KLK6s/u/+L ZYx+E+AtmP12vErEONWJQbwvA7MbiLFJbthEfqOLfmNbq/yqvgETz3VfqNWXNfy49SfI2MHrHsPz MvnlhkmiTZPP774uJMKnwAVlLoHKNhideOOeqFEkUCuw2oLhjPTISTnrQBuN++Mlp7grWgfSa4jH Uz/m9sQ1KVjZeAxkeg3DDMb1Te/rDfzV2bbC5K8vRHkFrPFOCEBux8cmdZDbme3Mbmvdvr23rg5P Q6AdqU6S3jj8f7/I/S8OH3hjYjgdoiX0uBX0AqMyYRooZJvW52Wss7Mm283ZYwIR0DWxA00uN5m2 USTePEGZe5SKItB82Rvqz2RCqYAr34xVbVkbRBDYe/Dh+LoK0BYGmorHciOY9HK6zCJTpZCQ7JTM 401Y03j6r9a4GORFlSz66v2R6Wap46KGDVfxrpguZ/TuzxnpN396pelzVEgxyBcHt7XqrtyG5H2+ 9QeGIm0P9Xz7RCdCWIzriHLQJdiXB33SHjrsreFM8lJJgwqP4oVoUB2aFnxSol7OfPQegTnX/7jX BcVOUSs/0DHsDEKYRBZqngo+lAGkAHUs6claq8tA1t7P//ZcfLWp5jLtQjYTHRy2XbiJb9icTn9G xsN56Elwa2mpT7eAexBPX01/4bKlUVFQ6cILoxT6rMVrMeIjczSePx2IqJ5iSGw5aJzNJPOEDnwF MUISPNX/E7QBNPk8cWTKfTUo4gAl92XI7IYTsPMSgbv0UGu8kmLqdreTeHphItpSII9/CJfVQml3 +8S8BmSG5Q27UiSGogw6bKQKD1VBmDn+ae0Ej8nTCPizJSNrYMZBZrgDcuuod6EbiI/mAYbqZ9UU mripF3QYlvUu/bCJ1bqIrMwJNYpxay7q+gwCitCmd/uNr04mptGKLIXC58+jBa403CPnkNU8uSZt vDb+0t00tlaTfX0dkzGkwTCM0zhb5gJ9n//TCh1ZBmJIKnii+3MgM1epGzujr26MTvemTw5C63KR 4y9SHUn+/AjaF2GAFlKlZI9RL13y9X3Fzwagy/rawLMvxGp5xm5YTdtP6Fsxs8aVvZ5qrVxLas4h h/TQUTqtiU8m8NiKGwVOu/dBvS/jS2e8JLtay6mGz14LVBcdA47JFGE+7lG2KRPVMvMJ0G100ZRe PUtOsMBCE9tLpISjJYNC8zl1C8pybyyI/fDCaQ+poOMCUmpK6XKCk1AN/kqVYglx9BIxEgAr7lBs 9OrkmDGzvHD7RivgZb5pUudYZAOitHdvVZDbjbqMYi34DmuciR6S6vgwiXHEPWasTOroVWdXhFCn LP5qBb0FWizGtElBsClKXoUq/QV6I4hg8LcHjbQySXVjRzjoOK1FMz4YNrSz8XxKVm45qml2l1Q/ fIaIqREJsR++fWs1AgsaUkMhRKyMrYmgu8M+hpLxWASwYRQSD9u/Hlgt2/YDEErcnw0a1/3CPo8c cntO77gDdW3x/FikZjGBFXZMFU9AkJ00oYMnbAfzSwbg0h2ON5g+9sJjtvBjBdABFjoOlmY6tRTq DiSQLS1gfO85krtucsHrFkF7/WUqATNEXCYJ0EBvn8JQf0M5lJbNViR7B1e0kHHTdtcCk/J2XM6A Df+iRUUx7NQpjiZwy6cCCkZpvYJt+d/4vV0bky+1ZoYukICqoNx1ubnZ8qYLAnSx5BUoRn2WyMcN pv5wzN7YbDRdFczdeIl3EHQUMzfhWIQD4liPNnKPHUVBQEIrDNyP2PMrZGY3NEk8pbMJa3LbCtct jIjnICh2vBuLko0l/JStI07C3DLpEQRUV6XbzrA4iuS3kR07UkFniZUukK+sA7Et7UReJcd71lTa oPCiT4c1hMxTUa0Vs+gTdXrXeo3Y4wMG4tIQS8+qFe3u0/Wd/+xZbnKIRWpBooSqFp/W3UDQtZPT fCPpMnbfYLHW6c18lVXIViEGICskU0ZFDjEtv6Uv/LrhNM8kR2XtqOvaItldHT6OeTbuyMNhYU/5 Qd+tx9g4aAzihT0is4ODBs/KoQclrcKQ+iJ7GUyJWOjA3dOxclkl+psDHxdWJOY/pe7UaQWkT1Ql Hy+CC28pR10IZf0IXSMJtPKHHj6BMEf+zZJN++sRkko6ZOMfgoh+w1JHDr1NyvlQ8tu5EQ8xZqFY RgY2BtVNODk48DiGCD6zMWQCn3VUuTbrQGYCX+gRR7ZS9xujldceo3QCPjO65UBLE7Smm24wh/kF EZsEa14dCFes6SnIe8wnnOnjL068UFfyWbGOM6i2+PDykdVi9unOBPaHj0LzVGHCxdL+5re85AHK nsYZn4YHgooZ1r/9N18c1t+waUVq7kGhxXtZOOzGGqwOsIdyKB2Vk3NEm3qu5X9R/ym5+Stsp/EF mKUHh6HLN3567ShF8MiyT9Jj5OTAMci38jDxiGxs4dNoWYS5yLXXNlS/KSHm1110ZKdWClR+s5Sb 2eRVX0MsacQNpiu6Kfj9km5nVC+PhuSCuqCAeIGeUNcZf3nfi1jwXTALeo5hiD9DmtlOSJlbqXJQ eRZtmV34ij5YqzEtmLUCtisKGA9MsqKwsUOavyK+T9fs3x8jlfO9BxfR6fenxOtdho573UfQoYf0 uuqhasQpnGflw2GbtVGT/nRIlbYyFgpRd8stVnCTf/xsTtFkR4geVcCNY7VvnueJELYLGVcm62Wb 2357t00g9t9IDEQU6AMh7Rlvlmx8+wBsYPaQTCkYGnvGk4pklw031mIyapu26+Bm/JR2iDB+y1am /ODWIjPb0HSPlN2NUMLwMaSohIiJx4VZP+CyXGEU0EtaQlRdHjBg9bGeeotPhG+P//PKo5ma1bvH fsjYZLZXF7FNeNnb5bBpe7U7dpQX9zfSncLHpQR9oX7XG1H1zUHkCoF26JDtUqfranOQ9AUbbaZu CLkZGpNw9e98EGu+tQCz7cNr1EtUP6HI7L4qaRd+cZf/4BydUyBGq4bNO2v+5cDr/axJUHrMVRAS MwaMmVDNKPTUOX6XzQju8tvi7USfOIVbcRxw+OMikA+FYzylWtUOYeRnsVYypMLnempf442FtcSt 2gvZXNB0gKblhKem9vQm2PybGZp2dXstP9AMaPlRKCLZw1EnBoJF73O28FUS/1We7NmD+QTKdkZI v5gxdYxD4sEIQO7HEytVN49nHSd/vSLm3MjljHBUpjjkVFdtQqQGL73SDh3AxxKIvM9TCnUE1W21 okO6iu60+CikDplv6tqD/7mQQCSGU9F8fdroqdECevuwLYURNAV/EKb5WUlSwLFne3csSvTCPKfq 7GBrz77311/gahn3DNs5scFT/U8AKFCvb2mCwYeFxj07bKwT186lhxw8oQSAr58hAkGvQuk75i9D muA+1LMtzAlS/Pwew0QPtnYVflHZtyoii2cvQKU3D75vQR6OF2KfQz6V8nb2ZDNNfTsqZ7FHnSlx FSe2JK1sqg7sBL7jQmTTcpIznYbAQ0GIQYAg0lAdY0nDXc9VljbujWlE+HuV7BF3h9TCd80Ij31X YDArkYcehkZh+uXDjpTNkGvC2ZtfTcV9n7108zQaGr4kJCxMq56zMHswlvkDI4NyVusx370gIFjZ +VAD2a4JcmmJ4I6mwFlcZ9aB9MCMvwxBL6t38T7+jeJ7YUB2so59bjS5BnpQLhyIxsVWtphck0Ew 53BvIapElWHo/xc2Uybg3hfYAyC9Z+WYWC9k9iO5eKBH1rB2RpRstFetW43u2c/De/LhZdgGlMeA +TtOuSDlzwYFcTcQG527saLEl6KamQ83MJyAsmoDle1riEkxYdY+kBow13gp2OKa6nANAPnqjXTN WF5N5zJDJsdq0bbR8CqblEReKniilYytdFtQwDh0zy1muCL/vyjua4jIqK0iynW63auTzqD71p7A AgCz2wU8R7RGGe88xAht88MM4YriSSS8i2wUaLGkfPB/xDShjWIdTBb5rlhgv0DCEN4YR8zwiosB VF0P4TuE4qFLy2x52ULiLvkgxIxzshngg7ZzLwTuP/PVlDMvRWk4N7XKrJxaKGRTuhxei80Huw1j hJccHtgWrao942KZExegrDJW338kaEA0i6hU9g+JCmyq3tL/rXSiUaZdnEHvOB+/mNWIB20lbEQg X+9ad+a8mGDaLvGmXCe6Fx576gFihq1nPI+E/idQqssu1xzCtcfJxpZEgOVrGq7Dky/TnaJ04kJX 10ry1U9amQ5TSREAFZfdTp+enRN8px87hdA5D3jxt0BEOxieGa18TfYDxfFWh4+FFITvz8g0YdhD M894k7jpEzAWbhnM2oKPKrmAPF2ejYhkSZ3Zklpqxp/O3F6IwTiLGhKzj5iQts7Rk5FHEsIuUKz0 v0E2osAlChqnxddXohOV5cNqZwXoWzzgRGykjFkbP1DXJ1JSxNygWIIOLHim72gvy+aPUtRkbGgp Moa6HlqTjGPnEPTJmOr3LVRdY4ocP/ce70IQEy0mccu0FovTDPKzYlG2tfhW7HlwZ2Xdlf8PEzUN ewVKMsE2ilyIHYkLcgn8iD3kIFhUigX1ewmhHyp73VXw8LIiltdBO2+hh/VyEgIFLSJS+t/q8xeX OjIvYZDzbRRe4jAXn3AtinHTfNdcUIbiVcvKn1A8ESJ1K8YbAMb2OIHPkMB8iuLRLVBkslPsEWeG vZysiaRaUvMld3xxSe3jzv55hGQYDHfN2V6QqLSFy3+yhxAEoGwyaiAUOKwfI4SVHsOeMyiM+cki DeJKJC8qVSQQy6COsd3G5EIdjrP7vr+O5EWqANkaMaMO2/7GRN8tf9GixjdLru19LWLD5WQ+sTkB G2dmVEgnIoRZfzdRqTH44uUMBdDIzOysvPpARRgbAKxrDBfPT/zzxbxJjPro9R8j0pC90iHlqSDh zYTkR1lysrn7BMpJUBuehI+8M8n7wI0Ppfw5k4u9wwMsxSIZInG0NVBvNK3BM+dLHG1wcbCNMzCu SrHm3BvR7D6EhUmalPBphiwpWI+KUPSDQvorAOw5kLrQBkhBrmqNw0hG1opDjNaEIYGvNDmuqc01 hxzTMzBqlqt8miuhKiI2mtvVO9mF5vFxWwxA3iPzHDqBSYJj3cVesKRKWDVxv8xJiaPUJ+MrkA21 DuVa1SVcAx2CBL8JQo0Sv6mvJxlRyjHUsbyHgkAbzxxK27JGSBHMdZRmCR0WLCvTSOjuFl27qOx9 1hiyxu1ge6pzFq0tFiCOvq7QOPIOKG+GYI23KgrjBBNG7t7e/kYQ+d7ip8GZE70eQsd+5zx8cYvu TsuI0W1W3kyQgHoF/8/SE15xuCH9hUsAyaV68LhUyTnIl77LJqNf9MLbyH9yVKGZiGoaupRS43eW kS2Sdh5+pBLoD4HAkM1q+XHvg2Lrca432e0sVKpRw/Q0x6CDkb40I49KgwE/6p89VJ5hsbEVJQlw 4pEJgLHAQSZG2Oh2Do7xoIsopRK+F/INJkZpvErpAQCTwDzt0h1rEGALNFcnuwFaFq2DZDk98Ozk dAfSbSH2iaoH1b3C3RP6zWhAQFBjxz97dgPCZPuHHhNUXO9VTcJFzPBQcLbO3DlSNA+vmwhZDN4p vFgWQVyRY/XW7HgBnGaqMfiErUN9aTOR4EFl0S8jUu5OuWjdAiWggfxJX+mLLbNXciD9lViYpvcF bm6pjX+w5d0g7vZmLJm3fck+9L6xOSgzkYocqyuBsJJQdt5Z6qRfPt9dHpOyYcnUBWy0b/HRf7IX wiHc7mkxy9x8dYY7+xpv7aLE6U5g5IcMHpd3ksvx88tgK79y3hVPxq+Bp16xXumFeuPqjTI6YAEi 8zlxRgugBN22osQMPT9iSJJ1P7D4bXtv4RBLpWGbAW4kLWtWTCsbLNVpUnXuMX8l/GdF/RCntTLb e5dIt7sOB0gpg7tX98LWpmpRvasN7/QQ2zEZJkdg0PhEj5aV10PQb59NPQY/O1ws0t//5XFupxYg w1n+OhIOfwTkDo+n/Rpn7FN0Lx1waMi3pTm/K2Yu6gkjKEuVubWkHEFwe2jO1Upc/utikUcpB7Ou tl2DQ0zzbD2L2Ad/JbIc/zayf08atzjVumxSHTPOs6H7TflLFxBGGlUaAEIydaPgYls9TGnkQWy+ hRaGIKucZL282tXMKNvuH0FpKOgT3jc8H8b5jBqDb5lvPnFehenVWrWgK91LrlBnZSSjdVYh9dw6 5VF6v/NNDUYqREWMgyBvYpCi3X64GK7SYfqOa63eztQJLBBQhdn3UTFJtCxH+NCqfWRSCiZansMb w8DegHf6f343XJqq6z8elwWseARoSTf3kN9sy77fbBHc0i5Coo2zoNlsDRwfEB0ruLhQESsEBoJb NrQP0oI7IDnFGrERdsW3nsf51nkuRpafX/E7BbaZsMaCe7H5utn9xs5/QwSnrfr5h+rVrUgw3gmA fewfkMUP6roleU1ltXO/ls+cYkOWZtj0RRE28VhK9vYBLxlECVJDtNxtbjIqY9/V3LycdgY7bkc+ 57W8GWNtWXK9bnvTNIJiMpENDHuY9oNFeAbAJUv6Hyssrq2OzJzHbhshHy18Z9HeT1fVg/m2W2V0 cYgqhyedE18ACzQYt/BeUrgtcLcYegsapw9c8T6um8EqLRIWFjpl1QR7eRahZ1WrybzkXnHkBL76 GvaBOBEU7K3ad01dIjhzSNK0jEYykq3F0HOrqpGhOgUOzErV9XRY2OWH0YM+hEWJ2z9k28cLkr6F uGQ1JtrpnVzIzuRvvCYk9dfhoFgSwyJoaKXghzhpt33YJ3KfnAIu36Cd1BIinF6mHFTYIpTIr6l8 ecuEGEcOnqkEAHIkgtutwPqyH31UAAFSva8TmrMGeyNR/mR5LWy++kkwoQc3qRAKyFKRH9DMs+Qz N90H8NmgEcoy4/Nsn97h5DU5+co7d//7aPm04LuGEUNQsKviDnuLCOr3dcHO9FqrYmMnGGdXopRb 4znoeZS9met50QqUZhGb7S6aQh6YklddHBoVPG5MUbN/D3dCRT4ixadfJnmHst3Db4C8Z2S7/tkU pNnKUS7iIl8No8e7/KPur8pDz0+9/Q7ZXyRXw8ypbUVLePyFpzzDLg0Gy0EUCcmx1GO+ChlxMoXw 2rmez4CG59uNYYploayTohZcoDc91dC3/HL+voIk4VCGqjAGbOeoLS/3/RkfZr2d/jxbyQjRxcRP kpYn/mZf2Mu1RTb+r2bm3KaY+ocaJv6hPN8NWp8I9JNdSIyngFhujKWY5Gu6huB5czavmAmeY+bz 5g5LS0ozGh5fYXu+y5CROv6QMMZa6y12u3ucUVXKBYDUTJWEWJ/WbvIO2gz1qZB9xZIt1dDk8pma g7pAV2U+reWvLh2ZcOP/L68fL3gGn+V253MaQX1ayT+DgjomRKYLUwkDrV46nPtpdApi/7eBvQss v7c571ADO5aag2Br+eZvmhv08vpd/X3H+THHSr/OnO0BB825GrbYzbS1N5g3vMwzWspk5u+1Kqbq cWbIn/j2gnCI4+jOHPec3BjTSz7G+qQHuHfracD+3XsYFlfjBxyj2QvRB3gchaGvDqR59XCGWgXt CsY/608v87KdxKcXKOyL5nQR3/d0futF0vOoBzlot8ezb3hLyNcPoB7QXShU4L744sAXP6wyWuLq RU+KIkjeFdtNL1zePk5oIE4hyTGReoOYZDgpmq++T96ORwEgF9t4Rfsl1WsTkcsCYMEik5wNLRi8 TbN8H17GoJG20VnFohlI704EFlNX9culCx0FTj2sptZYPtZLT2xLdDC4H6bdRVwRAMydUx+PVjsf co8nHpVxLWHQZxlDeGTYuMXqXFVN9wftoDi5uEmiPVbpFE4ufeRoRwVQ/cbnr/PHPT1glbhDnEca +o4mMmRtdYbkKbnhCbXSy0bnXLBVXGqotucxsaiiNNtSc2gLGApGlmMH/JDxBH5AexWp5HTlzAHn XGqmb+DZLJWyWrlVTycuUd3NY/UbNIJ1QraMCbk3gdvIeBBf3U/oIXkHfXEmMkA1efvWOwT97ifF Ke8XZQZNTFBTjwBY3I+3UTp76FHUMtVuyKMS1FeohI6HDmk0dh+090TLQoZZRPoMVF5PhYUsdOiO 7RAgFzQNsTr8cuVq5fPfL6Ax4Gh4xUCe4plb7WYGFIyPYOl+tELB6T1MoWz6w/VEFW65x2fJEScq xvmdMRpLyHEP8oKW6ACcexEvNsvhOLbmeS6cFj+ftty8sblZxLKq/9jkbq+JOO+SRCymW2eTYbeu iHmrJ0n9kohOoBRT1EXVO0WxKCZx38vMP0Kkqkui+F9CODY0ppQAp8ctgPq9Vi9hTxFGssQmENuo OKX7ZnG2KkHwNmKYv4hIbg4XOJBgfcNiPi4G3jPrd+P3aACyhfeFWywEG1RAeGFhzcTo18bmXjGH IKuYkW32w7amsMRoSVGeKtyXCmSoahoWxFRQdBGGns8GNHe1WfuNofFPOxvrQMo+jxL9NO4QrJea +9ORFJLIQFwFYDX7XouS/2OMDROKlYTkFGdlrgCR0PYWlmSZtDBnEUAujjCG1Hf/gaWuQpKASB1t FUeMbjIds15frW5n2xfXZaerHhx7G0wItCL8YQrbGV7lSvgfb5yY7Yb77Dg1kXsl3CCLATNy6zO6 Zuo+ZAm+BKEBC9xyaHByYCM9HhxmAONwaJc0CwwjBjuD3CvU4hpaxIbRJ5iOvKk67506iGNzzz0n YUaMvFVMAvUmfX2xUkShtd50S18FohDFku+8F4n0GkeYybVFZwAWlPK+zwFRuHBmUfCsOV8hLm5d b86gr7ZCw+pr16Vw+ZoaAFdEARoU/pKJhc6MJAgm1SKSN9lEmZrl5DVoSG+mnwXtA5j5yjZpIEVN iNAsQRPM64ZnwX04OKYQAV5ePBHehax7er8AOaC42W0s6eZFJ1dOwPqBSBfVO8soB6s+Agt1dv3i ZRcWknIpEUNm1ceqN0m5gUgoZud9oDK3oJxdpEt4Vi0dJkrQ6NJyNwlzhnrlW4WC0tjDXeY6XTpI dQmBLcXmxNOOtuVXf5R5SYAXb66MBQAdT+FsezQT7R8xpaFxi3YaHhHjm/cvPftcHZDPKGneypMt cvsecN9LqqxafY5nBHAU4nXV/O3L3KYVGKRS7mXD/m50eLSRpXMGqSleon8l5WUUycEuRifDciDT yGlnn8i+fzinwcsunomExIkLwiKnTH2aSqH8SdvSqI4SFElwS8zE6ggYXWST3Un7C4oysNvTfK8p xKFxmqyOyrhVJNRU5U5w4v9Z5rQVMVBF3AdmJvtCDz1GGpSo7NReRAJQUbjccmRHn8PqfsM9Sujm RdymA4rjSzDVvM/789DesCP5L6E+oeqwetw3puuNr6smOdRrT8LrTjKOYxhPnnZhear1b558cdyt t3ySL85zX/yMtoUuZImZCNvtLyrfFzM5wHpQ/HKw9rJCzDRvjKrrojCDJohoSjeghaRJmq57xP1Z zeY4ekFYoxSr01RWnOW8IQHcVMB1XYjtXFKVnqv8d868VZ2W088x0KseAhuSSNBCejIfN7d01xIP aBR6XWp1uRikd2LcCNVxjYzjcElJEL1a9roz3gyNNbUI1+gSrpcmlcpL+3OdCTUH/+Cul62nQ4W8 +d/9QvX9omLiCcG1rUPOEYfCnLC1amL6ht3Hi3XNJXnl/TnjHWG0tKtRch5b7fEaGNYfCKk50Sbm 7KTYuAtHfy8d89zODrxv3PwtcEY1J14A7A3WGnrgHDFVH/kjKDHwVsYEvVZOLs6g/JqmNfeKVEyD TVKzPhOp4BKnW9Tam8OkWPkpYi84yucQ0aoLbvhcaXEh+S5skfKWsjnHEGauL8KUR9H/LNrWHlE4 2Yh9d0LVcTh0+kSEikeXJwkU4nqhu4TMcC7GfRL0fMuenrhGr2YYMo93k7r02S5u18MMBnTf2GQ5 ZdZvSsH4v9bQDdglkhZGVrFtzkK9BAtE3ircfp9EvnKDrWabdcQXrY5gynmfStBLoKc9PF94qKN3 44V+UeaJ/xTgZJ5ztzR8LzqD58FlM+rUrtcZWe2DQYLsb3pVxfJyPKxOewSOBLhbH5D5sBf6uylK Z6XYFL54Gy1y6ErTQDXqMKIulkxC6zZsJmjVFXIdKDP/znZsML6nbcltq8NB5rQ1mH6DNepQDBRC C3zjJI8yBwUgJHLq/YDAULs4NFBTjxFUjOazd+pmZwMpK45lPgfbltc+OuCp+ZpWr0TXy9o6nJ31 EdSMDYO72L36E4dwty/kDmm8T2W3qsOqFpjIUFAMtQGfoQEcT9CQfDqwBXVC4W7lOQ3qyOhAy1XA j2X+UjPA4QbmucpT6yXuM43KFxCvyKvDr1ZiDBhkzK6ZIGSGULgUjiv7V3LyXs8jvUaTV4jfla7D lgtRW/Ttx2hxdOXhzmhVCeaIvc78ANCtqq+TJLpyTIkJCak4bnwKBmAYKJQFdihEHxnGknkOJAFd RgqwBs8I6a3EGKXHNC/4RxwHCfGTfcmm0VDKn01jlMomBFP+t2teWn0EUxHs4RvAwHMsHWwGFy3R jgxvUfIVJVQoNG+oWp82G3+fZOlZPgYf3/9hd3YIu9Q0rHTujz3sWTiVwLHrDrFnYXhzLYsj8cIv wDOJthecvJAFzyjouvcolZjJPyrmZii+QehdZgI68cWXnO8G8KIUIBNw2Wyc7nFuYRuiwV7IHJdl Ee6+NJ5iFKzFO+S0sh2Z3nqmjKK5nQ4U5/bCpLzrGdFnwVR42PbSXY2XYmF6xYzJU4Ny+GauIVU7 ObzbPji02uEnX3ye8JlKGsnjUUzo0fhgr5zZ9uZlYFsyvOINCVBe8OU3cYRPR0Opt87VPK++mAgE 4aouHZ6QBrpWrZqnbpSZO13GNeBIsMQcd6xt6jPRyJaDNQD13gW/eTnoZjGjfLZkJ4UGYPNoH6sC qmmAGpc5SoXhsn+72IThNBzYnCVPmENYntOPhOlvqClESQweqnRdy5hWL+r6Sj8cpkyOmOezk2qt bWgNVTnnudYum6gE8Tn5I54YMoEoYUJ9ql7blM0lESUQ6hN6Bb1SboOmiT6n0x2qw0m+iytMVXfa j540zToHaQ5fwjquV1DlV8J6m0UidTkKHiS10sBtOR/t0BEY2h/4vatA/FduRkk+r+ncV6dczZAn GNGw75aOYsItOROcbhnxsq91AkoOEakhipjkTPKz7QT1l7dRDehdP1pS+B7psW3+xHfzw1kJGMtY XQzuN5wHF1tBS8NG/Z2pRsfs6xzGv0hOMvkUgBNd/D2YduYNi1EiQPgXs9LdcB2spFX2YxbHmk+j 0zZ0gAE+2Bm+HSJhHf3koex0ChZ1UUDjZemkuMnJAK6bdBMLz5gBTLoFg28m0XVWghAMDjdgiD5R NmyQPYSQBNDEkUUdWCGfAEnhrPcgxRtHKqVAOO5s6TfZdBaZ2SCiM3qC983OjBNDJx0a50zZfREf yNHhRSUURZv7Qh2Q0FtJnxngAVW7ckF3wym+kZKSVjai/fyRJypjxk0/ShQhHQZHx9IAV3hsl8rt g/XnMOy4+vQcnjh2X7IqwK9APDXUOihSlVzsCykkJL3MRY/Z01kQOxPtG908twKfi7Vnb44NhUvW IG0YdAltFhq4XlxfUC9XL1tG4kmb1zGw9lLzfxxaeriVXsqKdluFV71XjqBhTztq8aMP1alLOPr0 EDNpS5YTTM8xSTtptadt/perMeAcB8pu0hgk5yRrc23d2/GYV9xEiA53YK9U2elC1x/Skt88sfZE 3fzYhw0WqSLS3Z5sniqmXySOsfThwuMdOtFJQaqfgyKNEWZbJTDA83XDU8FGP3hpkIOjyoIKoxlX KJc37HkfsW4R4y/CTPmkTDMRzJAW0yeqJXhBFK5UyPZNXycbxn7D10xTQJdFA01cQrXhpaDaeOj+ q3Fd8Zq/gBT6dM2OwyFHKfF/1SuQNMTuuyPShoEVAOda9UA4yg2GI0r0mDp6huE8m8V03aQDh20Q Ykz80MynEBYLWMkYZ/qMhgp1Gx9Sf/1LPVJy4IcX6MJqh0Uot+N/8f92QvBAtgPB1Il/wITK4Byf 3tqxg8a1eZZkB1Tzw7b8aCBP5O8/f3bgjPS+uvq6GBuHyfgdlzNKDGQfb+/HNc+AJXX+hm2Iojqr Xlep1gR+KxA1NVVUzIMNhX7c2InV5YXH7uvU8VGEMvzKzRhSc7wkAtL4MUKRdJdR/lhKKk/QAi88 cBDl4u3vpHMQmu4jlTZj/KFKj6QQx00nIAK4bsf8S5lqLNnihyEAJL5istEKQUfdHA3iwXA8B8F0 TbagHKa2KSfausjIzcBfxBWT9sxU75q/DjyIicenaO4hygZ804K60hHHA2etKWrE2AvWFIhpK8hd dVW2dplNvOqk2S0YKfKXmk7V2ZXNdOZSa57P9VQZXWDllEim6Otb3XpvXjXaZgi1+VIVMA4Qg7F0 qYUqR6vyTtqfNib9Fwmh3Y6X9jgFt6bj19L0cqqOoA7sIyIRmFe9ceeG2NdhfY4yzhxzirafqI0Y 8ld8oVCuIwkijYEwTybiUB5P5kKBdN7qZrRW7LrMdAltG7v/0sELmcm8OH+TfDV2ujnXruMXAFRA PpScTUoGvMwuO+9GobxVKNbkbEc6ZmW3quEnl/6h2jhgY31usXx7bVFtqtlZUvsXZDmyWLP7j60H J2rjC4o0Ajm6RBd2Gqe0Lj6+qhaQo3ddjBEGW3aIkjdTb1Lcuffj8cok+qWZQg7UBO74DTh+VE4U Puyal2mxSFxTk8NtD7OKlFjruq8dui6eDdqE+pxShr687ZUjKXl2z9X9nO8sakd6CMsTVymUQQS/ u2JvOrVj5zdPil92VGyeoBVCsWEVe9agaSK4qW/FC9hUDQX/oG96z3eM/PrG/lt9x6BBPmDQ+QEE WCv9g+rsRFBlf5ku1HB1yraTno+U9bLDw8UEm3Hcc2PP94wGTop7m/uBp1DkDe5TuEgDjTtkeJtx T+OCfRvP/7+Y2ojS+4ZvGF4yobErf/J3AO27kvcyRRZO4nPaQWV3z32DYE8h/7AfcHuQHOFCUTL5 yIZ0/OU9KW49vh784wi+q0ML5OLV1OH+AZRjJRpoodCON4bBY4/pu8YvqfInML0TLJT2KiyisRMb i7mt7JgTIRic0gdGwJHtw6O2nHoMZGflfWk0i/+n0ri2OlDVf5xJEvpYsUVVBFewxm21R8PS3bkJ JuJSbLgVKBtzIe9tazec9twPl/Iqn5M8EJqJ1jNCTtXUNP+4kUINHGYcjIb12/ryuO9AhvDSUgB5 B+NZmEWTglbITSoD9oP+jAH6F8LFtXh63tugicCJ++H7Ad/KnTTd3jiX5Hl1OLAUxQhBDmmggayw ALDaz+loXMhxUSxgY5EivFZdt85qNXeHAK7TTwKmKtBYfOhm8AOzJmVzBuF9WRC8qUkhMREpIj0a hgMUJtNxdYyf6vciLRJIy7C9txXykQYUTwGZwzPhI1xlohk9ZO+2y83j8Nxv6NbHz0wzkj16EAN0 tsdyUILjQFTWEqagaCgI1FodAj6K1MLOLvn7dd4t/27tBltHL9EOmoFGQIrYghDG7kkG6LvLBnYj BBZASJ+wsPJ1enmU4Fz1pOnTB4NCJmqEQcIe20ZeDqQB+P16AKiYAiB1h0jUzsQUUVVEPGVkX+hE RFnJ6l45rTAj+/dSOQOY2JJliGqO8iqrUppjTlzsIqZT29OGkD6QhmLnL5KPtlhBuOvEZcfa4rPD hhybI3j6oW1GH+xP0ViUfarNn9rjkVG/Exu5k6dVg5BfGH8OX1d9BFEf+ogvlQZji+hMpiUmP/6X k16yq7PC7stYg57zDX6xV35cF6pkFzVALL3yJYH2mlAKa1TjO9lN+fZqkz6g1aStOTIcaE6Gx6RJ vYKShLdboIlxYTyZqYjbLfWMHq7jK4FXxLNtofGl35//ir3RwGgvhnSdFbmSTG3tE7O2orayXF4Q y2V03f5kwQ2L51rYZsQlJjkD9HMpuqbhlJAXrDSbFOg3VQXBcSwQSeutu5QVs1lEXKnk+dtn6WZK z93UEQ08VLHO5PgBoShzL4Rtc8kv9hWsRc6N4zvMOqp/2GKi5sumOKTHFwQanbPX/MU/OGzIGVZg YGzWJmlFyPCnS71/tsghs/7Q0E5pB99KRaWGT5s9PV23wOxls48U3fSRMoh37oHYl0UFKPunPmNs Z8iYDTG7V+Dl1lgd8lgJGOnxxfvBYzhEE20BZSk8FlMhcmvT1F3hXjiHxIft1fnnQ9S8n3NPtUNZ U0o/PNm+tEIKf8F5+RyWyjJWLfTGvXg8OX51IhH2RqpQmDBv3hPZJKX1tas3a1A3LSETzCe2QSDM 8Zkj+i0uIcRYuSnKI8U7Qqc0i649Pb4NMwKml+OPmmkBDz+UVeUXh6FGl2juJOn09gJ+/IwtT+Z0 xXiUHqAh4YyYvE/zBVaVOTr3t9BSDfC7okttUDeQsU62IwQ9IZsTz26Hb6qWAgosRQq5FlhNGcWF VMYCw+9qI3n8RvigZZE3/tSWHIq3sINnCBGtTjMWd4qeyZf620D/Z65hP01eS8KjvdlC+MnoNYER DPDleOT+NrYbT8y3JUxNpaT1f+/55UqS1HmoUGvAZ9XnAm41yOEK6yA7KxTHUG6mspuBEfGUOIUk +fWvV2xs+RnWERkQleSK+W8sX3UyPhSQSuUT3pEN87ynirxSBG0u7u8w5hRgDCq3N8+bLZljh1Ww jsUVlK51F6hyAXAR8nSRop6Ok5MjDwUj+4srv7v6yh9Mx3zLlDAlTYeUFss74ZGDCkRO1O1cNc63 v+nWa+BhQ9eburx9YpNfS+Ybaj5V5xctoxkLAIj5AnDwokJEREr1zLCAFKYk2sfAIkpdtk43LJa1 qDY1wRk7byT6iexVqjkn+EhzBJ3a/L9Do59U6pbQnLCP1FcKFK86EW3LZrYeSrr3+aGN8dUZgsCs nabzNzA+B4iLrmTcamWnadpzE903n+cOugveWziqOTlB82bNLhrzencUnRhVMPqkMVarxwWw6qQ5 Ycg+sd+3FbWA9AKD/iKrG995x/HvvDcvT3oYM1ErT2kjZfrg79hOhsWfDWdlojc4+dxQD9fi3kSg iZ0W/Jfj040T9KuNBF3jIb3uhMWWAIEEIU26ZqbxFhbigT1Z9OqRYKOxniYl9gfPv+P0oeAFZ8Xq P7Bp7AG4oyfadl7j3Ap3UXBsasnFOO9koqkTH5k+TNEvS9Q091sNg+BxSprcE1mgHBA5PmbOt/y0 1f1tx4oTbckUJBrnQaBbpS6Q2zVoP116EzSGYLhN9IMztLoYnowbk5bA6UWuYPLmzyJCVDb6mh+Q a2a4+aZcny3+D67fALfEQR5I4fvNiixS2kp1GS2MLK6q/1+lunC14d6tf4za77TLEUEtTn6hf0AH UMxzl8uKqbVfWuiIXiSF0+RlwP37x5k79rHcBRACPyZgsYW+36BuFtqBh/2KPUjX2HTSljavNrVZ jeX0fOGX9vubYFSdE7KuAl9a4fjCco2Jq2e4AkiweHy/4KJhiidFSmXWe6XjxFeuWS9m8H6uoc+p odZZLicIy/IMtLnXawDntZAW3j/flBRgLnLSv8iv6Yfzj90go4ar4aES7tW/nLKCzcj6hvmmXtq+ 5j9+BPpHI7ArVyN4b24DhaPUhTWaXj2ntV4jYMzh+CWRbCjWJauv6uAqOpirX6z1M2PP6R7P9Xfl 0v4cPVgM1KVmGnDEVZGZGJ2MZwRe6O9KgeKo3+8AiN0OuD/xCiMh/8/wnuW66uKQqSt7zFdRDp53 bqfMGtNfA/LCdDtaI9d4Gl4sVpdzc3ikl1zlJej6Ham7JzEesO/cUFC77SatputV/ikhOQdHRpAC WpvqCxB6CjgmHIi/2R+xldLCTHTM0NzpVnifzbAIXXeF1jrNrbGHym3X7JtWtYbBbbm8AW2BX/Ns efQnkMn+a/XDkm5bY/blWzkgggeypoBdUAgYawPwqqExNnMn9dz2faiUQ40BCAimQpdHxMr1Tnvp mWWzwBjJZcGTZ9ZEhVLVcEuaxn9rk1nmaIh/tpbuaRpW9hPOmu60hOGYFCmEh1cDHM5C3BXXyPDf SJADE8zJV0wtLB7AY60O3J/2YqS387//lXqmyxZsSCTe7EfNU6wgFlE2n2GLjfvrA4zp+iyaskP/ JDDt+whjQrM3tdUk3M/GvjtqKO7AdEjjVrg3KoTiiJu5LYO0XgBIadihpEo+nfg/iJ5jYNHB05j3 lsCjYeiaBxXdmuOBY+ArbochEH+uiQdiumOPb0IxbBs1JwHxONJwJbqsDxIP9rJw+J+Q1y4NnkA+ JQP+2DT4ntjM90UVDkNUoMhV0NAp2MtfBRjYcsVIxZbU5lCb+eK7j/ecdyazGBxF+QSWWFMiS8K2 vduP1/4gQsqeADwEPGkU13pqMJG5le7C7jzi+oand9rghG67pfd12uRB14sF810lXDfCQLt1SxPq B/R7zYA9WyOzRbb1+46onI3wm52F/QmnAWll1qN+DKSO/GtaUdkYYQQ1/BzkZC3KONr9q/fGU3dI vYXOFuInOnWU5ZmGmq9lejzs7QafmT5pNejPY4kYERl3xOGIXhmO7ZCSIbk97woMM5Ki2kZzr9m0 fk2m0A5pAPoXkX87sdY3hQIOEqEuK0M+rZA27gtiUqfPPlY+0EDcWshslTApWYT0HJch4tKecfCB kjF7Dlr1nxGEOoXqLkitZbj99jMzGOAwhtPOsFNiWomZc8TSXJ8h+qAb48CsxJszkk5mGXknXxbQ 2JDMtj1JpVn56imW36Q9j7E2/BzsPsbvIU0V8X4xq8bMxDtkirttrss43nKDzeER5LmOp18OFFSn PVtRFZa7RnNquFeon69Qzv93B6Gi1/r70awiWLSRwhnqls7RFXyeAq0Ce3FWib5XLdUROFlWwZaJ Kqc6XoSApNMO7aXcaZQl5Ygc+Ru7wdFJFpL6tkTDdoIZ339wRKXshvJunJaCBidcLwb3pkR81/hw gjGjtoGZjahpGtqPP0bK3gOSg3sS8zkezXJzhG3+hsDo93VmIQKB2eFyoH68iePEcvtLqdFf2A8Q glRT2OWZI+S2OPw2XglIaCq7iLhNoVSTUqq8L83q1Lkndyjy4d3IfcIvlomI0XuRjCY8/iArpZ/k iDvYkJrjKNAomEtFcDpQzRyIf4vd17AhvKwawuHkFWiFPu2xbzjrjkrkQ+2AnrnFrCFi65PKBDgU B2kWAHeSGvXYj6WD/WGduhimq6mzQpezwp6lsHJR+1oAOOS3sNDFJzJ411UpxDL0sRXQrXjKwy3K Rl5DrEkoBBkskczhzMoD7OehTPLSN3I0ZUB+pxLXglGt1DfuSxYFBJTSGpbINedCsXP+WeM2aGob 3WM1fKalRE2IJWwfPK/fBH6MMYZUBF2jbN0UE3VQ6E8e+4mWldJF+r0KvNRzqgSMNOJXfpvyonC5 OvNShUCqc6i8WuAgnIm7QVt2DccBn1TqtgIi/4vUDZsvJ6bNL2BLGJH2sJ08nb7a5nq53M/3ffHf W0Q07Tvxy9Ii5RQeDQU+PcOoVuWZd/JzdSmPgcpM8EET1yafPrsrFlpgHaHSixYDNq0xBQXWJLO3 TqOp0jXevRJ2EI5eFBYNK0TOXJ07WtCRb746+GbhYn1uQmbmN/w1VatbPy+scDF87+inxDQ9jby7 8YqIGtl9HVJa4QVYs88C4f1+LjWj7eQ9GPlpnXkjl17oNZGBm1Vuc3KW/SqKjNOtFODHXsGQQ/Ep 1yE3YUgfJJPuHHkHo9d+g/Pll+sA7tb83ldgsfYWGi+dzKcp3E1MliOzEmsLrN3mRe4Z6Y61hUw+ AU6PIMtiyWjLCl6ydb4emLPtA0qM7cZ6E9+2A8Z23epz666atjc5CPSk6WnlFGVOXTbjF4o0vWrf eRGDp9Hp/fuRsvXl95D/cN/414gL3IouM0hlnTZ7SZyTNdhIbJTg9VlnJLG2EWChqIvJk3sUgYSs SqTfjyljEixztBiBStGcbxuW9LeS+6cX5K0PaVZKAujKzx8km/TtONn1wSLrkTs9XQdHTURXLoit wHz5pxlaZdz7r25KdNEvo8VsiaEzdM5/JGlI3LZKLwQzpYahhDaPn1BmaNhritQyFsPuOGHRlg+e ykGLxJXE2KeCJWCWioAuYpLeEeoyNHKiChHgFNCr5D9Yy9T0CnV2R/iowekpxlpM3+mUHY6t48qV yato4xwapLYtom2wvsoGn4//RSKb+svWZRak5di3Srn90K97myKRzoyITagKW+pHR8tXYXczoP5g VtwaJ9S35QkWz3EMVQMTF9MrZMjO0HRBbJkSB3h3Hlr7n+PSz9PAAUeQqnoZLGINOR8Gd5V5gvFN e3pqyVWg8F5DjZMDquWiML9cjpx7M+G2DIQW1LhljscCtQQwqfgws1EPrAfWi9Ey1Qov18vZmT+X DVuDeoEnOajAm4kkws3CtDL4ZCjoDNwGze+T9iVrMUdZ2Zn2iiTzVqD7Ob+AqnnaLtsL00gy2cdm 1NuCsvg2gYFHBBfCaM4AmcQWvK6aP+m8oZPPLMXoQlgcWg/F89Z/OgMuQ8Ui5RB0S/HLnH3oZQB9 9OWm/GdG3kMp7R3EhzLNh9SOVP0zxPJjQn7ztEcNf9yjJHb5Pnv4xCLM04EuG6N0pdKpNBoFUNrV /qlDiKAx1E4ugQjRMepaoqF5NfKjFZTJcR/nNVjukpQOYLNoSgYdGcJovL50mr1mjYMknWsI4Zkw vqkTaDpk8kg6B6uSg8npijT8b0uqq+ILOUPyaKMIXwLhYfr8U8TPHf6J8DB2IxzYFDZeN+cJbgDU /lDaRK8gMK126uH+8sxsxAqRwMr4meDWjoUavojU7Y3USMooo2t5cFaSxHYUfNn4WmjKw9ZpSjm3 uz5gSNBvWK0/THugofxnGHMqi0NkZtm/TjNuFjROmo1oyKzuCRL53sqyhY06wActxN2LZHnom5FO p6UQYVL1mqDg7B0OQz9bPyZ1k4bUY0XgEa/nnLkE6aF/5HWpEK4dBWCIWSTgKAj9nJsjl2XICvfL pa+9r2PRdsbq2OA1fqHXBy/phV2lVY1z5m/4MBbQFMZKhkU7qeAC7iRJWKdlIfcKnxo/RnvCzG4Y eKguqv2RRenad33Bs10orjTWjDlpFKTicFKSOYnKQaELpUdMQOBGUiR0ajF6BK6qaRTqyHHL9LsG MJXMqomXKr+gTZ2lH/ImlszC4+ZoRZEDxM5nM00hcWAbSsjKsAnIUm/XNgAmecHQ6hBBg3AZtGzY hNWA3gmZ4m163J7rgUagEmZbG63JEC1lOjAS+2r3tU8Om9qyn0vFINgzIBVECurENXYm2UuyeIo2 5tzKfUgPcDZSPtCOlL7aCVD7QLcWi9YjmJQ7GcZifDWy89kEyk4zwGXeX5/ikr0tPXbQt/OhzpOo mAhZXPrRK492aYM6cn8ph0j1bAeZm/eaf5hWO1RD7z4EElE6EDmgkBW6qN0WKqU2YJILuvDQy2pQ XE+uv7gy8F+sAkENJK0c+ts2DQVXz9+vzjYqSuTwzmf/ZLZGCYjvq8NmX87IFwtAVtcvc3vxTMJT 0ZbyC+hFSrZ1hpEbPcvP8uoOWKs8RvFgI85eAbREa5S/Ws8grI79kPBYWVKLAGYBiNyZlXsBUKS4 uEB1rxBYBJEM1pAW/9XyBiGEvzwiYXcYCNw5H7fFYyb2TDSNHf0UBpXwxfkXvBRJ+QfqzsYivpsE mg+xVkFQvUUs3SnmmQUPGWnHalINIZdXGhBSg03P5hRnV/1BfxmKdOZdwLr6QIbupY/73NZib7Oq /OqroVZ2QR5vvBy7Uhzvmk128Fi1v4Nbod4RNYFfq8ufGN+pS1qMGdyzBZGxlORgUUCETsIKs6Kb q91xr7bYJK8MPLJhHYgoGo4ySflwW39ASrbUzNlB2RLR2947BYxXLTdv8noIgrDDIhjpTGVGcalW 3gBbIKTPEyyzynCmIu7TotY75GVZJqqclvymWLPH5W6V38iPU3yxBN7wKipnCiPUT5Gu3sFqVgAk 1ks+bKTsxHE/jhZkNJ7nqz/nxNgNOqosZp0mpsHgMN+CK2aYVPQooL18NhZxze3Dn/VlvwenO7aY lidZ6bG6YAWBKUFTqE8WpWgl/IoVD187z5AkF19ZkU9rsiMMb1e5DdvmD22M4wzZRGno08WKscby kWp3c3dLsxzOqyxRV/uVihFWNBybpQjS/ZE3Ghwlqr3cPt9qzNyVjgqyg2ReQhNk/vplsvavlTww yInRtBpCbVhIvEkW35D71YjMni8jiF4IP9j3BVPHG6b99sI5hYRjz4w0r5WocMJfM2+64eAi9nGE WboV/7YUCxPr2TdHGcO4lwUBGzelAVrL3DodNWv9w2USbmaEvJgIR4vd0y5doQsCFiY7XWqGUYdp Dikk6XLE7IpQtw782my1H2beXe4IapN98wfBSzXMIYCITMDVdbtPJ6nr3K9uBdOgupcUSCeGsIir IFIULAJGM0j8HaQPzGUbM4ts1Hjp9yFXaii7+7lQ4oV7/7C/MQsMcSf6Pbh8LSzzdJdp98UCTRnT A5QQFE7mj5BgQVJ1VnZHcuRAYF4+Rggnhc4PZKjiWAJWJ5qbeW005MVetGhcMvNJ/oIm73MrgtI8 N2zcxkzMArl28r5a6T/THx1m3GMvN8DL+kXW04kGayw9kU87k0zJWlCf8wAx2ZOEbeRB3g/mVoks 5Sa4Hg3xhSi7JTrUP0FrSQn3uaZbE5u6kjTFRa7jspDBE5Bpi/wGyBW1zzvFTYGeat1cd9xrbkHH Rygz5feUWu9Sk63YP6ttrQwzY5yD5n/xN8kCVdGoBrlcKlZHjzICWyGaKrqUC9lPBVDyKw5mITw6 nCa2vhjEHUwQdtsEPCmWzTkpBZdeh33li0LARgDODqPn2D4jRYxbhz3NuDUJeL+MN6jBhzVX13wJ TOx0zN1WnKzcGAnK+G6RFgcRQq2M5cf7DenLqvCKxUrgdvLoHcoZD4cdqfWtMMqzg4opQ88WzQvv ATZLQSBfuwvB9mWXVAzHFfx8J1ZqyQPv8XwtoFwotMLRL+zb49x0glYRWHKRwtSQXHArKP0NsJit fT0rqDGu7eap4qOesGVJ+k3rCFPgS/jUcNeZkqO9h/Qog0RIn4DHXJSz5CKvzCxD9aEIDu6xFEyz BwQ5h1Tshv/n16MnGW8er9cXSLsxg0bYou2ipCmrAzGhjcfaDf/PVlN015tCsT+rp+u0KQoKu5I7 K4g96fbmNGddP+pFu2g6mvwXwzHqt/DL+YXkECgrX7meJNTPAjcyljp945694Zw2HPZswkSM700V I67ZssMw/Xv6CDcUqjZWxEhkhCU4Lx7APDCEZ58yql3pGRaPdtfS4mOqg0poUTDCNLRk+FVNy+KD C9nGxa7+f7Y4YU/SHgKe97u+cTgJdAPLzAd+SqIHEXSoQ1ck6nakjjf/xJK/faCjAvS2FnJV1Q2G FO43isgAPhSydVpaMYwvMtFjlSVVd8EDDG6AE/I/AGe8Cv8lP/EVzPg7c3j0F69XU97EpTPRtVC1 09jhl4mbVtn48JuhEHM+qFF4G4oS1HW00g8rv/XnBOIlwQxKRvhlWqZFwbpw2W35KK7wYjLEmBqw ItW4EjT5gHR2x2cJ5Nrn+ChQDJlwrbkglI3Ed80zvC8wgu21uWQDofdxcNz26pAjTUwBlN3ggvD8 ZF+Rau0k6xjIFIV9wyoxwQzkRh8wcWK84xYAflDsSbY0ywTj4ajD4/WTTb+eJxn0BkEpl06MmY/W z3G79N8QDUYqTJe9RPIsXSSwiNW/pNKvaMLwzxh9sB/ZgenKTGloFJJirwLEPR1Md/AJ0+5gM2Au oxruZP8znCLEs2PQOT/xkRWcI7aVlpshHE7zlYtXA0BGrrhDsEXA80UvWPzNg59plIKE6P93YSAd goTT6YVVjfBQK6mbGMIM+5RFpGATmvNZE32t1JJRJd45LWCLtp7ZfG4Bd9CLQc3j0q5M6COaZ4IK /zGDDo7zuqsje4PQHa8w+iMSEUT5IYVVe4JFh5Ihqy7BCuBf5Qy/KLr1u6j1VBaKIUF/ns9dzDau qbAZlVvXjQmpG5rXYFRqmRccWm0cL6vvIiNS9fyd4pNEfGZmE4YTVyRQnEelhOwWaskgP/CPqWUp 5sPpy5dX2nqinSlRXxxzpsyU8AOobpJok0eMwna3gRMqGMC3hJGpouLxbNi+LLOZx3jpQZmFBcSc cujt9F+nFboX0vF1+f2gy0WpW2xlkvzqBooIj3ZGDt3/oxH5hlqwtpExWIKFQgW1M9n0wfqQf0py 2aoS15iDQhRj5Il8D6uFaK2ihOGpPhS1UsPwTwLu+QokX7Q6Zt+RME92PKLLsJ3Drs6T01Zaoi40 q6ELBFyQ/Sj8QTP2H9ll3M/CCAVjphW06NwAZYJAre7+mdMwY+r3lNgIZGTfzzSAoEKUEBezvL7A MMUNHHF7gyRRI1w464Y1VZ8A4Kq6HNRry78PPOCcWoFf6EB2hXWekAWIiAwcmZrCHT7pRipYpteP +OZRnh8sOCh3th6oYjo3zOhpra4byOUnGrcPwBaCQRVFW8zFvPR7QnNingiH0dCPbd2I8CW2jUvh iTpO6cBTMeSEfX8aTNvvsHpDI7jbuZ7B6ENb2uOCyruBdxy3xlCBDA4mszi35TF6SM48txXtigvY oW6eDS9qTFhFASAMP9/Mtc0ryKkzANahB4ES5V1lVdxLT91GhAarjZAm45ffmtbv41MnpG3CuXWO fGVzrwmm5aG1EnYgIgfj0NTY36fXyBBwCst5zc0LoSB5uszuYXCMv/EtNEPleFYgnVG3ofljhrkh 4rQh8GKw2JgY+m08juCpP7ASHykolakPtsrhGFKXunvp3jyPqSYpoqiqH1QxVa9FaMRrVLpGSyxc 14z6LygX1mO40ck8JNmhpdV3iGSuMgCK75DBBJnNbBZ6+d49MSb9Euhtmngh+6HIn0dTKcO9aSnK 9Q0bsf/KqJ4QWL+7NBsESebkjUBsE67taiDwM4bk/Iu3FGwERoQvF72RYNNAY1p/Vz1c8FqAdLSy +pAs959ZH/lCg5wlfdWnirUMVzttyAM87pviPtpZ7cmqss3b4/Iniqe4NIE/gBvq7hUY5Z3dT7T+ hLYMUkHFFg+fq5HJ0WakzE8cmhiYamgPnfJW2ck2E3bE0G5zqIOFU3Wkbr08uJmkRUEAOlbkOUnB bcMY46fT1F2RA4kPlww8bbn4aghyyaskiGe02PeRUeuViBjVH3mfXiKoeBTe36vXF+eIz07y9LbF DeeuIjpjwnq9msHAiSkOfwMUTFsnWkCLCK2hjG9cdbV75XHImwXIK+QO2u9Da+BvyWQgNceV6XlY Jna/F6/dauQhREnmca01m8TqpXXKdrd0/j6N1c/Fy/N/Cw6oBSE0fjapi036RvLHACvWb4su22Z1 7rKKQtlTpOfBwTsOnhj//Ig7nWa7RJ4lNPlIe8ECXbtzNoOKhgDZYKz5wySYyphAwPVIJr1b5zUh Ba0sMyOnvvs5o9Ans4jY55JNDvwHTt1NKH2I5OZADWj3CgUnG/tS5AwUiipfN1DaG6ltRAaZyxyv 2go/TeG6BCwe2fHDUCpViMSB+7jD4CbBMCR8Cje7xKwd3R6nlrKw5CKfLnOcxmSHCN6vRshMqlqB LZ8T41AABGcKj1ADRTR7HhHnG5pAuTvidODXlb46hgXqsTXNOcY76AFrpCQw+hlPo7Sap5No5StV dfPy/QYYpX2GRg/Bk39MzcHwoKXEIPXnJJYD2iL5zr6fMwk6N1KHTe+wyNDnJ1uysChdvl/vm0wK 0+esb3zgQnOXA6PlWCs8TtVs+0oU3/bQQYGT9JyRi5qBiyL66R/HhrLyvFXgxFvAyrL+ec1lYDNo 4a0dU/mLL579EBCaTtUPNVpBupmCAmIcArzZsE13ASyl2ChypppnmRriVR1CHkXaZ5svv/0DWDXQ o4k7MV0H2+62AGqm7oYmlYAQRc4XZipHIHqsbzvlr27ps4IqeZAyv9eFuL29nrPs9tvCfQ8vciXS 6l1vbt0IsyiCbKZ2Df8mrb5QsA+TucvOYvjviP2CcffKV7yYK1ua/I28UIgn+51SQJrdugPq4Yrn ZqP5MDXGbCQXPzOd7ZHgbOCJT6cUQa+5VXciCjcRiS2jwvj9cyuIZYAOOyLjlBm+iV87XHDXB7e4 lXTPY6hzRde/PuPCK1WVmN7jLrpCS/Bo8tXIMsz8qSiYGADen/yrB8FVuZRcZR1RHGRy1SAHGV6A ovi6G1bOxOKVO5j8Hec1yq/An0gtqP23u5WB3gLMG6ue5Kfr1afgTPZktMyz5HjgGHzKh2LohKlm 39YkEbLm24cK9veCK5x6lWpiN/atj+74PO6IhMsgj7TB+LIrUM9habnVr66bQxWy4kxudACbxPca JfbhiJmEBk97lDmoDhQxkyC50A+d1tTHQjH4ue0jVPrwI0Sy33Jjw5u+KGX/GRQuHnkkfBKReyLW /BEEl1z3r6eqE//winfbGyFOdKB56HtiGXVe8Zs6JVxiE+nlx8xue7Dhs+D9deFw5Cf9CZv+fp+A ehVoyxRhujwhDWgMr1Kox4h+eOKzKhoxbM0hNb5Ii/dSMn7TO9DOFnF765u2XfonDY/4QCQO/jH5 lEmxIaJefBCdhqD6452m8CMrJoHlFiHoBWmFTJYxnz/dD8zLY43tMCBfpbKVdzZbl850MWdxOmQ2 AlPahhUVv0GaQ8bAg/+DLKTFKCQ9IROXo5u2D0B9n8DlI6+G+BDU2aaZp9O8fiu9RL+byfHoJRVr 6ZYnjAvZozIeoQlkZw/F5fOiTjj0rZKzysjvcWTBK0QrDIihbgLlfQjhUBsB81smo7Uwy261AWjQ 2a/KNc8jvPzP50LvoXyiHgpfYs/D5Uiiq1rJd9N4+9gu//6Tgt4nC1nMzNSlyyEWQ4fYzLrylNYD rRiaTVMLywkySUhRzikDKUJnWTboCw8HX4vIXi0v8f3eQbzWO76JParRb1XrJqjnVK5j3VmGYW/D 7erCJWGuVOsJBS9cHkGVonc25BV/SGGVJE4QwOTfMTt1QiDdm5DG6QIvYC7kObBmU5IPOpEAkAws YAhx2L0z166nRo5U7WNYHt6OPyr4/9veP4c67GLcBHkCP9cQ+mcNsUwFMSTiDvX2rBUIL50YE9yn XD1MqfSVsorzI7vDqOtdvTxYbAduekhrXLFDBl+DqgiGR/nQgUGMhBPFTCB5Vp4mCV9xJKx4vQE+ YS4CWxalao6TObkHGkCoqZfe4D+Wy6Xm2OQx/EjwIO+tc4xx4FSlIwySW5tbArlNW337yxZWzqjn K7BEDD0iW9RWSm4ETEHmuKRIANBG8NAMg6MX/Y5ZAK9K/ARmr6KU331+NnY0icZZE3GrkYlLLYRS NsDTW0yIzaVWslTi/bVBZYk9Mue4m9IIwZ8ivJpC6zKQQqDpGjFaim6hqhEoM2xGpVpwQvM8jcb1 zGvS1PTgpvZhvq7OSLiZk/WuAHfWVOeHiguOP85S4mseEoXSALF8MtJLI1tgW4HNTLJf3Y8bnCCl Pp0v1Ajm77RN/emFVyf7RKzLLgWcMP/8+zYaS/kW9NLfumyoSFDaxn6q1dhO6F7+tv8h7XXMyKxD 47r0bga0wtRB3UP3xBxX1QFGzs2PBsYGJYOVg4W30/emkdGzwDUORnsCLbg+CwSZD1i3u8I+M3q+ 7ZWfIDC4bH2AaquvUm91LJEXQel27+kb7O8qw6O9aJSe26V96JmxlkWgfRFSoOQh2fUOfwbRcpse imeNOSbKXjeJtWQt7MCc4jBHnsq4mT1GDlV1L8L5TpRuBaDxLfce8OTLE2UPNBUf1mhpeal9EZgg 8NlwcXICQyzAhVCYEEof323EBPdyMekb/adfllDMXzfUHYKh6HGGGRYC4BaA/0XCXp+Xir+tyfyc qsgQb5WCbbTGYbBitJHhHeKfu/iG3ijlkX9GAqAn2nVwQ+Esk+LMEUcHRALpB3N/tEIbf5H9+5V5 qYEJxbYOEVAZPT0q8w61w54H83QEQhm3xuKvNQ+WQOeTAAQhXSHMkqginCnw5g8Qa9kuKnPmhueR xAuDPM+dSc+mcMbzNzaFSecXKLNkPBcvzZNKLGp1kWGaW4KY8HQueIlubdsAG3FosHzDjwa+CQt1 E3ziRyfmHFXVabIcrumjlUfX9E6FiuWJyex0xi2wvbjKyW1Y+uoxcMl3VBLfdzrmUTreFzeUPoJM 6pgYFFXND1sTS+/sieug7r4o9RD741YE0s8zCQBOPDhGfuzM1tNiVLMxjVcCnv1gwQmm/s2raop9 Xly2gWCwd3ISVnGXS09bJekdi9pw93p1LnsB+3iOHYpbwprpCgBa0w/mCwF1oTom2Nsv/MeN71SJ WDur+pQvhK5NYTvIJLFdxpX+O+03nOia6AIqfDYI3CaoFF7LXgEBo65+s4WYAVzt+h/FxKbYLaHz M7Xw/P9ikGQNLXNIj3Y9aPaIPAzLZUKVfrWzGkD0Ud6mqkCq2iX7Gb+rVleeaDSgDp50T7VRdMYJ hsnw6iDJ5+YOc94mnPXGBZFGglDW50KeYvGmn2Ix8vr5UEn4cSqeTAOmZLqZEtWoZFGOoINUuPSW 5nB6kogogTkutRjFIiDbFFlMDKDlPQ/Wqj0+p1OnAMVTEyrwDI6MH5Ge9H7r8UGVZD7l5jEl6sHg 85K/qr6V1UU+bIcwTCBMuBkgzuMtCUkPNWq0i++iTmUkNXsXGx0UdVrwUsP0PBQuJIj+Y8633xXO xL6+q5jFqh+WaVG6+5D25mBRIJC+9N207WKqiP/jAwemFl5MFeWTFWUjLsFNsaRMX/XangaFkNRc HzJqRPmk98LWLwoiZ2YIEjg1vrxeSTxn2nzyD66Mvd39aqquN5ex87akYVTDkJrLBWAptHBI47hM zhUmaU8CeLUaHqp/HQ2z1vreRUM36F6ENbbHN4a8tT3IioSydYL1kbovBqZmS1C/AECp9P+prFzm 9mcexbPn5p7dCkE8zMZiDghIHbW/6goSH86vaELJGhZnczCbRE0xg/ppJ5vhvI5tLFW1HnyUsQSs LBMLzL8b7Fj8gMQvWfDLYmosYDBHj9LLuANKXGwpH08+odpfHO1heOCmV7kCCKACX2YJg9K307WR DWKi7NmnNwTfGIuDg/bReik6bFz8X4FUuhd5QTa7frDc7TM3sUdz7bP+us2UOveqqUsnDD1pK1ZB VaImraK4nZkHy941d62ILMMzt+FdUh0l1C5f0IGCR2wk+f4OdZncs1+0sJP1g9lzpxr/dlRW0QMS 9l2Vx1of5sPRFA9uxqvaIX8uLfeiwOpae2TSy0M1u5NzxS0CBZyKylu6kR9hwMviD+5UQsceKwbR u17PuWVM4nJ0rYmklgDIU//0tbe/nNfvuzD5A0MCDo+hIN/bj/XfPNnr8A1jpY8BBtDtrvHxZlWT FhN3Gvt03ivT+H88WsOqz+Vp0Xm+0a5cHNuc8oPey+MLI+rPhaCwecxVmTFG0hB2revF55qFGhc7 ziwtX1lF7Fs3rqQOPonAGOBSjpyzXK6ypjQBCoanIEzJGmiW9HBJgLdRW0rs1UMkVW/A7fwy8uQL 2rKOmSGCmihbKLVTduucrh8vg2LzhV80XmVL4p7W/WGZYOBcPTIJiKBj918J+JXBjQ/Z+cYFrrhf uvUmyIImkl0lu0tZ7xbui2hdyjjlkkkgtXJO+mRYFmRMBpjsVzljFKj2Pu60KvOg48rC7JhnhV1f ik73eSvR7P8GkQ4gZK3TGfq21/lGtDikhJd+0di6JiULkQ4I1Fg8MKZki8y9zNQQP1uucoOewiGN sLPXPH8A+AZE95+eK3wFDnQQHUv78TZxs2FIbLpoFJfJzRI6tDMIg+VS63Eg1jA5ry+1TlSOGU/Y j6E/xqPeUowE0GJ4wHRuEB3LcUxVqiA7eEaSKhE9rvFn865Ua8t0d0RUkxImdFHt/IXfADrgVflP pxP80+eq2HsXiWxdwgq+7vMCBEUmnWhfGwjqQZ2egPdaImvx5ypgEKnRreYs53ylb1Du38+U1B1v HJNITJ3Jbq0l5KvBQsbu9R8yCKJxhZRoMQL9sVDxVhTBXA+KhGXnrBrTNmGha2OgEOyItdkRknv/ IYbtyV7bYsYdyP9MxUgypxPCHW/tyZg+o8z3KArguw5FoKkoUVtchsIkUMwmzlHosvWuBVuSuudf lo9TZgylgym5vf8V3R6x29uPa6Jk6/eTAv8hLwEOdIuCA5TAulGh6FNH6+xxaLBrBY3w87+zuc1+ KGb85FkAcrM+6LEjSaowokvyP0nEPeSHJXyTy0TCaUXxxanQTd8ZjH3skZ6BZjDOWh/7Sl2dzcD6 mUH0zYp1WvEkXD07fWG7TWsDpthjFZ/wyDr31J+bIiSlIS/dpKXerRtyfCgAM7QsP1uWPKH6s37N AL65XRBi0P6k0EhItBevFDSc6yKNZin9WCTZcmPR5K25/bDBgXcAOrJHJz3e6NQhH0llF8/ppp9c /Lpel0lOoD1lo8Q0I1xpq4w2NIbvmFQfEc5Kw7VOWtL2p8MK+/x+mMGFZRKPoCZf5gmDGR/W0Hso uIoojPA6byVQ/vGyyfCSGmGbI6pWBIea1wIb+HYGhZugMo0bISV6tBWwbFxGyA8lPWE+11QU1auO NuhS7xcbAbW3bD1bZXiasZ2ugWOqiGXLox4XGhx1ouWHRRqJ1m/AtiR9vamX4Ll9ToXbvR+wF0m3 ED1jmsdnJ+TXNB3ibJL/bTE0x0JwypbM6k76jW49hIAxVoDNv6AnyeT30iYnwdxlSpqkzm5dBxHs B1/QtYJLu4+7jBuBmcHaxgiZuSDUrinBRZ8L69iXrO9zIUPy2PfD/rBcIjuAUEVQY17OA3J02mQ3 qYOixA839YmjNREKzr4h9C3szyOXbPoQq8VngdYTGIf9JCczGV57P5MLrBmt3Xq6JdVWzZNOS87C 5a/gxnLNbOZ4j7bwEFI/0VNzIOQesxdHGhzC9lVRFcWG8A/LH/HaKIPTzUCTv2uYLe5RDR0x9v4G a4GHAE+30Wlc0CIvurer8h5Cd9fNUK9YDdwIzsLBrTc8YOkZg0c4pxk2HQn+1xMqZfOIzul0LqCR SClw+o+iFNjCUyhS6YiW+30DbXjM9Wg6GtrPd06pYTOCOL/a1snu3TJd8oN2tt4a6nLsrKfp8fHc +Wi23qJJenq8wAXOhoLmj0iAIA8A68lklCXObedsYIP1jaB3B517Dhc/iCPLQFQTHc7ANsxVBwwp 2e+sppKUIXGS5w/hjXp5JJV2hcDQxGe/3fzb3V6gK5srsLdQHyaVnbH7dM9gD1lr+vYlu90pQHjW DAiycMqlU3GsAKkS63hDfRQ6BN1O4X4ZdWWI/uu1/jO9DI/ahS+iZsfqXKXdMQTqEJlncq7VwXHT 0ZetUOAsB4QDDi6HRMsQ1pvAHBM9CP4w3WEj6+nWoukUzbulCyvJntm8Ub7j3io7FlxvrBic4hCr ESYHC4Z4znpxaTOU7v2K7iAcNmtroghyNCpltWi41CTzW6V/7JHCUQof3bhUKOJ19e+6dSjdoll9 ZvHiDBsas2O8ZrmxiaPZ5uJstc/ujf7fYnsXgchwaWkv4gcrzhAx3zLOiUe13n6MOlwjqU1dYJES dEeI/npmUzkErsh3Z1wbHHgexr8IVgcemIORT0MJvewFGQHmCJxWUEoHS0aQxCfVHNOxNOmio9K/ UdS3mrX7RWGGrl0F52wtXhAJo+5h6JRHI4ay6nNGRgxI5JzEl85GVJdSVgdlazxNwTpBxcB9a+Fe hDAn2plZ7uKrTp0fjdiGmIKfK8yViYmlcjREA9rBkt8QkuLg1V6u0+4sll2Xsa4af0paCr42seoF OYche2SfarGWykd5TMfAV9Gniy+smv64JzhFZ/4eF2RmlzIoWVqaqn4IDiAywPkAbd/tu0jiFkcA D9ShTcsAjyc6JanVMQV/Q1I1Nkz/nDmkjv5iSQXW6OSPP2tHHGWKG+qaPCuQnYmaGnbRJVxIi9BO w7ZnC3NykW02YNnEwk9Pr6m5V8LoWk+UDsn6BtkVRoN/YLYwIO79MeO/FbZA6UIZ9bA9FBlOuJyB z9ptMSFwg0OZyYep4IwK+xEzQ4nqv8ZjJKc+mjxC+ZhhCSwahYu1mOoXC0IDfIczTwtuA2Y0JicZ bLivX6xNcyGDJW2cmxgR9vn15qzcppy2N1UfJbhC/VqGaF51BbkceoprlZXi4MdSCHqX+GU8rj5A rwQTcCs7lmZJ3zxr/HGgMtwzdUcLWhAQUsOlQfkyjxBuwJtEaQ8oOyXiCixrRrx/3xbNHCLEaApO 5nVe6I/g1uIZp9LU7YFwqL51IWlv4s/5Fs8YHFG86GlC05BrIVjyqXPEKaoYpqwxJlQKhDYfaSyZ gLfxngOZgqI6AccuEeVKSopL+LkyP7zzLguxbM5lGNreViPIhzPsgNvj3ZCq1eG+cgu3X0LQGta2 rHo0yVyz+K3OwfedVnmnITXXNAOWQHOgT6aAxFLQ7e5IqTNAGmQZdagr61smdNE40U9Qkugc/6Gz u0tTHptTRWgd4YZCw8pu+ncGLpxnAmypJ3fjPRasD8js8+YwRubcOPZky8CXDUDS0AT/Y58Jx1uf e4q7nMVDjxn1N6vEdR7mKEuhU006GDKEehBsEB5ubK0sQkdEQag8cjthCN6Tn2cVv6aU1zrtN19c iaDNe8fdDMLyi2vajFEtih5R//q0PToI5QVO0JLQj5PXCdBlPi/n8TiXcWzs4NYIwTqFbVFZPKbS V4Y/UCCI5jqKQYrfcxF5W9a+yg+3gqef5JxvZB+/kwKR4jKRuwyLRDe18UANFBasiTg+gL+Ly9B7 QboDYDN10qcvpPil75eOfBiUVXRPtDgucWGZoM0yF5BgLx0ADia4EOGcfvVwQhY2w2/lM9DIUtiH kf2zt7W25x97mDWT7iiPU1BPZzg8ddtxD1Sl9O7YjGysDKM9zF9Ruj5e2aiH8oz2O6aPIqaXehCJ 0cktHwg3R7bgGHi1js94FEIp2q/GmnLZRvVvpnhMEgrK0l0DQyoErEcwLbE3GSHpXRH0RrNGE/1f J+gdw1lwRYypiKMB02txJxX82dW+yTrxKfZHVtQ8tUKp020uDGK2uQ/Miqz5xDUKTyHgG8WNJ8cA 5ipJjtYw+5hCFa5GkbGWqFKNXEK5RTJBeiMxN98Z3opRfn07JsAoIS4y4Rc6fi8/jah7SvY+4pYN C4RHRLON6kwmj+tFcfvOwqGkmXBctUdHufQ8XO67VVx4GAcvVe5j/DnsE1FE96Us1+MImARLbJ42 5feJyVm9XXxDTVIzCtv5djnpufiuWwBxuxp7FclFucCBdQg1zRcpfatkZNaNDym6hT54YBidZ2TH T9UmAn4A8V5eRoPCksVfsP8Q+QqwraeqS+z7+Lo+2/gXtw3neRvLOgWL6zcQacU/KMq7haSrrSzX c545oYicusMW8O8Obp+0CggaEXROtmEtcvTpg4SEHPRNEA/VRLTKL94j6xkkFdELOjjQWQnx2Ar/ L4IRxS5Q4YQocn3WXsXXZPEOSTO2DG8/9HFHAdHNkUCXDi0AUBU6aJdhzFMBj+le+jU5wMFKsbAB rYYR267vc3QU5e4o9PMMwzgTk81YX4LoLlMaTWrn9K8VaLBajgbSs2iCvrVTts4tF7UZDc8INlZJ tVCPS0c/PiiT7KRnwLFYnD3wZ6L3Mo8Jwv7rVsFgxK4TKT2JjhtAWR7P2Kkq7TDujhJ1JOwONcyQ F98a4AmIUCJiP6YgnsOZOuC2LqtnYWI6XiJZCHIcWyNQRs/ZyvCWMlbgexWEICYL+YuNovPN17Wr pKA37A9sKrGQPR4rpyl3DMF8tRB+uoFO0zCLy7iT7zBlL2DY79TPo1/HLPV+opOZhXXwR3wgUsy5 KYzjS/6BMsAqdniVeI3Pjg6EbXFJQ06JGOiiQ+dXNV1XVfP/5FrgTWUCC16pogRq+VI/adzHZBZo +aETL4boHClzdBWGQJ51Ce+6shm/5kTB9niVKBKJAe/lpbX2G2voADJM9GnVNW59w391VQs8eeZy r76xhNx7EjS3Ay8adbgwUWv7jE6YEwJoC9RvePTuXcZK/a/5sNuUNIaHJ/WxsyXqAOrYRR9DvQS6 A3odmfsHe7XmoM4EHQhB02JNdaxm/QhszChcZiMQ0mnYu7nFhGsZ06zfNpPT5eA5lnfaGWDP4/Kw sH7gaTVT905vGWbMt3sbef9VHuBjJJ9BAVS7vvRZU75/+jTKu2OgciiHv7m6ktgenYygz2iTXx0v +Aw9r+zHEl6AVyoVvJO24Zf3SUjM6cNEhrQh9ZBvzQemAYtfuv+Q8Gw+dO2VezWx7Q0ZlSRGKZk1 L+nPOP8j2O34hjzvFJvR9QvhtcBYpVDRh0+/npbqBPgtcmYNSms498aRzaBS5xy66vMnx79Kw4Rh lFOaz0uZK7t/vOs8WqLaoljoD8El6JfMbJ+wv8zLdCld1svVYF8JkvPzA/2zTxuat40r9GAP/P9G 977uII4U/gwblEoxgoNowNgAjRDpq3Mw/Pbiv7f0bHxzHe3lJ1y/P+143ChLLMofuo6LvWVHBd2b jaYAOoJKMsjwwES+VdnNVkydwYqY6ZsnPq61BkamkAUSm7JiDS66BFiXJcp78zg2TM20DzBnCtWE MEccatY5s1K3DQk6vdlrtlsBJIybi+2VoJg1zoN3EAYV3yjAtoLvBml6xlHLM20Uvcty2Sog1orX CBkHKQEzeb81RLfu7OL/17BRhNGguknNzNtrVKBqVFECWQBVEPwjU3W4bR55ZlUlKtWRyzA2gDGS AhmN6aVvfSFDBGonGNPvIHndctvS2XDQmjXwFmxDNo7d7kb8m8OCGpkW6aS0JxXGYRjD1R2IhX6U H3U77HXB1TueUg7Qh/VIxz7mdl/WeiJnJ3/UJ0Lfr6m8ina8Sb/bm8MSG/VBnP6qtvGJehadu95C XrPwO6uTeyLBZkLWJSsnRJViBwmo4qyORgZILBsvHtXoIEag/HwwXPv0PwN7bO3pWYaNizpqISY+ cmHhBkajo9BCqUwCZXPolR/W1vN3yeImb/7R2vjJ5ym2v9AlY4+cpFX3KyYyBk8UhYwHMr2o40/K Ozuta/y1kJ0B0zDumH33PQDx2DA8Kxkv6dXHoev8B+nBSXIeWzd9YtD4O5uL0nJLT8+geG2w6yfG T12VNYUPLl6IecJ7G1xT9XOSOliTt9ZkkGeKAnBnRZtXlJdS0796aYNAijvEdD3QdCD8Db2u3C/o jVDmObcxiKmp5NbjmrOECuS3dKWgw8YoyEWTdnMl5XriIJlzSpmf43pCraL+k6mugOGRcSAG94ZQ TzoQSUpd4XiM/Z7L1Rws631ufflbRPEIY5cslMU9uoPqqaQN7b/uHu4SqmUEMsvPs641nnqysOqt ckkqKxdtOC9mW0LJYYeCrDFrl1MJ4G+fNarDn1UG/PrsAZn6WAygOUINLiPRkAACBPnoUu3xDBMz 8V51SxeUd/1Aw2lTdNuRu/Thmv3PTAbstwwi4ALxo0l3I13paPkd/h24rkMbm377qwtNwYYAonyp s3nQSD8s47Z5AbRFk1rRAypeWqr+j/6W2lUkjsMRIP5RAJQY5fxz6veORNRI8Ux2QaPr8wvqQuvh KPeBtW3xIpKxqU5w6Wdcrpg+ywMT/c1EXoFeBAoKuAfY3vY/DOAWewoHrC10LBH2YFdxOJJ5u8Qq d0wreWSe/DEh96ZLlq24/zCX/RFX/R6cwup3cSNGjc/g1BLouaTO5OsbvN/6IblMKJjUxe+kQM/V OH3pjXu6YyT7T0HpggAYwZc2KmHCc9oAJ1gF25gDoEU7TwBwHy7Egppn3qj8rgv/id54yko+Hj9K Id278rqdEGuNyJWoSixvBVWy3nELY+R8iLqZonBUphEBgKvyOGEtudQjiUaKBFh8RoIhB70yiIrS TZCX3hiPj2oC0FRAXUe4TEd+TiwH7f4N4l4J8rl0DAykfLQ85bk+FL9hyTUYLMqkIxAcSJh1MktM mDj7JI0+nvdkvAoIJMCN8h7aKqBhIX9d05a09bX9GFwDYLu2C6ZQyrxdUrdm6wOvzrk3Vb992bZh WbC0NJZb4JFHzQkwpFzqwsdjJXrBXDw8DWJptmgHa2/bCHJm8gpp/kTp8D9U6NQQyLyA+wb4THZm xsxmvKe+85CvBNyH4Gg9s+aKBfq0PNWDqjgz9zBcyxxXDqmlxfh5tyJXds/iSGgZ+xgnYP47aJgy skhKrn3r22J8lSs0rrzaztfI+bQV1DMG8tvp932xnqDuW+dpyHSEakjTkUK5iDSRyhNv1e5tMO8B 4p2KsYFBg2kTHpYbY6Pz1C/rbLXjQOnK6GJKdvQI9scWe0ODqTu+G5fJa2za677cXC/SDUzaTf8U Bvf1qql4dTUyIkYy0FSyhLsmQ3aW0kxKAIVuxX85Xd+0bMzm/Kt5V/mj5PwzyzarEjHiaweUFbOe R2rurxwXVSU6+i8f5NbzrIW0drJlWUHek51bgu77Zgnm/+sRWb8uGalA+9k4MHJlvTBKOEUvErna +n1IvKP4QN86LbKOkM6FR2zkOsJ6zrTZiJzgtuo4fMClJg0bE2wZRSa+zWzd6n3xokCK9TVN33p0 VcWlSniXv2QcqpBM0dOqDd7JaAIwnZMOugSy8gpmPy3SWH1xE0SMg6lZsrOC3MTKYhCmR1+5zK7q Z8sQL3qzbdGyDCh7QJ6hmyZgq6lqg8TANJq2Y7UWCf+EFiOmKdy5rAVAAjTATbxr3cNR88dRJuR8 Q2AZGHCK4ef0zyyG0z2AjgnyERflYbW/K6Xv9rvHes37XXSD3eTcfeuURbDAZ4aoQRkRW+nOd+t8 WRkM0l5gcFX6Cpzc80riF6vRj7Io0NRJo/+0eA51wtg30Wq/oMJGNJ5FvG9sxnNaUuFJngGSgtHE JQEi386QCF/nnfdsgGOszVmFicW+sBl8fkZHQ84WuGUUL9C0s4dBlbkBurDNERUTML+qcWUTW/Ed lu9YCfmJyiJSCru9tvQ1fVThlHj7h5FKHzRI+NTZ2s93Uy/9KRXdnzv3SNlfwifAiQOfzPckpoqj f4MsMOVtewYX0/KeeSTCIo2VpM72dzsAxFGVia8fN2Tt+xGtbsSErkNZ+NbliRk7D7oqHTFa1JRp neZxmQgoNNaWUYK6wM9LmBbmp7u/mKSf5RO8NguzqUo4eCIqUhYXg5E9jmDLSRVDPVtsZd1ulBCL gv+KNMdWdKcQSkktIv3k4mOk8sfF8N8rqtT3KHbmkYskzjTE48eQ7f4yN5oCf+IY+jpzAQqBxYvw cwCCfBFFE5OGmTNqGxP9qKiwEpAQ1QdTpZSuwDbmS7p7D+uywm+iz/Ffr90RAfMoX0wzWHEOPZ23 QiMgZwwXfrV5o08/bbVhFsi1cqRpfsjNMmHEEJG8jU6kHi3GN16FviziQhCy7Q8qT7rOj5/hFgKN 2jgUHscrix52L2Fe6cx5cAmvbP3SjaRJKO0NTkKNuOFiCjCixsgCeWfzkWCvB0YbDpf5PxmwPgLd p/w6d6BzwYruu2e0IdNmbAD6fLn0TctEcYBnii54NprYt7KRrhYIHNVDBmHwtfIbiqf3VF0DgQcl jwG07IhEJX6C1O/bPyQ0eGnLLtuITsmVVfPTXdlW6QJO9FT11BH3rZxcFzf0X6lf2yke3tbf8s1G UD0OY+fw92l94hC5VPMNuEo13+sgtkCps/ZN3AqbwpwD2LzHHnDcRSMitwE7C92pIyRbtKzO54tu m587TGRQangbCjK+3mPnu5wFId2MZW4DhGS6yCZ+qVrFv/o/4mx75iEgKVZnq8uZWh8cbyqYEK4V AboHwtcwErLdsYe1ebzUo6v3Cms6b1CIIWcmwVjt4RLXq2JoQyLTLbOsU0/avJcQahDQRcvRHAby /lIHmwI83uDuTNJhv8QaHlm/IznyvlDBCoa2rOjg3IJ3otmWq9Pq/FM1JKpY6+78t1HS45IDYo4m naUq4ZCYVSgLrsEIfmMk5CJawpY0jKQbzkg0IWG4zD1jjwnykYCf7x1uDXAX7rlC5UqPFg4mHT+W UM8BRiEHjyVb8y7okJB3zO02uJvPUtYspfAqHDtKsKcwWJBIGHG33ghe6qCnXI4uHhE7E4ZzW4hG 2Lq5ZtWmXyYL4Qb/Dp9rHyMxdYj1mZyKaf7hMqbkhh7WO3BTVtG8e/I/TTXCs0JNPkvy998pxCXG BzFRoP3qrrRfIpYuAxDxsWPdfmMfazF4elqlBgnoOirmh/bJlzs86Nx+PgQhCguWfWv9rnNqGRKo EMrmq79aedrdoiqydo2ONL9j8RqWwr50sBWY9Z2LKa3ew8zmIpabQKb+OyG+eCVnMbpgrC4X/pgO RkUvCa6pValb+pwfZj2Ry7cFhPqVjBkKWQBg6TkTwhaYWE0T20xMiWtxZYek8eFZ+k6+ihCFlder 6+G5XI+59TWAQ4j4UlZk/ecPu4FjNoo/jUpXmLClziPqD1g/JUcRnsH2aJgSuB9iwTGzrJIN149H 9l+nsW8v7kVhfOAKxw8BzLRKU3tBZmocRdAdEQdyzbRkLpAdiQh7OZWYM/Ie1LL0dVAMkLF1R9wc 6j12/22i5BW6gmCzVRLMsXHRG5lbw1CBgEu3i+9873gAHOthXvwxjTh/sHAkbVI90uTyJ+nojI62 OpsBp8l4cI5o4LGiHsyiWDRFDr8qS77XnkUpgoKqg8k4Bb4BzhsoTCSHE8lWOcdI1ivLqH6kpWy/ DdLAuNzecd3ihZFEZge59XKvxav04bCM0/VO/GufFYXOWyOLDhl5c4Xl+UPW5NO65ukkmc0K5R+1 mDjgZHs3Sp2ZF8udFIzLqhQyoh0Kbn6OJGodBhldIynzbNY9Xpxm8ZdplDUuJCSP7/q8YdsF+XRl 0EHiV64ZWXFR8sjE1BikJJMik5J5aoSKD7vQ2/WlrLO+CUHPAv0dqTM0eRta/hiwm6HOVq1fCoYU /e5p/WVd6erbdkAe+uwT+2NsVejwrXeasm2WiOAzQaKmu8Xp2pay41A985P03CvtJoe36e8WLvJ7 F8x3A+AHxojZG+kanXYyEkuIc4i6v/Pvue4xqc/E/p0xILw7NjYEUm0gAzKuFcrAlo8aYex7mJjf VbuzQGEbrsiqnUyS7+THBkFNkhqD7/qP8/kyhmp9dZ00KQK23u+0GxhZwLE1X/IMEpYsD+Z2+/Y1 IUpGIfIJ5vkhll+9NLBVb0BJ0PH1xxNu2/1BjD8czv8hlnpnGdfJua1xTvrE/m+WrycFGfzAVQ9f JNcx6hEUpJhEzHEfXxzWyXDxdH32qBZS0FP2hJKgjklbqU40wYIwnSLIxtzfNrkDN5pbbCMYLSTa cH2osa7CiUN/59aAeWRTwvkbbuNIU8wKk+EWGyFJRdGM7XzZfQiMFbaSUivagD2U9Cp1BlagQAtl tG2rxzl1YZuuwj3mX8FFtsQWxbiRzn6iNnyxtV6PJMDyMNCk2rmLc3+K60xKHXNa3lB22MuKKyko qBGorMzD5nxTqPo+O6+3C6Nq1PDSPlVwz6tWJigB7CNyuqyW6C+CFdx0WLuhniKP7Wy4Qhv8//yU rclQW+bXH1g7t5tQMxdbNZZSmo4dFHKF2XZPWQ7MJgliWLrnGjbPErMUYtikd0on4zRUG9exBcWw TAuOY20ngALoil661Q25ntCJaqZeJ1O9Ssf5a191OuGPPUDH9Se07iNqTbb1kmMH3kcS9GFokl53 rOfVNmXK/N9yT5TjlTYM8uAeP44M63AXLp1u6VLyFFc39rlVwDc1lKuH31bJyrATcE7Cq42Oj5dy xD8OexZ/NvIKBpKJFzXGjR+Y780+Qq6anvRO4mX9uBGQRFTtyy6CeAmTmjeWcXGHz6cjgcHX5RCe Lkl/CtlXDE8kvPfifS9pikmc3yhLBpNqVACgVwjDDyJLtwKu/VYw0HxGknU5FqMCdF8Y5EqW7yli M0a4AeUKkngWHUogC4hv+5+PqdOPJIJ7NGa5IXyc0l6bckY+IM98XZGVKba7fpilg0Ee5ucXFqpl 8SwJqzvjRgE14LqWYIoZgqplRIOWAYwxr/l/kBpZYQ9haRgC34cGcQ9tewnDySlUF8GO9QvipidH M4puFmOeyY7P3L52HeM+Dwmes1Frzn6RAX/Cr/1yafDmeX7XRCG20crAQe5aVSygOK3CGH8UMZX9 2/2P2TG9JNlmWy66j2lNaKez2aRDdQpphpYi9KXUFi96zc/+DntMB5NVlNpaao/CmMOvxZgq93wv veKKjdy8knt94yllEPoQ1mtJZZCx/+GbNVrCROQqR5Kyum9Hn3zIuUlGG7bpKAdAyQk9K9DQAYeh MlLfxQwnuGFGXRoOl9d3MhvNS8cD1hUYXmP/zJL+QylKvHME88g1d9ByBZyxChb2jOc2aDEODCN7 9w5R/7FBwEatkoZzMo/JtqnqjU1wGowfvb6hhPZB6KzOr3pyJkw3URPfHcfpx3lGFc6ba2J3UVzy myQc+ZqDxXkJQhBzJjPQ2p46RBww8VtoW0xP2d55z35Q/cZVCLPcwze/LI/sqp6VUDm8eL6uCU4R YUGmOCWgBNppRySLGBHcabQPjWdPSkKYQg9nmFQZZg++P/SRWi8Qw0zTO3GAIs6wmyosTn6+OyJJ E86hxtiFRy6THuM2F11cPpEFn0f7xQaw6yS8i5Q39kep5ki3h7X+dFa2sFLjHGeYs/f910ExZZGK L3J9pKGJYyCTRGkDVDYo6fBhblbEOMgL+Q96bj2Jxv7J5wf3L0rmbOSWSZ08cnnv4u7z1ZfF0r6J 2ElzhsXENTnbIcge3btkJnMANtWoSVLKkv8yROyuckC1rUe17JHJw7c52fEtP3fSRDmDBAIs0Fnc R+O7GvKqLIkthco6JurMb6oexzwC8pDcElNmuNdVibcceM3j7PCU3RQczEhNoOYQTfg2UuoLyfkN FFALVHZOAPkwFtFGsKuB9Q0pPS6HaJE6WaOQ9Q5h4UOr5OLx7HTKGYeNKkYMgWnjctNExZ8Eps2W DAXqGZ/Dg6o8gje+F/n+KmEttPhpJLDQ4Od6ELFlYxgITpxyW24Uq9VFosUAlsjqy/X4BO1JLjQj /hYNucGZItK5pp2aIZWaOFBW/H3uH/Gq1+aUI14//iPjJyAdOHLtcBzOiKv/UVJmg7Q6TTYaXrCu q3CmLg+bQDvDo0Qh1AtQoujrmF3BCBza3pNYN6ZQlhJpZDpFB1qNqiTSadSoOJhe3qRAeolP1b1p RtHo5WI3aJQp/OJJEdKYhLS2Kj0DpiMILJ7CHxX9fnJLT1CO114EwDrXrqkdW3jAVBNR270PExEZ R2uzfF9Lh4Aci7/1KvQUq8a7W0KzlraF7eZkKH5bsI3ObxtvW+hsw3fvFjQlGf4/P4c5BHsBSoHF wgtDpK+mXowJggmGGakixdInZhA2o05SDMCJI3oLX6OOM4piHaDQ0DPK/nK6iXts5Wxko6ovvDJJ +gXpdL78Y607RSak73T0DG64lzx6BRDp1/ocbE+ODqlIazWUrkedOa9SpRdsTbTTOGJFlSLYbE2o jZN5PVJKR3JcA4EW0Zic9r1wWrSrb9elfN1O1VqVdfHzF8vrEbnI04qninKsu/jqsoqvCdX0pi3j NVHgyXtlJxQ77LUvN2ardaarCozSQpTCZd/O8ZusEihy2/+PqiPV6qw3pKTQQZ36j1idfI+8izjf vi944eJnqvwx3WBNNGiKFrF5m6jKcbZ4meIIMKU0f6jpq6KVBSN7uXPCh98xlGd5N2k+Yz1RsA6X SoiIwXYr8vAptAe65Zsf3/8Mv2F1wNx2L8+4QXBALZDuGEsibMOZGxJun3j4wSYGq2kOMQ1F2ate ufAHjZO8VFYEWphqy5QndbzPnZiNCzo5FxGwpuPSomSxrcFIbjb7arTld5XV+U3s6E17viTqjAhK PRRsYGc216zNul3W+5I1fEaa+J4fi7/Qi1hd7C2UWhFgxg3Gk/w6Mwfy4Vk0WtDlXJaZTTAtpnL7 H9LHLCaNpf7wHuZTKmFnLzWjRDhw/sgh9VfD7V/FYQwcO4U7e7nmC9mgSQ6JyHCoJaTskOE1p8s+ gB/9rrQjThkQjJBX2mg5EhK1opDSWxEFKJ/LfeLkkD0R2u0/hCUyJ8YGbCU3ahKylAYkhFf06S/O cHWPhSKizaOW0ZhwK+bubB3TYZSxdVOLiqCpPyl1JqZceusEaqJVTJ2LxFL7+jv5ntFIEsmNZs8q Wem8tZ6Qvp3AIoedXMSEltJFh1Mlbq3HeEhMh4en4SHZ8394ypauZGWnyuoU7qkY3p410FrnOMmJ sDMuL4L+CnwWD7BGGgfLz+O3ajewT+JTwWS2Wh57X2CWtuulgpvWfS8y84+R883/xs4ZRrLiH66e 6sNrGWTCa3DbNOPw7l1KKziLqTa6Yhptdt2rXj9hre2UWRmwEFuYoShSfP2ab2B6A0ZEAGbfrMLb +961xcfgpupMdLIWczAlGIp7J/dOM/dmlKANyl6pA2WSSYdTGdGy+qtYNm1nTS1hu8inOP+ObsHd WZNNud/gJxLFLQCSVhx0iu41WJYZPdr6htR3qGO8oS5wfxvK2KTK+Stvn6A5WgG52XYFe8JgINkB NvgIYE1N9WSJ/yfQ0HiT5MJ8jW92PBbI4fmtdH9p1xgHBwVhtT388yf2FcShrXEH6cXSt6VWc5Fm MaxUmNofFmr6T43bAGFt0/dVJ/auF8TJ0X8lh/631qY36jI8hJ5/7ClsIf7QYW6O0U9eBx/PfQbT zbZfYxaHYBYHSKMAXCd5Wka+mkgtWScwdoskyNMMc4uIZEd4RSwNdpkkMnXRUv7Fxiz8pYYLumCX Op3sZEtv9i04jxrJfsNy1pLMtXHWr2hqM9bdcIdo5PDIyHc1D+qos0BlhmcmXTF1kdu7p0iQVs1/ cIN8upHWMVWt9psjzERdJayO6zxT+TxXDk9HymbgXrYCgF2tMq2sVhXtLnZBvOHmKNoWMzEZxwZv l7/+sP9Xlq/w3XGcZ6fhht36SYQ0w3BjquoBKbEaIlC4QCR08xnf6mYYj/Z4rJSg6XOwDI7iDu+H gxvCa7GZQq9VbztfZbaXQ9QVmIdSLf4OSqhBcJcKbyKUzTG+D6e5WZX0Op4Wr4WGk3JiW7uAM++U KU8Om/ydxiMFoBdFua8ssbmJ0eqrLzcHz9tDvw+GoBLuX/lrHaGDVwPVOjLc34RlVq9FOopSD5iy NuiOPdPfIl4mXg8cgkw8xLTQj2+RPW1B5qfC9AViq74jNrtZiKYvl/ZIQ32cEeyPdxEYF6Tvfgt1 fma3a2PWhk75PDVaMLDsEqJ9Vy831hCf3tR7jhEdteu9cLt+0nH2kG5GJ7f8nb9LLhXeSJqQ7E66 BOxCLpYlqFDIf3NcaYyI43QqCGYnWCDkkh+MJWTJc49MhgUEmHXNviq2n28vhfBrnHttd1XwTq0F hTY9QDCYFTTjzPOSCPy1w8/d1DYrJg4txKfJwEok+PMM1pmG0XnmUN8eG0NWs44VegyGDam6GmCx COCWhJQVX53RBd9Obp4n/+W1T21EB7L7/5O+e5sDqDs8gXUDBAztHkyzChS3+F4VjZSHCMYosupX rU07p0SZ3qbVg/IK9MrRn7YQeA8dndl0wlLtQViwCt60a6OfBOeuhlDnXXFTQipZ9j93KpDusJUi fKA+9rhrtM8r/gP9M2+4Urs/xuq2GoInLw8YyvDPfBzjlfMvBxBMwctVZwrz2q+r6xh4LRJYtxI/ IGvqMYGCgnuS90l9M3sWm77T53dpdL1tMOaTTAX0VS8WZviP72NU6A+kfeKp+bBVRAbn/vQYY81V 8l0tl6B7VJyr8jLzC9YSZ0trnFt4VHePTXXaaJUrmc12WLLEJmSmUMfnCGBv/w0mFxTlDNGvKUGw LIq+GdbxmzZw0UAhnAwZWwlp+p9eWfbqQsEEzNnpFXDLmoh5BY9kdxGF9TAX+vgT72KBklCFMETT 1jlTgtriQI5YUn2Xvz8jFjYz9YL3E/l27djx4RLXEUGKAaI3+tUYqEGtsRZ/MNRokElsKR4bQXd6 k4qPBmPbcE74GvUJJP3sGYTxFcON2O8clvQo7Wvs05fZpQwa6pwX1cl+iGgmkCiRktfvjm2m4ZBm gsWvYSR1+TAVc7M4+WBXACCkU/S7GhVVyUczkyAQho+2Nd2ZYzx6/BgvndoHtYFEkZDHTDcpBSos B3wS/vsGoOGHpazYsKqrG9H+voUJpbxTSj7asLsMFRnvrC07zdF7Z/OetBDdTEBCH/+p82IxeDzS npzgAIR17Gy0Z+t3x3SH2kI2rIbfRkqhRUDAxY0pUT+CRTbYUIXHa1dQcXX6E1I66QuG7an1XlzE NoxLzdrqCkJnsuki80/xe0Li3CPx26xQBBHfy72x2nf7VhbF5cDsY1FBhiG11MUp53Y816J+8y7T B2XHL91GcFk5Ux02q2bosSvsrzmkTxyIcpiYnUrkKyW88k8XhpVPPsp+5DJOL7FZbZxjiUf7RWep EGKBFqM8n/5FLLjO2rTmR5+egwIyYWJGEketpVVDB/2w7JnDNh9f++Sq1R24wod71uO4RmBFtAHK bU3ydslXcvpLXbmswh94qRX3Ne2TutrQr2irDTNcSf1HT6NbdhZy9rP+jitRJuJMPqFL6Yo5jgls kY5fKsiRhgcLm/9p2XSLKVore4tPRVOfYvySLrAVr9JnwOYkcAXJHrhtKN3NlnXizV3NTQKPD+0c 3FyJB1vFb67UdqGmPoHUiUHjD+m/HtxN1ZS3fPJviRrjBVpM6P/mBLgMyIxfK/dnq/Pcs6j9AA45 3ucVCJAezVk4e9xf2ISxlJ23suGm0fNtbehxfzPBA2Dk7Dg71WcVhlBYkK77DdHnnOHQ1i+k226b AZeb46X2XOjG+jLeqfsi8UEVKUWE5OisdNwv86H8jtY+t8A4vKD3zzTm1hOZNU/WPFpVhlXLsNK4 FQvMGrD/BK3kbniPOnnYey6RaH/C3w8h9jvLOjXg4PexBLW4aq3FTXbGP/U/SMX3bZtJwnY1cdxK 3HbhreG1IF/nfhqkKoZ3NsymC6xyXCMRidIbof+5v0bf76J+kaUO6Lhs5adZdFrS1Z96ItilPv5I ZYCMulbj3ooAsk1yyo8mVZColUlAmoGeY+gTcxgFg4DNWPRdJygYuttaEJIIUwGNE7TRQtHMH7UR qk139+BI4d/10cUzw7OBUpPp1IRJ6xK8obpRcDtmMWIxKtCLsjctSyrnYDXS1DK/huj0iCvpMvGU dKa9WUBY+Y24wegk4Vx90x0462rxchDInOnc7jAh6EoMSPp91Jfo6iODVcQCGtdBmv9xO7Pvrart EVEFR8Ogvi4KVcsX8bciTUO+QXNeq46TkaDvtCH7L2q9/ptPbP5oBHwrY5watGzqSQVocQ/DyM3m oAT9gLDo43NOzvUno3zHZYAw1kuVCcJfiw+jluZUebAuGZAA/0bq7arQeXylj3uQNH0zj0SYJiAy A0mqjllb0LNrXxjtVTchStaEIKnLYLe8c1Bp2nzyXbypbvl6itI86Al1TUCWF2ttG9Q+zKz3oTVZ Rikil6GgIxQnWLg2U7IXVUn3fgdt03hx/lewVXhc5Zg/WkUXKB7f7Vc9Zc8+ypSA7Ut+zxzV5qZL VI6udWz/7v1bB93ZBB8VmMKmSYF3JUvg7zAUDUibWpwP4rwMrA1Zd8ZpZ0XjJ8Tzo59tIRBlSxAD rtDPZvUmrPVp1VY1H9E+tntgFB1KylEsH9qMiAc3JrjaUyftRLN5vW2QtGHDsU31+0ZZ38BWcUz8 HJC4aUJnWMJM7M/DG3bW9aYS7nHTDVPQ3r7otDfxPQOhvU1Jwiw7YrQ16e7rYW2iMHxvK1P/JK+b ZjXIB8Z6feMMnvYctOElfKnblM2LHo3AxS3eCTuevfRBBJE/So7TVu49yQWaLC+TtjseFyanRxnM W+eOgMcAQpTDEmOwbOEk01gxlaJ0HGbVfNTX84ahGRNHUv0pw6bzbGzJtrPxWwSTnZglqhnJGXTb RCT550jz+b9ymky6va6bIYB3KBpwYET4uJJAbc+NjtE1hedGbmXTVm2XGC1EQJKL5BSehIvumcVz O6tAq0Eqo6klFKPmTLw7SNQfzWynUQZty/hiJR1aL+OCYvHMV6JU/dnGfSPYSUvofSd3sdBDVojx I5vIN3sMwv2/XIb2G8yM7KOQM8KmxLLMEW3/xjLjikVc1e1oUmTw3qHDW75MDgi39+Zb4lQOqRpf aR0flSnAYvTZN5Bv7B5x5bW1Ae4KgoIZzVwOQp4u3ZvyAOTaqm/KPrh/NQafD3vbdgiBUw0vA4Yr aNZsNPsaSSgOxue2RyvPhXKNFLAQra86vI3FwYr/BR1r4WwFq6xMLwY5anzCDGnXINrhDnW4obsj vqyfh1R5KaOXK4dpNABrX3753JzFhMmQqh2RdsxSs/rFstjVxJm7vP9SNQGG6MGQ0kEXLvGlIAhs gsx2YNzU1+60DY8lBVBd3XViMwSe8Y4qqZEPfZZLXlD60o/eXtmTYmIYef1yyhEaWqM+iGNYYhby 7mCt18BnCJsgg18fKBdYfRQ9y2XrYmnHatcdTlXs0rKNNIrBGEEjTQN0x6O6B4bhzTX4pKMur83z EXL3PVMRwA0n7Gcx/vc6UiQwfxTLVdZMhanVv/YCCbnQCwbXZfkJKX+EaEVsvMQJLbOHGKpv00Cu Wfgtw5tp8dCKqokBB8OI4i+UOda5vvy+OGopHnG/V2g5eQlR8T77AlLI1XUwqjNecq1S0p/vdegJ TOxVtqKgDQC+OHyN7ByaPiB8YCM9GVo3ET3u56vqtYpYos3e5uNN4z5wVnaiAFo1WZrROgkjDlFv ci3t3lorJMZLuqoidlxwkthMe4/dqWigDA2vMusankFgOAZcbQx0eCTizW6c5ikaAY6kth2hpQJM eHd8GYswQ3QHgxwmphhayqTFN3pLrsHy4AwxCtxJv3FdJtn/m4x2k9gM2ZSGTv0LB6PHzSzPIW0X eEHgOAh8/PLS2q1VnQqco4xAtWFYVhv/Ib9kqtiVVK51zTxu7vqo7Xb5aSybSjOfb9LpZzWXJ121 ky/3U+wacJzXdVoCmH0utp3DEUkvknAO0a0wVG1C6F6jBASPasIloCLW++MKz2X5Vhv6XUgRU/wr nDqu+FrwsZSAjls3MYZ6wQ+23TFuqGf9ctaFlB2BE199PD9Vrut1EsZN0DiiD5/iyAxY1Z1jCTHb Y6zJnremQCHB2ZId0gPvpP8YcbG1UsGt9pQANSTlfvomVx+azb5nQeznuuOQ3VQhDqlykrxBMgSq pStWJqF1j9YV07dtZtCR8xyZ8eT3EKaLIHoiGSKfIqKAhH73cRo5FriY/o+hULloTTCht6BOd1HG syYHQk+rOwgfnAMWv4i6b/1pZ854v0oOM+dgT0SNsbjurzGjp5r4Fat5ynuE5oxd+YEoaFpbbihQ l4jX1zeRVbJJ4D7ObUGX3vSi2TAybOmX9Nda/6agKV2yEK+P2AtyCYB9jSgsFLsG/JslMZhUIO0Z vvQZ7J6JL/cBlfFDPA/ENXdYdYQjY4Xcj7T28xhXNsBFdDrPtNqf8dAt1ItWcabKDAWLAaepI9Hk JsINwsDDRaAVoTMz7/yuFaJ+qlJp5lbn2S/n8gQ12LoEtShfS6M6dWRlQg5Gx65EFbBBEwlfdwHq oLMvY9yeoxp3Rq/PdYIwgsJacyoFBHJuKezR6kQtZ5hdoB238TEX8fDG3psAbK//sBpLscehuFhh 7PP9DS4EH0ABCTIoBPche5n9MRVdNfng1ho18h4B+6QgglrTrcVVaWfZNw97wP8OxJM4/KwlPBWv tGTWQljLaxjo/IGAqj2nQOVUo7OCc2T47/T3fO8rYtCep/J0ZPH8lxAa/FUkmhDFsjK5KWAc3+Pz NeTfLEcnS3gk86CS6EPLVaeLhQ1C6a6PH8xcEFuwV0W8pyBxxX9EAzY89DRKADSaC0d0DQxclnNl tWopS1fPF+ItC68JcKiNf16RwVy2/mGr5XTbLK0FSkvj1HYTEElXvzU7ofGH2/bJGuGFQ4sClnOy gRgfamzF3GdiBgZx99wHms19aw3LpYBbd+Zoykrt0SD6K0ZMuZXDCWYnM09Wc1LRZpyIZBkpQSrs Ta+wyL8hiY0p3S0JgC7gidFydOZi8uNYGdgiRaG+Dz7+Vq/REhrJ+R2BkYa3lJiRo4G6i+fK9VX7 Hq0zBpBPRDrI1VbofzTz/AxYrBAbHCRHaEo/feXvS4YzecN9aoQYfW6MI2LEk/4jQy+3ezb+A7Hc I6h6IGSO8qxmJunL3n17In8JyZPdQ1e1dRro7EusZi8Z9Q6FXQ3IXIsWUzFFVGub/lnRde0d7RHG qtniizPqXHxvIp6+PIkLzK9zHmX+9D3FB1XAklMQeQmPEBBwkFmfkNgCXXW/BTDK67dv34eYWAZ/ AExi2V3cGx++l/TtC41bI0zSqL8ImJtM8QNwkMe0jPIDNtIKS6vujYiBx9s2xJUGPb9ft9d7+WLl Ry2c+GMI3f6MpwL23KEUIoPQFcNVrFF8LnDFfdaIFn9oB1BH8kDmHRuJ5hA2BM0+bHA44PJ1+jL7 /3u7S/eD7KfsB7KRCNm+lKiZobkCuPuiRAUU8wiwQDaOSE/TgiaWiRrEqrzEvLcL+yODcDBZ289M QglM8JTf/1ZilfhLeG2Gro5TDY7wtDbw1ikxJ0EIZyOBHutvugENzEHp9FoSxWRO5KXpPvv2VI6E 03ZYf2hMs5QE6fnmZZUG2h2UPJGlcpp3aY1nxz7yhaG2vjaSm2lIl15PzJfQN7Z1ZnAYRjIyZRAn 3KPYHidq8jfwg0uD+4H+LVIsx3D79ZYpQgJ+llCqAX8WrZnc/9Ex+r4dsnIyXTPkKE4aW0P8bTiq OITnQ97WwzgT92XinNemUkIyX9p1Z8974t8CTvcCrtRsYa0sw4n5gdXbf5Qq1qx1wOJK8m5XNV0L zrti62hPYVXd0XT/2lbdgz6UboQEGiym6DF15K8CByYRbRPqVXdVqC06ZrtCGdSl7ybg4tXoRo6s 9u4HRF6gEhRyhxNb/7kUtl2/HR31Zwx9BOg/KBz3FjA1v1Pyw+mJHnNoBnNyud6FQIZqWqATqdum 0IzOS6Tp+J2V2yp2bVlCRpTQ3Rwvs6MU6AGmjmwQ5srLd8q6dMU6R1z3Y1jXno8IwvH82HsW0U2O u2rShkcqIUYc/Wn85n4QDfeZ/Erx7fRUwvFQrDMze5wR/bRiaZz/d5b3uUhTMOUmeTX4Xygd/OcB a5qRVyhHLwYMm/Hx954xSvGe8/cRpNTZ4ZzH29YGGGFA4xA4rqJmxgyoAMhxWHAlnloTGjllwhkO rVh9QIbrMjFqixW4GzU5XHl8qHsYY8UxNnfjYc0YhyDEndswguqR2eSDPFsKhP8mwNWnZrv1s250 uo6Ax+23x80a6b0xNDMmv3dn+8j37ZD49UMZCrYRc7PcTOIFiHwYqoqriw2uxBH2++/1/4o2QJoh QBiVpGTUGwlBVIbxmXvO/tL5tEHoS0VrI8PPwTYnjqv3nVt5pchpabj4j/saAmNACB46Yfqz9hK3 gl8USMPLTFIAaQj5rFrUNapAm20Dxx1EJP2i8gBsWPbLCU1hh9fMqmN6P+VrOrp/IYNwtWWgnB2G gItDchWTdygPnu6bWR7XveITISrx7LzPzvPMTk65diKTQPdgmT8xulsrrtQ8bFgOnYV0p9c9OCQm S+L/jXSExIGgaLIQQBk3LFw5vPhYYztXj4YJrxeeE15uMP5mqDlVpYQfY//+MA9MhsJIzUFSPy5S U9VIdzxH1oIW7qjQA+hapQGC5ADMUnwVEQE8U8VXIJsQtifqZL3At8udGRfv/QWWEE+fZfKjpKau eP6BSukCqlVZpLRa/dX20vphVyAXgZrmjnz6mILVwAmUXdrV1UhxJ5BCqnoofrmWZA0sFhSwCia5 n4mG5VqKZ6LLiRUQKx7+w9xv+BZqj9heAcIb2undWxDwld24A73yUAQmeoc0jIHxh59ZPzAWi9h1 6iDyTjxzFjie7cVU3eXuwYpq5TWmN8pdDf79MaRZQhP2ySX2aIVRTiYbIUqKAP+eVZdqGKNgPsi5 TWi654UTdc8J6MHtU4j6gLqtFAvX1qMf4apRC0F+xFwZLFNdRHjy5yjlmF/OxCMaY5GMNdFqJ2Ec IkVllVrQCyly2KGPl7bnliHTBtzYER1+rmmm0m4vhe5cWWKXzyQ/2EJxf4aaSzAxzHw829D7hWQI 1HwM7g8Uj1Dk8Cu+D2emBu4RPiOKaTxN+ZGgBJRu7hXJoYXUyiNa99IJUEBK0CZg69TClxaFwr86 V7Xrt6eXuTZU4+qqRAyz43MXX5wD0I/5JnYK1uStKA79Y1qpdxrroXPn65AXoXGY6ApNxqP2yXlT 66Cn740IK1FHHJ/e0fka/Ceuha3TjsudzFtoA0EC828noi1xXEG2AdRQp9l+Sp5ca9UT8Cc/GvZT it12qLapunSvXjjHIt+cXJPdcu2OT3riG2SkGboMQwYeidUo3hBInskHU99fTi0/LpeWcyStKoDQ SZ9xNDHCtO+2K10psB/+Z8l8a0KluQ/7OVRUEYPIkzCo+eOiDBrduWrUne8Ht6jBup680hGyKd9w jcqh7UNGsy7/CboKz6zrAW7pJU8igyD08JA6GeJXSXRa9yTPFgony01iVfPqh9rxuxPOfoZBeOrM BOXCbaS998IQ7x7Ib1KPM66v0wLdSkC9D3Ar2IMK7mnylqzU2IuFp5z1hOrR1GvF5e52wCiLvfRJ AEpvy9uBQCL8B3vqXHqqmLwGZ+zbAVIsY6hg1iUvqm23Ne46Hp9UtRCmBrkFuZMTdkzi/X808X+r 8UuC0qweO/O/CgR8zNSBeON/LHFw14jYiTbCcqnuWL9S3BWqVEIdDACSE9+XCftRU26d4XZ3gV2J h/ajSaxrZh4x/B8cutOZUGUfvD1ntbCJu3dHmJ1hM0gfCbgOaHHBfL35JdgZf7IWGRgQtjf9H8GU ock/mVaSludJ5xA0RKZU6vRCW/B5LJbWYLbGDSFfxY1ulRzr4uhl0x8Xyerx7VpX4s5QZQif2R5d M3ZITvPE8S6fzLANQIKnuSB/ILkWLG+1w8v1vr9783jA9iQ4CeruvWoFppV0CHQwkmtKrXedSDO6 42U2y8hA4LoQXbvjH4UuZtHc2pdEg7l3aRTy5XKFuIA5mq/edjHDvVS41bWG31w10AMKseac7Pel FBLK3Sj9KjlVg1ih9RODP+M6bUI4e4OePizJ8PmmD2guVYvWdgn6AKapqQcFftYbr2V8P8UDfLgJ CDLfKutu7qPc7m/UicqS9JEDJWOb3UL67YvwdOvDIyxUUGZw6CPNxpRHiicZi20YrBOVtD6adAVK CHffbcLhLu28PgPlPq/rYknjW6j7JHsroqiQNj56K0zoCSi0IpTPkP6FaOgfXZFeBtNYli/SHD2r +u9jHeblcd4RI4XTNRmaFChJwQ7Nt62BJY+Ty7UOeNlB2M5z/kusWEw5UEpHOyGugFPW8mg01d3w x1g/lpqp2VVjrZZE1vWBRWYrQ4IeAHEFprDwyAuCy5egGGvCJX/Jw3kUwLWNAj7NZvGx1G0BOWj5 LujgdxeNsrOK6ycyu24gZq4+gvABfRDxsdmL7xB66J2r1UQveqo9ZuA3I4Oe1dPZ0WDsmzdnmcC/ 54Xc1+NjjtQw+0p6afX4XRvTK45wuKrmZ9DQR/HwV6G1SorpqIxuH9HyVQeUK+ieRGSlqPleGeqg UaYZRsm20QFL2hvUqJ0fAi4I/gEsmk6YvDMHI1ZwPSvSmKScd4RB2+BntnZJ09pHi3nYZzJgC7em ztt1Gfa4LSQnIztjE9oQ3PsKBnuFRDra1QauU/H48fqPNiFy3/bhpPjTh0BV5IhfluaSy5V4K+jG qtlPAxgwoeAQZR7hBa7pMBefhVaSLSepw/U7wMae4IpGesXNMqoqd/bqX2x/AwQMIEFSADe7r9Sp wwLEL+aHPyL73M03Sr4HSlDApWRaGI3FhE4TmwUBRuGJ5jzE7LXyEgII6TL4pKhOTLRHuAW9bzb5 ex5ghSsKxo/AulaLvXmqoAM7LBj9TlTGd22AfgqWnm7ZxvY8rA9fcfW46mDySvZjYMEbzZs4/QTl n55MooVenYYfs4Albe0crHI+FkkIu7M537bYmkigYQ0k23NYe6KwIfjtG+FKMPZQ162jhikArRVr rHwzCOaqFKOM8pMT3HyJFycL7R0Pz2kiN4t1XXk5mSTLLsaEegtneUpabXNyTd81oswJCpGKh33s /eP7iK3g8kjINaYM/S+6uZ75OY8oq0Z6tA5vaxFz8oCRSfyZwiRx/2fn8325lBRtoPaGIXRAz2fk beua+Q2t0CnbUYwIupkBWx/HhKB3t7BRPAAOvyBSS1eMrkJEJLh6200UOadXOrV8DycXdkzyw8x0 wCpkfRa56BaSNerry1PlY85oYGFVRO44cwmCR3KYT/RQJ18UT1pRMjPMEtapl6Bq1Jeh+YmYSbcO qas2Dy9MXTen1n3E1ZynUiOH9CzwNUh04fT+NfprlNWOWQBSTgSMrnoO3MC5GJNG+Tr4CFBRsuqL M1/qVpOPii0NeNKx5GUN7DGi6TEh7AMqHREU3KXLjnDFuY4YLzt4iMZjvILhVLD1HFLJC95yegZE NQ9CQcg2yFT5N37WlCsRpuxxFuBOWj/bK3sDdG7qTfVOlfVUXZl7hzdCGEnK18kBq/tDMxa9p6sW yG8+QnMFcyZ0b3cMt9CuMfo9wv/WbHURqylMA5ODGtDdyjtiIe//V6Ia9LphXCpVLEQYhnjQf3Em BLLc5iRqKua5+iVvUo5Vc/T21HLaf5NXd6ihnyjECI5ulrFiDspQq1XgxKmxatD+v5uz4vKAQFp9 R2xEoH3rBA9unH21gvoak5qzODqEYGi1/4DjahykZrjx7hJ5mve3lW4jy+RBZCdgJdv8dG+N72K5 PM/jCae3LKbc2IAFB4UqK3FBqXt3/PRATdfJ6Wewyu3ghMGg4iAH6kgr2mkw6RDPlPeyT+yWkGlh Q53bu6s8l8u6tiVTSQCDhwFdhoF64B/ksW5vk8XzNJgwcbSOvhY962RuyVpR4ahRl1p8UzJzg4EF V4mL1SWmxQq2SXLK79TVrG2536OSIRtvFmAbjZZnJgMwIT8y7PbYuroSqA1v+mzpsivVFT3Oswm4 1fqhs76iQMbexVBQhwV0N60bpKvQTq2b8uC0JPpo9Mop0q8u9R1JebmyewEcMdp9n/oKun9QZmbj lJ3Z4jt2rTqOQq2A4OiQ5Uyoxd+ai/T1bNk9YTCteaTr6nr6YoS0EPLJdvPpQA36FvSItXEIk7tM NW5kREynLCoAy7AFYCgxw1CIN++r+YBcWMBQEkdveWKQpUE7tlXyBBmB/LfFl6CaDRN9AmtVH0du OkcOyxtA6WwdeZmmAu8Hp96ybv316jksCwQIuM1vdmhE6IDvdGTZZLn+F7E+WdxB9BTCDVDJSokX LQHFNrv1E0EWZUbAoHubUnlVOchNbA0AiNCRGyhD/b9f7Jx4fXc4vI/+wJvs1P+K+85kWya7fD0q lpPHeGHzvsbwTAS/eaMTyM3Am+lzpxvlzUpRZGWxuhqs09CrbbZ1gbMfDcK9vyrqqoPGjg0Cng+a oIJWZ24tYoOAPfLNSeZwZ9B4fJ9pOLH2y1aevkIsAs/voe02WW1yTBDa4e6nSX8rvAgXiHYUSUmk wolm2KTnISM8TVQ11zyfZ/i6kXzG9kJ1BzPvVhg5CupIGVOUn1L2A11Pl97T5orIty0QNjL5i9Qz ouWCEqNg63C0kABeIbhkHHjLZ98S/fcn9RIhbA/ujKovWajg1pYXPN64EZtx8y0Y9nFycTReb3FO fJOkFHcydIH0cZqUoq9W3p5TjTm7wgEx3DVr2ZkSPSvtNU+7aheShb9HQYJml9uNiZ5yn0Y8jGkg xAxM4YlNwLpJmOQPAF/qTaeCE0PhzRV2Qzy4gQvcZEG7OO86sQLz2OWceHmp4/RBta8Ptl10LddJ al0fBaOG0sgcGiqbH4zfx7jyNv0M5xo/igOCMq/zM6yF4uCB1YBcNxhgJOkCbQMa2ainvn8iC1Mc YVob/ZGNNol+K4VFJCMSpi/SMisYOZ9YwyfQOWUbofHUDTfy0QH0xTSY9cnyhaj+tbWMI7b4Y7Z8 OwYb/+BpM+LGtKIk1gcOsY894YKntwdcNKNW3bSg1d/myRJApqXGoJaJivu97WB2gDxbpfKy07S6 qZXPvl+TXnn1uG6p7hueweRHVxAR7aWWmfH017855d2qjJEmDjnbWGiwUgeuLNxf5MigifQxxCQK s9dNikO6O7P0Gw/n0yA5jlc2dhzmEMrmCIBy0cQ6hh5D8/CueZsUIgx0SkO0TrB9nYrCuVxBh1HR Kid7hP3AWuacgVx4YkZsixLrePglYeeoSrUCJHWmjyIDSLlquXBRIxr3hD75kPu5Kx6229wwI5dz PbMrspypw2L9PodcqIZkhkaxLHPaaD79v9af66oW+6ISGWjPyM/feMK0r98Yd+fKETyBWHGyqHwT vyfqIiXyNQXfx5IbolOwpjrwoNarhPAFP8HTj+E3kIRo1t9/CwMbZv/IMmZkR5Kj9rgjHzT/GKUc hVV7WpiapMr6Vr1KsxfeIwAv2De6eIaeZT3GtUFfJvOVqKPA16GegoBWHtILB+GIyqJphOJf9r9W W2cb7DdzXG4uVoKIcRaPDKbKpCbQQ596DEnqLBmrYGJCwUWVL9Hw48LVDiUxp2CrpEoG+WgTrBNQ Z9vVcX4+FWEbm6QfPt8WzQSPnfLYDlqjcFQ8GqTmg/Fsky/bztxEYur80Z+hGXcoq2kNQoTeeq0U K8nkFlgjF8hROf1o/P/8QMWe5XWL1FhVj3IcdpR6+iK+enLNvSOepX38yqseAT1kjRtu2Wl6yOkg L9o4gGiFRXh3rtoS9Id/aqmIdDD3aekk/ystWqQy3jI1FgttXYBrphnbPoqtVr5E3EHZXGanHQao 06qDWDqVgMM7GyHcU0ykR82NQfo0TSrF7fck5QplH/zSNMgVybwNpscgqoVDqsS1VDJntLIb64F7 o9FynwCdt7+0Rl+imJMbCB4/PizL6k2LnGOK3eggB9AFtHGPjyRD5yeA2AlzjPSD+GgilRsAdN32 0iD3gqQlW0M1rzpyCI84cvT8HeMk54iM1KzMy7x8MutpFivMixonlC25PLpTT0BPrrMjPzDKil4Y yjt7ojx2eRkrCw9VhrTILVSyD82Y9sSgwvJkh4snmYVkvXQOkTpue/SbGIXJFfcveDTb/e5EIUZi HZUTJE+flYtfjP1Ter36jNFJxzwiZFgdVW2CptQpVKyTeoFNwTQQvpBr9UEcOdj8pjjrlF491jKC s8z6JRuMhK30urqTP3SgWtIvbR6te//uTjh7sozErRMOcQSkUY5VdqOy6f/B8vSTHnvYiENeREBQ DKkMdl1S7qNT9WLDYRM/mD1Gg89bHV/XliX4E3tEZ9m9TFGHOMF668kNxJL6+qupBXWIOXW9vGH5 Klhx/B2tcV9MziLYHlpsEVmgy1tdVfhiakJb38ZT9aQWZdtVgC0tjwdeE3pvWJSSdy+zZ1fcK7h5 LwWoCfTgln87d72610WenO9/V1nI1pTIDCnJgf6Zdoo6Bv7Q5h/rCA0mdM/wg8fLpzwvfw80JpoT q5KK3yfwn5ELq3UPhMnwTCetNr3VVrRPwX+0H8eLuqwI8MAWmSipfr0aee39AesM3cfujyvSLpJw mZu3AQH/reGwZ2pTqhLFmoIp4bBGXAjPy/a1NI8gwdVyWNvZW97fenKE6OD1gaV6eIJgFxyowoqi 63Va3gtoiSJJ8mzR97tk8HTtv8F1P714MbDK+TjRUSy8NFwWSktHhcTUxwWruP0fQs6BdNv6imgU mx2hndt9/u6Jjosns+c5/P6rYh53t2CW0WU/dx3x1SZncjxohk79EVwPv6W6HYwi1wdpWlPBhYZi gbSr9+65pR/sbI3qiP9DXVVgmcJEOMpjmsrIwN8lPU0REBGC/SbowNRv1E7wNDROJbjm4fDkspC3 bl5CkD9jf8fbXOi3+P2vRZ9K+LdR/dG/yXDNBGZFyEmNOivf/CjbH6m3jANALlUWBwRWcASWKwlH 5qLEJYxpE6RiE/6wF13jGPd/RNaHNYVtt+1ClTdwY5ccEXJGIQRYUWclReXw8+d+UCu17+Ktabnr GtAGdGPgFBskmBMcuWaIR3zJ0TbnaphwTM77+3bqfAwicgAGg0o050bSQwH+pPPkrDYr/zOateGK a20rj15qHfrJa24KUGLPFwEfuwVjQMp4vBVdm5KQuVvuhCQETrFA5oeVUi/vVnOyb6hRcU64vaHF hHGJybpWrcJLtke3L/w8+Eb3x/ajiwSaqL7f9Ydp06bExjhmdgiYcRhGk7qyLqCRrfM22TIe/THu 38bAJpGRFiiRMLogIHhPW7shMMJLQRRhBdBu2OJYbAivF5q2qASqCPF3lEZ6wfeaLMgCFcnUs9Da whDAsAeQZ3lF1nd5w4BIfrnneqEb/lUDKJ8LcnkQjbO17mpOQA0QoGPrufbioXiSDNz4UhsEI0oX lSeu6BDMwD3xqb+PDn/GoFubvDObWwx1sLtlh20XBB9oDFaqxiLCzyxyojdnMNReHqw6Y4g82vBB +UNyBAKX+LKnuSM4q/Qacr6TbqWoCt11r8+ONHBnjykK4aiY8r+msSeOybPNRb3MDre0/2WQ40WR 1e9AcA+PRgv1DGCGumjSJnocHSk84CZoctV4MSuYcRFfHkmzHoOu1RO7ggeJSW6ZcQzKtp17+gXF xto9SE+DN491RVtRgLrOY1ir8GnxIwUykQoT+9sg4zy/T384usfbrYnf3AS3dhV+uEN8VAjPw8/T pOtUNTDiDwrY1DNRi7U122PPVOBpz4JopRhEcrIG9QIpC9QqFE1Pg8wFFzATxPabI9u8N/VZhdTK sSw+aZydLV5xLVoMt1fAV508bG/065acAMHh0qkkBqTYF3YAI1F9ZF6Jz05kslNc0hOI0vWG4goM G9M3YQa9x/QWGiCowYY6u+15q7sTzfuR+xbact3pwylejUTn4CBld+mTLXordYh5qNcNQS/JLKj6 5Vejk/vu+3prGuyu9foS0AOW3T4y9FPFyD+vFJPXDJtghCD009gz39PYEakfCa4euodmU0bXdHs1 Lc2ramAPnsI43MH34c+nAklZdzyad4cTLwkpUhW5ZEJa5pjhgK7zWGliOlclcVdTXwf45KN6sJee V5MhCzSw8pYypQ+QxN1C5W5CiqFh3X2vxbpxXYzZ4DnAjmg0CoWEIC8TgAbjOLJ6G6Ujs7wRM989 XHiEMe/qIwgQRMxXGsrytbFDkMjF5oXm8HD/Pb4LzX05iTQuuNcj4Gt+qCImlZnVBU+TjSwn1OJ5 jlIGwBZNe5SbsGy6UfVZumC79cDcDh7CW9euYZGShsJBfEaQy5ZTfYS1aS3PJXXbng6NwXaiGZuL Lcy+aU4GAWo5r3oNy39zqNFWC35Xs1G7Xcq3sAirzEbyvgbS/rTrHHcyCAFjmS5JkWwtpvSXW2TK stgWzCeD7kO9TFKFwRjDbMqWVz8V1otHF7zTf3waEZI4ONBGmV0VMkhncU2CVpS4liIstsrSEhmG ZE7cHcZM7Mt+/iWFcAdYYpKhIkX37ep15s+GL//abuTc4sbJhnXYlyf9qsqetIF93PcbCxiBKu7f EKS4li6+EM6V00bUwhE2KgccKCA9CNTdknHXfxpk50LUddXJQtixl+H2q348ln3603QrXbdPgHEy sZS3bcu/jmbMqIXlF8YzI69sUeIVEglAQapAAQWnp3r7yjAsAn0TLFwp3DRhyaAiEZx6G45eLteD E4sE2pd6c1QtIldSp/36syxGzoz70wLORqHWJZm4GHhUbeE0TywSdvUcwK8DvR8SrTqyo1CgN+pB +Ou12Ezw21JvMLF30q1IYOOokOGbhsuxAmp+wQm7/FosaHQg8Trm/Gv9sB6wmvG48YDXNRUlxz7x Z3bHVLmOqYZh7iuTE1wx+Utmk/5IizXao5rQItGX+m+C0KDvxA/0/jzd2g0VOBfQFAAqW9O6Grjq gqpskXCQA0LT4reZJfalRYKp3N+EwTVjRnhJ1uHAzCv6qY4Y8Pv3/ueuLtStOQpLrG8/op05aicd 2Mvr2LlW17NLJPh6lBL9ND0V0waMEi4v8QVqDzRS0iMaRble18OeWSuNhv2fidxGxwS3e2mjU1hA g3hdMFjz1g3NEXInb+M+/ZL7LecdB7dHYYjSvGFo8BPXfBMX86tTAvzwx7bEZ2+vkKzAwdSXj2RM AHmX/0GPcKllsIcSBt82UTIpGA7qn4XLi/ZigCNe7t6xRANurBjbCmlLSt7Q9P25uIUgcHVjWYO3 u83NoPGZDu7b8o/8LEyDyzI3sQyYALkSPMr/ui9StvYlQr79u5gpwrIEx1Letkb5x5AqQybG8qSX 5uoiHD5SduWlT8sLvzRCiYRRJWLegKA6PIIxb62AvpqmNXCuMlip4haEdQCHlTrfKHXeiYI3lpuR LmrVrgUqTEQfg8OZkWCbECnxhvqFGb+rVbw3zK+mV63O/8vGIbyA0kpHLjGwCjnk6D+fR3NV4bWI 4Dnl5d8WNwFopUYm0wf3rPgaTB6Ed2N988jwSq0dJC78f41LQEFlkwdi3K580TNNQ3JE5cQyiPZt vfRRV0YnooXck0TF9LcdLvVtqTkL6hJSQyRQ5yESHX1agryrgQqnXMahqjTv7JXPnNzOrnpoASpD 7BkRA74YRtVoQsVy31jiIYPvnoajpBlfqNje7wfzIwwQV3kDVhPDyOEDbgzwHl+b3Wk8EPbJ9Rq3 AbXet5NAnrbtOM041013rVEgH7xS3r6XbiOpMhhaADjXiM9XdfbEb43RDAB7gaU6V1+AXLodUdhC Hpsgg8oHGv8oD61pXG3IPONYitALOGsQSEuhi3MQZRJ3Bk38e/y3lFt5PUs3+fAzb4MkHuF8RY7r 32dRxW0K6bViWBSfq/IjKseFvv7VGS/y6Iz3Ii5rXZj/zTJgbQAFGzPeu+DIgoc2+iVQxKh+GOy6 nWLvB+ZzuW5OVvm6tMZhJkgaYLOg4x8nnBGDja/HX72ygqQipku6DH8VJ5RT6PAPtaMh8nm+Ev0r k3sd23q/2bUuigRW4lrrieuhkpR79nFzrht1xHIzz3Kqex7Tsqw6G9GQ96VYhxbPl2sK45pTazTn H86psoPZnbLWobNE7ojvgxDY2v8o8KMd0gtIuERfyHvn1/0cRSTqMb3Mj2ma1eGlLkbFcxcgwVZG UGtRZNbnnlVZ+3R33rQl6cypoOaPLGeJr6TapVTDhINyzlbgsKdO8o9todagOhJ+94qhv8GK9U36 VbXk6dMx0QXaHnFV0XtNhJuz3VbnkXC8qAnDV+f8gvguY1WCrQamzXk0VQ5zuvit4ar29zh4MV+/ YPb+v4Oi6ZZhL4sKbEkmizwy99E4XegTv5zTw8SwfGW9qfYDE35jsgy/isCipnjufa71fY6fA8K4 LZoBR/E5X6llPV8cMwXMmPbZi4/JtQbxhpfhUQ2Q+AguNy+nS4k+CyoBhbboGRbkBfcv+jMwzOQQ +AjJ1ivt7BOeO7iI/Xe/LID0EoqtDF5KpRoFXF2mKIJc5VorfHP9bVmy5nEx7JkMeKvwOpDzui7k HOudMFK6iGSFm8J62m5NZI52YmbGyJZkDQ0rT6CQzUri7vx9karXjrD531tTZBwu80ts8dTkPQm+ tGim6Yt0QDhYqHtjd+ODVZJxQohEIo4k62SI1bO/b5eUojJb3uZjNGmFgxewu8l61aaJL5udcX5V UPk1lRGUvrfihEQ0be9fLBL0AcD8mtiDlwhqGcsJC/7QtIJLVCB+ckvif0QhNckvK7LZjVWfT8Sw QjHcgOXA4aZjhIOkGiyojgE3oU1KqEY3xLt2xubzbQ/YmqlIebpOnSqXsE76A7Qcjrv9bSP1CciA 8IEZg22RceJ7LAz6yCNIngDM16jHhp/CMAji/fQm/pX00A21J9yfnZcjzJIvLBhI9L0SPm6S1nFr +gQVR1mtpWjGAFd9mJgdvfu/Bgkxw/CHxKngBIHmoppI+1UDNmHoC2AKbmBtAOeKWBORmVphA0SZ 1NC7iTzgds1mzFKwpq9ALGC1rPzY2e2fHaUkruIYoX8mKY8ocp0A9Z1V/KhlFUqYrd8ZaaP9E0BC qzoxPZ5aNJuG/WqCHJa2rngST5eZ9D7qT4qRe5Vq4+16Dt9vy3+0JgKLssIUCfC4/9iTfieXQci/ IMQFZtjFI1npezzX1BdyEk2busW0uqbCRMbeUJhmf+MKVhHNzzwTjjVov7+Ts20Falgv5J4WRhgf 22CXvvSaRn+gFTh6DLWp5iKC0NBYWQTEqm2yfUHdA96mjf7ZJNxZnU+mtRw/6N90sc1lVwWXpvl0 6Kv2FmOB1S56qpzvKBLut0snE5F63coOXE0EozwtkCGo6jnuRYfH35LVw/4uSXqylSjGsNBUBeLY zvaUnpXqfkagNMNEg9Q9TzJBQkg5Rb/huHZjK9sn3SRr3GArX/WnYtjTN8dJsboKCrZ8NztNAtON kJEadHhk+VvYVwPIANNhThL9ET0ddLE0rFiUzsBnSy4cYLOtnCuI0ehZgFgLvkkG4MoAMI9hkMZ3 Az24Z/i5es6d5YMYU21XITGzMl2AhiPzSPoKHxdsPvMm9fB1f6sc4eAOvWWvo1oaM+TLue4CgtIQ ZqKCbQmvms36yJu41PqwcyTVMni7rayhNGUV9QE2Eb26ui4pGpfgXTsUSEY8A7v3Yz1NjDRpB5UY Y3s9J+CfYYAJPo7noLs9h6OoRv2k1/6D9dMOusuvwGDxYdAChamTr4yfAIa6tKPaBdpqT0z574lf yLhlmGydrmUmF8oQdFSv1juuGluB0o/vl+NachAHqHnQxVRKZjANjeyL1ZWI4syo9iNmbLuFJqyp tkShRsMQMmq/FeUO1iVkhAjsjKwr8B39M9gEnQCHPjrjDfe0RP+oIvp6mZfxXJyQk0pv+tLTfRuT Udr3zZ6xv9E9Ny0nKVtNDt17WPn49i9CIxs9zCLV2/oN37Or092Svd8otebtIQ3a/vkDizRyezJi piJDrgOAFCBmqWg9YfZxUfO1zjaRytfHXpAVlVRcEZsCaHuSviHGw/g/fuZSV/yTWXBzo6vX3IBS R92qG5OFatP9sifW9W9lus0JihpEkspkPPzXByNEzdoViD3SVt5F3TQPVSGjkg5BkQmJHRVv/Jew MuElXh52DLcRTJ4je3BVkE2UBot0RdjaEbcpSJDcVpK7yZm7otH778zDtISlzJXlFXbyC7PUbyyO +/suFbZ1MukMkkrz+01yaoVke8JUgq5xx0c1kd3P24vXgKTSWUKRESlDNPa4QlHAWbSYZcBX86iR vyORqfoK8iOJ1iYnEG7EwYx/rNuFIRb+lx4270dgnDpDNsr314jvDGWmAJgbFZ5TSJRLpRSlbJqV 7uxJYEcidwLa+IWyL4flGAVbiWvo22s5w0tGgZ6hT8iV+rUif78OJ07jB8xTiTn+xvvDi6w+o1TX NwZ2jsTJ7pHZR/4ZgoZ2E8e1RB43+hWD33A+C88YR7psXMXHMMcFix2wym5O7iYdpCCvIkY+N0xQ LKZs5KIj7C3ffUjTsv/mX67eCePf/tZnO3y3gW1yhuDpHlcC8XmPzqWJ8kzL1RVtskmDKLSNl97n d0iwCS4JDH3U9uW5VOyeT57Qw6PErkFUZDZ4uSFtbDFtbJBr2bcFo03IOUY+h2Ioyk4WEnIKenBm MP7USsb595BSeyx+oPyU95gEn4zVC59pycR0bFtUyceOZIbC1twfvhYAxfX2Rh9lshcg4oQjeMEY YfrhO1m51rYKrjP43c3UwNUHv7U7F2j6bm3eGoF/x2Y+PFm59zqiyK15EYeEoKywDmLIwDlJfnGy 2EXeL7+12o4hpvwzhA91MXndae2n6xtgbaM1WtrHCLQH7Um8yJeyF5QwrqUcA68yFiGJU7hA41m/ olS/dKGG793gABWxx+daVKOKlw61OEhCS3ew3K0oGrjT4ZzDCEMwvX97dC3aR6M5hLNZ0d468YJi TgulyrPSUmdXydjNjHC3T/vkPCK1t19Vlg6lvJe28y33UICO13FdVJgc0By/0f90XisPy8gpfTSh FVZwAiLQ8dniRJBW49ARR8aV9F1PehAplJdP6fGNvNy5No9q7V1jgsieOQdtzAJeyfo1zubyKKQu XUl95m7k8vnUolWlfjlDmmkS5jhCW5ZhVaDF5bpbLR0RsKQWv9BAb5CNCFLhB3BdLxLFPnU9vgVx zyEyXOIBNl0X+kGlK9lUJKW6zOWbjrIuFJeIZH3BnMWydwh8qRunVWWqM4PMb5l+loSm337yc3U/ dz1RqCeId9p+DfyCJZPTV/dt0pGf3hwP1quxVrdIXyDZYv+bK7xc/pVyKJhsfpNJMUtO1Tnj06zX B3+kebwyYfBtNxjyuYVDeLf56LYafWZVnLjb7teOnMeVP84HYaKgPzYWWFe4xHLf0K2MttIXTTcP MzwS1bhEuLTAsRZR9JWv2VnqiVI7KMnvVEo4INMeRjDdDC7jRMn3QVfR/ibyn2+YA2WZ0aIzRnTb /ropQMSGn08QVeFXiAqOjRSlrxcUW/4cwrtHPIMTMdXM0oQ4sIvfcmXQOaX8XcUucQAzmCAXrH3w BMnYSsgoX02b1Pl2ybJ1JuWxylhnoHNayFk2D5TvK020BO+rc7Oy6mVJhNfQQBYbu9PIfHDZT710 jz7upS8HQPS+OXA8Uxd3Bq3rNFZUWFn8tok9+DMB9x6EfdPdMSZNLQCDf7AzlboHbzAuxGf9MdkT lQ8V7YoWu8ZJPjv7qxK4MQ9kKrTvql4uWJZ6kZ5sv98ACHekr08a0l/inaF56m4gGuBNwiTC8xS3 7TqInWg8HaD4yVl3m9MY5Nmm/0Czt0SKcKOh2dX+STeI/liuJkFWCFRpSxPXTOMpSz8xEuFgE5Va p3d8Mnmk9t321zDY5fm+6gvdUhFiTSmsOGUennoJVX9+ulAFf+j83zT1/nhpJUFBNzKrEO9VKbWD f5PIwtexz2yjnWZO1g+OZb7D8IHoX/R4nGtmKCLxoAfZWpF7ZxVGPzJb/UP1l6Qa61MPhXHjOs9W gsFbVaiCSUaTaYEmvEubG4TFem/RdGcRbxBF+9IC6b0iVBlTwgeL95M/CCZkStGMoksP7Omr0GR5 bLk1fsl4bkeyM51P/vagHz4U7njYGIuYUXM1pUG2BSspmO9EZoarGJ9YpogJcm28mLcCs87u6oGz z/PYwEWbTh1pHRgvHpYzHHnmXQV3B9TRssP3YeBXUZFK3x92Zkqx4gdMJi7ZEEMyZTnDnOvFZ8YW GUux03umVCX1JgbWa7iqBmK03FPy+UyEPCVFicM8hMK6Me/DN/a2CZs7gVT9+ziR52qOfmIxuJdh UY4ZenoyrL9dYOpeukunfHH+V48+GFG1yREcGZev+AeHcwUp2MveAYRN61c+bNLFTqjmm7ftl2nh EnO6Bi2wJ5XgeQATybsYPn4DKzZBF4lSE7Qd9QM8CXlLjwZ5rAl6NJ62Qx7g6Vkf7LwYOgn7afex ae1UWfGMAdRmAzXc7Ttv4OaebZjmX1ZW3DifDiM2SR3XrcABJjgxII59oY6pCnXcE/MSLwHwwRyq smje6FptJSGg9Et/b1wI0LHPYkeb3533jEzLBh2bYcekIAHMaJUFKAVjYNKWysSXgMln1xaUH2kv 0VBOrGhiA1DS6avQ/l/cQvPp20u7wxrkDmeJBo4G3LJCwbgM7aUIgFlC2LjTZRmFD4JtLPoP8dmd 1o6k1a/IJcMADFe/Lr0HsRssn9hzlxJdffkG6jifrO1qqf/tDk3kbdB5RO3VR0jNkdWfR6sqjJUD jhaLm7vv7PKVxLxcPU3LiAWHjsXxXDUvdioYuPM1j8TlZ7lQsTV43/MNu8LjpN557ddB8a6jEaIS bMP+bwjd67/zgO5TaQ790nJ1wG7gj+3gi5UaoT0F2IRj7sNbRqnemvQaqR9gdOAD/5tcQcsVj7bx 3ajurgyo/sahyXjYTzvOJaex5odNAdLrVaTsRVUkRXwtjxfIfZAlM4Hz8yJFZ50ykJQ8y58LFjE3 7p4+/fRa3xsAd3uHkC1jVO4EF92wEDy+aBM5w01LuejkVyxsmeN+JBcldwEt3iP0DNfOzjNMOvsa s4X9YGOByK0iHrwf5qjGFiJKafm/ZUHO5R0pmRkhWfQToOur1Bx4HTDP+H07keGLhg10gA4dIM7H EhQNtJkVoW1WiDATVZ+sU/fL4Ixu2VTKIcauyF9BfyVt8E2Z2KibjekbMXJl2N8HusApqIHICgqk hiQ7U+Bbby96fvQdMEtIWc0NbEFDm1ujfah+0ysHBEjauyg+qVtNfPjK15E7FbjKRG/m7vqedL/r vR8e4EKnKqu/dEWX07wMtn8gAHfsUGVERB0co5T8T+BIWTMqEpCZAU69qzg0cAgF39qmNE9ZxKni UFCJVYbqJlCMAxASrdPxcCVkH9PDTkQpa1SWJ1iVI6NCmpgFFGtyILaFPaRR1eyZTSsTXr+vsNAn NAUnzTG0NOySeheHdipH6lXhxJjI/PC/cA6HrBnoxuKMnUXw3sjve3YVjjwQNrF4/fRt2jxLdy4O 5qJ50TJHuvrerk1xyr24kIQyqjAjU66yZ71fWvUdsBzqwm13Jqv0nC4TRRQw+dmRmEnHqo/Bjjmu 8vkMVvLic8k9cbix3Cd7ikqgaqVLH4yuQ/Y1sHii/69AxzqjNnOOM9MZe0nn5k53WVPZ8xBClnES NKmNoN0n8HdvYBOLgST1GpgcIEGdYZ+4gLYdowDQ3a1OuOHNSDYugLTfDT4owS2Oze8BFjHPOT4l fl/Etjmyhz6CW83zU/9kg5Nto7LnAkbPuaCywZRDhNaZJaymTi9/ZH+emYty6XC3dWDLbmyotVJt 9DwhHAQJYNjORdRUvcoXVH8PbBlVNlYfIUmrTFUrNtM1Cf/u+EcqllB5BxE2TcTkebRnh1E4EOmy yyFRLNAzhaA6PNbxu+WrYIbvk6DUf2h247dG3uVoXLIfqK2brsscOYPTc+tupKXTt/1hH1cSg6N7 dYojf+HHM4x9wwIfADJx4KzEqAn2GF6gdDlNcUHfAeTAlrFznuOpmzACm7O8NlbAc3rzv9OxjgOX yYBO+S4uOv0QC4EU0qg7zvXKVD33rg3Jqt4UW6SavC6+GtfGc2zZKHZBg70mj/OyxFp+PD3h7L0Y 01HEVipIlKV9dDhA5EqswlyksEN14H3nBkv8cdrCrkWOGC+x9KHMM/xA5Gacj2EG32YpqRoWCcYi EfrdxDxk+gxzqCjTdmLYPItYWR0ytAXe+FdSwKpe8QYd/h3KYiG3I8GiV31mcsM2wGTI6NeUoJWk W2JGJt2DFCDtwZbUQ51x1u3s02NtQr7FA6PBRd/EXwQU8tl5u9WIISuYVPI6/U0teWwdueO62eAy 71GzB4oUQ3+4gcfCp39D4NGYvQkVJcELuUflXw2rp8bC526zRjqP4ByeEqjfitXJ7mEw19xGIaq2 tE4C/+ct3SOBup5ulbuL5DhIF1TUuNoYOyUo1mqJk9T0Li3o6Kt3YCgNvr2sox/01hMkKF3mG0eq qjSYT8df4+2CEl/Epd+ZN10x1oIeRCEeMILqkSRr3SjWtZrGjotT1gWgo1QO8SexZUefznOFJbo/ jypGJnes3S6nLzil28rfcayTrUxHdjKYUuJWDiuXqzjeK37yJ6UZbwQdX5r9aPEH/3DXBzsItbC0 62s0jCB2N8cTGIK8Bf4kWG8Z6fWUekcWEnDFfOwhZ+usFs1uI87sQBGPjSafHy2M0Mch3R1RQpYz dnjAmjtPYdrAEjVpM5YnRPqlKa/D81KlWIJZqkeRC/7AytzC6T71OKgjaxNduwiJkimFyMfqu2nZ +cExDwYpXCFlp9zU1DJBMafX78cEgOjnNf/X+8XGhSa0E1CZTGDG71HmJ2E4JiQSsAbAt4n9VXO9 4y/AHs2LFdmcI0NXm3hUKgxWvN/xqSkWfCaqBfHuO6h7U2Lr6cIEQTMCetYZzJFUkVxWJGLuqfpn u+AWPLMt4Sv6jkRe42dTOvbH6Dz0Rp0+tyINxsSBoZRB+khWawoEHRLbRJJ+7Tooz6NRzj0pkrYV iRaAZR9r6amsheHbLy0FPF72jW9KU/fIlOtAV6BfTo0lIpLlsY0PfZNQoubqyu1ZnkApmlTbgqQL n4Pcxh7kME5t+CIykapoStdvktQzTiJtolWab6aeVGlRp0FFz+C9paP64eSQwt/MjXX+qX02Qix9 qSEJG29SDHTcunP4/G5ZIyZiY3ni1/FhkbbW1lQy0+ErEAxf3YmRXMLAt9gr0bUkHsNNtmO2WZuL V0M2wKUC+9yUSojpbObg8RoBi7SUj5rwM+G227qZL3uyX3okZuKQipPVp/joISclR/76/WKG+FjY MdCdFegGf7ki5nxOv/yNo1DQ8/Sd6ic5ah/ENZKs2Hg/gM+2Z//CgFwgkMVhQRjmCOM5nlQ6vEcv oxu2aev43B0E8lSiGEUj0vVyR90cDfBp93QVj2z9bXRriOLz2AAEPRNww/6r3myXGMyUI8SymegN RLDxFrL/iIxliQJJh58C+UQfp5tyo8EElnYOJG+ARA224zSQWJ2wM8H0nUM1aNsc/wWkjcoQGpy3 gmVDM6B16+FuhUWkyn8c4OmMYiT4Lo9eCZqJS3l+USpH1JjdVeebXO2RT2okZRHkzQ25j6KOch6l AEiWzUE8G8ei5SlA6PlpSfNJKmZMef4FVYK88XVW+4lTPHLGwznD1c0yUYaNn6ZTvSP5GaIP60/E H6rkRtqKC+L611toOl2GQdSV08aRt2M7BJO1Nfoclq3pRSz04SE5USuOFhs7y+VeYtOVdF0gEDag eUIeXeCKXK15a7xlHNMJJaUShzqYG3iFhWMAwMPb/dzBnzbwSxYwglA0PClPhD4VNqfKAyVBgRsE 5MrgGVriP9QxVMAwR3tTM+RhJB4yXvFtD0ChKmp+7NgPWpzasIOt/YubHkH6vGJtMxn/tUmIyzKP mAW/wlfHPbYjfP1eP1VtC4fV8p5yMbaGf8eyC/E08MgknvwjO7qEEgNtdaP0235qt4+JYKlvtiU8 dYVmaXmOYUnVcPDRTk7kBXX9Qu3CzSN7ELY6RtsFvJj5RCzPznxu91G5BvzHNMAKbVkqu/vTog9x SAoF2U8r8Sj8Ir+zXp6zv011q4ChQRmJhVcn+O3+OH9c65SHQt8xLpe5NR8B0YK2TguICo3pm6Vf yxE5Sy2H0Ai9Bru8rZFFTX2h7EzCp3FknthokmJeghlqDgyUiufez0pnMx+PEZDXXjvhirRaCnqY vr/krl02XKgr/zcfL0v6v/PEgixbB1nl/Y3e66mvwNxceFqvhr40v+Alw1SJJ3ndzr4rMpudUMAs KN0wJcIqDiaQ0hhetPMHltEh+tLKKjRERjrTPP9qBydzJsubvy0hMJwmJp/5y0MsWnVU6/7+fDjf 0vyfBpVJRYlyEAPPd1QLa87tpubdvu3S2skCq6zuhIdcFgp6pDueOQ9k09Q8avVGypMFSTz8GMdq ebhnza3l51jIPmWM21P9LySR33wNyIYu5D0IqT+ttkEAqPcEQnhYaww9ljMrxia8321SkgdeRni2 vf618FeAFimImF5bczIfu8zSoS+cmD3DuK9pIjWEvNHaG3StwoNXFZfP2R08vkxM/GbgUTO8EnFp PzEhSfwJjUfukoB+ZaXI2Vw9a0hn+KBuTBTmFf6r5zvbGKbWMD7UH/yWTpHQVjzoObYXlMZsWWkx OKIeiDDHWe17P33wB2iIqgMuRhQRcBa0SfpNbz2iCzjbgch7ou9Lk7xs9Xirr8LehNIACLniiVbu D2uA9tVswSAg8VwOQcWnMFVhO2BYBcnGGNcVyj+CdaJWxGdJoJ0uZoT4f9/FikUnSJeLQ0ozng34 VjeEvzB1AYZWSb5dfdoFL260vvryPOM2rraxsiy8Y5Mo//U08lhuPBFpWz2DJCpgUNNFdYMIeCJp 7V9H1tt3PVsWwRzoLXVm1xRdl2nCt6g9HOS68AvW2kym4StKSZk25IS1V2G+uc1sOmadqRW2AG3J X32s2cCy97jURiqIIPADedm6W+SHUfN2op2ed2nTKQZ/TpS9ZCLJeMKh41xJ459/CQ34ZFawC23H p1LrPS7tzsbIzPvmUo2DvPdbolVgJpSS/rzcDmRP8Ka8Z0MiltYQ58MoqrUB5Smvic64fGd7uSzT j9h/4y2HA9VZUbh7XcqsdwACHVSFZgEvr5jbvbiUqab59pfQEKfxYVYFcUbQNEAaKWw7T3PHhwHF R4EN4xMa3dbiEhMfBg6pkpb9HAG5wIefdsP1+aWYToZlNLfS3nSf8pSQmWQZjHta0+XQAX01qfYy S16GqLsWPrdyCi9jNSwp7VRMMIhI0buRR/HoqcLlU7b+FU7hq8B2ijGi9I4tS/9h7ojyuJxI0l7P EObfy2R6YOqGq2SWRPSLveuTIKdBrv9wYb3P2vTS6uRkH2DBFFoMofyQTSgorawAlA/gLJiqoW01 LxOWzF16wRRu67P+blby4mpI9FhL/z9quaoaUe4Er8Ks8C7HaQ/NGTYTiNqhrnzmXoJzSj6Z9RK1 /XaG6siTgLRStZct8s9oE7JX8EV9NGEiTpOAJnSK8F7QZVbsIFLMRRHnCHF3/wIzSsVCux9nfTll 4a32fPxuSdCHnMq1Yd0vmV4aovY4G7vsdcdG2rcg/zqZEnTVwKAV9wri1pAYgG09zCJztObfcwhZ 116NMOAZrXCIfX4KKZEdYLea7thqeS8s34PyLh3B0RndBzufQL4eHTN/IkHMDy0peB8VSqYzXTQq l+5MqAJN/Dv39a9/FVDYLsoYHt9XidqkLNibydNgRWKq7Gq+Ot3ip6XH5Pr9eBVWUF3fbH8MOwvq yTNCqrt2kC8unoQaYlwW/BzV/if5dXFltuujtjNx12pgQd3e5VLKT79MCAgBJcQOFj9LbUkq1Rtb tagQOUEJjAErKPDbD2MCH0OEW3CtxCbFUJpyQfpJC/c1zqpCRnCT6IOA8nlQYQ+ksVSDROqwlY0J FKo1bfR7eGXGwdNurkuMZK9SYXhsUBVi6++ntnOyOZ15ZyFok0BiK/6fbXT4kIpmaKlvJrkXbQ1K bH1saOWeGakFoKlmGDjU6db9jyLOdaaWZehnCDQy0ZIp9w/Cq+0MjKovCeFN53NlkcoODxYWlcaU 0P3T03CedZTL5Mspfe0/3zjWWxMAlrslm7Tk02k2rwsarTR2o34R97kMOMVSgNtKgfToL8Jya0Cg Dp6fSBk54QnB9sN92kiYfYEGXnlj2Kb59mhLjfuzwPxv/g+9Y5SypHVbRYGyIgnFBoWxJC9MjoZ5 cuMsTrxYkSwodcmZlT5O8BDaAh6mcjjo4mlPv7cPou6H/VEoIjTiXr1ipOExhKGb2x5E6XtB3rdZ p3pKmsdV6G8gPTr1PERzADxf8Ts4Vs0u7r7xi7vLem+1hCKAhI7fZmDnUvEMlD3ZfVTcXg9Vxk+t b6pXsd52apaSK95RTLOHZ9pJ79u11fEO971mKDqYSZ+sua5xojGX8j3hDOJ7RSLppnf8HLEoTRza f7J8Rd2YdXF14Z590jO3jxu3sF6B+T7wJ7zCjw77irEVg5Ki55ysq818EnZYi+ysRQBao+c4svnA VhGFsePdpI6F0+QpDfo9eDOor162rIrkA5y9EWdLaOxfwuDJWaN/lvYiU9/L0nR11ARKFL5BxPgC +i1PQrhnny3mS9RYtDgCMRW26xijCS/m7WOAGFE3t4xB8A77Pv8i80gX7Xb+D7gLpam/kJkBXc8t F3sTlpDJx5fCBJhLwahvmzr6x5h2ZbJsSkMdd9OayUps9iLKhIWDszQ2NYaiCNxBlIFFoBcstRqs yIUD1ZvnnmcOJQ7kFHDUuJdp4TGkys5qbzruFNJ6CPJscAC1NBapzrNuFPFVXlG4fN/JVgnflE6x SIxQd8Taoidit/1NjA2lxJ60E4+jZzP184S5G/Qz+GOL8sc7tH2BMM0iKRZlB6l+L2itnGoAa1w3 3xuwcFxNPpCcsRv35qXgtTms++1VO8eonqOw0ANfVzmw3q11TelcaQjcgxcIx4jTIpa1+g0shoRm yY7WRYvSMQB93phn4bQRLeo3VTxyB929LZmiyPu6JikJYtFUF7Lw4c0dAiwmZOfR1rERlaZ3uPCI /4gOg7uZreV+ioL3uuT3Up9P/rJ/9OZ/Vpwl8igowaEt+dlI1I9nk+K0w5nKrUbynB9ZR2rd0v+l M6fjKeYN85QICAlhpxBfz7ItmQSHedCY2fEqe1tZYVmq4G06Q/S1P3eHa+TFdDkgAS8HyHMesjIo jc3Yo2/P0w6F9jDDGRjOyBJC4CpVYzuwHF0kVP8/aCXlnhQ0jEB4o1HKy2H7nth1saubMU/uwNXL QEAdNP7gezVqSK92KV/mRFWBVMyt+2W8j6bMB9hd4io+CptVWWd7fI82BAEXZHq01G3dpC9QL1F/ nu/QuFr/vze2HgCL+2NmtNzbECWRCwwoaZ939O/doC+LgUl8+2765l5F2qL1VjgVXyewlLm5c2xc VFDzDpPzkghSWr7F8LQFC8mPJ7onfuiNTlt72EVKSQfPNbS8EZy1X/1CWvQcCe8dot8JpjV5fYd7 x7+IPYWeSET8EHGCLN8Fd3s89A//xyNAAwt3lKqSurtzDJoyjly0E9HShs/cBFgCnI8SHb2WvLbr XYw85sA6/iKnqQWOVaPRq7X7+cVlffEjrqnogO58Sq/bxAV1EY9IAgCQGB8Sm/L5+DflsJc2jK6B 3hsPRJ/gnoJfcEbeHIJrrZ5mMFozoSdOPrGlaT2/fdgIrdlxT0aP/gcND83Lb1xHFDIgD4RYgUYq 1P3pg9CasBWRGFoH2jadQDM1rotziVJstUvXVEZfDAcXASQe2bkSFMOjodvy6Sn4q132V78pIrYm RTLXLx1lP8sx3ZVNJFYZCvkV4Y7U9jEMoOy4lWC2+EkGWTQaRX+yh9w17RMzAQzbmkDqzQB6j9Vg So2hzh0RtY47fNZx6+EPrjUjalBHiAk0YoQyZW1Y4+4zzAdLSCldmxsW9dJeEJGcs4GhpFrYzkqX ZWHIKKqHSfaPEi/Zl014N+FIyCmSkSGgGc1OO6G9GZbs3ExkB5w/VX9hmHX368aesN0zHMeYUpZg P/HNqoltXzFVxy3A6HwxH62NUh43O9FcUf2D2E9KqScGGEuDYXWITfQ1JmN5QAcxIlN9qrYN+8Xk zN7DZOwQvNDPA0NZA0rDoZXT381fctpJHz3oxb4+kA17D/UW3ozRDOONl6I9U4qCkhxgSbbnFOL9 N6HUge9JHSMPJp6RyPom5zq/PrkbnFZunp/BOto8vKy+8Qt7Wv3cgEsikBCtoOtaJWnwMN/o+ypR ntu3Aj9epD2rSh/g88yV735H/TYbSega0VzLqsazf3PtCVXXoNzuEjFeIV+R4Xvf7uXPI6mCig3T FvTtF1t+J+B9IIoLDYNOalCx1MGeOHyV75UN1zNOOjNAXvdfrON6XBUKa3/Zp8HD9VKBV37gRKlM w/R9zTPAjWqgK8MtTF/kQuuxZuLRgtkob9n8BIvb5psPgbDpCtQ1yA8E9B7OsSrdH0jlPRTuZkHA OOaPnCSNV3I+woW9Qimh6zovQS+pTjBm6UAjQsPCGdxuoawkhFH9jt9k0Fv0Onjd34mRV8Heq7XI MxZdS3NGAo1y7ZSieok2xfvS7DJQFD++05SMlkXflB8srv2rueNxEA9cpUUPrxJgoK8OizOmI0XN XKcvMQeU4EnTSUqg0NwT8pkpl4BUyJlAhUuwk8PlMjhmtm1cNgWaie1ptTQOJQvuBfB29MWAal05 NrC73J9nE8TjjYGJV0YMnhi4Umgq+ewfOUDK8oOKwR3b/Cr5YQyDvERgG4a3/N29yGjx/POSzc/g /+shSG9ccnsxamPDa18viRU7TLPGIeqmyP7YJ9q0fnLOv4ny91TTxt2xRw9Y93SqSXe0YluVMb8m 3QfxrJoqxTKU3d8wySsMDXRsUYuF4nAZCAE16kZ0yB80ERN1RbyLnON/aSOfcsHNH7MLs/M1DNiL XS9tUgWYVQhBsr018egIKMQtWP3DL7rTy3bgS4VHWyveAic5Jb8mV/Cz5OpI3s+Vf0iN9Teco4eI SHscsMFHSxeYO6AeATnG6IgUYcxkeJmKg54Y/ar/3IIoJZJGyS/fh4dDwsxtdHOA1zwVB40ou4Fm RdKsoOj2X1kzl6BvmpCDebYdUXse9OxoQbENLW6oho5/sM1pDfdPsiOhwRslgHjT6f5Q8EDezeFk k3NYIfkAtbyhGrXB+6OePmi/yuup7J5tfr7y1x5TzcvTl8vLdMVAJt8IFqhjJxVyyxNT16PiI5Z2 zsf30lu4/iToom35rGLulewwlMf3cS7JYgZED1UqKd5pfXvwqByZuAYjpYsbWPn/EC9zopbxNg3Q dn02tzojaT/qTKZgzdmHhPK5T8B5VQdCjGaXXI6DmcKJLVCkeKK0EpYPYqwRJNhZlw6PZNp2qbTp meNIpQVzPRpM36yK9cQQPyKqqZA8EVJwC8OllMzg+G8eCmtzWEv/6cGgtAGwlHzzPIgw9A+/6HoM Foj/VnB6ephfrvTju+NxCXITDdYZd4qNthRGLtZ2TjMQCYr8r3yhFigkBOgE8qMItpajRv0GYcei PkxM2tKQOzs9vs0/SVtBySi7EDRZersutgDRKCEJjTAsTFvRb95/llh1GSE6LsUfAwiLpm6kxEH4 aoBRHm8FDFqIA1Oe4Ek+uttjOi1n49mCLz/QRRahqHXSyiofWAmuqFqyyviNXjAPmh4yiG6Cq75t wuYOF2AdR2mxhsLVjMihpsXVr86q1f24cwAPNxhfaenP0oAer9H012bU4+NLzfeWIqWiKoiYfxyp MQX5IV33OBxN5DWxSCFrqhfn0xVIHBPpBFBVx5afzV8hBtqkDa/CUNk9plOka6IoXufgrGOzjgAF MldWSQiEIQ8aLUmanklBfsEIBIKLoPg74CUPTs08MzPpP8tnv/si2JML9qS4HUXvZ/6yP8+Y+9ua WC+5tLsh0EX7qSzWWoGkV19FU1mk+Nt9K4CG7mMUOA5LaQRP7ufQk5uU4F4oU3JI2RbKGliMjfVc UcYqKTZbfNeq65DsxEqstpHSVQ2yRWAYpKJT3+MpHNlW0xhxJnjr6F8UiTdRplyW8d4Q7GuD52TZ rUO1vG68IDFnLsKIbelNWw== `protect end_protected
mit
31816b29ef70bb02110e80ae3e807e3c
0.953704
1.809804
false
false
false
false
siavooshpayandehazad/TTU_CPU_Project
pico_CPU_pipelined/RegisterFile.vhd
2
3,346
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.math_real.all; entity RegisterFile is generic (BitWidth: integer); port ( clk : in std_logic; rst: in std_logic; Data_in_mem: in std_logic_vector (BitWidth-1 downto 0); Data_in_CU: in std_logic_vector (BitWidth-1 downto 0); Data_in_ACC: in std_logic_vector (BitWidth-1 downto 0); Data_in_sel: in std_logic_vector (1 downto 0); Register_in_sel: in std_logic_vector (7 downto 0); Register_out_sel: in std_logic_vector (2 downto 0); Data_out: out std_logic_vector (BitWidth-1 downto 0) ); end RegisterFile; architecture Behavioral of RegisterFile is Signal R0_in,R0_out: std_logic_vector (BitWidth-1 downto 0):= (others=>'0'); Signal R1_in,R1_out: std_logic_vector (BitWidth-1 downto 0):= (others=>'0'); Signal R2_in,R2_out: std_logic_vector (BitWidth-1 downto 0):= (others=>'0'); Signal R3_in,R3_out: std_logic_vector (BitWidth-1 downto 0):= (others=>'0'); Signal R4_in,R4_out: std_logic_vector (BitWidth-1 downto 0):= (others=>'0'); Signal R5_in,R5_out: std_logic_vector (BitWidth-1 downto 0):= (others=>'0'); Signal R6_in,R6_out: std_logic_vector (BitWidth-1 downto 0):= (others=>'0'); Signal R7_in,R7_out: std_logic_vector (BitWidth-1 downto 0):= (others=>'0'); signal Data_in: std_logic_vector (BitWidth-1 downto 0):= (others=>'0'); begin process (clk,rst)begin if rst = '1' then R0_out <= (others=>'0'); R1_out <= (others=>'0'); R2_out <= (others=>'0'); R3_out <= (others=>'0'); R4_out <= (others=>'0'); R5_out <= (others=>'0'); R6_out <= (others=>'0'); R7_out <= (others=>'0'); elsif clk'event and clk='1' then R0_out <= R0_in; R1_out <= R1_in; R2_out <= R2_in; R3_out <= R3_in; R4_out <= R4_in; R5_out <= R5_in; R6_out <= R6_in; R7_out <= R7_in; end if; end process; process(Data_in_mem,Data_in_CU,Data_in_ACC,Data_in_sel)begin case Data_in_sel is when "01" => Data_in <= Data_in_CU; when "10" => Data_in <= Data_in_ACC; when "11" => Data_in <= Data_in_mem; when others => Data_in <= (others=>'0'); end case; end process; process(Data_in ,Register_in_sel,R7_out,R6_out,R5_out,R4_out,R3_out,R2_out,R1_out,R0_out)begin if Register_in_sel(0) = '0' then R0_in <= R0_out; else R0_in <= Data_in; end if; if Register_in_sel(1) = '0' then R1_in <= R1_out; else R1_in <= Data_in; end if; if Register_in_sel(2) = '0' then R2_in <= R2_out; else R2_in <= Data_in; end if; if Register_in_sel(3) = '0' then R3_in <= R3_out; else R3_in <= Data_in; end if; if Register_in_sel(4) = '0' then R4_in <= R4_out; else R4_in <= Data_in; end if; if Register_in_sel(5) = '0' then R5_in <= R5_out; else R5_in <= Data_in; end if; if Register_in_sel(6) = '0' then R6_in <= R6_out; else R6_in <= Data_in; end if; if Register_in_sel(7) = '0' then R7_in <= R7_out; else R7_in <= Data_in; end if; end process; process (Register_out_sel,R7_out,R6_out,R5_out,R4_out,R3_out,R2_out,R1_out,R0_out)begin case Register_out_sel is when "000" => Data_out<= R0_out; when "001" => Data_out<= R1_out; when "010" => Data_out<= R2_out; when "011" => Data_out<= R3_out; when "100" => Data_out<= R4_out; when "101" => Data_out<= R5_out; when "110" => Data_out<= R6_out; when "111" => Data_out<= R7_out; when others => Data_out<= (others =>'0'); end case; end process; end Behavioral;
gpl-2.0
160a4a3d5b19d5cf1e3a3fac13ebdd8e
0.621638
2.2965
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/if_statement/rule_010_test_input.fixed.vhd
1
665
architecture RTL of FIFO is begin process begin if a = '1' then b <= '0'; elsif c = '1' then b <= '1'; else if x = '1' then z <= '0'; elsif x = '0' then z <= '1'; else z <= 'Z'; end if; end if; -- Violations below if a = '1' then b <= '0'; elsif c = '1' then b <= '1'; else if x = '1' then z <= '0'; elsif x = '0' then z <= '1'; else z <= 'Z'; end if; end if; -- loop statements if a = '1' then loop end loop; else end if; end process; end architecture RTL;
gpl-3.0
285c82e53cc6a82517970892f6a7a074
0.386466
3.308458
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/fifo_generator_v11_0/ramfifo/rd_fwft.vhd
2
38,466
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block B061TKFhFgh4xgOYyr4/nhZLMxSh71FlhXEy7Rce+nrjEAd/2PXHLJ97b4fQt80xOWtj6LbdbX8i 7hPC0Omcww== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block mVjNIxQ/MwZ0KChr2DnR7LsE87y87JXr8TvDzob727cAp4NQhthyLGcDJ5EkNzXwhIXBQGKoU9Ea ZVvNKlxB6bnnE1cprSDcpjVZzosUo4NrpcxxXc8ucwm8Gx5yU20in4s2b1+rUWpdG/Pr94iznwrW Xjpzp44GbfnaatUcni4= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ZrKQd36tAcjqz/9WEZnQ3dmACgiuKHpJfaGDuJPaR9Q3v5AmIpPGtls1gjBywTV2Y1H0WgKPOAf2 NhNOzzPZ41BpN44XeKKCYAZJv3mRXpScnBXBi7NIja32nefPzRQNRMxUkm69Vs+Y3VxV03uAigSN nHceSUFsNpHU8mc9RRLdnlLvnNzniAxlY02auJUHRzKHostmwPuXh20GO9FmT/jNr8+58BnmFi8S D6jE9g4hMYttT/b+ntzXb1sZZOVhu3xNOxiGtl4/9UUc/U3/tM41WRhMACiGQgCIWX+aIFnoYDCv 3hxRAR/hEu1LCZ61ECCh19kqm34Bmcb6ZVyGmA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block OefRVl+AytsLDfQi85QIyeG1eci4AMImD2sIEX1s3WZQYw8jvXaIFJnrBbHNXgSNB0lFlfHK2aQ8 tek73Km5jOxbLV6lS+SYvXc7gr5AL8mDOpoAH8p0GM4rYnFkPjLi7ew5o+HmQNEmiSdENZkISpFK ok6qroVekLPOc8Wwos4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block hmI2DKKsE1Es2kJ8w9a5/81k3sAGzwUpO71Aw2HlS8gD0bwxxRswct4npXL/b7Z5yQVIVR3uePDV bnXEOQBiS/BJEIOr6gvjZWyvRrNs8qPBm2rIOcJo/cSHCp3hAA2gSnIT0RZpbNlT9ni1+LZYKL6E w09fADZ/0+ovPpZeK/QfC+7WkeMWwLlAhdXNTgWH76e98e93kjP0LeiFB3AY/MLtYShkqADpKKDJ 5AJ/m+AlXCpdFPAihRMaJyn2uXIH32kX6wpfS12j2ZRAHjdup8XgJrRDeS+tOIGNngkaCrk45/do QBR4X7ka+qrsnOMbzm1D7arueKb4TA52eJ6Uwg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 26736) `protect data_block GQVMr75CnlHg6jRiZgNziD7XOKx84u14eZAT+6FG2Vdweq9Hj2m5B9gr2Iei+7RKJgqFQ6Y2aPzG 0m/wqMyZqiLhjLSC45nmaCVns3qL/9S9UFpViuUNzKxzqn2Bl8MA3dNt2OsRTdUrqC2OHhOjUEcj 7c2UKxVeAZX5EnWJIUnypkiYVX39uTFCAqXK08VGlPHN//V4I/smCLQNw7xY4PWFyQgBlHIrPQCE RHYdDIsmfMq41IPREas66SSVAZJnOBlCX3I5447ZGhKy/qKj7jxiOoHTGWc4s/Ug6i5VMf5Ft5ND xLylWFx7AQ7bMvgXvOOVAbmM5TO7b9Ro8ddifAZH6SuUzNgdQjibLKofmNidiWjCCTpK285rDawP 714qsEqboIHHW7nZkGVZBIIZaNxCfDjnuGf3OFt7CXkcVWVWJfdmsFk9gkiKne45MmYHZvUuyxiG mZAQZulAxIzYFhNNecULBUgetm50MkVsA0TkWqgu3y1JdpYizbSw0hjognlDd9rfsAgt+lT1Ed0S FDVZE9pR1C3gmUIzQnSDkiINHCAqNyBpCLw4u6LciLqq8YHAvcDVb1MeF4MxDWNR0k1umzeZ2ixf Jai025bXT1VkJMiuDYn+kSqDtb/bT/0OrBtQBYlwKuARVj1cWXuI1OBiVY6oiUUZ78/ZSBz3zrnP pSetBffzSVL06zfp0vejImfFfoYkbqVhx7cNa/gfpEeeLYFRcaNx3Qf+9fpi6lUU89qvYWX0PCkv FxZ/s7dkJXSo7eKpzFQ7EkoEKJvfv6JLoR7cA6PMPA/7sxdoEi5AGsVq6rYHcGKafSkDGEB9AD5X PrvX2T7odIscFMbK72hl0rMhbcaQDv3OFVVGhybwKIeTdjQxDHOHWzBFy46umLfKdxvYS9Qpq4gr pP62cbfeq/86KwyxhqfrdN3Y7xHeg1sDpBofNMq43QxQyqXuJ3SbQug8liqPcrCG++ugDNDh/WpJ W10XMo6Gbaqa85uCCNhf2RP5vb2fpEFcry8nHEgjsrMSxfdoxkaQhWAqwJXvPDp3WJredmjuWpDS mgy6ceSUWxFaohQdWEAmx1JwQ0wMMB+BFCIXCXMwcXn7rsB5EhRjooz3Pz8JqvbDWoTUGtJL3WiP eww5tx3pYqUgxoV7HeqAx+oSK47wuVhYI3LINUJHUgkf/pDQ48EOECviVJaB9sACWylO1EfDwme6 /yTIfPXeKD1PMvWzsV/dK5aDkTdUZnvWqsk2Fr2gnSWzldlUJdOy5vUTwGkqRxZwJ6n/AMcLjTy+ Yso4ngN15b/ZNFY05Ce8XAiYQbts5dKi01/MHIfHFWX4a6a1NgSdyqJuYB0J1s/kqcd+qzFxajsv H0XieBRZK0fEiFX6NFrnnBOagfMUfOcvak7evCOpYpsJfz91x/dDXfbTH5EcntoaDl639MJ57ZBz J6+9oBLRAU14h3GSkmLA4z0cp5JyNjqD8sUV3h7AvRljV2GA3jE7YIhunZ5YZhG+vLBUA6QV2Pi8 PmYlcHjGFOYaYfaAZx6gEtt11I/buFPefVH0OWq3x4SDCrEDE2DCeAIOAxXJffTIcK2S+xajTzA4 Wwod5fuaJWgW39EDrOmvLkhGpQ8VsxsntH4m6IWxdNvWAbcG/9PtbLlJoBzd+ZN1I0kczbV5C+kp 1yzx7V80cWuUJj4ghIciDNv51baiMsHNUgRA7VLCCPfpMcKv1r03ORl6tSJ1yfLfZxCh6gx9RO/b iG1ufJoEAp9Lip6l/zTinLitmaE6bZ4IxbG8mrU9E9z41rJ8X+jMqHaaqMTu5RYd2nk/RaOQcxzR cRiKuQY3FhRdWWcL1LYcAwLWqtDnz9lr/Rf90stUhs2Irr03AvBS/owDbxDO5qnbmtiBP6MBVobH XEtcTqjkTDFj/pld+TP5jQzeD/v3/g4LiYTWfxF2loVus17e+Fzwjr1UrWjeH4u9RyLegeXxzotK ZmT573VegsCr9PjzulU92HAHonqJOw6fS6zu/HV6QtOGXECk2FE3Dw7XFRD6puHf5Fu83bazCcLM OSwS9jRgnFC691PB7bSdrDYmrJpAWVyfoi+X5cTc9695rE65mABnfsIuHomBpW1TaJDgiiZ4807C LjHFDZBWvwlJVDW1tPQU3oLqy/230m04OrJV8md69SdgQGvTS9Hssh+pRvMqryTen5btlLtDsyov 7ns3HWsU0VqMpH950hLR2KdRQfQY30jANN1ZesppxN3uJaHJqw8KrVXJ8f0jo6vHE6p9Y0WCngqU iGd2pJjpMO5I94MypuyldDl7XTmhQr/QqBmZ6EaQojgqZcNHzF294gomxytNswuujC6fAJNGd0t6 x/OrMGzYVTXHY+ks+e7XJjT5jH/8+63JPgHdcqx11Q7pucrqklqO0xET8UYuDtZqUJ6SAFQ41PPb A2E+IZs/roP5A019tkIe6fyCcbbgtjgZChvQ8MBcI6tUbxLKaEcJhXQRO3CeMc0g8VfQgX1TxxkG xeFs0uxvPSdxIm4PZOOkn0YeaA2B+jcMnRjPcXzsUyB8x4VGGcbq5qm3pMQUZDRY37AHHj2NiHAQ rq36I+wROSJEJ+nVIVcOWKO3QH91E8QK/znI9cEfP7UBt/NA/nm8jv3sM5wNsswqwrQ+QAMK9B5t 8DHOHrCgSw6noGY0UXJi6PXi7+DrqRGOeW5Tua8eP8UIHNiiDyDaqJuxtT3XgRtAcZ0IbMgb7RGC RyxACUuO7hiseNpX1EAinkp8CAM0DqATt7DaZlAmv8hhGRC+IJMkI2Awborrxe6E+cI58UPwt9LF BClkKRlrjb3/h11ubZzFqdZq1GVFT1OGTmRvcyOPGT2xdCQEJZxn8S39ibvC2om5nN9iltpyVW1M yki0/x4mibnczz7C4VAvWkoP38EtXKE5w4UydwEfQm24CH6LjoLlCa+Vdu/qi67+z7QiuKbicC/+ PHq1yCiTjoR3/dTTFRd9DOUpiH1TfhXsz2PSfT4/MT3x/VQXwd00sLSX4ARCwE7NrH2Ck9wzjCUQ cbCxj63nZb6jwhLzmDLuNP2qo8KPryjkEehsEkF2Vmx7lk2owEn3Lp4+XIR8nz7l34d8XEqDNevJ QzHvJH3mp3t8nB5Dp3AsawnO06z7M8XzYDe/Nr17LIJXH6RAQvG9LeYVSofA6JFlMpXgS0he1PwU krLCBT9/LNjt4vZivyIyc+9xhNHRwgq+pfcTDMP4j23FB2wRyLzre40t/vnMGRPkUlkw2bYIOzJs XxLmet1hgCM/JpWGlaxHaFx5/d0ZHAPVLmy4cwvDec5vshyzdaDaI1QU/zuvS5DUMmohbnwEzqyF 4Pn6eRv3ExCa2Iyx4KyvpYBKSjQW1rRLKfbSr6LelUFNhJk5WGuQr/etQO1Mbf9gbweiMJFeqnk5 m6wa8jCFsSRr8fTGaL77cgEysZAqiPOxfjfR7APvNg1XCLL/5hxjnhwLXjQG5zbfc7E6fUehDutg vqy3v5tFSiBMjHyhOEbUNHHxsLJuaDSPRB11FYgbyLO6Mi+YC+4RPyTqIsR1/ZKOD6ydc9n3g4ze 6yZM24jl/kpJ/J5k6YpDVRwZvjwTSD3QqOG2WKgkPtf7cXYqjjXe90V3Qlc0P8q7bLIzYERjQ1WK XxX+sdLYdyOXK0U+Lt2hPo+14EfbSgeKJ1MkZFePi2SyTBaRj/YEjg2JwR1sUOSni3WZ57Z58Nu5 sZRco0Rf6Xax43vRCQMlykdjfNpS4vztSnFZHWQeUOjyzsv2FAv0kFnICRHwlZhbunjpDrMTHnvr Nkrn8DHx+qSNoj1zC02omdJLoL4vfHoFZICS6ZKJJbXsNLGonyf/PFyiQm8+E1YyAmFpCY+S0Htz +LXSVKo+fi+ZG2cLQxvjmF2oepFyjeRXPD6rikiaCx+f+35Gh0c1EDaZgwdSau2oBciQbT4gkzBE nfo33XSHm8XemvzjePU5kZe4xMsqtwZ4FCD21RjjrzF8oioTyHLV72Dc0BLF863N4Vq++OFfHYYH PobceowJqNaZgsMwT/ueXXO3l1oPZmn2Yt52rJxAJsfFUZ0dK/3xObqXtgU2IHoxVhGY9AinS4Zf 9KqznIQy0wo9a9yDf1MnqWengsBOtHfZ6iut/2/ehbNQ//Y0ei5ovIw3JKv/7b892NUqA4+YAs4Y Yo7vbm6RrGDgVGdM66Trnlf4hAe8Hkg9vwbiGS9LTpqQCUxNHfoAWF9xZcIrk1HrRWQIRl1AQkNi 3O1EHssl3qdXRv1FrWNQxeJXcv/Xhq0IF/NR79o0IPk8LEc6B+5SScXDXmOKeU1SQn29SufOzFTt rD9a4iFhiU/CFy6lQdCbPbUdwxGvmugyBZtcq2d3mfvMkLQQUhMC/Gh2c0OEA2OBgGZMT1wirPXo xydMhV+xSBjCEdGiGw8c6cby40+w5ITJ5G/Rys2/a13Sa/TAKMK0UyKJWzBSJ6vs/BP7o0dTClTw 95rImTFf0HqviI70giQSzZGgZkX5XcN3wG3as4wZ7ecx3ij/4Xgfp0GbYjm9RG6osfGMWVtMcRMK kVDcGi+ELUGFi/BgcSLkBi+s1mAzga3jpsHbSRmfTc5Nag3dAqmBNPqvLr78xHIvrLXQt7vWUHkt 49Js5exfuCk46ZciBsCSeh9wFzBykFQFA85iPJ+zHjc92SfisNiLWJRG8moq90srn2Xx1Ycm2SPC R5ESgZ++j+yFiE5dXVnYH2CNMUvp48O9F1fIZWKgCphiq7oH1RQ3yr1MwyjJtvtSa6+cfgctyAdl l7vsWjw+M41tYsSspcL0DQV9HU45k/B4QwmmFNk+0+1ZbsS5eHp8lmAE7h3zvSRPuqsks6vXa/pp Nef882yAwZVrJwLYnVuVO5slqWpnf1NdVnqI7J/RTSnAU//gQOknTXdPdgAVIT55dAwnix33l9QF NPMYHoMHR4nT0Lw/hrPVIeODP0uVoWV7WK1mGQuAFrAEKdNMdNjUQPvu/Xes1Z+sINFT/2Wb/WSN VkC7ESkCgfqkjO2usxYpWZfQtG1PnA6QvPm04IGDxgWZmymUhaPrEGSU7zDK8dsWuiFgGNd4cMIi biTqxllE4caW1FLiN8GlDPYsqhVLp2fn3HtKS4PQcC8aJkJn3c/xuV2B5YHqsm/N1srlnyHodoYd Hvsc+L3csxwQKyO+p3Wcjtt50Dh/w7l5cJrxgjNEG+kptXbh/2raE6n/vb/+iPISnzOBnQ+0uegX VezyvrbYAgwhJjCUTG4GNS5qyykChXN53cquhvg7fgRZFmmAAhUq1rfx+uAqwJlHgYfS94hFGnaQ /TOW41OLnnZLW97anl79yjqPZD+ubQiB7oN/75RKxiyT8mVbtVtZETQ9hA6d3eWh9DdsHhODTiDZ kvsnsopoiZkH0GK0rQOdj9h73ONeGWouBPCVS+SLf8kPQj16AcxtsEg4oyojgqDFHmWMkASdGOOu yfWAEvChthj7rwxWG90BhRArRphCVLffRVzSmP5m9qfKx5aXgBZNuI9i0xiRLrk+FdMCamDS0YPF m+zTCdU86NuhOBaezHgQ9DJI6Krxg+Dp2TyLg6cJTYXtJfrHjLptHbohHersuuIvAQJOOjZXuPZe ABmSwGxkh7zUVLqz27f5QB5/u4Dk/VQz7VP9hhlww0OA1v2lJz4Ua+Uhjx2ziiRDq5/bWxKoz6wZ KEl/yIy98mcPkZDQr2Zl7lSMzLFPVSGVHnlzFw8gGTlfFGjC/vN9Cejppjtb05wccNYeUxY3NeTI b1Y1Sl152uratDF6n1mOLviRSMjiQHsT+i7nkZz9m6gEu1ytdCC1DEMWxkfiwKpIRUtahV4zFDge VSd71RbJrZY0rSPkqNbCFmKBUDfpfw8pVE0oJIEV5EMKnu/9Psi46tOHNRVSPJ7xHK1hiyF0W9aY P2QRsDYraqlmG9qHVqj0TPEdyFXkMQYwAN2gmI+NW++1icdwaS8xqRlpUm6jZzmBZG00O/L9ACLp iO1RGsSn7aeRD5m3TKf9Qzamm8aCjhklpV04/yUjMN9aa4hUcMZ19e6d8YonTpeqXsTazXpNDc3v smShsClEgiAlhHWpzyLXOnk8OlKv6pyUEWgtTygpJOtjaYUG2yDlz6aH3jfDoZbjmA6mBDDAqu+I 1EEGXmml8o9/EBEAQc5ZDodd07vXvWiZ6e+DtEHgwBmVLZOmE71XJTj0kNLqBKFRS2CwldmWRs0g bdO1hGAKy044nHCmuC6aK54z9LGsetrYDidtCD+6i9wJIzixhIKjLSfaOKIfMRWoIc5OY6KPf76s MEwTkIXGKdJtJyrowWF/6sfHyCzUEeBg+6Pl9BuW4tFZ3VWKdaCq2Np5lFU78+hDovSCIWCvYzkw LsoQ7hiUoiMGvRSMNiglrbwCDt0LoUHXPZhpK+2WN6dsmjltu4gR/5HWKT4tbivmzmIHwXC7tTqf F5fN/yTmt1u/UWo/Qf7GMosMgKul4kwyV3T9Cq3Sxvx4W9GgG9e7E6KQQlrK3oSZ77RjbveZzjH2 72XNaG3g5BMi8bQZSR4+nNjuBxs+RCHb2pF8chsGzsJtKZUaYqcmO0JKKXiIOrDzS5lD+R789Klm jshZJzfomnGFIcIuLLonYNMpCJNFo4g4O/RRqcLUBPidAlK5AGepZfipE5jhr29iRCa7rSUNE6ex 171uH8ML+H9UUPJCFZ6Z+8WxYyrNsX0aNp4Hj6mBpoJEYOhoFLoEChPvdQAtrUtX9pKpXvOZH75V sKJmmmU63xjFx3qmJ/Fi6stvT1cq4O1asXdvR+UGVrq6cM1ub2u4c1wMQ5GIjoD/6jXtc6ZfJi6P pScBFZuc8AoHpdadUk/2ZOtC/+NkSCHxy4238gZdPdSLXSktDd/yhhBmareTvpZV8o0LE2FFEMGw 2nv/ZCnTEfwhXD57gWKhRaxpIbeFmOC5quxwp/n9CsO43lFC2HAwrt1gJES958LDOVqsXFDUYm2W XwDgstizsm/LrK5+dnayPocqIgPqKqP4gxYWOVL/4gPNifQdiRb4EFBSSAyMxGsV4q51rK+/DbO0 dDexmhpgkqONXEDdQ7VjtGoEhvYTHON66hy28sd6SBn8my7Jcwf4gd8tbLRtyJeAqkZ5QtMGWcJn 8UgiVahzbL/P+wen6Bo1OtWXPJP7Hd916ZXkxsUDfEIlN9wyZwUWyCLPO8ejUmkSb3luH7ZKxKai F/BY2gJ/yFnItqU4aO2lbZ6nOI0nI/JtSRxmsej3pMThNcwBUFmptPVr7VOyDCVjoiN/nPTGG4cS OVvdtxTeD1DIpAlh+jXJKVNOrB3gWrRGAqWKWWv/qO37o7Y6hcaCGiHDTRiwYHHbNE5lkMHBjQhj kKfb77yDNOInGT4EZwVHkllj1ToTFpc1zjoLRKWq6MK7FErQqc+IW985vPbQY9wrN0wuPFLNagQp ZclbCsSYs7szSkOwPr7rw6B4QSS6KikrsQsCECVJzwa+xszT3iRxK6SMPiHYOOhu+Ti+/OpP2iag WQcsXCjtG1Wr/mGIluV6qhp/JbZuG2qy48pP6WOS3dCtfw2mucS28ouqHu5ke8Qe2Cszg5Vzifc/ dqKwcQdnqURPXf5+UxdhDOsUQiBZYBeIHXp0cewEpEFLYENSx1hn6MQDsAnzzfO1zx6X6BvnU2tq yNBOKwbtApLxg6Ax9/y61fU8sZQOcCzUCFztD9NiZ9ebKY2gSKMHNEuiRGUxb5PpH58HmcVaa1CC FYVBWcZbIKFBLbY8kSaFX6capyc9dF9LHiYa9WiRbVNXZ1vS/yM+FFrCaj7NEhIIjytKomnLKMx8 dUb/KaKAC/PBMpNHcbjHUMnaHvKrljO2tdSPzc2S4KjsdqUWFXSj1lIPVxIsoa55WvNxVDMgoYDC WkThfqCbMaId8QZxf98ffb+6p86VNwNJgm0BUPzg70MotULIXRMKxyGYYzSQFQ0T2q6AHCApR9Lj dOnl7/+am32wUYKDpVot/T6mokOoRp7d8vyZvigeWKt2iIlvEwwVCs/q3bd6/yuSgVDRj06E0vmi CXmi1eiN9GGLuebhJZNvxrXKexJ4tRjOCAmnF0tExFZ16rckCuXzhFEfFE9gTad0XYrzylF5Ash2 kHyI/DzBtwPwQkkP4y6OPM6+j0kEVEJNXZr+KPyjtxZxgMvToNKyxG6zcRmnTtBOiGMgD+4eIfMx S8Vznb/I6Ba8wkv0Rjpsi1NVEbCOl/qrz8GUx65bEm0QAGt/s98uYHF3uSf6gGbpuPTOn0LYpJdY R/9DebYshoEA9tTzHW7RJaKdMGPw88zh9RfktnCbjSCZ5kyTDJ47F/c6o5MPtdOAfhZoLAkyj5Pe WE+ZSP4b2iV6a37rJ6nnOmjCu+SP5Ry3NPPkdROaQSJpxACIFnpDGdYNAsg7gRYHOeMy76YkYy/O bRS+4kVI4Ny2iYukTDR/flt+qNybLE8WnVCf8gKYxt2723YD88uv4y3QST1onwgCGd0Z90ncw8oI 58xpMKtfG+t1+8i/PhTr7uYYfA03wZCdl6TEGqVLvgL/a/PdrEehoS0SKsPzyHbg6haKMY/vKowM LRt9xCpdVqcxS8h4oQEuAp22352LEzJiRvCsaw8eJBdotYzyzbkomz4nk4m3Y3wGpNrzyug++EYp 0ek2nZhOA0a7VVCaUXQ0V+UtcIncY2M+Jzc5mnJUBOuMpoMtYJ3M5S5Roo5aMa/uBIQ419oHlMtj xedNGUV32v9dLsR5DtZwmBb8pem4XQhd1Rv/YfFeiSZ/9on5MSVrBP5aXpprKnANxgxXxJM5SsVI +8cNOsYbAt41GbDw2Duv7dz5ZC3gTAgh3fds1EpxiDLkNffqAR5ZeQwpemlorbQgycuJTuXs3OHc bWNFCJvgcvfHR7yBWyAprn1sVD+x2fxZD9o4IBcHwWraewvvhKoHPXsyrKlFa+Grt7vRvdqh4Qoh qO6P0TMeJD/imJ3/YcWH4RgKHOudiTa46xJIxVj3Z6CBkVjWr79v+4KON3X/tJSEEu9jGeSKe01J UWSd5y380PDJz1WjssnF6jVhFzDMDvsyPIDJuMKxbfUF6FkxhXmyi/pN8dr2zMH7VlnqFSx0OJ48 ZWd3Q0kG9rlBBv6q+04yvBpKNQ2TLCQNk7KH+sWditF9nVtyPTWePE41Mb4Lqw+puKe+pk7LN2ot yI+8OSQDf6lK//CxAa18+vJ+EAQK7vkfvSOsJj82ALzfNUuMs37Dwp9ZRTsUAljK7qszwrFZjIaE Twuv7aoumA16XNeGze4shGFxY3c+h2IbkzmkcNwzfr5yQ+ZllaNnYODAuwUyyBNRt+0FY79z5ilE EdVTAeGsNdL3jcQz+sfr8nDH8KgVYApXTRaGgfEUgMzPto7RZmkZs4oham8DrT8Xpwpb9gGVZ1DV hESyAvQ0hjytUq/meDcdQJxFA3K7tljQ9E0dcpKobW9LYou+ruLqfOD02nmOLU0cRfVailiahNht 3h+0aKDnhSXTbOII8kq5B+XnC/JlH5tkpwdhZsjh5E3WmuRGQp7DaLlde1LfimXvw9DqPAP16ane Vy5ILOBJSxR90TzpRpgMoTbUjXx09zxRzaTVCOPdRvMf2lW66nsgsXCS83V4vCx234YHt7IqKAb0 Tm71oMBOJO/e8VQxeEgrT7+cCn8+6oLrzYip/s7WvgAHKlBuzzf9WdIoOoXWNnXt5OnppZcmWtaC dedk/2XggbzDSWbzj47PhQ+b2MJYyl+uh1Nlb4tt1c0qcuOYAqasQkZh7jnAJ4Tr611QGBdr6jR9 KocK0ghrbVvWc0+ObphCc+4JzcH04+MuIM0G8McthF2KlmrolXpSMKw20h+lTuTRPlhrrWv5FYHy zNokejtsjEkCB4Kt01CzmNk1PQbknchQHsKjz4kJ/LfoIyHpi2A3Xbm+CEiZvWdbwrsByEd/Huk6 bL/pr5FIhlD2xUt46GWprnGOhmlnFfNULDslmNBWo+zY70ngOLt/gEEp/xeUWp5TyQAIDgjSA7nX 2HY95oemy86qVuEFeoDV+Qik/KKOX/7mbtGYTedUpTEVgXjiYYScDgehwaYXHI982iySPhzS+fYb MXfEkl8nkoph0VdNwLuOC1O4ntoOh2+P/Mvz5Ub98MGdOsHcwnMXslBZXYEXqpTRx6VV5tKwrTqz mX3+Wd/zUgHIrQphBM2slZ5dGThzkyI7apRxLnv46LU7iRZ//UTTymi1Gq30LrXJDH7udBMaNRIT qq0uTz5SNEiLJoDrxxsC3VGnqF6yW32RAXIk9NLOPJZAadc34cKXmAx/58rgOhI0aRIk2yoDFiGc G/4EZS/4gR+dz7vnxxHT7jCcssoJUu2iA5F3PbB8IYSZWTmIDPCaUMZVg1vxQPcpILCjsO014AZn F4oHROuMTEBTBP/+XyoBfO04LymmHENX1EARGR05LOIqE0PoPWf0R8Vvu25JjOvlK0QLOishTapr W6zQN5NtiWSq3e65lyNgv2K3Zzs7bbpSRGiuqxM0gJ4G/IQJeC0x7IyqGPPCoQuK1uWblb1pmnwE f2F/sHQn0J+OaNccjedY5xK8rNeueQ59VIBxyv9oMdzfklu3ggkgQZ2ZeFce8B0obvF+9/d9JJNZ GW5sSww0PHb4WHyTYzXZ4y5fCIY8SGx7zfeiZmks8n/kFSSS1h6geecwgBb2DVgxY+tlQ1i358qS 6jbMCSMjPJ7w6Tu5pTbB+Y+/5XGXaXhyfpRrDUdnE5HmSd8IhLkXgMlZpa4DmfEtRXdFjen20aGb jEcV7CwOvBQKcYvlp9+cn9ffEtEfTLgjlxrO+dL757ZprUQMdNwHRtEWnoQvbpSM3nb4nrcgje0c 2Yo7JRr8nifzSdv6GmFLmUn69oKRwi0VTZBjk2DO1MEYcryydZope20KjKj4lNFptsWHLGno0TDm okzoRaB8dswc3RMxkGI4aKgsAqT3K8u291XONfiKCw/iZs4kwT9mT4FkF7ySSo7xNIrXMmBzaFsZ qr9FlGDpQTYlNM4ByhmqRIkVctntudlcUer3xg4eU3nSUhzjS1bqw+YpY3JugGVrruImX8wntjDn v7k68vvrLxizR1tmXFiw39mKb3LYBI89itNIkAuy1UFBXaBz9HNGR/yM4KLinagnh2EPvmFA09Ff CChjGCrUR+gMl4Mb5Gf1HBnwKgV1FJVaYl/5yc4w4dZ4YWbEX+G89ZJWwSPPgugWEYZbjYRKeUvS D7+7Xd3AGuGzYASwO7pa4YCb+OP77IY/C1CTHfC5pZGe9KppgupBRDKnmBAajgMzBFaIy6RDTEO0 Tc/Rf+1dND/ROw0Q+KsJHTgW63GdDjP85Z75NJwfSnKNHdpy2+yWNptRhFjfn/znXFbrnnUSAr9R PfFvEXFqBcKswZ1+UUP9KEaed0FhEbbviBdfSexkRheoQenK8H0/eibVdq6FbfO5G5grtpekGWWs O9CcEzUCM4CJcAZRQ8ze2oz2nOup/kDDpXRGZ5bfM696dhxSC0F/quIWwTZ/lz+MaD6kccVu37+1 n+M3zUHfZyMd0N4cnbQahZf5BE0qSAib2MoIlZijVLV5xNolTzw+Elyu8o996mkP33DasvVNwI4j fq8IwPuAeo70i00T+i51CyN3212V5btpD09plZ+xecwSS7Q/cCfc+MGten8RgEHv8vnFV/ZCQ92Y xAMiK9/zm46CFl4p4JxHl/UCVhlLRIZ0RmqozSOhIvx/0hp3Zhu/CNF0vk5Ca/hp8h1iTeHP4CJo artWU+v7hsPr8ZyKd36P72gYsxynpUHEVrYvAey5cXE9WGmvKi7/Wyr7IXPwiinUmK/c9XBCKGtX Vd+edilRdIDJfPcG+zPEBPzap/w569yeVLDakQjc3dGkhVFw06LSH+IrCbUvDBDFmqy4zHPS6PbZ BRjJEJhGI6YXp2cKEX2tKXvVCvIoPl0XVpwqauII8mXZQqgoIEV+vR5kravWxtoeJlrpial0OQbI MVoqFNGdvvFoTObX25wY5YI2Dm8Law4lUPjOuKdADz9AYg9ichO/5ll5Qt4PnK/TqnS2BlTkahG5 I8oSjbOcKv37xMO72pZLjhzIxDSi8BLfKA4NKyOrkMVirt6guIlDMzPYqnkOYj1NgXwcbVgnWgMq jugSd6N/1+cPqXHx4hmzl259c0SQvwJSqYfpLrx3JgOgasTWp5Vg68mnYSGv9sTvwYwWvObIwE/d eEaMseNytJImZKTysQOyKsdd36r0CvaFcB1L9OybyDdRcux2IScapcErV0DYjHT2MJIK4kkAWE23 uo1fVGaWJHVwgmGmFaULwiKy+3NsD/JxANX0Mz26rtcXagzTQ5k4Yh/UfbON/C6mtjFv4xb3awRd nRlSVZZvbb85/jegjHxEgQT+C9fu9j8s6Od/4swHaBdLiSorp4VP+Wk+ik2x88vd76fOVfcZ/jr8 YkbM2YaDCKUWVWVN6TytK0ZleFr8qgtzrYf/6QtNJWqAGPo/AB3yemKB3y4vYoBryiS5I4J27Fz1 2ty3E5pp6ARF7RSXAr64uDg/Cr1g9q5rapYwpRVqB5WpOz1euOVdpPh914DB+a64A9n4fS5+IOBi 6JpMTyDpKil2g/J++CT2qJdTt01aHdmbC48+sm/s9PoacsngjPlmMchoMywLBElzpG62VkmzQqKG 8WkBJzVSqpF4ej1BglvU2Nt0FIKD8ErN+ZK6TipFB4EhGJYCmKaNeeQJgUZ9zUQ9cWyllbTeSFxN VwnMtqOurQNmAmN2VAcU/GC6P+JJhirlduauo9snbgyP/m8OgyiV+Ylz2yS/xZGX7zr6YlvspvzN PtTxUkOxOeO2V+QC0xlUr+6Y9YJ8oVacdhX5a/KwJogh3Ba61iy8tC09IpW5YaZ2VMQoRR1D57KZ nSWVDoZ39OgkZwVZH5jwothgnnPphN8rp20Rc91j/dTbKimDb2Zqw8jN3IC2CVIkkRQCVkB3BIXf fJoLGc1uVXi7U0w/Lbu32spIlOCJlOgRpfJ1KycxR8T4Mp58kAfK3AgmUsDWnDV9Bl4bQpRIRcdR nz24iZBCU3KkgOMvwvKSp7IkX3bk6iWd/wHUd7MfMYsP2zA/NJwxHUR1Zw1zFGrNsBflc6xynMpg URcjclnigKX/yYI2dFKLm7d7Nq5gFnMLz7eKclbpTwqRq43PV5NIRswyzkYqj4k93U2bpViets88 LPrZQNf9VhZYHWQRH6mYoOAnS15T7Z67fVNnnH+VlM1MYW8ZUfEEY69jGefdf7IeEEHyPsY0u5hZ eMO5oZYDO/DDUNNhRQblYakLBGDjqxzAGCFvRr6GtokqkhVwHYTEvO3tWx/vgHEHvLMaw6hsJTfK XXUXrVg5wuipQtxrfKawDU/8qdKRuelpfQdyItqap/7LjvC6G4IJoVpo6b0qSspKseFx3AL2uio2 xXmLYL7nqve+yRpsgvv2cV5vT/jy2GUagZ1GpVeehalgyoDQb/z8BXXCJIcMNue7DsRLyv/2YDqG krh1ouzXbvT1O6/dgIJ6LaqbtF12WPm7ywnzAsgHZ+XO4GCeBuctKGzSgXnN8hPeWqR4EhyKSEUK ZXuMpntIny9Fnz3x+fFShLz+YuyPNo8RUZhQdReTdRQqP7oAm1alA1bybwm9NjLN7xo6wATr1km7 GaNnEdtDMnt0WAuAeJ3yrZsbXLiLsQP9jBuskpkopLg9zeErdQA4rr8mNqlHw1oVFh+mmNUIpp1e On75EpKiafTisM/t4oNHhccUd31fnnb71rjVr3J3OndsOIN1soIFSDEXLrrPW+nCKT0Chq7qD2zP DahQln3bIkJ+rdaWm0qcFeLF6O643D2zBrDM6CCh2zNE5SjQpAPOhBM7sYacRNf+ZkGS5RhEfIYp Cvua120yjZKNWWFK3evQWwUInO+kp6pv10xp7rhaEHdiZSSoYVSFcmtIui9Re+hPX2bt8YB9ASEX X/UGW6S/Vm4Xn2XtUjWBOAge8DW+FBn9JRXEyep9ooniKnKGDx2YIeRfdq2QsizpgN8vqZffrUrc xqVXpnduGwIpIjt9nhavuS5Y/AKdRZQ7wW36K4EQNgu5foWWH2RW68B5K3WI/FRZDlwrT70UIpsK pFuPMsKsFcwzjFO1oNsODLhlOrwunSxDJJ9CnxuL7tv7zoXNq7OmhD1VFkzeYHN5xGNwEInzV4PV ysNSyUKFQntmmELjINnLMmBabdMFEsw6XNMXGkIj5UP1pDlPZ2I/sxHFVP4j7YhT8eTZsXKqkfWA GNmFPRXx5E2LBY38JtxJa+V7DX4doVdSOwPzkjkT+jDotgiTWreSrCSVqm8RYiES4Axj7De7Dp4c g4iROrbrGTxwf94daA7ke3cwIFsJ0qcg4Us0wFYIrgToaEq9IXXDrZGVjmlJJ7Z+imgvL027pGA5 VMKpUDBk4U1MnOyKZkd5EpsNWFbBy8xBenS7zzbJwPFShvGMkYejnzLqyU8M2EcgPkX4qnS2TSpH NDYvhzdw+g+b3P6IKjZGLiqqPndgegYybQGpovSwS2hEWb5SUrvW47KRewszz0NroPFI3njR1QTT QRWyR613pGT1ZA8X5Peb7M1bMd9EZQj9fkTMGJrNV+GJQzRILbGPO+UkxuoV4tiN8dketcFn7Esw HI09ACTEBk9Et3OgGFdFP77vVq/Y07Hasx1GGS5sMLuh1G66/WaVt4ogYJ8Orcj7qSB+sYYpiXEN DDpgMnvbX5jI0T6dvCkNah4DlHI6mBzZTuWx4bSNvMOREDhhvbWjIYdYiNDA4oyq6qZm4M0pMIS0 I8LKHoMj846ZQAuJUrDDyrI6bec0rYYzZTvBMpt0XO8DAMYQxMZKo6mZannI7egj/dtcyg/JBvGU O0SuaCpvDtt3O7cqwHr2o5jWRvWh98gTcYWacEJtnXfkZSCihLYmOpW3j4vYuLrH0XVbEc0B1cGg 8S4/Fcb5kDPv2NdBDkZWR7YgvgqxcO/PuIehvx/oryKOJ0WcPj6NDyiqvaYWj22rgkcGg47WbJee CLE5lUceskptPhKtVI8SgpeTbSifka8/qDmeDfyoF0RJjYxCZv+D1VU2yXH1FpQZ5V+V62r5iHlB CDxYNDU+zyqmHtVYmPBuW+3YeS8A6Fl/v5TO6KNJEiU6Wc8cPN+BEJfivXsCIqZ9lawWaF2pGBZR dqELR6GhnmI1jKWBdJg+2eRP7wbHfLRSZ07wd+oXF176Ytjdyc1oLW5RIuRKJtQ1ErzfX5tycHv+ 4PmDSuLkr3m9YVvyDmDFcFG9myfSZ0jKGAKvRKGWB9sEdqxf9FZF01/MSo/h02WchnRLwmdhN4cG DrLlDi3mvbU7WRwS0Dq3qOZK3RO2CiWyeBqLnU0mQYd+6nIYaF4e1GSqeUEgbbydYxr//fU/xans HiDpkC0/d+Xrtu36a6UxK+/HIieWJnqm4IdPqmQA9APnW4lOhaSJxq2jbOUFLyPEJnnwqoDalUMY LSRPmZtfuTogqpgBq8I3qs7AsEtmLso2XmZNqpbKUUDAhJNE9MoADcn5D1sWw8NfFOgKaePuhbcT hqNI/dU58qoz2M0W4PMQ6WU2vveM9Auo8SO41ThErSSg881HZTBVJclKNvPUXnMu3t1DjEW+H94D qaTVL3EKILrMELM+4j0oTVCJp4Hm4wSadC61T4xXyKbZtHm/M9duva+WyWh1NCs+BCeEbSL+tMU7 9VGfmp495TiPiavXBb+CBEQzLU6EBjnoNvU2wxeIVutGHCSHnbv1WnLoYrisSBtfX4zE5zC4dTvQ 3LmfnLacTwWOPM9+sOv4JaaC4H/TEsTT+5NIOHGP+CaG/1GHXjGWqgpxMRzwh6Zdw8GynQ7lGSD/ mkdxmLegbmukJZ99vy73cjUTiYu5BlpjRqrhKMKYT4TjhUCl3sB0oGoUAgfOy2BhXQsPxWV3xrdr QYBw36ypNDq/OVUUHrGQcgWDUqr4jyoD4xIek+aig/83S/dYHkdQcxr1lAR8EuEtLX2Dq3IB4ANa YsjV0+Q1aafTBZEU4YTNLZo3bXokHwuJknsQfou9dVNGYxh568nlGFuD3ZPUmm75YOW/Ej0ZN2Mk lEjUE73GbOGFKiHJyLwbdM2KGBh6NBNmqyvhj5pUaTedyq6m2eVCvUJIH08aUCFh/gG8HixztWuh ctCdHZ0XN9pCHtxpFxgTY4NS8hPB/Wb/Dn0PWYrwszjkc7YjBuDRMmcNMihXdKg0NpmCRgniIw82 Yy1YoRC6SN4EP8tDJ1i3xVrLdKpT/HQUi6kK188VEkL5pZy/yq2rJRiDZ4uS2yMWMGZupeuC3m/l SDQxPyq9LMZNO/sa+Omua5ZHg4iB0EkRpZfHi02pSfFXug58j92TIeQueEKA9ct3fdAV4is48php cGIO6kyoZ/covZ4xFtdzs4Sh1KH27yYN0UINhBi0xrhHTb/eKVwokC74FAJTRHdDONUI++gedZau OAFsBgT8gR3kWm37P6VqFLJF039oqEYL82zgSH5NQUydRk27Zn3AZNeLxiFZMut1kY5S+STP3Hcz ajICbcF5CcmzjWON8eBmwpSjud1eJlj9y7l0Fo7NhVQE/ApFB9PoMACHuAOskjxRcmu2l9a9vDM9 Wn677L1VegZXB+ECUcDVsQ6yKgpLAX1RQsEhEQxrY+MkUUP/sEPmI6rpNdCLGarS4ixnKBBykBZ4 DRM39T8cT01jnJl4RWcmli2trZYqlqsOm7yUXPIWAiCOwuYh0+V82neY6sRmewyZR0QhRhrY5DQG i7kvkH+yma+Yq8hsKDlxo2zZVi3hhNxFDe5IuO4lt0SKoOxFBe9Ux2DbP/7qcw9vwZOEUXSTYUcJ uLwplJO9lzWKmecLMBKomzFxj1lb7ovOOhhl2U8/kTqC/iHgGQ3KlCvMM9INgKemDcamNXBW9wSt NhDWdu9sFZB3I1QEbg+WDN+9ds9FfH8AGIuKfwuaFueUFTkXHoRJP7ZuWcOuwqRhPFZA+SIUwtUK eBu1goD63ar9Eiik1W2pma3fR5D25xvlnlHbJDEmBLwnFeSwVTWQ7V3g3NC17K+bjBVQF2CUXDWd JUlYfhLG903aNflPVO97sPy7X6/e4WugqbTUTJY4v3wMP8I5CTrXgyWK0wViYZO+7wiV9fiH/tzI tBMefkIA/otp0+2HIt7snMQWj8/M/qYI+Td8IbNBM3q6px+h8W6PeoJKK26OMrfXzvy2elHZf0YS GsyIXnN0qBpa8fYUwUPSZkGqiAO4iQGiQYHqx8wzonZnU0aMb3uuIJ2jyucCrsNtZ4JWMSeW0fCI lHQGaM9s0nKz2Rn/wmLSV3miN+1a2qkZKNOXlhALWGsIZTKHgw2YPJGb2C7Zvu6w+w/MC8mbpT8n xgAIEX12adqtCls7QU+i0UvNS72jqGVLqXFeuUuz8PvkxoSuWo9tQUcKu/m6nPjMwGvUTOR7urw3 VolOtRurxW46OgSpFanw81Xcd5Ft8jD12ZM/0rzgshg/gO+ymZo5yjo3zQavLG7H5XqqJThCLNP6 obWLFZRDf9THkewZ/Qxsc/YEaqoG5ZoQ4nPiV5NhjEkdlDyf8qVyecpLuERZ4oRfnrLltmTl8pu/ eBQu2rXqJOQTVn2Fnub9NeMQqiIOtZ8e/iICRdEUUhKP/lchC27IYylgayNmcc8lMmpavYb2Y8eM GHG2GQIbP89bMAEt7EDWgoIE+kIUzcgfp90Mm3nuywGNU/FIJ8bw89vIkpdFrPYLEqAHjIz1f4pd Dva+o71yxMDWYlSoTH6K+yUk2taxprV1+b6KV3s+rRmt1pSs2E/lEBdd1qr6/Z+9MGNmcEmv7zL4 lHeqY2Mml5/S8ZcgcsID+PXEyuRVg83HkuyKMKoeRAIUDp9wj1krQAWxnXqqjWTwZeiDIZJvvd0H qSPcX/2aCuerGONwte0EMqQZpWfQZZlucyvhjgRS1p3SJE7Q86GGomrSAdnNthWvvDszjva4Ub6j aQoAVvRVtlJ4oTtWXMP7OFWjyF8qIuJpOIP1e+6bT+Uurj4sccbeugdN95hUa2W5C5A5EeaZQacJ 49+2qo0zC9hkExJIaNL9gKAmf98lOGe8oMb0LECMzAm9RKrdg5xgOQCDeFxuTofE56Jfh0XoRCBu hs2thTfPrPMI5KiB7WPPX8IEj3frqOpfstoDJjmDZv6XAbTUPScATl6nLAoNRKWXrX2ic1qeTgac XoTv482NmKa1IVoi34rC2RKpMWa6Li/Raqis+H6BEmcxUs27NeEsHbn+sYPjFPOfyIjmgwSSG61y FpoGuSIhzmWCM6da3opKhjvoWlrHztAnydkTssgWa/yGXc3xt83u2C0gYyQ/pzjwBPrnzERU/x7b Q6q2WXfZ4l4LgTcP/u9Z8U5vYO+7d5tKIFYqHAeJ7duZiIxLNLY3dIeZDwuEZ2WZ8K29Z5LV2GhJ c7TD9U2evYCVWGm0Qf8Nqg0TIXaxZDGo1ASEJNk8pNkyAWYjgmKOXSdsVdSmR6VQo5Dm4OFt+XrR amTlu90dfvSKy8yIhd1xfiSWUwzWWx6zIDI83KJ9DSxE0YScxVT7CrLEiejRbjfuKjWdhA0pI9xo ji3qJCCsLlNxyvtSIdCD3XhgCo4PsjHCkrnSwL/b8AU4u1CJ1qbq7xHPYZlaIB2dvzjmXRxHvRBX 2gU9ZVqXVMocq9CnX44vxw4e5I4FBlTTsTvwUC+pYtowncMQ4JkL418b6YaFSeg29t1yPwnvcRd0 ZcCv6AAeoGcYN96A6Ck1WEeSw5/BFI+85sr50QXRFev7yjawJRaEtbxRrWmamnfP9S4QKVDcpzio F8XLKSh2giFv+P+z9i0lGsOyuqSc/OyT+Lizr1hbLITXJc5E0H0yHD8AsyTwrOtlr/rnB7QKUsm1 pOqq6zE0ntBa1S9OqQE4QQ1fMcFQBN3LJxaZ1iVlCmxq86174DjJHsPx/lXKR/ETax8+OEpTDWnY b1GhaPTBeY/1bKyJS4BTVMnXt311U8vF5zRFzJj0LnQHOuEj3FoYf40HkQwLVncZHJOa02BPVPQq ZyWzgznI3nxehIPC7BWTuRPTL1TZus65kVjt6wjuaAU4kuvpJHa4xHbEqPI4eIhMTJtp5SdOFWdv rmxY2llIohgO11OZcQynijJ6zUVmqlkGvNLcjJGn9OMNV/xCs7oEbm9qQJwR/fuK9Um1ZYgdUKrN GgJtNkTYtL7jGh/r8H9jZ1ZTxekOuoTogNrtZodUF8Nl1ypZxw52pW4rmGThb2Qsw17VeErmg85R 530h5JBCsfFW766Nqpw1+/o0dtJYfU1ezAJlLfsDqu8rPPSRIkudmxmD8OsqWknHraACc1xoPuU/ qWqdjG9s93+Y75fDYD46DIb3P0KgViIAIWljKF5Vd65/NwtCVqp/Zfd3JAkmtyxieTN1LeUJCwt3 gSsJuELVIJ23JWQaGvTXkuCTNmA25hB0KbtCrFm+FadLFlZGmfduhpYjreIBly1wu510G0hvQ4mK XbWEa83Glan7xOkrQ/bvY/fJw57NiHONCTMRgc1PUWc5FW7RbNu3uAWEtwfmkpze2qrXQ2l5vXj5 FUKX7Ke+ajl8iAbo5WUdDMnrs7TmnVzOT9Qsw9WFR9U4axObvE6fVI2kQyG/y+IFp9MLvw7Vb5ej BH/xKa394+0kOQqFiABZjIf8FZQsqcdNLeAzcvp8yLflMmQku5mLb/0rUnm712SpmaZUfFWZG1eh cfJ0CeRnUxnmzsDxDBGOhxihSIrQWKU90roZattXBI0V60n5BIVSPMwxb5Ez/gmrXI5bPYvqKYzQ LZBPoya15I0lO4SwXsGBBE1DnnnPI2IeoItgcuRN5CSRTVE7eiNYsInVPgcArWjIeMtD4txinjMu vh2Mtfh/JZV1NiYZC1IaL18gmfjg6OxR1PLbDNFQxKhckbVvyCF67TYZZuc1N0bxANrgZCVxfUsD BZ5uCgEIHJWcuGIZewyuzjcGoAcrwS9iSi2w+jgJU3BdjGjHRZE90Xec9tY5hhZMMtSGPFMEAnR+ 2PEHPvP0N1/zs5+tqJzz4OpQUErbcwJgMsKP4Am8gjLcQFb/jmPhQZzJs4Ssjyk0YZoIbaO2kO0f wkLkJC0OA3eqHBvOoqNSZWFoHrHOvCztogDG0D+oHKLEC8V4a13W0Z8eRWfHSddzdvNDaJBIluOH sVM7M7JJi8PR5xA7M7Rsn6P4Z6vAGuztR+5iEf1NH9EeiESeU89fT7v8/XNtX0lZ9mS+kZR30fCw uWdTaMFz0YvkesoPcDmWlQSZz9Du+NijdpRs9fu2oyY2TwCLf5mksP70uJcLG/xN43CsHEF8OiPv ZKkBnFRj+Xyz4ky3mre/RLAYgVgOsqZ3m1459sP8/7K4gHhv2dtsHjQZa6uMNDOzskH4aR7EuG+F mbUC6b+MK6O3950iokGfXLHpcj5g9r+j5QkLU/Xra8uuirrqY0U4nf67F29Gk4upluKp0+G/cvSd fGpEuK/4rhm6TFqamLXKIwdoooSmKto9//77O/46wcEX3eVp1vlJzUNkdEpC8Wy97oVwUAg+zZPZ 3qUwPdHhaegw9O/o7fakLEqwAalbIJcLZEOqG87ewX/ZbTXjF6nLRDudm2NW4iyEcLs+cMnc1Cie DjbzLU/FRjNYHcFSLOv3v+5CUahPpApP8rSvBvzBlopz909ciBFJ50f23RdO4quFve4TaxQSQEHa q9I3PJHenm/SSb2LhM7Q8RAb1LTjaCsmipmCySD6luXdGBza4YSUDyle9+4ntzMrx4TW7PocwL2/ TRvacX6CxlgXt63A05rtPm9j+dwxBdfST7y++W24SUb79BKnZe91L8yjykfEG47XEFnktv62dEa5 NMYqG3TGx4t2wKQ6LCQ82/p/T4KKhTWw+z1sCkc1j/3B1Kt9WOVq2H2VJJo7XjrVPtjM2jsnhxGr or9azB9cJCo44mVL776xySbJKQ0AKP63cQqXggi3z4owUHci7mXTT0/THwObZ1ToA1B8s0gY0h/h 3c7pDrLoDHQpDuK2EKuGSAemyqrzHUVolSk6nkrORxz/F/jbAOsGiQnYj8XRjN1hs5DeAhE2Ky1V GlH27wXEg72x7LGdrZMCgQCMLTuD7gc7CoKYTpERcrNTiNOYUhGgTOs+35qBUEXkhbYqcyVDeb80 1BYG0Ai8DItKEGawQqsRh+vgMj7yOVKlXvVpvJ9pM9IZsirgxoCcZqqVLbX95zgTINjZ+edwNY68 6iSCEsHlwQBaFFzWqrpNZWiqFyApEi+z9xGVstqyKdU1WnXOZPcbMcanVY3sueiFzTWnby62/1XV Tor5XhsbY7QgwBB8AJQYEfM76bXPKL5A4o2bsYZeGGs+WjtaGtfXO8LIdyjj/KMHVBVDo3V4gQCr 8z46xCztBw5PfGVmhewXdUuGuF6CCPW3+f+zGhwuW46Q+nWxqBgd7lpgW3KWxMR6vFBbkhhUXawr X3PEpJrBy0iK7Eibgcsg1CzW2+LT1mDMjS9i4xyhRS78L7saNUE8r17cizy4Msd0+JOoGAZe8mke c0eZNVOK53+pU7tR+S1U3Pb+x+W7b7+iGIJVuUmbhD/vm6cMbin91jRMKoUGBA4sO8LQFwZnMTam 37DZMPRfjH/0LCgqZkmAxVXg7yVS35OOF+yT/6BhYNEIQJ9GB8qIfZ6Wy6F5OyXmBiF2f4VjQh/i Bm39J0/0r9xFmOv0OLQ7atYMbYgkY+q8DInic/w88D5MmoN+NHwZkfIIc0R/sz1H1rJfW+Ia6JvA Ny4ijPq30nupKAsG2boce3g640GDxslnbI6tZ5HeOWdc00Daf2tOtnrUrsJmEJlFI4uoI4/CF7qg 77DCKbGbo+dvPlqkArxnXrtDf1c6wxOblghGD0p0iD9l0w6anzh2jwcMe9ZqpuEee/I7nnwInLU3 4DKC59oraI4b0cdEtET2PSRh7aMvrK0eZEsVO3TAlhEBqfrh9FH4NnxH6lDj+jGvP8I7gyd9HZ8H gWp1zanlrfUKxFLj3BkSq/yODdlUw5DHxwve6vmZuDjnCU5Hb5a3N6xgCP4GDZ3EI1mGpDbs47pc oYgpoywV804cTrKFw0hCW1NXG2TsEK17MTlgOKNQhqL9sU2laInDavo6Re/2mQmXK4AnaieqaCs6 mwrNGKQqfYGmU13vG/7GGsgLWW7odAR/U5R12wo/bxMVQHTPD23yLV9YiCKkssC9i/0AdCHoZ8q7 aIVwriDgkaAmgDy/RZm7ntjBoZrNk5uNsYLkmoN6/rzfvlJHRqKPIdZ1rXGD9Wy8zlDLsFamOyqR oT4G8x3q7Ry2/9y40EVxgxYKKpHnT42d1VavqNNghjxcBhZcXcRXbBFUg8eENZe5+Pf6/hQfNy45 W7Xah6RAOtw05+KHLB5EHoA7Gebsg8VSm+00YJfQOonNT/l4Gl3hPJ5E5oW4CgUVDtM/w1Qf8cxY qt9qGBbNc3+tIbwVg23sblcSP6tBLCVq+kuv2xrABYT5nNkNgzxhNuXA67crYN3/9i+TnQDvGOa4 T7sgzx8UaBwHdNUVwvXPv382UdAHvTiMTV2p/R6U9NWvE+mmxkxrMvA2UwPrz8oFWX/rrQ1syurB a/eIy19jP1P8QpUmw7VIehAHPmzmvXkBsUOcivTNQnON/AUx50fQOjkmwKFuXFmtbjcQcAcrEDdu 8ljC/tFqbz+JAlS6HMi6cKeai09WW/VDa+PuSzgb033hRFo23pp6EKExMzejcd2yKsX0W+q0FUQj TkWIsjIQpBsexJqx5g0wIEM1KqaotN5fZv39wyfX+ib8Bwjp4UyH4de0D/aTtcP62nBschEdAjpO myDBTi0l0sbF5W4ZAJzYRdTSI+OQ9U9h/80ocwtdGCmmvSuSH39JR8C+pAxGNzg6xmAea5MgwFSS XXtdyoW1Z9vh3d5GJ3hlCL8xg0q6X/UndaGTWKUC+s1avUV0DS3bosG39ge51FspwwN5cfxFNIUA wRr9XMwNSlqklRWMsC3EMLtU3zV/ccmDXQ2Mvc6oJ/Y9B2+a8wI6KIsLXNycdXwyQfxqjGcWHU7a 3F/dvN2Bl5os0o6EuyEDGZyyuy80JE5Jtx6OTyIykcQ6HamnQaT5r4xIOZU9PR/v2mGgh3MxhfkZ BrEP9gniwy6F32zTEsyAleiO5r3d91/wfuY/U9jeqEaSArm461K7ew3TidfED9L5ZfasjJmgsmGk 55Qkv4tvqWwKvgnt+StYGtznII338tgwb0X2JW2f+zIaF56BTZuk68Vleh2XY3In9jjUMFedx/Qz 9JiG/++l0AjxpsecJ60lC5u+3O8u3oHIElLcumO5H2TNqSzKV3YmtWCMCDIlj1f9sYQ++ZTfUp0s wBmfomlwcNaDcQdN8FxzYA4912L0P09DhCIb38yQtC/cfLV8kU0P69P6QODpNbd0rrWeyX+yZkpR lz+x/aWj0v/nVaSkn0NSCaL3WQ/+I4c2+BjEgs58tMS/RrwujAONUBpl/tRMi0N8OzCz65qB6A3/ bDh8O9vxlkhZ3u+8vp7RHydG2PTiDbfPVI0NTohltGO1ERacKW6i+QNN72oeqVJSyzQ2KUnGgOyC GY1Y/JcA7s3TKQr7gPoQSLms9EDh8gT50w1YG+aaosTuhCjCCsMw0euQSQ5HNAThZk8PgEJieOuL Qo0Ydg6o1mYjnh+iBLBUPaOc41AUBA/XxJHsUbaGX4JvF21V+IEtPl/fUcMxqr32YmK5i37EDMqS vAgxZo5feFtF1QLzO7Z4xdpw6J5tUqPf1J1Rk0F1xPFDD+vaUhD+JxfQRhLdVBEXyBOximJTmqKG 9N555gSJPMEHm1oQMoYyNU87RuMkEr/Dtu7cVNvdTqvjysr5iynROXq3ByYNgFBUmgylxxXnVyZ4 krRnpAqos0sZx1Dfldni4c00o1XrsB/SDOn0CC2WB9yj96s/cjkMCX21t4WX0/rP9hp3kw2PxzGR nJPmI6mqmPESGscylhqwPPPfr6+bxG9QkpVfenJAMCR3czxUcGFU1JkP6IZxWOIf0V6V9Tpa4b76 cIbhCe86DL6BiGh+GIHZZJWFkUlButp5+qvNxE+xPOLHLJGYJYYm5mct2oGNuJAC20cnhzKIaHvl YDRe79132sumltY3YeU40TPLtP5sbgMycJz1msujxS21PwMpx0HLSL9qpOeOBUlfxtooJpOB8ZLm Fdg/S+oMeX2Cs8zAaKx8ch6Q9XwvCQo2iV/UWDWC9cXekv9wgJ5vQx/YYJQNuzMCE+TOSJy41V9W YweYu5TgIGVeRQI/auzUVT/iP1xc3wxRbYjMGI990E9PL/zU0lng3lC2OqCA+gDi2HUkvhL6NavO Os8yDDF8/Tfi+uSHSUDRGvfZvgH3Kk/pEaSQZNkbjh1/LNIpTIcnvdHyyECPhH9JiOf8tMEEc2No JgGOcYO/vlegg8nZZKnFB8OoYFG/xUdHgPJFO9jzFuLBxMFbiJHz6FYPRTk1oYCukLxUKBqyetyd KDY6AkX8IXp89uLjxGTZE4kUq1LkcomihIjHLlNcPQ0Jfd0Jta0DeMOxjtuMmjmkb2h2yDKinV8w QCnSMlkdDTAzd/yb7l30q4u80hY+B2hWTbynQm11T71Y1LbSk1jz+AgoJeYiLTFik9zAsKS6O/36 UvIEbYcCnRoy8TCp3TFzWwenphuToMqS+f4DLIQq9yr3qznudiyi6FsMbjdy8g2i9oOs8UFpiYI7 /NYPNNDunIJf5t3RmdhNRuDz/aRTnb3M923DbUZ3Oev6QD4m8KmtzI8uy90OEndLGkC+p3Y1Az7q dHHGH1vi6LxWJ6Ppy0wj8RRaMzOkLmnu3sxoO77lAw+t+7hkLW6cfM+lmLRzhhyTFYc2v8DH/6kd rk3IW/4kih1moL+prlCk/uaiZty2ScNcB+sQ/jlGU1iVgGV3DLOmTSSuc+t/WcrI1MiTO1b/Vdpy cyTYA7ynqoz0Cnv4UhEBVUW1JB3TMP1v92e+X4xPsFfA8E+IhNuiP4mHHeIYGfnRd5jsWU4ora4/ dfM6z+xfd7UprZwtFwYlm0a5ffETUbRKlBK4iqUDLPC6GamtjX5uOOSAw1gkVH3SEKlp+pVYjUr0 xVC+7/yEagSGuTTjZSMNh0kLKBXgY3QoJlVmfFlBDWURh4XgOjPS4GgCEfqUzAcOQFiQldMy3iio Vwj7zIilsNdvXqqvZeeNQt4DKSuqeGNDNBnSiaai3PDvwxFp+uBOFMnI3HXo+rnjBCJm/c4S7ebD Xu9s2uNZF2e+ZapDmDOc66gj5/N1K0yqhqw58p5RaI/la1sbvznHWSC3pLhwaxGlqTeMjKe/sH7X SB7SMNEkwgCV4mu8YY598o6jkIm+lr3URy2hT88pR9KseACi2geAHvAGCLJ4JtlDe1ho6n0kloqf tnTV7NnUgwJApbzldlL7+9eRKnmUl7Cu/Htq5fqYNaK0PwgdzZaxd1OiWZD7pobJDiglcewx5+Lk rrunFOnXlfct77yk9+Uw4AOsIyntHiYA9jgpHEW/oa84u87PIyqqD5tNy5zV2yOFj1zdNqet2usm ip0k1kwCM27Zl8BKGu38yUyiXKNl4WOd9eCX71OhKH0d8vFeLHSIh2mhHgG9eu/2xNDy/1rO9F1N N3cm1F4/JEgx6WNKRIbVgAHWbujNB8AVq2U54xkP+fxs6HQ/aJb5kfL2VagD050osO7e+Zy/UNCG W5Sxauy2wAW65TNgZ8OMkt6TszKVyQgqELAUjT7cuGC/COdbscdyTvQdi8A1M/WbM3LmSsQW6zyp 49KAp5bLaoNUdcu7ye8hfTBujY3HqxJc7ZdcCbZK33UEUIQuoBZml8QofmSoiCTx2aLr21yioTOt gx3zSjCACOaAGoi21ZtreVsN/xV78/oesTBgzfwwRaJWPZWW03muV/OnNonRimlm1s/eheyHLa5H odU/h8C8JfFI2GgdvwqqqTKGGZdmFHstlBU9wU7O1i8FTYNY6Y2zeZd7vAUfCKjguQMH2kk6bvYy UQIkrXgZDJh2MiKNMXOvsjbYB+Mdq0gjnqsOiBIoBQu681mP+MBPNpFpEaffvdy5wKqNS+h7BL3s l2t8LKoNvSebsOlDLsM6w9f2deSLQthIeUv+Czhl2agoUOR9+OY0b7LTGHmyadz9agn7PLQaTa84 gYDs2bybAhiA7+2iQvPQAkyWNhhb7omHDzrgsVRCdAuWO0vZttqB6atyqMjrlDlYN7lOIJ8kRUN/ /uY+x9hC388T9enmQ6VGN8LoewFj90dLl02ox8i/ahPuhGG2MItKTOGlndKKjuL6Nh8M1iqC6bcm KdZvvEoSwg3HI3E/Xd5SDH9m62w1ZQh879VGQni3RlV4Ef5oA1z5sdRmzFEoWw11QrEuvw5WdkCi PCc+hLs5Rv6inDEjNr576ITDgq8NOuMV8nVh5rDjNMoL8ml02dyiEXd4mz8s3g3ysStclWDp5DiJ nVjO1PDd6X/n9z3GS8eIu9AxiepwQ5tbZkAjB6k9i+r9KsePeRNxFve9p+xwZ+J4CLtTl2bva4b8 cOw5xByH0w2El+TZ3NgwFrhe7uE65jMx54/gcMyGB5a1pI8bBne+EWQVdNn0EdnT76DObi6dDGRx 7BVsn/T72H4k5UHl3QQE/ef/KHxLY5tb9xDWbibiL4cTgP0Yz/LAp7oxtCJP5AYwiDjTF+0mfbb4 Tdw7wjpuqmvK1gUOyYSzFzBsEuEf7p5fNS0VlEoSOFbjmk9OOYILTq1VLfZ4Rsi/NYnlhjfnzg8W s5u3FNdfBklubEfIllo9X2kPm7Vzu768ORTflpDvVO3vHiSDEy10IV73z38syqoKc2Yigree/p9p 01nuZVpLD9R0BYG/e/cZnE6IswtUle3Laq7yeya0sIbX0CwQszpEV8ZZkN5OR6hxxV/m5UqtNN1B RMInjWfdED4V3Ln9Y7UU2YhW5fAS9Ab0ePScJNDWe2Lhf/36nVifqfHNbvF5uV2VD0VLgf2yNCbP AnckVe6Bo1ehmGxsIfBUoENVEhUJm2i6kSMn2dZ2SywK+8iNSJszQD0fLt+A+tGK7fQusgGpsWOx N6UlV1iJxzWVPMQfAQ+4ka2UVwK1rvZTJuiN0vZLUmM3E7Qab/3ERzcIPTFD86i0G7ZzmX3AlBkm Wkbyffkpic5ofIUJj2hoNevncwsXk78K4DOv/pWFX+fvH5FbTIlxA57p8rcsdkbUvrlQJO3ILGVk n1VvMeqQgvBW3eJgLvMQwyg5wlREN/BKdNidMhZTuDHqtQuv4EMNVbT2y7zUoLbNG7wSt5E70UkO 9sEYxSJFm0GY42QHplA10oJz7ajyiLrNHd0hH7/iud4PbEqGfJnoBxtRD2OXGQjMbbq2bSdG8uIV EhRKHm1HWgAv1LrzBMvGOBv71xo8tsONq/7SCzi4faWjgTclwPhbq3V/es5hmRpcTIdQgDWgkOMg AvD7be1jGxQiCBQCUW+Agibfe3jU4pmAW8tr9P/cmR6PoE9aXDAmZe1wQQS3n1j//5krzXvpVTju G/Ga3m84/eyMO2bBOtRIL2LTY5UEVzqBoCA4NHEujnEeRS7oOm9r72L3NExZH+1O9j7bFmBhTBU4 qdRtp0v+oX3Wln/HMwiu0lp3xfDvvOPkp7panpETj3VHSzm4974fPCRsi/rskViq/dsxqGP5TH9n 899doCcVpp3E5P7aUnU6yJZBRewKERtT33thiBPUzpFwvIgaekXcDyas5BqcjcagkJtRxE2ZgZC9 G97RbrFm+2OWoXfgzwwu8tk7kGI9RLPe8rYRehrBmioyw7jiJnToEm5aHJtWp2RT3xT7fnTiQtui VGcYbEF6nCz6k6KDF+kpB4HntEzzDd0e6SGwc5SkWa9BCUD+tkOwVWtt1iaIdVQvjWWngd9C39LF ibIu0AMiSj1nifNg6mVBjd300ufMu9qCq/OzXq78ucgG9LB4wIGqivTxVsoUPr/qn7K3PW33fXvg Z5zPN+xDR06VH2Juz8Mh6sWQoXnV93klU57KgmMg3sxRO2gvELOphJOZ1PmzJ8oGb8qFDzBSahfh l6TtELa0ZPtYd+kQ4nfHB5LtCXhWiL/yWQn6eBYwYq91ZQs4TtOg6ytq1sSJIrsdXSsoTLEzL9El Xg2T33zcW/oaO00Mjs0jnD3JDrXCMolJ0ee7Tq1ctBINNNG/33L9je0V7WmY6ypX59vplxxjiOiB aybp5qes8WkHKZ687IGlvjz0J20B9e5bpZ5xK3oU0lCQc1i5mH0iih9u2geSJUXkXhGF0pBHrkUj 64lkgA9TzzAqOV3eilBoKFWgcmmM1usQ3GCiethow1cLPq1inHZVY6WifSVFV8y56TqD+zlTB00E PHIf/hOGhr+/vDLNLIdcskdtNaJc7GzIDtcAsE6f8mmzXqjTSx3VR3v0/Wm0f4ozpJQRLZ6OqX8x gyq9MOujWaSt6V+ZTjmUbydc7yt0n27PIRBSdEaKwpILcdKRHEU9A2AHgA2SmCM8ETFuRGcbdiOa xZ4G2YF2Re7Uuz+QRSOie22g2E4K99Ocd/+Zd+LsBq8xj3M25JzQydtuOTjO69geIH4ZhENqqmpQ eU6SYfHV+63U3HGks4pCTtRI3UxUTU0dngTJbz5Duyw8wXanHj+aUphExZIwFq9n3vYkwbNJBPxu KRoT2/e/SDP9lWnbbv1IxfnkSbrk2xVxNeWqHvKhh0H4qYJX2tnU+W579O8l8fwfI2mh6R2kZxkd 47JQFZQ+KfsGluZ0rKMmt8Vfjy3MXcW9Dafo2IXRkCLJUo5y5Z6uDKXy9NYFyMOQeRWUBmtW6LZ6 83rzaVIgU6lOl96GYNz/LpqGjY9HUdzeBb1I0Yq9x+3Z3ksRNcwjs98zsAQwyZuKRzdmPX195lv5 yRdL6PIDsF/O25oxpWaRPzuRRLI0fe5fmu7VAFj83wEd9/JZCB8Fvzff+M8+VzipnZbRy7qKWhy/ 8oJoqgLv6sw+rIUtuHm6cO9fq7zgP35PVuznYmRcjGc82DQEMDbHkHs0M9kZFSAi+kLKvD8MDQaJ MIpSW45Hd3DFdZniqMy18g1dA09C330ZKNFNX3/V0WBrBfH04yBmbAqkXsWne9neQ0ST7dPvaqHd r0Fu4ErlltLa+Q/jbo3BnWy7rMMFyhkNN3kr/fWo30Q3QJqeZj1HjIqiRvZ2swP2jJV4zqPJwKSp 4soOtbAkQHvQUUNQcWB/A8IahzZfevgwR4xREbPpmxPXWbAOFfvwkURuBnTSKVogMMMxZd1+FQju +HI8EGePPrPITHcoTGWGi4XtrcpxxBbBffEveN597ty19tD0vISD2JNh6Apok2nKWPcv3u1fqFcU PsdObLT6oHspzmpElTYJwjPCZARgCtYKwyXmJLExykw4avyA0x8ciSQtxecBzGq+Csubr1rh1lvT 1GdgM0t6KfcNtdh1xi1/F4Lvcqf4/y5F9D+oGFXe8PL1KyI2eYpOc0NGUHrdVM6oHScOY5JYnjer 2QhGzaCsCqm7K7w2N49sfx8UqcHTUQOBDDb6FnCOGTRC3tgcSX9WBJ7Wo1ELU+h/r2kri0ERMSfB nCOxfJY2/D2jZMoP5dtsDsWtDT1f2T0ibR478Swp6tFUhLrLSNY5gqv67JsdkEhRessHNWIlDaXr PxjDOC4+1l/QgyCW6vpXSS7JpKMnAoXXMGmFv6utrxRmuv/fERLH9ojhpKCx6OljmOOeXrI5Xcic ThyKOmxpYbczVIdmSL7rhYrRppXkHVcWFfZbIY16r1OAbxXfnn78Qwd6o+733W2WVTIgFmZgssVS +SP02jtNxiMIb5mDlM/EdBcnIrIWf77A4z4gv1PnMZCogWtX1tLeRTYloDOW/H+e2rBdpRFmOk0V lT2/mgn83Bved8ORgwsbohLxLvmDEJjKGbX1YJIGeB6OsV4e8rShEmOHCUHj3KJhajMXVRJ4IUTB 4yhd+zzpkxKG8nWzTrhJUsEqqojNUgQxDdL565gnLVKAXgsUeTFPAGtocqngBtUT6bgLU5xXpeaz ROnURJQNdzXGwlHNLUMko82gMBljl222H7qAlnff0kIhFry1Wn6YQ1DkVTlZcJUxELsjU73m/o9V MfPe/V+anOCqctZS6gOY0AyGh7U8B0FA5tzJ7R5mWXNbcz4tXs79AHgpaRy4YplyMjX/+pjCq901 EWBBRvV/YatTGvj6ma4ANJhqr8J2a+CAQGUKQOVXo2Nzmsa/1zKTzm8YpD7pOLkgWlHTyCd7cTMz zc8ofHbctcsy/LwuqC/PT/smtAZjBck3LUWnJyAaHJzY8jZYy313Py/R1uj+8Fu8tuqtrdhit/bI LoAsCsYjQWbn1mlDFZr8Z52IPzaTwXbQmiyQ93lB3FyNXhQT1lNwhHpXtJLl+fQKzwKHpckOWpr4 aikAQPzb2l7NU7QT6mUOf3S7egS/1A2BtmB3RihzhksiAF/1u/B+XTpH4lf7nnaxtT7nxcy+Z4BS YfpsZTYnCJuQEFUUs5rw8Qr4HK81fCbXdQ9SCBhCV+frONMdnfIHDIcrmK5mlcQlv1lr/NzCXl/g 00ICODpceFCEi9zeNrJ8FubGwunTcDDsJmxrGu9MG4RJWDDqMuoS2UKDuAISMRERB/7/hUJNao6d NxjwYYeKLiiewPPHnhctAg3PQJDrMggWSwvdpBa6dkglkHtHXtJShH7BqH3ZXogxLa2EUEF/Q8PQ RxdjzrhSGG8odaQXlhJRti1fjhe0C8oqr3ETS+DVAYLAiJBhIRZ4caL3q5vzntqnU30/idCGbaYt l3qpZmc266+fHaV0+Vz3Jq70aiIrvb4654Sn0xXGGNeebzppSIhiDueWb6LlB5xil5tFN6ZUrBaM CBDsJ0ZcKBuVX7uW03tPCcB0ULh53AagQccns8WqSPSSsZg1wnuKP3srf1xD1EKkIBevbK+CqBkF TbKStsD4eEKioVMtwBkYlqxjULXmJTR9+q1jMrAJ2a2AtaPYA3z7iWMrKGEH/FP6zhY+tMqT2ENv iGp9GYCvJTmnaQWrUamlBp9rFkLdzEr6p3P1wOSb7zrZiJpBoedbJsQ3v8nz9SYt3OG/Pa//1dbm XQTyK/9MsnY0c8UArWWW8mdHcWrClEYSz4EnqrUr5RAEUHMRf3i3nXbC3/Tk2qwFPW7Rbtrjd5Ut cWsQZKN/El+LtaXyLOxC0cEOSei279ws/iKmeL6MhiHs5xlTRw/8qij0LEKfdN5LLqiTb4O6MDMe QumfeRrk9Omxuiwu2p4SgfcbpFSw15AaTRKTrodvf0QQPbnlXlIM9lGQTlOvpOoyxOrE8uOOU051 xockfp2JVPbuSD64b5cvShHg2G5VA1ijZ83+jjW9iFs/6WszZCcA0TwHNaoFnr0NrulPDjgkD61a 3QE/tbOQWTWX4HSub+LFHuZLEgdkRAawkI12ofvKhf0MsH5g0IPQTQqO7Ho0jDUq3YTPUIwG4zGL JKnQ1CU0gZM9VNm6PLjyTnVfYIj8AF8HYwPSOuDV9Nb+nOq9OOtU2KDap+NnJV73sxBG2DbhPqxF le4XYntgVCpq7QbBj2ATTX9CTwOuuSB4VUMG5O2KGhxscLiWQZPowKAbaFR4mp2OYSA7KyUX+PHw 2wScDB0OAKJ88IzQ99UVXNaibhLTBOOi3/Xh8Eak/fMP9pUEmD4bhQGXoQvdBx9ZCtTjGZPlg2ut sNsnQodXbYoMY80zcM+mKgd9wx+cifVHgWDxOlRrJnyrXlUffLU7HvSX7cVwuFCmkMXdUBwOwyvU Zp1uS6rJ7j8jI/atwXJorckqrYwdwsD3MFuuBUS+ldKpBQpikSsvrI8yRLoKFIXtWAepBq3Py/Il YEv4VNECTTZbu3UrVhWqjii5HdEUENrxHbM2wRJ+a3cvmsML4CGiW+zJzu7kxMY86ibo0xv+X83x 82NpLUsfSSDXjaosJHJv+w7/soK2fXxP4BbJyuVa9pQzDagDkht+v76Kd6yar4v1f2WJm9asu/aB Lz8vkAeyJrLZypX9GDWPVrfX4Yoka9tWkie20oae6qFwJanrJTUAK4gz2/pW/vFJGMZnZE100KBq vLQMLyZi/iFz1ODLgx6G+cRLkj4vJcpj1ZH3EobVCpF7DGhMK3zCvR0+sUysbfZEOQY8vGR4/njb ZbUmA2L7YcRJj6jaJtLvxq8e5vpVkRx/2oxvE5og19PkjSP8h3kuUTvRKWSQ6OL9BtXShNT3KoTK ywQQd9pZ8sYK4de9gjlWELbDpr2TwcaqU+BHaNK5Eim9/Vq0DCfCuGGAT0qkDwd1XalyGoxE2PYi IpkevjbGh4rFByNNRqwIeytKzZ2G1gtZ8PW9XmvbhF3U07akTtK4N64UPEfZ9M8lQ+HxDYH6b/pt uAqItKtfS+L95C1JKu3U+sK2eLed48Bs5RmRrBWB0cbl6NK2mk7hJ520DhV37vK4cjMJ9nMF3Rb7 nR38M9Nuip7z3UoYjZ7pqYlh0BawvdWqghwAoqzuM+lyG6lUVC+ONV3ONC0vegq5YTV5jJTNR6fN /3rDRNLHxtOvK8gelBQJYI7TO+UokGqrwcJqGdqGF+hPQ3NBo/n9ENw9BPzwzzx0vpNMv1V4pzJb 2q9lLkur/6+PSOM7CD4p2wWs2S8DghPSeXlbvm1w1mOiPTgK4Y6amtMT5x4tBslOJkYWdhFlk0bP IPsNEVgo9LNlGB8mYQwXGm17biIs8s2/i1xTYsA8J3X4blRPICquWvxwfSVqLTGKlI/2u0alt2e6 WQot9PcGQSsUmOTBvQMrguAhuxtKNVD+uN62qIR9aMGsjjzn72LLaM5FN2pgkCE392G8oD29bc+6 Xd80qWCM0csjwUAfuVvAGSp4URgYGamimEB3El529leSzg6SpjDDT5FT5CYhiB4cstBoh+bvyc7y QcGqkuY6NmUSdeCP6QtNPf0fijEKDhQCsb0y5HP6RIPJXR/kLDxnhrT2AnY5UidE317E5se7QcYC NtSa7eoHb0+CHeCBU5muJo/NHOK+xT3Z/m79auLyzvUceV4XUwa9OCKwj1il9CkxzNN7lVRRfYiX 2AgcbKHIxBvXhZyygLjHwVg5dg5dCVOjNSesMJ4jrKekrr7CjcgwVxJcGgYH+0hkoZt0npWHJKoS hZk4AeWmcZIJ/K9osxn9kl5fggHfHJ5d1zNdX5KhYGpbAhb6CI8eaYISOsXZTGrTgpLfgM+Iyo39 q+cZpeCVSFIx3AX5hPFOgLY0qNbhRCpW3QaTO0fNfgI+EKP457Ds+rWLHChuhKmqQiQHhQixigko wB8VyxgY7bG82Vlo7dsisJhiHKyUihfkgaGDRJS0MV+5bEy6w1daAlVoBJ6vgUGoeNkCcYTJuOaZ SwxKVTWKCeFVfuxIlPsW8x+0OKWs54/CLaXK5ZV2wxLGgxfiIN9WjbGkHjL893XX2ERG57GrKQpE KNV2L/LuznWJDuxRY+Io5ENqbYUFxkl9ocBcZ99O3KbkAqmRDOoBjsk3zyw245q4o5SZTnOc83pZ RO4BeordPwuLJ2VPzR0VP54L8N/8BJ1lwjnugEGcQlL98urNTYNuuqYYjQrFYqWFxSN+GYovTdBd tDfqNk9+R6OGUgHli/QvD9C6xeva9VQ11qc4mqdvwMFoTJSb5hsZYXjSFcs4RYETXrEJtdIQS+Hj yDFDgeCukRxcOyNoAV5W9cI30z61Zc775xRPZk7c8+IY22GJ4xBDZUV5dUPibXdxQLM/9faObXor JYeiSDYkwZesRPxwejN76SRQIWGMk3T9Pk0gH4vE9jao4HlLBcjobTB3JbZk7NqA3/UZlcx7Qg0/ hhHGaS9EB/fX1VJ7k1u7E1+TxSQY1NUmmExphAVppmuMS1FmwovqWMrHQbnjVAOuNX6RA9WzptT1 Y82QCx3oaj8ZeZhX4HFjeykl+NBBRqrebfm/UalbwSDf+r+uVIrpHHPFaFqfKw6ldhrZ1f7Zd5Ks NpfRMFowqbZIR8q4LBiF+DWUFQpjirPBmiGLu4BSGAaUnuTmtjcPdl77Wch0H9LIJb5uz+IpSyYk NBW/+rVm+lmYs0fGk7CGsRtV13MWTMC02axAvFwOttWh2314Z187yZm8f+Ilz/17RBc3gONBm2lS LI7VRSxPPhrpGianBMDZGJabeva7Zs4zZ6UsDXCgKxNO6xqZc6VlQ+BReQUrHpi+RH976LSXHxPG mhvWCiyf2cctXBb6j9jhYORbvDKFAtKgQLRzDcmY7PkYvfjMInEIXFSixAd2giVZlGka4oLzCe2D O6T+/7k/7McflIHkCOffYK+EgMxvzMqG9Dj963DRa7WbLaMMU+VgQNClzXgP+m0njf90s5cWBL1C y41uW97hiz5dPuy0XZRSQbjpPLk4KWe5JWqJ0coccopVkZkqmLxKunFarFby4wbvWOarbKrBnDEs +mulI0NP+rOY/61409fwP0LsR9jVN0fjY+npBQRJwVNrhaJsu6xWWey2JIQLzEI8EfTXYsFmDIG0 PdIvnFOs9IINDVe7ZTdCZzWQLC3fC3M3ExNJTiKaDDOebgJYQvM9opr2mh80tkFlwvZF4XmSeoGY 0Aad3uxEFbUT9duwJLxmF51jbdJzeQq9gZ3JmknlRtxAF+fzvvW+qYwRZmsRB7Sq8gcAD/0ocTGr aIkbQVfON7olJuPs8+3s7mi+anScrDUTwvbp0SJ+nHhEQCS3GG3IYPabJTk4aELuKBmyD+WLqqw6 a2CmxOW/4KLa6V9OmzyYpNBqIixeiGLlEiTw4xcruQkVU8cluCy/oaCGlp5r+BDyNcAQwkZyk/kG 2EhGwVGIJNClUEDvD5HlKbpRM74qmBS9hokJVCoV4QcOuXlHyiT2frkFn3vdIWKZjSYgXcdEyxaR 8d42aOglhazeYe3rsFCJ+yYnf7od08gR++qo/5/T3/SiDFVrF9qiV1wFK0orGisajHaBo3NPlKcP 5Ar9eTsQ1W0eC2hS4u83XwEPFzpY/nCY2JgQDXaG7IlCs0W8vsfbP/wMleA4oj0dIQQ6rDujEapF hOirSE5TiY0QarnMCvE5dcFZI2x+1nEojPE3vld2Bodv7szpYnmtFftZryGEbrm8WEK6MfUr+F2f ILpATzpFDooYaMUDA74tKYDhENc7/6CRG84h4lGgv3vtn7q/tIL3m5Rnu/0nlFzTlArPVBAraBLZ B8jHLsyV3KB1Gs2DiQPRgCib4Bv2ALKfSUdN25fAjp+kM2voL8Bots8EJURJ8ujsweyfPDrlaI3X TcBEed6uhQOz7HgLDSdwiB6nCkFy1BtuRpye2zKAPL20WEV1r/NIMPwfRjDaiMHtHLrSgQWQdqH0 gn8WXZDJaRpvlKrj+fqx3/nvRg+cC2AWQLUAUJfHXRqS0tz3obapDpmxDbrPlSQfHjrtOM52BnTd qRoUND+Hwy/qRSR8Usu8dmkEKl7/XpzvPDJdzqNW6AaCpE97xCIte3A+g2PMSFaMpP3som/Y/tdf YCIRY+XhHu3js3Aloz7LNYnYyBHpwo+5b4Cal/W45DtmbTtDuULX+QDvqubxtQSmowkTkAhXNlXr sJez `protect end_protected
bsd-2-clause
aeb40c303b081f60a0ad6a66acf05c98
0.947486
1.837314
false
false
false
false
cwilkens/ecen4024-microphone-array
microphone-array/microphone-array.srcs/sources_1/ip/cascaded_integrator_comb/cic_compiler_v4_0/hdl/int_comb_stage_folded.vhd
1
42,380
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block YHF7EeuMXp/fsXmYPLWkfwV8FJfPeu+wYCKOJGmKTHgBdTrwpfmRaoonuh1KRiN0uD45Ea77TghV F37zqPE/wQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block j1OYtW7ILcs1dvr1vTiS3ZPhFM0vLt3TQRcZQFM74rMAYOuAADLcUTm3sW/TvvS/BpxJHxxtz709 zPx8Rc7HvtYTrePfhKtM2xXRjsN4XKpE3I4QKe4FhcFdq0WVx+sZ+w68AcYekXqbccKoKmBK4+RF sBBvhFcBMnCKrCTljDs= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block gtibX7cUVB+hZ2g3t61MzhpiEXMYNLidkqEvOeNLe6QQj++xTivH/mVwPzzQR+OA9zVx7z7auGCh l3i4nbiihz+33UtmNA/IGOnAnFu2ibqevsjNqvZg6rHuE9OjQMMVOAXnE81phAB96Q9wuZunzRhS Kxtt5minPGvreqv4USLUqQ5eSVpethLzhaywLo7pbuBuCqKI4wl2gIKsDBsAKoD8b84lHTHuBvu5 2z1KKz8QlB21FSGGru2pPDHlpIGqBr+EsOpF5xLRDwEzK5P+mnlftkOmG2mX7sRwA/ji41zxODW/ VyORmG7yW9+XKzvRadirrxk6rmJWZo9xuGF74A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block FYb1A9+jBLkQf9Cyf1wQdwubRXAGzcatM4kmEP+a6cb9bEvmWLWC2XbK0zyrFK8qXpuFUWxngf77 M1zFOTIM0/IXQH0DkBPF01jg7xTmIJdX2vRRkd63E9X/VLJNCsfSyOOcowQQSxpU7UQIp+MXrVa5 p8Xo/O5dDXdBWhgUUs0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block MuJWPebI88FpiWKe9GmFLBFiyi0gi4rjnkfepEr2Xu1DNR9SwLES+al79aw9kDFh0xa/+BKzaTKj VGJad2YmlfuC0DGXhueZcTyy1wPe2L338/OV7rirl+7DXNoO6qS6AskeJ2hmTrsSBb/vNae82KCo yd0dQ+LeTq66G1JjSPT6ynp7MnGjLg6vv4iXIrKblkGPi4V2udTIrbNbfsZUhAn7nSKELz9Ld1j4 T5tz30JVO3D2PBTpOSibjRiFcnJgBj3fEcyC7KfW2P4Zx1DfdPc+FQuf/ig76FbK2pt3U0T0TB6F Xz7RjQROIcZvtIre5ZSrMWtSD3zHhW+x85XCKg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 29632) `protect data_block Zq4r4sBWPl9rJpM/JqHWyt9RkuZQEpKZASqUltHNV2P9MJFnQsIYYFukcZdeoeRF8bXuruhYL6kE BXJDGSCUXZVU9qMz2Rbto4rrkJvHTXmfP8RDClBI7hiU4rxQEdvYCQhDunBDCNJ3COia+6JRXXtp ggEMbuspbNZw+Bbrj3kc/pefaX2pOh/N56UBSbMH4+fqhsmj2qCOFtWMqK0IjPav8weEShbznxOt UKEJ5McYX5sSO5dLb6U10VxEIFQsEXsHlISbbYe653KBmuKmUSPMC/PmE3FB+QQXaLjLn7WfCQmQ kKG96pzNu+FxB5yaXhym2yop7y23xlV4Sx0Z28quMBk+BwKSXVArFamPKXKZcRqK3zqT/Wz0MHKs gAAkCLN6IDtj83chXfFej8N7q4lfhwNub6F7hOQClaIA975ykY9iSLc6P97tyo5Ya6z8qCWd6PCx vp+B7vMZLWTFu12xe93gd9c4haUnSJEK80fM6/PPoaA7yTlLLB/xXTgG4pYPJYBhDGBKYe9h4/Ph hRG0v0B1w2PILsN/72QCGqRyrDcDIuqHZ52+WMFdBWi/4tCLYQqhEh8qfHX2i7Hx5bdrk2tY+kA8 HG+5HWlLH2P8QgbDDFhUe0vQ6mhDPql/uWxyuK6TvYgsaBlznbpt3hN5mH3jUaeZNfQRQDa5sKOb PM7iueuURXw1R0LebLC1U3HLA1JYJ+McDIhmNf5yJqF0nT/Fa8WIRYPUU0+exZ6HD+KxRviGISri ON+bWDaklY6XHyTSUCly1gfOokCXC/OS+dnkt8+TWsgpdoXBWlODx1lprE2MCl9w9BdDWZqhXBp+ RomQssaLZnMiPBwHZR8hXuwbD/vMeG00KASvUuK8WMYo4s7c9hGelNyXDMw1rBoaC6gy0LmC4r9d a92r9uz0tT6X+xOT0rLACw7ASmfxKQJFM7eeqLmjx3fD+nVhnmuREGdgKmDv7QW10zPVAEYU9YiM u3+bPLU3IEq9/k3mriid3AKbdehOg11ZhswhBj5JX8BRNC/Ksku0Sw+v4c4/dgC/UVzIqWc18v4O ooUxlhu2qzAlcVh9X5hQxiO6WicFrYldIEqcld8N64gP/k3H3R6gzgsDB/smBvIJsjzHNYrT6Jn+ fA1NojzcvedfP2W7WansXqbZTO+xP7HsFOC584glzZvW17MS6FsNVu7AC0U6fG6MfjNysgHXyCuy CLfarb3uIRywXi9j6dFI1UKmlLMzLAj4Gh8BIwQFwNNap/andprLBpVNX5D4revpTXMeZebRka6f tQxC83AWvY7Z8RdP15baDZvlsWEKEU3oCMxT5CslOThPpCts7qMY3KAa9CX8xFp+CLnMAbTHrP1d WR0NN7Ks+Qq6mgd4HxcFvehkaHXHA1BNurXHyNBB0gQdwppQcXyiVkSRRNjY+7Yxe/ke/dY4d3wr AXHfLuwe9lnYVb6q7WEVUSN+z+0AOC/dpkieqw2GDA7zagqteh8tK3kXgD+FEv7UEu0lmmobrBXH VCvMjTjPVDIScetVrtxRkSrApge9413wO7h80Z752J1WdvMue3LV4DpZhLh8yF500G7Rkdjpw66v W1y0hTsK3SA+EcevYFhIqqhPdJeaKdGzSySWG11scRSeL2bXD68fk9wlswwJhN6kteR7GdGVweas 1cS7iNB89/F9D7tc8tRelo3L6MMnQ+CydEOLKBxyO5NKNOnXCi/sHstlFqdLK5kU5NK73mbjVl1D mMIlhd6nNdWlKuIXARNlGGzGslajLq3qTvb16mqnFMkXX8W6nnMKRGPk2fGd1NIA40t8mGy41QYh 54KyzDbPmE6hwBi8UNd76r9H/SE+Q1Fsmny7+V6lB2uaB0usus9294k3LJasGZN+k8fLpnZYgHa8 788cROTbrV4Jhi+dsm5VpHeTQiq30seJNZ5R8lEqNMsA7tgZlSYgi2rE8NhdK6O7uXbIR9sA1/t1 DZtFMMS1YnZsp2t7SZ4JgItmKUAjeBn2Sm6xHVNrlSbknykl8kvgp2iumxBrS5hkfgRv4wag6oa/ hoMLtu/F8r0gy4hk6eegNUe09W2TnNZluTaB80FrHq/UUdiqWlfQuKpL8HgeXMF8tzoIYf/daKzs 0OvaF2+d2U1lHa4w6gxnuNUuFkYhgBaZscY7+nSKBoFYdaIzPDhMpjdE9INoJitd9UA3A3XkgZls faP42rCfmZ8qro+dmwYCf2zzCnt9WzsuAgYy+Gnm/ejE+4REUU4uS4YWq9lS2iaWZKQHgdRb/CpD FwOJpPzxFm3dxx+w3nh5qYdseuy1tQBWc1LsQ2ohDY1XvTPIB8F+8XpnmNrBr6rUMzx9iR0xwpMF fQYO28mRUMGBPavY+YHrCKVOT4UJZ8Uo2f43IcByR/mLGzcR9MyrdwtL667E8jcQtFcwbKYAaVFv oeHuGm7yy0O+ci1PBEP03mDqEhTLDZNPgUQlapK7GXHLoe/ilP0mZMjJAJVUI0ouflnT7KkJxycR jFccg4LcOegmlNmL5P9MSOgrs6jvHp4SV5wPPAVc/8Bd3KTBNSc+/oEaICyn0wahYnZPE2sB7Oat Z8BUGKEN+SRlsARwe6RFAuLQDreWblxsSeBDgW/pPJ+/Z6rqXr4yk99WVb+sFfV/uVGwfxbnbjDZ Y72jVvv/h4MRT5mpYel5xf3uRzteIPeSbBnFpfJBhkdYqBIlV5imCy05EFtEy3FJUWMgZnnUk+W1 yIGK0TRQTMzGnhBBDWPlLpRNTu3hJh6atiBG8kg2K1bo/YLMnriwZ6e62BpF3lpQ3ks296c2ed6z JVLkscT4pIa1F6+ukA4n0PN8Zaqhx7ZReAsFHgQnMPKrudeKMlBZEnpxe+UbLCtM3t18r1jAShi9 M+uilQsl8mJoqGnTbY34MU9CfBSnIj2H3J3GlK6YVS0AM3I4zuUWAZi5uI2n+At8SiTsyGKVJx6p O2Ew/T0sO7RCxVBflKnHZDAYWX9DB5i6NqWKB0My7qMMvjlUYMqygPGJXnkxQvAHfKCdD8l0Y/WV LRlbX9DYFMznxBwOUKucXMtAZl/mOjyjvBJkFrgp5ERLQCvdsQP8ltg8cvULiMYmx0W+QNmOLn5p cPUjBxIDTMaXupRp4T9/dtHfECwWbKsbHd1kTtRJEpgn60/U6eGhDeUW1ZNxWgQnntmpmnsTD8Cy qGGN7d25+cc6Uxt6rygIo6b98okGAfdXgiHsD3Hce+eUmbuKLCHKKEgYkhxBa53XlBN/BAIQv0Pd 0zjjTEUyE/f8myvU/tmXxQZTyCooGiHSJaIDpOb1SaUDoi5z2Tf2Z/Hx6AoexCFXVweVhpI4qKf4 nptR5Ee5Bxp0OMshOeIninuKepMKlYrmCuPMvt5CIwaZ4vk3l4khzWSDIZ/yoSovJJs7SE/iyGje 4LENGl3ms2QhzFvhJSZ+9UdCCjbtODlgFA0/26uj6u6hQtNBSGz3tzm+6zDmUiEVO8s8Xu3hr3pW RLVeJvw3uNBMcGDPt5IWlIgXlRC7oNS5f8zOpX+tn531UFgGXAccp7yc8cdFBfCVhRgGhpM8gMXJ mXlw1FGOj5/So+QQ+pUeyC8S4ZNa5pfz6gusrGoP0i4vLbdsfbHb97YVhZoCvlFAMRMAx7fpnhYG /PI4md1XncwxEo28Kd4aBP02qWT7Nr2TxIm+gY7qypVmq24PD+H8a5X8gumiTNDhySb7UUSI83Vn cuNBd3eXZbaGzsOc32HdwEc0MbjQInc0pTpiC5H7bma8am+2ZPJFxJMkeabHf0lw1VB76dnwE64+ 6iIhj+bbxjNBbY7dhRJxcQV8PvfCz7GqE4pxe5pkKSG/Cr0SHYeRsgUTzF2Qh3MR5nearCfF83Ts qrklC8UN6GlV06ZYemmOSvG8LbdZId70NGW5Yc2QTJZJrh1j9ghymOhXlT4RERmFgFH6XRQIC/Aw 5uoVxWjMwIUaV8yzTG9loiMzTAaEz34bLMU04LoDNgue3DH5DpyGiTLpwPysV6+fclslodyNNG4G gdBaTg8Whe5gxtGHa5pZMETsAf5tTeqnRbr5UAlZ7JEIJPd/eCOQUURhBz91MC4UqAgAS0sSZxh2 DyZknZuxtE5Y9J8nrppmQiiXeQEpQHEPEigq3/owvTO5xf5uqE+1Mu9NUROm6ZPxPWJEMDfBCmtE dXPGi8A1NJC9S5CaSTmtXfJNEkHmVSftsDbC11f2QKfztSF2swgcZ46Y7rIjzn/iOqZBwWsCw+a0 oVxR+/LXGrgj6TvJ9CB9eKi+Rany/SEKx2m/2iXsAjYOu1iZnMcBsD/uNcjOv8uROkpmo+C6bDk4 cnPYtwDVIG5yeeCTNRnpMhqvuW0TWuUxhpdRSJEcGi8G6Sqyel3g4LZExW1p0hpFhxzboLWQBeDV HDELP7pzUUW78gRZ8dgat921clDdQBowekxluuV8EPBx6l2dPUfseFcb1UGp5VtkiDEsOAWA6kxx hpjnLcyTDMC0Pb1tlVmaxrxXIamiU6Ou711WC4jKagiPZAtyzTUS24ed8wUfkqb8gseA7840o6Ji cQcJR8CrK3ZqBRgRj/q6gjvpYdce8hcPmwOKajrZ+IfOrt0BY5Tq+wneA0zYVxE8WxTsWXp/Ss3f NCxH++P4aCOg5X/xqaj52YW016swseF0ii0EdZLnRcgx2nP1qzR84gqWCq9QYFrp/e28ysKrLeMy nhULWi7nUnBgZHEG3xEjvjWvVoKayG9Anxt+FgzDIhlx/koT9u6sGSE1j4I2rI1tYuG/vWvh6Gyl ugOPwVj5JYHliAtXz3Vrj5i0VMSEQm5699TAViPVserhM9ISZWZjl0olNNdOtSc9Sdgxt49w32cW gORsdJbiNht1n7vP1O3fYeaHLmnldAx7XPZLcYV+iJh8OuvxrvLitLcI1iIRarDIzO8cptG7Bp8J ZwYlU2yQ/unoz/wfdf7JE86iBRRdPau9A0MAvt9KQ8L3OgvXB+6xN86PV9xwGWBo4jcxQDswXDs9 rIJHzJfVvSu9L26YVEXJeJjlrmSeL4CedIFO4/G3/Hvp+JCzLJ+GTTeaGKvav30xydfg4uHHxjg9 KkexLUSanqVrDaHw6dyysdN6uD1D0j/hBNjT40Dw8ef5HR7qkck8U6aqp6gnQuiT/bmogU1oVRwA ML8mcsC+mjTiLcoPdsGHfyDuinckIHVTliInu6v93WKmcVCys6U3gik+aUsEnVtgePPNKW4HxElk 2rEqVSJFuaQ+umGCTYEKx9hQmAHsJLojsaern94WWWgEnAERtyMpPW92/j3b3llWVW4Zki31S9Jh pqQyI3Eqag4ejkneqWNd02XL5DiFA80/l6H0jAMMr041VblQade3n0//mM1fjnK00ivgD7qs7ZWf NBoLdoK4lp0OZZOkpvfW35cFhYV2w27riznSjyLQNMZ0iZR4FTuyGUcw21CGLzdBJGykGhJ11nSS nmnQXx1Q/QEy8pMlTmNzLRrGF4wy9qGRXSZc/y6DRwYeuokhnN0F2zZXXfr82XK+ehmhk/VFL6LB Bd2J+S0ehRc585/s5odZEWvcj82C68+pawaSVCng9Os7cf8VTm/qsxAefjxIBhwMLLU8Ztcwy7hO Qls39+Ga81RTdSt9Fd4sjvhWf9LqqY2xb8Ip8FviDHKKPRQeHm0UaUtFoi6R5FMIkqTDSdA2sGgm +J2eVuH0LanowUXJh9s3UyM2a1ZTsDLZPJNGN8QQTIzCBsmHZS+q3to+NfRURLTjGP7f/qDTro1j V3ODpnIBjq6AAlZ48RjXmdoTFFPYWOsraiCxzhamuxf8+faheQlNOtsGWyZOA6tHDBXhCvXKSduB +UNPlahC1w0ZWm6sdXCqYNR+Srs+2WqhUIaln6m9gGkqU428KlmmsfWv37z59AuIXRRLDMCSU2BS w16yMDz+P3PAMv/IkbLHNejO0C65B+j3hxweHeBy6pJAJpYZ0BUNPBZ0y/FDZZyy8rM8IJ5TQXuM MBS3eKpXDEaR/62Ldm5eb3QZSekz/nXPaoDRKYm/FZNWOdx3t6bjRlPDaZgihiV6XUOfMBgl5jxd kzS3sgtpe4lI7BUliTn133NUvYgm0M+MKu9mujS1Dnam3LcMJ87ofeTqvPBtVdsIJ6qpJMuEVZGt FGx1j/KinIfuzShgiwfmdtyudIRRIew1ApKgSXdXq7KKzZLkr8R+Qnh2EuDbdC0QsxbLQpOIEBXD BIVMFqzkO0vpnYZtAsPCLc7XX+CVoz/98WOGe8YU8wI6ijwiwJpExrQWjzKbUNwmV/ZoEcw2tw70 nUmZ49XM331BLmG2F9gXAaiP7XJOUvmq9xyLGV/CUMV37CnOQ/nRmcnGNU6H3/8XB+2waPkAOyW5 cfu1uQTtbMUbpJKJ85RY+MLyiGzfi5CRbrxIht2Gb6xm3bcWvkvpbw22Bsgtz3iNe/V7h/uQsJw8 0hgmViKA1WBjRCx5Pco3TipocttTvgH+jBd+L++r4eku7dCRzXZD9nJOjWtLucPOrcCkeB5PUTAf vAUqj+P97da7hZjQPYw6HFumPtEoXEw8f/OyA8P+BGIRIqitCPTVjokzh+tRnGV1MrBbrpFZIgR9 mV/ndFHurStrFu+EyEXHYjhXM0pa7FsZOOHN9PLx1Cnh1gOMui5fU1DEGKp1iAwav69yzBlB2qp8 GYI69q+akSv4c3DFQzBDgrQB5krV5/D2iY/EpT43+Q/vgKnHNnR1KiIRk6S/pHsxR9rmqC54aYhk GrcPXD5i726HHB+Lqyx8auWnqjdudJ5G7hj3OYLTLyhJgmOOuAJ2CPt1Wa2hXElF5lnfKA4O7URi GHXEr24KtwjQBerEvYwpOVxCJxiNWrSPep/rYfskeJ6Ab8KXV7mhiT+4/Tn+8rduxmfZNXcMRQxm oLP+CTbPhLzy5DZ+sk3WkIhssgywdft2xbwTbiwJBafMZqsZuhdAkGcT3jNhUvV+U34pNfZ8Hue2 rBVH29pFS5MX6ehUbQEe+EHBnvA8sirLI26tiMUwMV4iY5innKw8RWAuMAztvsW2hwzTSHw7Dqbj t4xGMAJg5oVRxkqgehIJkqMBGAj3q1bBxhHWG1jtAWw+JQQTC7Z8Ep/L57sOoXopupV0b0T8wq7M E1yZSk35ew3p4HDOaQlr92PiZ26rqvSEfOot2VfYVNGdkUB2C2Pf5axW5J7BDXMBeSmIEKr0KRlD Pz7SNPUgFcDYcsA4ILgR/aAjOE4mdb+WxVR4tqaKZMzrme1wzvAM/gPwJAOT4WPE8jdNtJivV2CI 8E5mzowr/aHmT2Vs3gJ6ebdSTy7FSDiKe6oQhZmlEPYMRq6cTLtpAmSefA+b5xL1LlFrcfWSaQJC oOW0qrLSzFlEufaUYC+dLQZj48VnxBC4PuB6kub/80lGibiinMYgGpenFQ2D+7vJ+N1al//po0wC s0Try/rTzP6GDL5SLtnL/mGORJZ10/bacq1SCOoE4+MHeaQ20cKYzTXsLmW3ni4v/fQAPJMbl5Sy P6nup+hdZg5Fy6ct6LEdbtKzUbOxSd4XPRFqhFBaZ1HhJ1FeexNGuIvAw8G7zdDQHwldYlGamanm CUg55Jd0KEdr9L6mNqxhjOS7IUnKbf6sOYUMv99c3PDR7fFM72ajOAWs1i3/i0oH1JM6h92b4Vzq S0qzDszv/piJTY06mfs4aiL5zjt8Gs57itTattTMVbakDpjZHOQBPkJ4AvL9FGLUHxxDkBLdiHbE Ee/pRvNeVl3ez0OlndaIXsxneMOD1r1IZ6d5tO20iI5yLWPg/3P9XjiyIXyNnZ+WfcXXFZ9E1gGn hOelPTCmySSOuumuNrysg073lzA9yzI5mW9uDM3xdIch/OFUN3mCDCdshmsVFgSyZEldDlgZgG9e oTuxSkdlALNjbwBvkkPVQZz9OOOE1yflra6w5RTC/3ohz6cPIbGs7LcqZOs0rW2zTEkWyRSSixU5 XhhgSDtaINwovTYJt6EzIJm765c/pRZmWxumheDXXePYmnKVCbVcCxIzfOEpNCloMNP7me9J+wUV DDHbHxxLE16YEDdwpZvxtNwORbXC/nf9UXZvQWS9w0wrHCqZdFLtLfjNJ0d03educ/Cr2B61oj2H hn0TBkvx1bS7o/3e0MvMmn1PgVzU7iW/KoYsdXgesw3RtirmJgQjHyc+qbAPTtkHpIEdpDkP+gfP K2ju5QMt3QYzmF/qROWegsURmYWAk3ecodNnzsb7Mfp7cBiUnOq3TOo+oVL5f31/TFl/NUzrcH92 d+bl91vaOR5ECeLqy/Fm7Hjxu1tEmYd6n7FfnJkI6+bS1IqIQozQfKh0M80e7xmJuvCMSL28aKHb UJbwLBv54sMUUc2CykSKSIHGdxKIUTLKf+h/voYqYIibNTEoWQC0csxqwWC+niyImDkZFdRO9kBY CYAM83hF1e7xxAbb/H6xWATSzkGAfDc0mjjo1hIBRRmPth41S537mBOWBtyW5lvMG7sjasoDZgxF uM+SNXaE7WynFn0kj2wFdBnqxjyNNOwYLmLqOHokZqtqNTAVDSN3+CXyNv6u4PNKl2ajMKwSQrTB CLV2kRvBL2tZnwMidegkV662O5EY2/MjIVh8o09whMLxnMtT61V3LMWyAWMaeVjns3FBeVGkbog4 jNO03LVqa/dRwMlFPMj3jG+lF5zEYosgDlhZUIxPdtH5TpAOEleIwn1DGIQ72uAXbCbkpDLSdD1S RUgc2J72FYLHFZc+DYeuyQgLCrzrBlR3b3TspCUksuwj7NZT0sbTgv8ck2SB6eiKov1kA9RGac+Z Pz05v3h7EFJzglQchX5LeXib/QxYZMI/0sFULdv9Zuu1N8gRb1mX9YnowxKEn46B4QPY56oG4woa GKd6R0VvVqQWaTAyoCh+b3azwct56eBwHOkJqT2irdrnTKjhd/d6z/DpWlCziLges3ib+X4PPoCr hmqPYE1MQ2gHRjh7aB7hsMjC5JY2lc/HWMvZ/Xh6JmqzGf3tipjVA5wXTvVMpKdzimToB/uvaotP jIAik018ph85RX0wMolmzNJMxAgUOO4Fh27Fv/m45UbBlYuyEpWRxCEuLSy27wbRo79WtaPC+YZJ hYP22YPQwldst88EMJ614bddgg71h7HVdKdgkPuCvgPCBMBoDDpj6THL5p5UDPDYVLjrFJ3PTINa tWbVM5oYSvLDjbTmolrid0j8sbZV8FDJAWLUOA1BIWR6bkH8tcmkFHDc/vcgw1wFBUpUFzpb6My3 Gy+LyNzxBqRCxeZpJxnHu8wf20Oby4Upku/SuelJJ07lMb6QtLZ0OMt0pp5rlmT2dvlV6BlHiYMS h8jFRAt0x+kgsjL2dLc0C/P8reMyhhQTqQ6cGEbeqrr0Z6H5SCItF3HBONZiYN9vcR19cIVjOMiQ UfrjrVDZ4F+QbZaSEgBIJhR6ufXZNzgh/5qTzy6Gy+RNZhTn+iqUlKqJB4AMUs1q4eBS8xJfYaO+ KyVYQagFAvN6qD+B7NlurVcPBYAzKZVhlw9gzKsruvZLpbjmi80yVz52zH7Xf3fwwpjPDs3+Righ LV788fe/PbPMIBAyPu6/JR4wGXV+oqjX8qa0dUeSXNzG5gj1loIsaKu7g3+jrs0i51R0lngObeMp zKg9TnV1eZVlqIZUJ50TjPpQZ5Ib9dVl9YdQsqnyRVtzknHtbBmm87M2ZTO4v4MxDVSX78oKFCW8 N3Qw0ouVPBlbkRt4nAAGjjIUNsTUxrD0L814w+plg5LAjnvm7bbxXGkljvRWws74akVguOWD9q+e KZh3Fg+pePulqRPwlvuXQLLHIKSh78f20J9r6/jxQaTSltyORI2tmKscdkK8e4Mh8fBA8fqbCDpY gdLgUDE4i1ahAkocgPuqdWaA94B9/wyjaxwRCzSZIOwGZ3wCo4hUJPFbMfUPCvjLCH0+adrVVHuO zTzNrq1IP1h08C0lQiX8APU9DoSeiktO/uyAguxsqLOHbNQKWRNyIrWi5Sa6D2maLwXxQyVnXAP/ 8Y4TuQTW0EUWmzoiiN1g84XBEQhlIWtdUWdKGaylYRTxB8Tgspkh3fTKUmwbFOqTkVUxeLg2Id4v ganuqbiROqgjAFAhcjmfzc1RE/rmE21TyUpfF3bgk2GbCmzR3ONgmPN+s+P4gqEle821sY5blcWd YQ+dszhHdi+Id1f1pKxMx/D7R7KYf2JqUbaOHiADKzdaO64ijcwK3oVaYoKFDqUPukMP1E1xu82z R9EZuVDs8AtnjVmFVSZ1R+2OFk9beGRx8Dk8mtMDN0eepP3PygVdVBean53T5NkwZ2EjarWpESlb B0k9eb23JP/65VadnA9IFpHxgDNQOLTcdQ1gBB7GCNsiaIA4ex91b1/+gqldqdFFGB0kK6UMlpKD XjIPF/Gm8jLtAdhLyfGA67Bv2JC2Dkym0QowClXp8/lsd+9sp0JytZuWDlEZ+9PbDjdb3OoPsH4o rPfnx7tqJ6Qg6Zb1/KhGSsL4NgLNmM5/OE9VCbmd4MI31qCAZ+OljCKuRZpigu3sAKSwK96sD6Ng dpSUaOlN6znPVUuirpeElAYJd0I6HcFZ0GFIfOJkjYO1WcvRrmwkgs8YirUDFL3KLLazScvRm7KX SBK/qxRtJLkhMFr9FgkzO4e8IYPygVTcNTCG8NuFifxhMlQPH87+6PCsW1NGr36l+Gss1pDRAZ+u V8A4Zgi1fCzOrwUao+ZHtj6TstuwecOseRfI70BHSUloCasN/LpoeVBwGMuhODrBn/3M/zmIqOU5 gQAvYBAJf8A3S9RHIGEhZA7rbiRnQzeFMtYVUknGVBu4ogQln3ybmQMurxyhimLxImUtaOe+322M M6mPQt1ZdeiEINPrnCT/2htJsqeT0JAhjE758et39ATmS91cVljt3KAdvux5xTa6JSjcZYyk0704 SuCukYKXriqAGMhMCvBySAXyVG8r2Qg0VzGypGzhtvO3TbjYdQt7E5lJSAmU81CmWHE0edPVCjPl w4pq9LBzJJ97AmOHXn5UxG5qtBqXXoNb4J99AINrrBauaNRsEK0Y3ETZTwidV0qXSwDjGKUHmP39 xTar7NbVOx7BjrEF4GsMK2TmU7cWlkIgsg1ZWgtvi3TYoFEVDTAupO9/K9zhWZutYxjjKeYn1fk2 b08Jl5AQkH/wlB4S3Be2tOXol6KKVSw3L120yQaJ4r/gOg11oqkcowgjT/z2LSot42WwYPS6PKbB n5cKvTYZTcQwv1DzUOKN8hHzP7NwSwIId8FtOa0WkN1WK7SJ7R1QQsGh53G76jPpMJuA5cXr1kjk 1d6yWeGhs3BSK5H+fswjXBD5Wn52AtdLfKKGTc08zYDH1q5Z+M1PcKK68+LqTOA7/1VC1mEtA1Vu l8l5U0K6/RjBP/AE/8OWnZntV80iD1UXO6ocp2Q4dcwPswDelgg7T3YMmvc5DibIESOFf97vLmPG xdCkwIBUCjWUs2OWwz0KTiJetSdCdOYzou8AYLoDdn9hP+mjTu2ZE+gt6nve/a3ID6CZ3WmcG9QN PzvwCwTPqnAu+m/qK+s3gj9SsO5Z+uz44QwoUQy23jYln7mv84q6R1lNz/M3F70KLXOCizNzrnee e/p1woR+11MEXVL9z5BFpI1LMc01N7ztrsBqZ65S3vvSWstQn3xgCNiHvAiKn6DUcUvFnGZcd4Jd TDuORN+katOdMRUzjWJkKPsWY2FWogqqFDNUXfjX/ie2OEg/6uyyUCj8YTP8DwIvl0c08m3JNNQG XEcXvWg38xG1lYEkLWs6rqOKWJ+mA/IgTz97av6yI4dv1+ELKXy0zchWcBKO6BH4oLyuOSpcXk0u pWRiyJdVWHx0DJXy9jdgs/zssafY4u/LZnyGp3Jj6azGW4sG6b1MMzbenMMHLAITkNJ6VFBCSI/m gvGXhlaxatSmkJu0C8Y9/phTj9BZW2h6THnySbYcFl3AQagHNZn6cF1W9iR5tPOnEv6Ylkrxi0u7 SYugijhKub8F0wY3RIP9gi8MWXeFUoS1z3yVoeqZwSpMy5AfOEgKsQoNLd9kUPRuYKpQ58CI5vUc Ok7pNmXDFViI4nRjdBj+kKV+BM+eY/gouW2DQNLl/UuiS3KsLq9gsYOFL/+nSqk14xUlOb3wpVLs kfLlf0WGCaMtmRZPf5mJDtYRND5hvyTw9jE0HslZn8rBayIfbzWhd7TzdDxS0Aw4kBwvqs5rH+E9 Tg3FlZLCFkBTqGSVpaDzXw45y+K4X1aBkb5vluzXJpQg0fEoyE03NPeSu/t9sXqkBMqNCoW64To4 jk2Fjhh73F5a5woZI4whUmd2lMF+1DRA348EwLJU44eRJYhgt6cRgq+Te4K3ChRAqS42mnMQKDh6 sAHjrem1dGHFLrIN6e1W2ZFvMzIDfeOmdJKLcUFM8wOLxEQv7EoPZ3Uweu1ePoX60Ky7+a/EaN3t V/gYFjOQpC9WqQFkbjRbSg6iHbnDV66KttqQdIEpxohYqhe8c3ZcAlEUK7gRi36wk4CRmu9T+jnv uFFnMGnEgsxLkgds+DAAl5Csu94qQ5li3TXjgyEc8IzO8wIt1vNGKPczfFrk9ThyOapkogxPjHsq DAyfbZoJl9NyhJkX6vgJUWS4VdWLWSJPXUnEhQ/FQRJrxmrOvqsCjR5d5XR0YgBptiFAbjBDK5xk eQb8kL2CHURjktySQtT6RSxL1AM7qifYvgKQq+pagOsNdhzi3vHRguHlrhg6Jpc/jIc1e0wiWkwu 4VkXFHVkW85Og5bxm870H/pHZdpYdq8kDQ/ttBcvyAG4mB9jsg+5wYmcdR+ayjqnSwx1YyjE39Og 7x8a6U563Kr0a2DtCmSB9IQCs224pMrno4WQIjZydRtMb13mWExJJZNHGIuLZq1Q3objFcMG5nGz PURkyrQrEZ1PTfp+iRV6VDUV/mU8hgB3VeNzJhraY+WnkcPa0zGjEjVixAG/REN2Jz/98FezWnni PajLHPfe6UcubMwFKd2tiQUhN2Py498AgxuFeWZIXDmDQNxy+sJk0/otzwW3Dlq2Ldo1too3dBfU cDEXlq65rdxWdeceOIxgSuNmhMyjgtzdcrv6U372Bs8fY8eAdi8j6oxeDGkhkwjwo3gZB8yuEd97 8PsP3libIB97R7skqAZYHIlAeyqc2j1tqeOCPBchPt+1yCC2M/MbvzkAIr/iIjLc5RwVCbCkDojV tTa6DuHnsg6ITs8W3ETmpl5RiIFSbkimjMP2Nyed4yR76Ftc+fXOJm4ebZvRjtcUBMdFM2Qa5OS1 KxbZuPR/ypK5envHvWmlB75JZ1fW4KJtrcHxxNVl2qUVIh0WtDf+hwPhv6w/g4nw9v0Vrx9U/9fG NUvPQ+91HEltVGoHa/KivVmHD4KMq0eL50zmi9UEgvrIhZoGh4lsE37AS26q2P8mZEqJYd72TpW6 zcjAQWKFYAk+8tkNMyLe0WbAdh2cFdifukhRu8WzD/ws9g1Pz2fP8UVwVmlGjhHe0aZeXMRuhnQN +0RuBDFAKq94rwY9McWjX1Zteaxa6VRJEc9ZlfYTy2kKpS+HbOS/xQWqyRLuOXWATFOmlB4jgCBF 5SWdnWGOxoLtTqSU79RR5wN97ds/7u6fK440GHom9Ezvp/9J/GDNlQ/No8U+64Lg/zbe2JfDTmkQ RPDHKfoLL5Lh4rU02SMZoB6fmspPr6L9W0qwEWR7OlxaTOxfPqnwr8sqiaAwlBWkJi//LRTiDeAE WW0nro3mdugsYxicL3cQBO4Xfx3PbhoRnCf4FHyfMklg2o0q33A96iEn6m1XpvkKajtthmnf5dNY IFirdbubx8kvDB1qKpppDB7Wy865ihGWpuTpmBBNxnte6Kjk6tKkioFQNVZZWrgqZUq9WBUxL8xd 1HDerz+nz7uMB2JIsAXQvGN8cdTYH8LN+nHt2UwZDfHuz5vavv9UbWqAotsEQpeeOX7Avtn9huQz njdYnqGvpBWLGZQhvYAho1c8/KFxDh3/KGbVwzVV2/EhkkOBEoAnrgRgre91OJkENjYHOu13+UYn LDukOkP0Kv4F4Yr3m3QZNOxED1umLx8AwRjpQPTKLTkYd2OXO+jgbmaFyVR1/kLjuL9SMjanPjGI 8HQ6oEg1a3Uu2C5Mhl3B7tuTNl+Z39XHtFzW9HOpZ+uDkxDJ62UdIOf4/edpSslP6PuGyE4lfI8b pWDx9xyJ9diCpJyxttPfmcwmYW4Yaq6lXMYIpNbvBPNUeX34l/wbBDzkeWy1jF1O7+Ay6x4/dY4e 4g2SRz47H9wlrIYePYu0RRVgWHwQSg65enTu8Z14iT/ReSWLaE8iJRuFxyWYH9oV7vuWNsvp4j6K webl6Us5MPljAX8bSHkAQy8npXzJ85zAX+H03kXoEKL5qaY2BWBIeZ7ifPHlm04oeITI6UEqf9nU WDq0eJ4zGaPyMOzM0bKWjYQ1W6bP6NnHQXUSqUY5PQt9kcmiGOjbCpNqW29Aiztfum2ZURMoSC7Q NcT2hmk/I84O0dcvXHRrqLlwF7Qze/7cRFPVjo/KEvrHM33bKTUOVAasjs22Z4sWiBi1GSAmge5f TGtjwRMVJFNviKJOxmSaTaI70DALCJz5x9LFwp/bEYThit1FPeK8eX6q745mkCEo8rgECi3qgoVl INPE/CdfDG8mkjwqOV6L9fMOSJRcRq8ixI0l8PAMXpelfUaMX+TN0vqEDKXBNX1hQjaGyux/eNu5 6xEl9KfyFCGIhYXGhoc3TAj6GjNax+9X1psvS2bwC8aZMcYU4G/h7M39KjCBN2TLv6eEwM220USg 3nOQX1c3YeQ8Uy+gplZr5WQiU23uHBQJUX1x4k1dvKFIKQpYt6dhiQDgB65kEFInOOW/+tOmJJyl 8h+g89Zx3qo+TGkucGyAYRF9Lhi/b7pkj/cAxOrX/QoUTldxPoL2XPoME5yR88tvQSdsgiqOGjqR MxPnUSLuTULVH54oXSbXF8h+As8YcO0mwXZ7oSuT8wYyEDE5yHM73P8UAq5WBA63hygWhI7vY9uF +pGYnt/XW9KkzfyTLrlae8ZdyOqNy9nDi+DeT9/MQlb07wYbGiCX3IzFlNkyEYpFNy6YGuqxNGr/ LIvp1sAK9MsOtdo7z52KDaih1VQaPeOP3/OwueYGCdn7oXXqA3Peebacl4nVyMRESkasF98rWzz6 E1hByw/P2VyBfmn2dHnZpuSjtjfxrRwuIqhqCZrG0Iyc9VVSbVFfzFcwwT1XYyPvR12gOtHHmJVC VxgKAuWIfnEkuXg0zArV8gUh0COtWOKoDY9ppb5mALZslLjNCC2B1g21IQ0bV5yWKStLHHI5Mi7e IOuJNZocCFTimKkONleiH7Oy8BM3FpcQKIzmk3F7sRXYlqGNi+dnKExQau/CgLy2HpAQBFRz/iaB 0Ehbix1PEBBfN0tOqz04yWXbtcdPIKHpOlFIPesgMXLE+SQfJ4FYlGZEC7poJgkCCd4irIv7aUtt /c7mxBpq/QOWeq+/rbl6WI8l74xdmGTBcZ03POgFx+AfgGK8pPT46FJG9EDsA15M1D0uTaVQQ4mL 9T535oochhaoRO83jDwO/OkIHyKJ09u1LiXxRKTGwHJeVvNREWxiXOVXLoQixOHGxrbkDsrBDtZO O89Igk8Jmmox2DH+aWXK9QJ7r2fifYTdcnZ/ZV6iDRlWTCjCjYBBAy/YLstqfYbwTAsioUojy3IV 1e0SBtgIxYcOplfzPMS39jT4XUpkBjiErbDQkb3P1FeQFg8OKGifWdM1/pFf0DEJqtwZGInn7YDw 9URIXY7UwGcGd6C6HB2F4n+hJQGq4kYvaiDexiKFxL+WdX5bQOuxu9uasIDO1Uekkeq1NHravDCC +rXt1rqovvZLuiWI2k+E4aa6gjpWus5u356Vb9tHxMr7EdMa1HOWZUhu7gqAsrc4MXfn5oB/FRtM FAOd8z11LDS8CXEvcqK7hpbtdSHlMR4FdIbwwZYT16LImCOXAX5Oms6RKzDr90+ONXn1P8cwCmsd C3CrUPgRuNshK45UDTGbetWFdqbfiMU9ZBWwpBndx0vaQ0TSUhpAb633o3JMz/dnv5CXu7jr24g8 C1lA7HjYVBJ/tIzDiKtX9jIwBgrYcx1thPLnSY5f43pnVr8EN6Wd12i74k90f/XwHQN/6sr2/9UH 7NhVsJROzD1J/JcncHJHUXWfMugQSM87lONJuMbj2cn3+AcrQms1KuuldHCROGSsZ3tBh2/u+/Hh 73Q/qa2kx7da8Ad9M4NRa2QPzscl+s/VgajcuijuRv1vrmgx8OfSx34Wy982CU6SpF9qcsyTx3WC 7OZHkqswU6vuBFz+cCRuFT4JdmzygETeTIQWtCtML7PM7j9bWWZgLGZHzJjTejUfUZGN7mOJiPEU zClE00SbBfv39tSnNk1d4q0u+trv2OasFsJzqloJOP72luQb3oyjctrvS5DwZgisEJIUsiR/BX02 gvBdwp3giKsYEAQq/oPqC/Cxs6BxlKQ75Bnmb1FoZWPkZtZuSSq9q6dkce/CO+O4ICH8Cr+4gyu4 bEb8By2hvQjS6rIFJ+a2rKsZOwe4w6aacoKTQ6I/LBuK8hhbVML0JSGw3//faERRwx77+V4tc9fr ApxA/Eih8JT7EITqTwumwXUR3EtBw5NNU+lTgKn+jJ3xcBCeDJyORWNyY4giiwZpmWpmkvDCiosc iuaY6fTd2mzO89e7SalWSCyFCNDCQ522dfXGT12y47hbfdpSeVqByJaHEfX54yM4yZyw+lSC6WiU 6eK0E0fF02aytAQo8eJ0iweorEaMDadcAJVp573qNaauRYoVDxABeRj7JOc78RfSkfEzoBWbxYgL 8cvHnPZ40BkPxYbgVli0/JywbCMC3BEVykyoi+SHcMLUeKtB8Soqa942+gtZdYlr+Ognb4kSVjrh 0ua/L5Lsx10RRCeC5yA0cWfbxn9dKRDgwEGHrbRpzKDt4kbqwr1xh0BXrGBSA1ZgdOrEi9Z4Y+Dq ZdGQ3MYr0cyF0+XwnlT24AK6Ymu7n8fL4RX0/N03K481gZ3ZDEARBy2hJStWCrEyjOWOzEHc3nnt Ldd9QGHcQ6NhPHXfMPiv7eeuJjGoqzzwJxd94V2XTGVXu7z/JT1JfHESlU35yhG7V9rpdKpIFVdZ 0sgMd39i82dUN8ipQwNqtPE6Hx+n88mmRxf47AN+T9hdBS520X8ZhpkVsI2yDyR4aFhvGhYAUwOd aQFZq8GfvbiS1mvngkw8rqVAqIpcQt+kVhTkeH0/uiS5O1bZHUU3XcPCJ8BapaACgaXqRpNAhNM5 pIjfx4hQrrIrUukEWOUlyKlwvKX7yc5nYTrqJJhRD6KQw0rTZKcAwLR+HqAhtHG2Qe0jutTKHeFG ysin5hzJQsOCi5oVfgPmhzCneZ6ecdUvgKCbaDyYzArZ254mUTdguO69HQW1J0a9C1LGRl4lCj6D 7WE392CSY9fTDG0f5DhkgKg/sY/pxXtaBNDiWJgbqye+mndSuP6lqMoB1v402EZfRRc3arkn/zzY bs/PgqBN8HviIA/j+OaCeIxdg70QeuYo0653EGcxhtOkR+hYwOvLz7Hxk0QrWxQM8JWv3493a7Ks rJetxbkPXHBmF8DnkfIaBzgX09HLbSXoIwfmY1l97icpCQE3d7a29eKW0wxQ1zARhdn8bg50r4fD ilOTUcbcXAXv+kWIF3EaB+wX4CjSQBSCBNXijvdJLqOyfHx0uvGACJIs+trhR1bUs1Vcpg/OocqN tp/qPLdEHypvuWbc04TZXfhT/XcsRt22ZPPIWjj5Ld7YTFKoF7AA/HMOk1FJlddYYU5ps8ZmKJLE XoXHH6lONvAJfxcD0k+hK0h3QdlluJhsfFO/axnRnW0d4kCdy24euO6dJdlC6TCmCdu0jxCzyZbD +zpCsbM0qBHa+MQHuMS0D8Kz0g6rHQ9Ibs/HRGKb72Xnl3CVF8QKWufnDOkOTdrOtPYuG1z7wJL6 nk36Ca3ZU/vREIrBMEJKjMkwxJVrT+lPa45pu4eDpO0hAQd/Xo8oqBEgwkiXqhI532oQeBOZpvZG nq2rr4ps63+QG6q1GXAwlj/clw2nuZVbNaGjn5cQ6rrvCv461tkRwwMuwGKpcWm/h5VfZh7jK/83 JHts8P5DjLKA/HzHh6Gv6crSc4RDJCvz1BxzayHih2OWq2cgZRqua97RF+HDyYu1KXFdGqv8REKz CRxS7o870/1MMuLbMkb4tm1yKB3aqJocEV0xe8rKbnxRYK+tfdjBF2gCPRle55wlJ03MwxtNcFDv d2r7iAWAL8hUGpfqTC999RrXSl8DzEEg6W9RCUG6tRueoKC+dIXSVdHL5o/vCtSy8JaANYaPgCDM xwGSqp8LwQMJBLxrdee0BUROGxCI+zutouHq/azncIMrUiL9kQpjx7rFqQn9sBGA+RgpJjAex2bx ovyNvp6wsVbsjE+QTOZcUAr1JZV4aStJFJE5CKAQtw57GbZfTMuXd/W4sESTk0jDNaq8GNzv6cj3 iz5+SYiwVrtRF229wWTJ9xeHdk4Uw0nsdiWUkW1ypPel8cnX/LleglTK0IHFQl/Qdi1t392Tqlkt S5tcMqtIaCkc7BURedBnA9uvOKZjTms8tE0VyqCbi5sv437fxvDet4Rh7dfiNTLz9xJlQh0ZStFT HFFSevS29/0gG363cSERBvSCB5JH1wTnrHFG70B2CIZPGvcDSWG6mbO58piM83y7TEJHZ5XSkG69 WTuxcIEFCUKWphZLGRpkzu2DNO0JXnckM5sV6fwJMtwRN4GHDQhu/E530f8cX0FQ9xb4Sssf5dR8 muOCCXN8Vijv0H0QquPfquMaPXjilponYQZTLkhqa882SVjXenz9WPpeFPUt6Tr68r/vPbnI1cEj KLD/7MsqKqmww88jhuQxN8U8zMQjUNEIW5dj0qq/VrcBQc+OT8jPX/v5Foe7BNT4NOMRlSO89xEy rTg5TMoWTdRKdXr6ZaLvEvf40gsAbOHg6oilIzzhedO7CP7kJvTPMgzybUwfwYOoDBi2z7TDVAUm xPFp7cvNo9qVVGB2TfQjhSGE/6RB2nPJvb3Kd6aiMeyKn+6JLdwVENQG/6fEQxoEcGmBFj/+1rAx vLZBXnFXhPVD2TNa1vgQjxyVwy67+kutj7/3o/6LWiN6LC3vraz6rOr6zM/10ZCw9nHLy3EqkQIy lplS9JbHiFIkNZKdj61OrURJgZi/Y7lZUct02iGJXDnpC4jxGozypa3m7mHF0qHFc8zdfIXW7pHR NlvPgrQlV09T2FmskMukOq4phX78RSGyvOA3pCNh8Jb80OdAJg3St8sXe4q6FI3U4XCTZBp/CmmJ +q01N1vQGl4fvdjTEPB427of+EyALgZ80/YDSrPTK5e08P4vV8qmsnGivi8/Exd4eLJImCOWGdva FBkmjq4cTYt9ki0nXDna7kwYxApoIrs5DijtePUM6EGs7R7Jg2KcFr44Y5zn7sEzkzXFjvZGvh4V UW9joGC0iogZPl7Vtw5/0O44ULQh+mY9m5Wz3oEqy7PqYL3ltVDD/0ZfxiMnhdkjrnJvBqDJSVx/ KwsFxk26BEHH2MP5bCswUlgrPO0pOKpQCpNajTsYldnStVzDzZDUoJnKGrBnuqHY7vCCTDBKxrVV guRfATJaexRj/DKXLnnF4krL+FVX8oWuMZTEGf3qTnV/i32T73dgUBtfM/B561H5b5HRNQItp6y8 EAJq7hJ132vXIj0wpkh9BiMICSvTQF5slPmqxCfjHRIuqVn9W5he+I0zdxJy/47EhnWgWaOwa4X/ VAKpMculaQBqXGURGvAAwdihxtA74d6wU+hA/OyY+uZecWZWxZw7AEvucQ+jFnw4annW/wT+KK4Z 81yiSjsuxE3PtAWyNZhD2wRmE+SYQHSvkUb9WvFSuFG76624y5NbUvLewEHldmhg9DYaX2OSPNft X9RdyuOIRfsNaI5yTDHKEE54EDhvRdyXjqMirbdWjb1sqY14y/0YbGt0MuONz9DLtFfLfI2MthTW V7fGlMgomKAZYpyKoKN5lgbCfY+c6pGMm6uZwSjKKEkZdwb2Hf5IO1zEE38/K/UscSNmG1yh3L7H ZmzprODL3QLscT8kqI55AzHxFvTBQuVm8qIGDB7i5uWdMDPkC2D61Uh25eI8VE02ARacCLmAgRS3 z8NLZz1fCYoxkasGo4jbtyyfu/FLfKY/rPwOkC+IFDJTb7kyQNZJWHeYSABzZ/RYeCw8zeFLKqRp 2R5ivjUgY3IKwgfQWhkVswtGvtXU89cM/ejmRbWg2DTyil/kFedDQVchn/AFCarjkIylhox0rhJu NLm5MS2ACXa3H0qARNo08fJlb62LZl/bTrY8oXK/SWzaTS0F+ne21XIIf46W91Bx+Jj/GbdWAq/z aXOB4CVpH8PUBTpG7Qw8V1V0RRkqtBF4xPVMP/V8OkVSILvzlSMO6rEn0jU8EFpSYdXQWcAjAVfC Dz00skF3VItpyb5aRX/+DOwWHfscEAcnnLJ7xup76/Q1mMSyyis4vpzdjJ5jZ8tfsKUsMPYT8SFp cI68o0wosTaLbn3y+vg4poW9gnk7/qW/A6S98ZzZpSyWtw7FcSBQ3cjuutC+9DJR+KOfu62OmzY9 XvOxaBhMZueu+FPxzypDKCwT5YMlJhn5P3ZyBiwQd0BVQSn3Z7Vle99aXqzkQphhhO0RDHKNB7ae 3dPDO+LbMPBhoALS+yg1NcSiVvVbHZJqCO1F/8bwkWw5zPG/bxt9uKCOQENlxwhLmGhCWbmd5tPx 2S4rxXptw3K2ubZYskki6AmbPGAOm+5LQxvn47vvGL1e4sCd4Okbkr0+TaY0OOF4TcHi1kfaomDC 3Zl34+wWA9zcQACWKavqKFDvkAv0MKk55E9PwhtmSF/0Dp6D3z61oV6eQQFTEhLmF6ziQsPu1W+b l/K2FqOdkXEaXA679WOp46j0K3VzZcu062HyR+/e3gd9l1kBB9H5rLKdoVH7/u079noCmsYSmzYJ ssNsBZmkgfaYLWwxdIBaRtAMXm9z35eM08oqnh9y5KLaBa2d4zdDweWbGpVimYtno8SqPwbk9VNi TJM1DsuNlvq4pz7Vv0N02piembrgz63njMgyL7GazIAd/CPonCdBsTF+vmwxTVYHR2CKufgYK084 YEAPI/R3MrCYfXUaXyXhM0qDfnNAF8b4xgvC7P+MSg0LRv87qdq+3aONdJ/tdJ/mYi3fgCGBF8ES QXbN5tD7Yugr0ZGgIQofsgCxFLnt+OZ/NYgQVBfTqHx2aZBKUa5mPdF2JH4hYPee0E+mA/9/CGGt IdQw58Z16nMVbKCwpyXBg74LejWU94qhPL88YWvR6Zrmv+5mp/Asd+XoWnBjZZU5XubZssQTmzgM bus39NB1zokPZYs+I3y9wXcvrlfIiz++2Qf3NN99mlGE5tvLJWXRhruIe4w/UjO4fp0WKQ6P5Qtg ISMUvP+VR9nTffGXN8pkz+8x7hfQNZOwKu2t0FxuVtfzfRyQI6k+bV0cdyUi8GcVHlumHTGNxyVc 52W5O5idYnBEGK0Cq5VZ7/UrHJ8wDXYyVmSEjv+Bo4c/hPEWzelVSeR0DQ/oIMIOhPxaIXcZcSg0 OzseR07RBsIbMrDh2ECLy+FuUAQMTdo52tQVu+A/lna5PFbf6MXf+tSHOM/k3Dt1XLH1OtW1pocn OzCzlofX7kAkxdJkaNRAtGwKGFtxkikDuyniFV89Xb6r+WCAynIiKa9sFM4D5RnebqVIyfi4/X9g Mv3Vydc5NCmYzXF22QgIr+rDp8CMJin60nbx5GVtnFrvEVuiTTCMh1EqahQQsQbvfKUmC/kvGarr HQ5iQ8cSBtuDxYleQJoG0J/STFYDaecyEG0GVrEJUdRizTc8fz6BcTWeGxERXziIMGbqiG38KE+S mo434gWa5vY8TfeATWGp4UPd85bYWtFPhzN1eLcW0QF43rFPpEsMQNEmKG4HLOXA3Ys01n3FqQ74 2rlXAhm1VIjOWoav0pM1KijNCr+pQeTr6e2vNHWH1rSVHdL+/RcOC6s/nhAccapMxzlqAcyt/TSG Gh/Q0aql9iMJvFdFeMxxzKcpUMm1kBDk6sDtvmgwT/dKmbVDfFdvBargbgTWUYpQcksRbEZmdBD8 LRn4xwtIsaGFJ/TKwDLQlG5bVv2+vfPVFeV9wd96hd/mxE1EqZwE+78OVHp6JWsmFBPPya7TeCRV 5Pt+U/2IyzguGIB9AEJL13ysMFhD2CbNXFWkASyFggn5xhEVez5ohDp65EQ7ZNttlfPjxbejbcxT /F/xYueARQ41s/Q1ynko0Bx7zk09iuvDPCSC+yylMY8o+L61KePNS0tMwOAmw8XPoKEXTePqCzJd qqF2xgGTMNmuSTtr/r8I3CxXHNySEOg0LpNOv8M3RShxxi1XLjCC0OG/MTkLgU0cbxMTUz6bp1ig KSwjzfnCHSLjg7e8/fu2LOVDHG4/cK/hw0nk8gs8Wp2tfQ2pyv0A0ol0+mQwjC9AVI5zcbEaKRwm OQ+Pd0qEYgfb+WB2Rr/UzcYR5CDTEYa39PnMnzY2Bx+JZoPUYH/ewViQYF+Nd1jfL8cGjyQtEQ8S cgjHjTO8Rqtmfns1eE1EAsb7fMznveJ+LU1hWS1R7WJNow2lfByA/2Dcbxbhhw75R90SHk6SHZxW K5tfRUwhGy/sUurbU2XGhIr9GO1Fh4S46YXJvnET3mxiBcOskAw7UVFUv7QeON2A1FAc5s7WyPm5 VMrWfcs5OaOYd0KWiDFGEmOCNlzF2WTew1s1xGwrEvUhK18ZVlgiBSPNYeLVK5qjeAs+sOtKXTin NDWIvkpYsjgZBoCTcw/ZiLhbo7i9dfeQ4pm5xGUmeai5oTivCNLBPEidJPA2m+1jYKKApzpRIwVK H9dtKC2Kt/nPH4xNIm7BAmIe38Hp6QR3/5w+ZMO15seotMliMuH1MApQmdLOM/QRgFJCXZ0b8wYQ iRd6yLyHq/RPTJ4g9u05/w5hXDEycwQIbX+/4Q4/uVEQHv7Em5kmPCuH+A2Ts7Qod/NoUhNeJTi0 W0rKDWcKb/nvJzDFpV4ikIT7vbF360xTblsTUV5kpelAMkOEsKEpTjL7rpTHls7Xl8Tu5sr7x/RD weMYKrIMO1M/TEyiqWefy5pvaFE2pSmKSPzzb5n57XrrkvoWcHsGiIz1TJA8TM4lpz6wCHvyLgBL jeZga5Cz6/Trry2JEBdXhI+b1trCPHI+54ZmLXA0UOgGW1J6tgNA6MFxSa64EZMCaFy94GOS76CQ s6uyIzaOwC2eAl3uG14dRQ25s1H+2PCRwfchyGSV3q3/m/3j7SQ6+DHIPYI07roD09hu3O6g+edG 8Co5trHS5CxxAaWYL6OcyopnK0+sBetrt+SWbhYdMqMsjzgIITjEj1OH6nJp7cMKFTx3skXx1vJa 8oVLQM3IFm0aS0ph3FAnT9yZuqn0WlmLtZ9ryZ3S4LTQWuj0v4Sky6qjzNfntgABMKgTevxnqhRg 7qlh6Wzk7O2/Wmm4go8q1rSfnD3uPH50uJ/FWFN3lZ0fRHvVgjJO6flXgZCil4w8FABOdfdxZuVy yjW/IZHVOnYK0eAMEnWhvjtXNvtkHnvh9bfOlq4emSSWtNPDi6sMdhYtu1y+Chc8AvNPmjXJpNQI 92xKLfsitK8EHIncXsC5otvrAlNqvH1cSqMojxm7v6MqBdGlfcRS20QwPN3OSwXMPTnjrV5GC8LV XHua9GQ6tdVAgOml0p/j1WjD8KAfscznfMR7vyXlAW9N6aEyeD5mRh75y0G5orL76SLEyYNqe2Cg R2XyOarDc5DU+v36DGLZ23votpsF9jiL9VqQWgrtF2DOCDCVLNzeLAxv0d1PETTfmUBX5lPPxhog tIUoinYYfmGlYi6yBbmUdbmRw3B2jhjqQObxdrP+UDfncK7vUDCM2C6UmJdWDAZ4/g0J9Bi1JeyY aHP+HtyPIfiAdNjOKw7mbvRuHNpPab27snEifN2y6oMKJmLyxyzDNPhEgZPoWZx+ca/LS+5nPpH8 X8r72eGKc742WCzpDbHJVzwsqjzbO/NqfYJhTiziuqON36SC7AnG1m992rSTmTfBc3KFdaOmmjbD FuNYgzzQrVAPdd7rul+LOKP7B1dPo/2+1zH6uAZ9RgsnPe3JPwnq6riuvRUmgYKsHWl09yEMgJ+p 9kfHPX7MlbEVsaimVSLABsF6lsOyL3ycCCjQ7bbJqTMO5oQx66mMW20Ibwf9wIYYUV97jmpLlOGv ZodHIeVc92meIfI0bcflaiEKjjU12r5PK3ah+g3jH5GbWGxzk6UewqFsMaPybiVJxJYcohPI7+Kt l4NxZBqA2LnO3N14dB0G6uhRRtAglyiBgEzcbESuNQHqyZlsQslpJQDrgnoN5S1JkJw5OdPbnCFA zCjCHH/z23gHQrqU3CqnWYGQqSoxrRyEfJrDRhK5fQkUCGSgaEtNEjphxhAtW2Qpsn1Zs2ybkW64 y1WZvWkYro8bRkqmyUexxKRDyLnE6YHI40anFNjFHAoO2DDT+/WdiYTmjyXD/ET1rwaum31f5CLZ r7ee0s/UJQfTkYCKLT+KcU0sPToU4x965XQnQUuvEs7mlJPgCLLnRuOj0RlfmCDxQ2ZbwkwRLOzz WXWyvSGIFi+9ZEU0MzIHBmbG9eYcBC8rhbMPQJO7OJw49Qc3jqzH4EtzVCPJsa3EnUBT0mWdtPrT oS9zQu82u0ZI760ihvFwuk0//aGFKHjO1HSiBzv0Kabckpp6kYzONQL3L2lnxwVoYcz85aN0Twms kwH56eeqqCp2jlvB24N3PWvxgU6C9W8LCqvY620VG6KnhGW3rJB2f3ojVCOxNEAFUKfbGsIByONz A38B5oowmYp1QD8Z5f1ZDfuj3UbLaRx1xQkdMRRrr1iTvi7gktVJ9Fkz8SNdp+T20dKgC/bVCJAV 6pg+yw0r67XAqW4+v9TUw/i4eOh3Xw8WvmoyXl4H4WM7cw4Atiij2WrQ/USqrJoXg+W1uG0D6xDV eyJu3TLaMZWsb8QpBkJQ+d3CaQRGuQYURRPY/PGikgCICWz6qi3aNGe9sq2N2c/ms/Y6Fvbv0pvN XhTpCXUD7hsOOiyeMYcBvKrIDConQyZjwanFZPlZhotTczCpLocCkC398vfj28jsye0xnb7k8Y6z NkKzBCXiTOQf8xeewGM6uQE1yK+TIDNGNiMsvVys/AHR1sOE4UDDXZYJ/Ptn8OQQ5cYvDsZkw/YZ zVa7EBXK1U2Bci2BNJgMooz1MbjgnSsiZRooZMdr1o2XKPmYTsKs1v88Ms2ygQFqSyirItqDKBps NzH8YxZHCqFdi/E6Q2ytScuy39Tvo3Q50bdQYjDZCr9yJ7kb1IwC0HlGwtC1pX9pRrl8D9Ea8IhL eUW4nxQNybltw4hwvERzDeXqY9rHS9UOH152KOagm3mWsnDXfIKnH4E4+7CW2LDh2l96ARaO9FtL uEIF+1Sm9D2wOF0KvNR5BCyJxSK04l5M1EEtUXWPID3MMzl9EYT3aiABkNmYq6Ks9sz9/pky2mIP De45z+I9qa/wc+J495gehs2E6Kgs/+LIfr3yJ960Sdy6la12Pzn+X02JCayM+cMkD4vFUznMrBeK OfW9nZjxh/LiQaQ3V1GfE+pi2zteoY6bhK3xTQ7uAHHOuhaWqCOByaiIfdCDfQfhJ8NpBffcGenl LqFranNvQ+GeXoXWHDR7GO9bvgVD7bVApodW0cEOvzyVwppelfKKJ8n7DzmeC30zBQSvJw/e7h3k oiPvcU70Ph3TxeZ7ghOOJiZoNCT2WpkX0fMK6zYvzgv3ieC4wx/TphLQwDZlsSeMIjKK+y9s5yLr 9F/qZI4YmUbMOHvN6vRSvWxu6UzQ8vzy5Id2UqA2h0zm6aO83REwC7rRHo6XGHPtmIPZlaO83nVF 6q++oayYdoEWEJezVErjyQgPHNgsASXT76OFhxaV1VbHP/tlE4EfzDP4rVicrm4Lluit72+fn1y6 HKR3UypjkD3VwTvdCMxOb5pyP0HVZYhpqFWjFuAIAnu19EKgCtCtiTe+Xm/Oj75zYj3O6Pu8hUha TT2ikpsSfu3frMFYpcWe2y0QRQknF9WX0XD89jHDIt5Vmp1Lyb3o3XTRPRKegJLSYliK0h/t7ATq QbxvOIVHLXj9oM6DK99zw5wee+r15phQzRuvYyx2v1w/IulwQ1S0wyYpssf1rvnmYBxG5sNXhkgs grwz3Ndi3XYSl0V5J8wiMTdTrEyVn27P+ACmjrtAKjsR/k+hVbBHeAWkiKkXYleZefaI9cgck80T D2jA+vxDXCouo7+kf7wOsOfepp+yKohhnxoLzCFCEcyqJ7LkOzqXLxz29N7QJ2DHW32hvKwm3fLi Lt5BD+gfxxND9DiNuL9KUt4hG0KnQP8aBy5Po/sdLxPhDoAmwiAnbkb5S0MRwhPUddF/OYBfYqEW Oksf8J8HJk0gOt79RttYDPzHgD2TmM3uulf6yo8Ss7M1zNxDgcw8u4w9bWkljQlE+ZdquPFr1S3h CfxTIYhyD1gEIF0E8igpBYEuvkWvzVqe0/JYE0GwdH3n5xLNcQDvZwgCRNY5xwh7BKpm2DRjH4A4 dJ19fvPK3gVJKTOLLzNYT4RScQ/0Gsa4WOUpZO45zQlU8yFhSLc5QMrTn8QqF9VrtTafYqVhq1wm CzF4RfuHUJb0airi2jli6GuXGUube0l8EtOt3aVI/z1Odu13JzCgZqUDqb1iOYKTC7tBzjWOFDoj W5njaXT0BUNVKkablT6xE6Y8lWbwUy5UU0edFBSqFWKoow3pdGr9bo+2n/e0NCNhJRY0c/XFYSv6 M8c/xrin18xquJ9SeMRtgHyuQ4C78yjVbrlEXUOE/PF8Dz+G3082Oh/YNMGiFlts7v1P7hxZzYoN JcZBSRGIXDfgGRxQaHmragjCoiZpxyfMYfB/79iSoQA/TKduM4T1OvBZRHt7ScHIEx/QjyyznC9C 2Wq6oE/clAP3IUBPip5IRHRlIFdJ7BF0B6L3O+t+IImHhz8Vktn9f82asBdLvhzwhGBAzbZNvwkv RCnYQlmtVqLRPTdMAzcrkpiva7MyuSEJAKV8ltozQMX8UGtpuYR8CFYQaN2Wp/MqVBr2bAeZH+/V rex4IZWIDHs694GDuCbKBK2LWlUPPoB/CXPgqrURnmyZ4CAih5HKyBvzGrdNOLj7X/LGZlTwHsyw NmOIXnWhrjtu/zu1FEP//W0XJsT4RcKusDNIsScqqFcreBBDTOH8IxKN4yIHJLS1qpyNeMMehtta mSkHwTmvs7+WaoTWmLBJoohfgk7G1kT42+3M73HZ8uQn3IeY53OCP/GgC72eNEj9VPvohTXEiIrd XM9bAKI9MhSEUZBkSOwc883Vd7AtV889CyAcZ+BoM1ZRfUb1mWV/6rHad7ajMCDNcyPl//F/sxnT IqlZ0h5mW3Zk16zZA61IkEQCuRallqB1IKH9m9SBXKVN+DnWYKU/n9MQEoZ6K1oKi7rMVa3L78uy nrbq0nj+ZFmpd2oH7gw9PJIUoZ4Qyy1GW8CqF4+YK+I/OnQWfwBb29l3hQM1iSJOdCk/Sf+tBpw9 RpmzCyhVwE6vNUot4lkM0+QUljFzQir19NLqtcscoHSTHQcDbqtEshXpH0oAhM8r01ggSmMfRFLg mpkaVpEauwb15u7AtNEJLWL6CIPFDWF7GbstcfiQgY/q9odVveP1WQDM7bDeImc6rImDh5wg65Ul p7gPufMZsJghk+/AnTbxexffijY+piiX36wf0YcBjOqH0wO4YRF+hb7umbMc44cZR1ElCBEVXmuz F/SFIzlstyZ2dQs65DHC5O/CfGXGiT0cIFIogMSzL8hSropdPxx9ZGzoTfoMzz2fyySERe7OS22m 6tfESUitm/xKwfOwD4uMpalhDbUfv51/x75mwe6Q9PMi1tW3KnoyhuqHCTDFpDhp9ArVNOm+A4ZY MMI5o0uvzgF94CeYg20SlKMjnexvQyX6tctx6z4wkcSbjxt+zwpUOwrMOEegK9HG9tRSFTLUkVUv NDG5sP9A5hNShcBX8rxFTFeP3WISJy0AOgkmt1DBnXRKtXFPk2aXqmtTTgnI3b2+yjqqpV34SuQA 5zIS7vw1YSCVwF7FTVcRuwr5Ox8Re6o7zYgspszjhEbkQZazwTtAtHya8JDawerGOniwP1cmxBkG TxmrvywLMHxGwfvCGj4CmMqvI4ksvophUk09evseJHS+00AvjlxG6Bgdpp7UYesFjRu+YpB17aC0 QQWr1+Ne2V4jslPg2tMH1c2+5oeIpmUkt/3vRQDCBeMZVetwOkeOMTjqScCWti1Zd3nVCinDSfkF qaY+lBPIGBTBYPpLhnyMOlLarHEGrk5eSUwrSZoo0B6HgVfuUE0Tqw+GjZp1aIVomX+BNzjfXNVi qSvmg7KrMpt7XgxKSdoUH5S57ZNNAGpwV01r6s3kZ223CXGjXl2aQRaXixaFYOluuMoOzaxGoLuM OLVg+w5VW4VOZm4TZe126+ki+CtOfo0cE+Fqr62y1qGkWDzVjBKuPsaWaRWvrP1N4mmExpadr3Kh c1dircMoc+5EkZCb/IToKg0NOgv74eZK/ilCdxnLisUC4zLLYSpbzSyBL4ibo44DTCujvsIH1L6o dJ3LSCp89r3PjxpN8xzYzWXixph6EN7qNgg3H4hzq+MvfIHk/5ey7MS2Nf0SLx837hudH22kssQ5 dsJayOUUR/FlJXISZVJJYXFAV9RBhXxMK03txU7WQNT4Ui51A2jFrttS5WpHNXliWItSB4dK8sTj 4YwynNAU4R+rmdmishfL6lVnyHm7v87PO0P9MZTCnsA0rf5BYaodKfxM/1tPHbpEt4/woww277aP 5+4QV5RtkUOrYUqkSmY+V8dSSyHR5euJWBHUF+/h0gXZZdCJrhxrNpBcWq6PwBPaGyjOwNcdNDv1 U1emute4JHGuKySp+0hOuNfDSbjnYkcENd178MqLQJ0hmja6Iq/S9NKkrxlzELliIMZ8/pgQhLSi Y+KC1iez1RFSs3H+Aj+3suz8/4X9zoXH7NqcRKDG6HS7yP0yXOW+56oqifuWOuAy9iD9P+2cmC9K oG6jHqzmcdG7013+tttF1iTI0g6db+tgoTLnH9El8DwhnfhlOCB/hz0kcvA1ikej6L+6PAey1/AL Lclp8Y9TL8XKZHhjf3UyXL3xChZ3MpOj+lxMRsGv4uTAxITqmVgcRv7J8AsGUG5SETHiUBAc42fa Ey7EFSjtd22AG5ymG0xVzR3w6d+rNsPfZyc/TgEtOnCBfM8neetX6nMyPUZkchd4olJBFW1LQRDq HC8ash5ES6BI1r1pZGxFttgGQl1nlwE3efX5TbwDKZ/293KZ0lUjxjjSkbU3MzsGyQDapwDZau00 wQmHXYajEtHu0UKcWNHTU3ZQTDlkBzspZpykCEnJ7dHsdwkiBpBnooToB2uukTK7ZFk0mY8+hHkh pmUd8476Kmhp0eEPkP4bR9OFMHdaukJDS902obOP9btKuJJ/jaJFXydohXPIaHlkLtj/tixve0QM aNdb3Arvd1LuZcM0IRj/BqszdB0eay8uz1MYHlQ/22YCDRmYcN/0TKTG9bklbN9Lk+Fw7ajJwFwh Ky1Cib/jTwM1fMbRLKlLUwjOs29fWvUgO3W9+4h83zLvfUezK+09ZbQJV4yf254Af3jAibY0N3Rp Ys4KWXyVZsWKEvzbm01kOCgCl7yW/Y5eZ7wYfvWB8fNte6HRrhLbfXSXqV/f/NnAgWzcboFEvrsE mrZUTahCRULAlKHZ1xESTUm3rMi5nYC0izCHwkna9zXVbM00DjVwY4w3diIS80v6yW0G1MJkn/iW g4NkF1kY7OG56w17glETIMt4oWqg0XbJewyH/yTTk4hCDrnvFy8nfeMgFlwzBl7BVz74xZQGLyQU FbTedCj+KCHPPnaV+qDzy/CuC2DGRiJMWxPfkf77p8EaxCYEkGt0VasUsq6xAFh53tYeYng7NCd/ qRBywHfaGFNxHY6eGPfKJBX9/PCj4XIGsMMARwQ6d8L+lJFOaIjMqFFe92wHwgIVIiwsbu/BTAXU cXb39MZSZx8Px5sey7DhawZGqEP2Sa+Py/d9xo1pnOZxbrlvzjxvUkfUOOauDAOntN6EpgmHTsWS 3F4gQebTiPB5khFOZbV3Tt1+wAuZWNYKulGQI7oo0MYo5bkmCGkHzdKj+3whK+le56uxlRxLKfJ2 pfKHHcYIy+WYFJqEEdUMwCyKx9jLR0edmGmh5d+S12Z0oCJDHuuqzZhpqIpUe4c4joqloVyqbtuv g5kxmuqcIhfGGZzKSulzSxf62fILixmO0S6WgEeiDeWjdUoAwzdxgNY2MoVVezRAnXC+4KyuJLwn DVvPAPwH5vpt/eniwYDO0ytqcFr2+ni0+z+AD8fe1Stczr9Pe7KFUArDW2Adavk8rRGGJQSO0IVl sqP5OkqW4r4Gp+IpZZ/z5UVJUhGxDmETo31UnUgIjNBgqvDFpI+//LelAKkaYTrxa1E7g6CWOKyx jXYiRALThLLX7FLEF8Wh3USLubtZ9laVBVuPMoipb4YkOCW5Che+z1Fho5ivE17XilQmLH9KQb6E sJNqOkRvFiEAE4WRILONbFJpwXo4/hMp3w3K1wXCSfBLoKIb/3dsGy4gtMgCcaccMlYWl7KPJVwp 9pMH3egNwfG0jUOzeZm+15zZxra5sBWFg290C/ypD2+XZ0N5UW82EEU1l2LzkyQkxcRJAa8SISVw sN8UkkFYLlym28fXyDd7hvSNfBtVFimnfmpZn58jdDSgo8eA46beWqOAdEzVCIdmKqr8qg1x/rN5 aagdKgdsuBMqwAPPxciGlmAPlIxBBcTrt+FC8H1hsA4aDURhAzq6kZrVKpgPWYhKHvOfQMtAGcDB ealKJHaq1SI6a1svoIIhns9ims510xRgkxypg2zeeHOMYb0zKBpOinGr4aGFPaIYIg/dgPQG11rG ak3fOnh0d8Dp6nhaThSEiwEeEyaN9pkMzFDWQ5HchehQZ6ttKKQ7AYCTD/iwT4e/6ZLAKU+1lpV4 XD3QL6OdnvpITglpkFBaNMBezrXfQE4658nUb+WAHihUviLOlhxwZTpmKEfIPWzqoIOM2MBT9bqT ebleHVyf/P0lP5aw9A1BsCxMi1Nt1v50tejrc3rkz1OWP6fiYFYzXhPBP02iwlNmt2tJpPdHV4Ms lApMggRkhTKESwryoRwk8kekhhkPLO4ZEhRo+1TPOI5Bbv/Nctz39Io10AQSYOzPD1NFnGWPFgJa TsWK0Mhj1bOUGWEPjmcAiwmWYc/ZglcGQbBEw0+31HVBVyAzw2Da1oM1RGwceLe6qeOQxyFIlluJ rEzamHo8Kz6mwiFY2kM27NpNsFyQQWQvGDprrVuKGTqrTW2e0rIugs/JBKCYh8vAdu2hQ4K0VwI7 ZvMi0erDFLmLXBtH9sr06v8Td4m22fiy5/56l22/hxnyvQYBiMVt3E5v37UsV/vuyo41ZyQzLgTJ uWRoFM0IhS2ccC42Cx/L4KiRIICFxBNa2aYlOUHhc26x63HwkUoMcumFztoJP6jIkbpn9uyZRKuc 7RrExHFqMa9DBhITZ8EFThK67ykKQd/EuLHPXwJQ9Hn1ttztw8vdxibsq1bCnOvhfAu35Bl+9RQ+ 4+lJ1kGVozXYLu2aGKvva3FRtNLWMcPQPo5sMt9EojyJZ2BbHIkuosapXdkDOt6+4mjw/zhrcKnX uHgDsnz7DqV0XBjyTwP8RtoGFWqSLHYzcXOYG3SMysJ0t+vqh3JgK34wk2/hC/EWaZISCjOoT03o HbfMrBjs54Mj12fNMcDadd6LSm34ZVMequHie0O2+y4lNTxp9dTm5QcY7axusnnMYLScdv5Skc+n ODVrhBy3MvscVYduAGZxrbmZFN/gnIXaIbLs0EbI68BwusFFRPZtlsU/W9d3XT+K6CwjHkLCqnwi v3la/a+HeVhSDTXq+aifuh/O1+CEVtMaJnZnomctejP6UkvsMQ+fbiDkTnRJ7kJqCKkYcJOClMkw lZwRepn2c3pDnsaYLj7/u0D3lj2FRyL5hjlTHK4PNPeHAEjfKCJXq0CkUu7HZ7w00egy5TFzWLXP WAAY8s31cr4BdRg8aeeAG10OJF8b5qxsrIyCeBgCb4lqz/uyyHoyQP/OMbbR3z87iW9uNqCLqnvk xY39aRr4K1xHO9blG0Lv5wm2vy04Wy9dChxR/CtNVsvQOc0RKXlbNP/2S2S0RMcAAX6jPyUEcDqn 83rf70gTVczddu5V5iV2dCxtGRJnkKHeLYd42FULhM+MMRWeBMkBfST3ZzVKDWWL6qZLtq9gl/lP 1eBxx/CRYuo5bM9rXV0z1vnXSnXJLfHLhyMxG5prLZr1ditCWYOg7KvAko9ixa8PfyHsqzy7RwwW YOSgG1gh51lYcfj7iOjGnaeZoNMqwxX2UPuFSfwqywZpEwcMwZw4Ulob7dTJugcv6Gx72P4KkcqB U7W+CswjeRyeh4qfUdWV5Fqp3J0xd1M/LrH06kabA7aIG6UXOpyUWRFCihBr3ZCHhfe/F+pLdf+i 54OLJanOP8Ls6TqzEwRDs2XB29YjU187oO98ATrysLsp6geK21a4m3WTanE1HwZRua8MYXjjg/wc IKkKGSHDmZPrq/AxJE65GkRAA380QdcBuQKtdCT3NBNfTX5IRJUc4SWpcxtcyY0P+db1Qfff3yy+ TgNjUEbzKQEko3XvjBsiZN+TYVaxkUBREOSY2EuVglxGtZx9/RKHepIJ9mNe/MPh11YS0rILfdy9 0FXiRPdwNAeg28iHLneoSi6ufio595cSAUgBCSPd1NMpgnsF9Te+Omgz9exElnT46YlC4oAiSXAA c0ms7g+IVKBmCNoOD3z6wBV9zSKmr7A47Yb2zMsk9xhjfNQz2UCy0p8blBcad48bnpf5Tt01qvaJ t+cPurKwCWRJ7yS/sYeSn4AVluGdct3P81HQB5TY8LNnzi1B7HpeDS1Tkpj9qNTL9zpz4T7quiRR gDCpBLF2bxrdrvzf/UdagqWwtOHiRCiC2eYA1td0fbL9Ns03P+9jtG6Wat4K3IC0KRol2lBsC2B/ RUXvPaEMppXc87piWqFqYUrKCGOSQGog+G5mZ7k0zuGOBZ6UMCQS2YyOXC5m1xEkv86DNd0SaQeb O3BynW8a+Nu1ZZqW/rvQswVLjM1MSPCCmyQjMqRxySAH23vGtl2gOiJXzcvs9O2JR0APaYwkyvW/ Dsth1zERcLq8RAHxj4KXCM7IvMWzLyV3jm2j/qxanKQSEuAY6xJGI1aFR1DCZkAxa030hv5mR/Ss IEmPQ9Awp+Y/BqF0PLDDhODZfI2JTlpEDR/X1xhgdaCdLLs3/c003lDvm7nw9Se9HI2If0aDXX9J LPfFxz5sYWnCCxEPbEjHpJ0PrquBYIVnKsakCkhuWLcs5nNGK+bLjgrevgnDLZ/XCmmFheMFQ7No ZxLT51ehJ8ohYc1kvyRNP2TdlgEbEJtz0yK2YnRTdG89beBCzpZOvhmtsW/pU6L+L24vvkGh5cMO KDwg8jWzn+Cmx8EKPxPeJoYYDD655SfcWqnQHdTeEmT1SSEKMek9zK5CQyOrmWUxTcADEnZ4967S G8BXmBQ8SD9Cx0/gZWfMh36SKJJOGPC+Fq+r5+dpiF44ZXryxlmI+SQAGiKBczqiN5uGg/FGFL4z FTZB87zveB0UJVRHNqkS1t+zFIfx8BlSzfZb56ygd2LIaHSoOHXVAKOrfDBkOzx0t3HcKtkHv0uX v51RlVXVLRDGt6cugJvtGLuSdeXhTkCwV+YdsOxfFPy+rniSTpZUxH0Myvd1YMr/V/GN7SN0aPu1 YFrXF6JbLYNSgG2M3d2INX1XdAcqeYvI1qSY5ue1FpBoMFm5MllIqybj1CnYY3R8SdgIlwAXskeE DbUffNKsYZc+3JA4wBNulOfMdIzBSMPys/c3iQwQJQRb2Mw1Q1snLWlezywTgoK3qoB8W7Gq2C2R r1ou8iKP9C3yy/vtxQBxYRyHdtfjva3X4J4bdax5MI30E4HY1bJbeP/loxJxd8TMlCIqqlLAH+45 yKmrz/9Tds+uJKhXGS72ae9ArrD6gDMY5hqo/+ExCyEmEU4fUSn3EzJgclOMgh6eHDgvgSJKzNyK wBNAib/LTSyEm0UWtAu7PZVetjQLY4ZVohm+oAJo03RggrcUbLK7eGYSSA47iYuHinGUjkkDBAy5 ltteJxsAdZ9xFfjOLamO1UgjA9pnzCyLmrz3/vueW/jOm9VaH4SMFDHK+HuC5OiAK9Efg0RZWR+T kzKsw3sd+E/y8cwTM/mwNQFseoi9R/2RW5ASQkgx99TESFCbL3m/Wgqf7z+tY0KXnzr3VGemurfS F2rq8zB2bIqgrp1DQBfG63G0ayiVN6Ve5zNm2x1DNwv6M9jY0gUyxKlVTBX6TpfZmVlXr1wLpzIM yJzttdbJrXgpL/IJ/X0MVsfbyaCLufbyotpqWFwi2+pR6+i+0bfpz3vBnonwcN0tDgcq4nUK7ZuR egy/yDGdGfp/rbSBb3yU6U1BkALeldwFmbWtFbJ0O4cg5x/PtRH/SqZ0yz/MqwWSDpG6tHb+1TJZ UWDtVku0DDxD5q13aBpDss4bD/UW4IAxk1uBMCeZ38vlH9yEWP66sqBP32sqfSZv0HWfWGPFTkhj Dwgu92Lk/FzR7Bj/pFBH8a1ECnZ4fA37QFBLbsr0NuzBN+nAHo4whazFuPfzIYdgPGho2RC2mFTX SLbRa3tRwVjErzslM6Iktt769/1YSp9Ane5kk/S2Sf3gsV+CFq8dDphtwqie6Rkok6EILC1ygsRC MPvtTjsKA3Ji8g+Vg54rQbTDt93o5BODm6P6XnFMW+TOZpAbSwOx03FRFdscV8VCl3oGOd/Viris BTQ1TXrUWwWeexX10jdaT6mZBYFXfvdHsjg+YdCo7JvDdat9UsSEsg+SpkVvC92Il4erc7bpb3Kx 6ZgyK4rMSIjaktaniQwOjZPp/bJh3h/JmE3InfwvwqZowLRhv/UhHjCRypeJ/ziXDuorW6ALFie7 3aiiyTMxivvDZ1H/yQXmm0f4KzMrQG7cC1NqhOz5GzuV4D8eY7rVpgAW1Dr1G6w3f8yuJY5e1jQz 4au4RCILMdd3NNz7LxRfSfY+KYyCg3Fkr6lfiRBK1usN6h2MmawnU/HdrwnMFF+ZOlqK9pEl05rj oFDTiyOzkLkSY01N/baA0kFUfAB7hiX/iMst00/AlJ06+zafDTImb08I+8i+z8xVBTkMpc2kclgW hebqKoZuqWyk5hWfDbKu5zs20KyCQiY3Y8Xt89NZA6ErXO7b7DJABW3nlk27z0vBgieTPXKzb519 OGHIALHVX3xMCmKEoNjQHmaKgP/eudT87bidB/0wCupZXJAWJy5j/c+7peTrb1s5gHisVHZO/q1z 9YWFZmVUvurdzKtuSQo/u5mxQCVja1JSWR2eSV6yEuYECjZSJTpHBtrpkq+VVOzC5TmYkSuaElJd aSDPAkCyE6KXnQpzRPvoUG8ORKD7mP6MzJ8tZ/GMrsHisftaVeDXkaK3sjYUPYGm+SmDHzLLwgw/ xu5FvS8p1r6rg79T8M76lo7D70FWDzbJ2lvqMC8SZulWPqFizsan6nBNRkdSH7FlcFrOnr9ZFMIs EopDHEX9oRMpn9+eQuppOGx3oUTRXDBs/g2DNvflAyzdXjD0A4Oy8xzgHPdYSNNEJ7WAXPCP19fn pcfgJQpFL5p3bDto8bofqt8V19nuZowFRYwGZQFKbEkgKMuiyLNvcouttnUip/1foazKud72aIc5 CadAqJ16uVJ/t4JucczigqfKJGr6NG1sjM+7JW0b5wraQ8YWrxcoc6ueL7K88sD0YwzUMvLeCIUm ToNghwyR+yTLBMIq9UO30XIOT/duDIwfoYcnHxsv1yzoa2C8uhpnW0Cudpjfsi95QnjYXQsMOccS r6Wf9WSwRilTti3bpKO7QkNPpDwdIjZ9By107btlMOkGkgrml8qInC5IN/eTLPYl/5sZw0pctvkx cO1ibIHUknDkyMKjKZOeWYeA4aV0VeSQTiN9DQ78dCKvIKt02KAQ4yEVw3YImz0vnkFjqF2PLKkr IVWABmGsFPP3UxzURoWSr37tnuS7T7GpaYUl5yOvdp4LPE6fJJMe2sL0/YnP3PSxdWLWH+cl+L3F NCingrqIUzH0Nn7U2yt7M56fjCI4ZdnF0WV8EIVrrtgRwjCo0Iz/ZVB8e++YuCLiArw6qvTOsTWu kkFQMsy6l1E6zHxF65ryZ3dfMUcKyj9z2/G7iYkacjNJcRFBfP7WsG8gjJaDkVZAWXgZP7wXW2tq DAMeOq41ASJ/dc9Wfe0FGDyzZcd7AJ79oHiKRvpWABL50PlSfCU9j47RuBBFVFgIn4GokGbLxcqV pS7lmMKJ4ZKKb1ryjisbk8apmNL+UtTpvEDLDs0PRrs2KL1H3Ta40k2TWM0jIBIVo7Q/gJcajQRf 4uOyxrgeBIfMAQHi5uGdge2Vt3D+nm53dTBp3pLUQ3FnyTpRNKX6oqzyYjbJ7Wi8VCVtXsbcdPdn jtvNnbRcYHbby8dVqIdgtxqPqCLn2jjsgNCOoi90HE/qmfCmkNsz24nQQYRbl7l3CzoFiHQDYaJ3 06BioCkPgoO8Jvn/ye5bWR6G0Kf22W1a3dnhSV5nHDFVmn8UEEkfNzWz7IPhNoRLaIoNJeX0IRn7 NSKovdcYAIjt0ATfAzWh/C1XeOrRnt6VDIGKQoit/ca2A31eb0oPGOiPaq6SGDvahmBuuG0MLMIB vkb5qwf/wYRVxzf+7lOlD4ekwKkUDLsBLxWPix52koTyaihaR8uWf0qO/g2skBUEzGBLLYDkHSUI CUmC3ogdPPqJR0b1crjRtZoGmpDmu9DxBL2wVEvJAogC+3kf7j/mPDy8F7QOVn8Qg0ZDB7noSnd4 fWOJlNmAdQMPTGspTl9kZGGtscQKXWw7lgAnG0AdLmtfACtu7g6T8K7T4vbHUEedx2PxcGAbi48r qiM05ATLXH2bF5kDXgcPQh0AGCO+o7m9ssJqigU/JAFNCVN9p/p1bxoUIHHDH5Ed4cWQetJmKvel xpq6+wEGs9pl+a4s+75tYDs7UOgxtNxd1ndERLH5IKkIJr4af7R1RMGgQQt6nhHt0OEy3yCKU7Bw eouwjFZQWVTO8k8vJbieZP7yr3hAYg7UXe4dKdshqqzJxQPsQrH/ywwHV1v9/Axargh+ZVhOOKdF m43p17UXPBS6rp/HrsZXpiVNnCKwPFjQUBCyGrHddodyrqpmjjCbqnA6vDpbmXdgT1oYAY7/8vvB WxX+NG2nNEndvieNx6L5puIKiPTrjuVfyLoTfpEayaHAr3AMdHuqJeluM1EsdDavp0e1uRsHz/zS SStrcudlGFIsNscWhzF537m4Ipqm22Tne8D0/UoDiotsBLXGvG4tJ5E7ONlSeaZD00eiai47R4+j 8b/tUdAmK7DzB3Y9Gt4eDPrFAME+bSwJRh5pUePdPt/9hc934xk/hJXO6jfWKG2TtXA1tAvJMUEi z9piOWkmXbZ4o0IgHj8e8lfpgos9ZCW1G7mkpf0mah6+5tOTONryjMSgk+NyIIZrwhiPOZIAfzly oArrXpuaZlyDLh/QA5uU8m+DAEeAQSkv6mVtw9Q8fNEH9XdaRIyQLvZ8yKw0t0oZ5xfz7wHG8WTN wqxInXFCrr90FqMUaOWvfBJKwb93nk2tWL72tRnx2R7kik52UZ0r6Qo6WqQyZcYEdZtZS+x7ul6E Js5RuUkSAaZn8gzMbLk1ufWU8iPS9KjtA0RTm+IGBivPtx4HP8jGHgAOw+Jvp8K3v2LY46SRRxSN 74pja3797dFOcWZVL8BUGzamjIzZN5Sx8RYIHPk9f+zXrOPxF0ATAhN4/Dezu/Lkg74m3JKzPW6x KEljFUrZ8xqmwV4LV8K6iGdQP3ycoYoXiXMhOygSllxzc7b4QtAYJsaeHxDM8RpGcDXoOhEjfhQ5 QjAs87sPMU7agCCQdhs6e0YdtS080L3rhMExsbjDhtrIRfn6jfbz/qSk7ADv2dnDyyHjDnvybuIK yhrl4uzdbGyKXOcUSUO4q6EwWxuDDhW1/dGnFY4icDP9TpFzbdCVV6X464nXV/2WxBxpC1FrpTcn tWTIyADYQUc/aG2n+UgxSJLR/WDpWcZdov9/L4jB8rdlYqPA5/tTrGchx6Hg0GMAbo+hAGj10WtF vvWnxCTq01c7FZFPOz1GqXYE1vJ9yccKkEH7Cwct6EAkn9gZIh/V8OLEvIPG4i7k2xf6IqVf0ASY ALEs7fl8JVQpP4wJ3R+l7jDOlvzM20sm/dvBMIDdfo1YJWnt5IruNH7w05pyQN538gjsu+nZzzFF 5ycF1TJlXBjUwv8BZp5gIvw4nSTtE6vyF474Xgu9zfL5HP337PlaywbxBddLCqOZOov1pFFiZKX5 eHBKRyR4jTaSlBCYWUZetjckrLl+Bkkyect9b7lIwBp0Qx2COTTCVEncv9D+4BaW2hfdopl5PYG+ Df/qe6Bmpmj50wGttggL4KXpXVkG22JDFGUKe675aR6RA0FG9I9QKXhphU5qhtydndhOn/v0NDoI qwhVY2cel327C9yURX8SLMkt4TVLxgj+tHONu/xYIOUwXlgMpk3zSqCzgOuwptou5uRtqsHw/Obp xRoZZT7DcYn36VywR2B0px05L16erz8Kiu1J9mbRBikiWiE06+Ky6xQgG/qbxFDQX/+V/RGYgvUL YTRMuJx1H8O0QhrmjKxqLtZFOZWPkPwwvI6Up0+mfj1wOEc6zk7ytwMDWdO+0ZDQMKen8E6e2aE4 N6Uj0M/PrO0/pzJA8aYzCmmW20r6J1oPVuqj4moQOmwYA7gR+CwK2DeKIxPpAG/trCgy7G42j01U 4DpkAgWHssQKhkv7DWx8fINuwXiTzu4KluB2gbwFMMsrLDsS49+hkLrmSGT72IAu0sZozuY6w5Ai OZ9AwFpR3M6ECl3hqymGUx35S+l01mvYpnXDzqVK/Wy3IVbS+zc0pK7Cm0+D0YuNTm8e49blm+FI X72iVssjyKBVLkHzwUv86L4MJJVn+PMLct/NjBohP+ppnm22aHxVu3fOgJUYTDzO5T68QcHHQ3Oj nPpQOYvU1wl5YoJtYESod+k3HMe3BzQy90u5ExEGoGzmyqZnuzdhXkYs0SqjB3HkNXt6MhzNqY+c /bC2C/52u/L9a7wn1P4b7CBI5AEtoSH5b45ZA5Pyi4/hL+ZMwoH6slJIvqUl+gdkbtPcTyAo/g6y El6RgjjY7XLY/DOXkQblg97R5l+wYpF0FK070I4OBUdrB3PXLPvjkzuKG9zDUKJygQ== `protect end_protected
mit
3258e131e47225ef45d64df68dbe21ec
0.948584
1.835586
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/fifo_generator_v11_0/builtin/builtin_prim.vhd
2
32,350
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block erT2HDQwLsegxjcgnYu5v8PRRdF5x4vmU+8aj6GHTXUoAC5c75enpcb/gXvF3anfP1Ni6/ufvbv4 9WHqQV8mRA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block pPfdtG7ywQefGSTecLd5/8ruCUc33dGQFv1BYJT1kGV7d7oJYTa9B5uO3XIhnFk4KbcBo9MYOeom AXFpnOP90Lex3xHF+KVeuFB6eikt1Z6+amFu+XOgpbwFD0r/iTg+u2tuMbtXTfeVi8cVzkmqixJH BweXLZizwbgF2LywYOQ= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 2LAWj6DA2Cfwb7WI6KCNP8Nhp7YiUqmOIoL+meFasg8Nrljh7FoNceYZ7lH/JRrU/WUJGT1bUM5j T6yvcYyvp8XGmwVWFSNqJ0ewksVHyDRIb1ba9p27lOhU6E8e/jbPz0b78vg5Xieyh6BUKOvonXcx ukbNtNwl2e3pmt94NzSZHm4Ap82UNUCrThqhGedEBNJVhgkiH/t+ZSp8xV9sy1gn+Q9EhhHd9XQN 3lsb5mZi3360m0f2eoY4s3pg5AxplXr+V+q6WXUwu23B16HREyT9spcVpEqwn8FQItGBqN+9GkvS mDpe9aMEY6a8Gz/gU/AFyGaL93YL1NxZg8jVlg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block s8A9JUVowKeD3CmVMYkHA1DcvdRM1Znbf6P8CDdospIERfhE/G/59QiaQw3ABcjEFvDIf0h3hXbH H8NL+b0a8o7p/ivnxh/b7vfXy+KmN1EwToSpVhrRHc/7ThEqXiijvSSHEcrM/F2HTTOL50NtZIv9 HA3/9zxgXyKzYxlcw18= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block OpQr6VSPgQTyimh3wN4vQp6Ib+bUbsaMHYpE+wqgI4pt21pjdHnEmGX3IxwspudZhIMnMK3/Mjtj XyKSG13RuWZa6YAugztQDATwV9m+K4AQ4mROpS6f6Xxumc7fTatKsA+Q+/GAUnI0gQN8C4DBBjvF bl/jsqreJ1y8FzoYQFAipt2EusZEfyTuXH922BnKx2cegkYQk/X5UQ3L+mHlXFbTDalMNve2sgJV D6VHuItaJ2/XmjXOLyLzPgr5oWP2GdKTgXwKmmiSOqPQnwCeKKiZu7t9K0n20ninXRuMy2rihuCJ MEhBGGOjwuo4evJMkExCLp58ZVLgSTyudyRHiQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22208) `protect data_block BboByHtLrul/EYyagaCwTJLXdkq0KdC0PF9Lyu0kuJNdKBwlBPNAEN8Wfd/PnYdQ6t8QbQgkdJKw fa3MXa71KjMyq7DqDR+E0Mx5AI78hngNr3JqbfTdaqtzXmOm15erIn+jqS8KWo8dLRdnsJ7gQSkh t8EtkZhEFTvnJmvbDJCScfnd/FjjMhM3OptnO+OyuHJVQEvX9j7iuakpKm3dAoSNin0KqPPPV58g iLaa1iFcGw/d9Ao2R0hu79fwvhfcHxf4k/qJxLbOLNa64nswUpvOKdEHcuZoLKKjFwzCq8L94I9o Bg3s2ewf9aJRXgB0O+ZgjfikTo+xvIaB9TDGsBBFJwmxWcL2/Ru49/d0YRgdYzGQkg0nm6jZdBGv u37gY2Rzf9mvyfdiPp2aSNOZFM3easTbQeVWrWHB1H69jB7dD1Rof5uBKBT/KOscf8Mej6efDuAQ T7LX+lEBXJST+DcEf9Dw11Th3qe+Rfgv0NGE4/Msm5MaVN2G76OJnEF8KYsP1jKTN6kadHlEhZWe qML/KEv6paNVMcSbOKII2980iDt38ezjonVDG27YvZGYgeG7mnfIBL3Jpx+bqmO+DwTiNUtVtbBm GCNqjsr8tIWD/DlWIr9CKfes/mAp0aTyLS94SzQtcqtKR2NZqFfQRQqP1SLfgZ7FWY3OmoTLX3bP kb6ObspzVT2nVDIcm3RQJlhYASHS81MojDLVOK/creJCP6/i5yllK1PHR58RtrlrESSp0zvE/o5h eEa5Gv8vhkE9a1P/NZleO9jCROwzZz7RF1uX5nWVAYwKOf+jJg3vtUecjFkbEYfhi/oH2Zs6qIJR s0rJ9f9rpaTjq5CHk4KTDWkcoghIGn9DpT/HvyyzmVaBZN5CU3ravqRTuNckSG+a9IB3RcMscbIf 12f7dGFLZR/AYYMNGl+X8KHzUtTn+sOs2gqIsCKGKCM34izYl2v0uvNKjTIc/097lit9sIDs+zX2 kzfNiROOCb9OuyBtESk+L4izQifU7ivWUM1g/vo4F0DIMPxQVaMzgtCThd9W2yW9bh15bm/u2joR mebRImbjXPtdexAq7VUis3BpESZ8nrLzY9YveTvzMR6d/HHn3ZeJLhhHkFyMRAk1v6STK1YpehA6 dmKcZhVHrdwQdfooAHRp2Xpmotqd+FIcxXaC/jNrIMHj28kokqyzZNFDa/5h71bKxHCkm0aLlECc hplVwzRCB9N5ihhqdr2eu46DUzueX952xie5l4c0fViuGNKBmdXTZkEMHJe3Ai6bBABB0LT+avEk 0I9awWUrpzIW0PZ7ABYyeLIAAguaBdAi3ZNjQf3KM1NyImoIVLcAq8+9ATbekoH/eBUVJv20xRg0 6I8VgJIslavhfCDEDcuLvrYFlo/8KdpJPT0OZ21D9H2x8RtH13+zwVhQH1Hw+vGJ8cycJNH4sBuc t138CaKt6Sjsq08cY5ml+ODJv3TszwSuTj2/jK/7VGrHl6FxZOlsDjBRREAYOkYh/GKoQqn5Ylya GXH1k9d7z/L7j3pyW1MPqQNK9OI53Sw25NM6q5WoIQbgE1fm+iQNBSEzdz0TTMGlI932g1BbVfVf RGm8nyfdScf5dS7djOqlS8slsLsW+2nijXz6kBYzA3R+pp2PBrFspkKla9oQdfRtc+Na0s00yHw9 yUtHRrFvn6/Y4DFNFEKhjnOD7zzPnlUZRqUEfjGAFlYYwhOVbA6o259VaESJnOTnK7G9/sQ9m7ci GgnfEgBylLzN7azZmv+jVZvBamadTe90eh8fRdt8qYtGYhCrULlp2WLzubOm7x48hNw+0CJorMN/ dUiUQokOPp1CxdYYhoe4PkDBAY5U7MT1bXeZasz3D9oj8LoVoCGPeeOyl67xPs/Nun//69xp8lUW AfNvJ3Xfa5qnO0OwFluRXBXASqKXaMxIX3FfhQXq+uaFBmXv5ZAt/pe40DRryZMapU2Ri2146/AI w/AcEyvJ5nc8yIorc1w4vysMZIaG4Hlh+xYRXcMejmbprinLdLLLkkoB9HeyNsPySW6RV35XhInZ rLpL8uTRG7l3PDOxxi6gs6oIUpqiD0ba+n/1j+pD1Man9dGQB36tM1wyXjEaSzH/bDidKwSYV6rH 1RyQrDV1/ddqwOKvuTnWQM5DTSKXp6epS7e63m+Tr5Dlq20hVoIGiqsgXcfMSyn45eVy47/slXy9 1gDGJEO6ktESM+fja2bbv2iYgfryhxn8I4oYGYGKfr7xMw5kGQ3VJUDy8mO4Kg5d618CP2BM12ly UZJ6hYNYF605FvLVf/LDqSx3kAUfxYYFJAPyj0V/Kl+76SPVLP1LyT7e8XEwFfee/7WnMW17aQHf s+iecbA5wQl8p6tA2yrlFEmQYdhXJwMrH5YX1dKZtherZ4vdZ8XLQwmDILMy4S8kg3yAtWolTPv8 86FaIbmBKGWaWxnEcwuPfo1p6uKjfe1GjOAkGs2nUSiwuFMSyP7Pt4gMZKt+3dGXKCJeKCfB/KtQ 4gcETohrcBKqmc5whyZCpWKwnMz/XTlPG6eO4HtFcStIeQzWbgSRo95ChRuyPtM9htAgUl+Vo/2x oZXN9XXFFpoHawyenn7PoutSncyJjZXDEr17NgWqu936yZWr/1LiSS3rheeoZuW3pfgvCptoqNQU OjG+gHOPTtZZg0KKY8s/9A2oLxhrHou5WRwaPLqrog8uEZinIfPaVjYjdsXEmL5wEoHRXqayPKnx UEZh79iOXVIqTRbDvJQzx5FiGPS56T2SlRuTvKSStKl7KKb9qWlR4ZGh/h3WJXpbkwIG42rpYRV6 On+jKyCp+u1jvJakcuavH7N4gYjH9lcSLE1MVuR54G//lZROoUJcYkeq52pq8WIVnT4kvKEmWUjx YR7gqQln6iK3LTaFAaZH59FMCMrNPLhp7sweSe7S2rpKEHTninXcmHxRzwakbbuXMDDFl3HwwzPv jlTg41yhAC8VlGjJZph6l1vf7ie2hfjvWVgAWfl1dyIgnBTpsPME12Jmb1DKvFBIi7Y8fn8+Wpai RfA9VNZKz8KKtmdcK4eWvccbsO8nZmcWin+BNNhpIxuMh8XMANE+G5mcYK9Elj7Hf5uAb8lpuIG0 M7trHa+YYgJIBGMSI5itQHONGD/2lyyaasFnePABhvZtyMXXPzzoqxO1L/D8fGl/b12RLpgACKkf dumHCYf89OF438/mGgzWzTIKOKh5jCHxwJfx/7KHgZwShW/EFVc0aEazl9pJvoMTFsrUjhrqZTRe R1fE9g79S+6SYvFsJOWSigbCMvbcGlql+eW8ebbAj5kNsQmolwMuFky8ovALUmeSMg8nHDfWNBgx 9fH161xqlpmWH2rHrMm5l1bmKR0xdFbpttqZ7jnK+t/T8yBUhXnYi5bLoPosm/OvRwKbHJG1ITtt gb38QuT/BRCTDHdBYfJ6oPSfZdXt2q4OwxiOCNYZTgORY10QMnbQNYH5XJd2ZXVvmCMwrm/g9+Lf gJ81uYtw5Nm3TEKgnE0ZFt3y9gXWjWPn1gPmzg2d02DtDAGRH7umB5zr5HqDNYKwTupTd1nWNJjh n4tZOXMRvnMXKFU0Qks3CMUsttaWeOWx77y+jCpELLOv2Xx6wjNL6IlQpTdhf74K/anQ+/izQ5Kp GHlzNYlvwpRjS4SjoqVBHZwKF9HOsOau0/MmgZ6swH3u9HtGgi4dB00aT2/24sdlO4f1Ga37K3cO 7Hm5YEzP0Ilcx5yONUXz8tXwUnP5zo/oLKawQPpe2r6hgANSORxKcEKiD/62z+H6YCXFTRmsdNoJ F8gayWEhClybGwVoipQa1ao0IWjrCFBcy1G6MnPj+E1Ab9JA5XX+ELUMcU1lD19GhyaPT+DSvF/G 4kY11FHfh7hazcL3jC9jg2uXJ2gcSex1paq0+YN4wyhd1F3Pi4xaCU/dPMjqfGL/tYrfmwhyVdag asPduTunVpV7SAdhonXBhl5YHioz9L8Ra64Xain2/XdDWaWCz16/O9cJYTaEyQzj4Sh2MwbDw1R4 3d8ey3ntXAScfFMwsjgYN7jssCj3nJXTHe5YORvMXqZW8CMYAN9PHRtJIu1nGa9kLweXy8DyaOVm iAvi929yXT62M/8qCYPbquEendlSHchGMpfpZk3H4pzn1BDqLopT8DYd4DjQf70aOPU/x4kyFnZy xht1L6hEYedtJ3uKF/teTc/p2eL7Q9YX01y+9kjlcqau0Kw8w71nRXekFsqsCCy2C9dwlZ9ZTbrf 05f4l+GH/LNB2aNhSSyWhip/Syh849wHBtOl8JscyfGjECJSxOvt/rEa+R+xdhngSCsRTe0Mi4+B XXp65X4w/1l2CGjP241BLnU3Qwa6bEc3fiLK7AwWNN2j1+vp98usPPda21ei649eP6WzUizkRAST 9lC8hjA7ZTbBAn7qOzuBFFiNV5dIMmgT5jnAjiGAZhg2q1pZV15/niqrFwcTrN2OGkXy7OA/FhVy 9REgohJ9ghtu2xSWG6nrgXtH8XCpuDofmTCI/mf/sEEWaxrg3VbQbSNPE3KqnFzQWjHhGKZ2gC8+ JADW5TDv7DuM9OPHMds0SU6rLurthg7LPidjvyzM7WqGL1OjzJIAgYTawQkjeIfZkF1ixl+j5My3 I3YhJF5bSH6yE3hMbe1LX1/sqc+9Z88B0ZB6VSOmqiRAZSMGI+jw1L511QGTnaGw8SpBhhdQWfUJ rbNVZnEqlsK+gJduJ1aKsEhMeQV1fUFNCqQGpe/er+YECaCUKS68hbdy7AUCI8sYDC7h83ovuiN7 rD1J1f36f7YG1MqEdeLUBfdLd5X0TsD9aWhLBYH52QGss2GYb+C+BTmGPAh8SDFh58TZNQkHqIKX GfJXSRTZ+XIVuhSskHSi9Cst/yTwg5E9OCWCu+3tH6ByqbeJi7jZXHWUwkqD66a3fKtd0SeN6i/y kJCN/WJIn/f/pPWpoDM++U7d3tEUjTPHDaBdqlsNMY2NHvD71+CGBCaXmetEcC/mYn0yaQbxwslJ YkprwwvZaZV/11aA2ycY5jOV+ocsyLxkIKFEAPTgaYa/7znEs+oBAdlYl6y12Ibbn9gR+p3X9Vl3 ggKFOkDNDHYtUAcKhZYuWr5ZzAQcfyAXEQn3j8wPorE0zHRAxOYtyW+8euBDhnl+mA4aqatZliC2 ItC05IaNXI64HGx7ufDvqFA2eTxA/A0MruP5Mcv0NOgB68miiqxrJI9mpd9bf5Xipgw+LLIT5E5A owCfi+nFr6jnrNdfaMbloUX5nkal6UYAmea/8juFTbHmTFPwc9d65oZEnzQjxCfMnz1ZkM+sGLNq wvFvCRDKGWIS0YgZWhmw5AIOoefOvZAxCEystr3EtbRXgKzPcFbz1vf5H9Ex5t3U69SLJXcpzkWc 3OwFlnhq7bmOwdts8OvwJfYoqjPEGwEW88hiw/uO2zjbWPE7ma5z0I9zOsiIAUMjDxP1/HLDqn7K imhsa1TaEk+RZyYF/MqnybkouyS/P3XxFfbKzPcTG3J/gq1FyQoGoW8OqadQQ9q+tffCfwG+ZdcE 1cCQopXuNfx4D5TrZC6DY5jbAjCcBLCtL6CIEv565+lOCVX91NU6oioJMOXp8qwSPqO7TJjNKFMI Xhc/xvAwpEjTYgXAms/6+csSUCZHe2sas7y0yaR0dhMp1VUJqJ+E4rcboGQG+256xnZJT+3oISIT u0SyP6hMiVx8VieMTcRqNedHe3JsZiYN0qEaU8DHSrgJL8w1FqCDOETKIhRH0qLtrUESlJJzxbDM fc1Rxyx7SPzHG+l/FXuc4ZQoWFKRj7iQooaXoQCs5uaHvLDCxT/dcX92iIioGDRLzM3EdLDzi7mA h6CIvLjF0EBdyEDVdwj0Ch15HtHCqvp545xx5oaszrStVnxSiGvbutnmN3CfrnCsIBWxKry4EkC+ xSTmpBPEid+jOfsK/SMGQxwQRfxLZHDPfcxq62ISn6Ob+xs2IR8An/IbRcPujGXqxVqsX6XtoaiG phg6gMnMRviooNnZxqsojDjkZEJkfKmJBmzyBle/R81GcTnrL6+sdK/XejJwr3pBa4NkyDZFivEb u63PcZCm6M9nPzVwPhojZ18Ms84iOhuEWyRLGGDR2hnclrNCVJr0UFwkWHuYsrSEU1VxUznZGDqr 4oFSAs0RyXcR6L4xkz+aZ21ozyFDbq0Ta+G3vV4y6ehpuuE12CdjYTDNlBBOhUp/TVEvFQNjnde2 MG3suMzJ50j+pEpjLdB4WAcT3Qs7nHAc6ZFKNHXCJU3EbL2YKDFaf7tIOBXlXLrn9v72TW03TfUy DMBmhE0DD6m2pjS7mBaE0aQRnrwtwtLh0wGCje6J17pv5A6JfbtEEmkthTR3X/7T0GaWl5SLHGck uu9QfUwzu1IIY6QEwJLIbBagS+oTRbo43MsPmHeF0+ObMgYnEyv1p5NlIDJhTMMTOy0JpfwtBZYo A0gqRJ/yQ3o38URYeLYdxWnID1/58RRu7lWd6qITZ/BikAHlKFNtct5lXwksXa3/DHRtihDeRB/0 nhOgLWiOnVbL6Ja1Qu4cqMr0Avo6CGRykfJ3NDNuH7OeqOqfkudOULbNeq3qgCO6mDQ+5HvEmoI+ OuSFQHbpIr2a7EJGJF0DJpCo39dEclIPrXA6d/D9E/hcV3a7gfzQRgZCeFoTAaeFdz4AqgQt7Unq nwysaWeSTkxlmfjx5SKcnFUtFv89lBWxaHMKhsy1qVUCyS3hw5TEfCb20WUrXrNhIEfKWorTf0ri JhnHKV2YhKIkkVdXapRRcsY13S8szNpkSJvyYHARABdXmTIF1O/SDJlxo23iQfnlc7G+jUWFr4nu 0c043+NkoXpP32gW/xKcG3ZIBDuDWVzt3K2U+MMUOGYoqi/VuRH6wVSiq8pSpNLIH+/GgCXL3FG3 qLOCx38N87u9FkShBz7ZEwS80Jl+a2h7nfGvEt6ufXqR/4Wx+/OW6OswEnEfnMApcMqlsKDS35eC QhiV05SrOq7VulTIfUcR/LPU9VxWcBV2PHvR0uE/yEcHxYXJAW2UE0izdrMHjYtMxONspjBrO4os ZC88PMOpDZjN/zSmeuNRCQYRPf6GfcYOQovxGKIKi3YRt0nyYr4i68GfMPkFhkYnUrhfHljqN4DD qwlNbPTKDHWHiVH/1PvJILor3SSFuH60gazP9YUiTLQlEbj0oOk1wnkK2aB9QZhI5il658TGK5Re ON0hp8h5ujhXRyc6VMANW5C4zVo6uKjDpMmT2wEz9tgVK5D7+rScgiOrWUk4HT6xcmccekZcSu/Q THfCOCdv4CSKpKmLYoxcrWaDU+IzlmbDOmbTEtLPV1ndIhDTmaMwjkWEo9j2rqSMbP/Jp1rSMwco Y24MtUDm9nsbVbrEgD6kkJBd6cjVu84WpHLs04z7xQaUSkiq4bWDFvNRu8bSnAgTo4pkTLg5aw9/ jj3AAUKPOzI4r2P4Kyrzxxzk9q9+abJHMWqBsUJGO8cSOE8DeBcXGTuXWIK5Y4p2wJuGxeWv/Zxm S+9WNiftVECvQicoezvc7m4m+f4XzwMeI7mnwh7JoAx3ldCFBxCgzzN4ZBdK/cakgd/jPjrPw/fI i/duohUWC05MVMd5ZBqwS59tCRiFImg+ufmb6B+uej6PdPUQ10zCxp0El5azyUS4K7WpqxNCYGgZ 3XSRi9YQVctoRChGqUjhBdenEoqHBtDQuWcJHMA9FtvkqQZo1NnNZlor/6yZUmBgXLl81O876rlG redB6X92gNQXAJQE7DYXSukUtcfNmIOExQ8ZaiVZKpLOOlxDwg9/mu+SjGV0lYo7oaurQH1MwJmG ylsEkIc4v7FOvzNdIy56kfCZNtmuTl3EAd7qbDBDBmIbhZy5SP6CRovKdnBGatvGBi63qfYFhHWH W6c6DB3RnFNm0c/95YWKPYhO6x4zS40dQNAaE/rj53k8h7jvkTYdWTtqk7Al9kgZkKWtQso8lWM4 seHcMa6m876HQLyD40X427LC/jFiDdWqEeXQsxfC591nZvJREL5+DiLIqrTH4chPeup/3wLc22UW 94EllgvvPamQr7yzSsWcJk/wXAWdY2lnWQDVKU4V1Iv9R1moSE0MQYJjjRftger3pgXrryINSBD7 WmnKatBcW7tljQSPFvI6zIfUK9Kal1ABTqlvFO473TQ2ohprOGq5NxHNc9Bjp+KtEjnIl3BmGiqN ZiE4qV6LXDuOnsFReGu+BWha9M6OCfKdpHnTmE2B8D5GEY5jE4Po32Y/U999SpYhXNqsvN27HUtQ WBMkpRmFGtaANsRhnlDIEvLq9nIm0WXfi5mf9G+rQ51tXPo+2ejJNaOUXk1me8jckKNocrS1qAhx hCjPMqXY2H2X/+ssc9RbeESa+6G0f8jWlAamzr2+z25Zehw4fd6VF4eszid3I6sHh0Umk7ogtwdu Fqi6nlicEPHt0IgqwdleLRo3ehE0DdH7/GllZDf9RHBelNBksdHIMfQ0j5V7MUM0owyiaoIKARFg tpFd6CW/xX+mjdY/dAYsi/BGY8esOgDlDg9zpGpfqPfWz+2OVZo4yF9bK6bCmGFm5ihS3PwDJ8Zn nzP1CtfRKtgXWwxbDY6ihIt/mBscGKkD1gYaMNllAw3m251dhXUzIkESD9iZdFcNhPIz/hK9sm56 CDUCUIM5hUuMz5TKE7u07MgJp9b5cMUv6/R3alX7to+eRBIyUAybrMOQjom5shjwClYpCaTlNEDt HmjsvoGME1hFfK9c6IGdkTFn0cIgHzsaYpbruraDVsaKmDfbb0bPO2H25T8//br7lkNfgo9CWnbZ rWUoe4LxTgMT603Xqv9JwZmKusWswpcWUJkgIEBtUcsqCuIYBSMLPpIW5lTQUxMcP06SA7tCpyxd 7eNvFvLD8XCn2EuIEu+xEMqxwQkuFCwhdFyXiXLrD2bY8CFjiDgayuDkO7NjtP4jnMHzYvJJlpTK JJc+Iu7r44mUFRO9bIaXdD5wyZhtCE42ONaM+OES75PRMxUIwIyiXPd/xtFzecRYVtQufmgk9+sZ xPjSO0IkZ+B3GsM10b4neGOmDdmi9dQe8u7XL1JjdctBRmA63yBE1q1CBFkiQyLGU45vNsglpl+7 pVcSoLDCXKaMlKfPVBNzyCt4R8DFHXUCwBU4V5hry+uz1brjuFJHOgUCs0iEbv5U4a1hw9iuQpOQ qnEtrMlT4ph/9n+Qun2nujmzsX9EycZatRV8RlHyEAvhIXRGolEyvATM39Pp3hRRsxlmZ3P4GTvn iBDaWsypOtmqLeWB+5z+umzuiC8SkJmnbzWI2/b8QehyG8gZQSLavbo97e0igaqFxYV7FIFRmOnv 2iu2DEyCAA/MH1OS+ob+2kzT5FcDrXLAj87YcFKWRV1cpk8KjI3THL0j9hMnJEWXKq52o8v51vDO I9JagRZeujw/lUYcMDpiX0Xz+nuC/VOra0AKMvZySvc71pDKk0aO+2uJuWl/NOZ0Ler1jqdu462W rrNWIGF0m5pZ3HmZfKHxjBDjtAxpvmB7HOG5sDZmZe55jxXKI3aM5WPBRnC3S03pyZVKyOisaXYS PI23hlaKVWYVZLXzLXIndqTLingXRIJDzLKrmSC9Y9pCXrK8c4/wqGbgJIz0e1kvEMJVXG9mg7h8 Ncn5DJiXl8VjEt17NI5w7hbsXVFGzfPeio3UOq/DJPiFThBNP/XON91frxcjcicxP8zuZWfAZQB7 xnqR1BnPaV1R/LZJQpwah8RTIw6maeSugm87JrYaAh4eIR8nF24J/2l5cZhw0XhnWotKXhvuRtcf pUr/bZ76MLWEjj0MThoTccMtu3FvHl5kPFZ8divbHz7AlBWtKpiNulsKkl+VjxhslgV/AV/4x1C4 FLbQka3Oa/zayqzpQrtnyJSCT2qQs38JdBOl9hfwlp20Qj7DQlGslPK3yfVaP2mw+M/+BXSNA5nn uXIy3OlfQWsqt2eHRfoN/Ht8yjQMDF+i2AiXsxK49VqVgeYNzTbLSWbnFxJO2vZ+JLqHX6W3EGfo tOMzH31L0Uzp9ezeDvLoBrhpr5CrFwDO9z4MZDCo5sFutQSY7JGtcCqn9blPhMSEgMODj70lZ4PI T6/2cV3UOz+1xHelLy1QjPP4tyeXi0hXQBcf73jPNFoxa23zm6as5P/jtrQUupbEdUX6sHhaeDAU ZaiQje1BTjiyrkR5z1Zi8p6Dvs1JV3nIfz7m/yPPoGS4cSgPWPc2zVTYSfhVQzNhPOe442fmHxdP AXYetqosPiOSEXf0W3eyq0fmbi6mhs6RBYfoX131N+fcdVuowNI64E/apnoUp4u+NBeaqXq/aBhh vIHnmWAxyg7w71nFny1WdkDuHWdp8QHws5Rqois/lqUa29pAOFvA4uSTM8U4P9BcLpF32mJZP1dw cvDPQ0N98/uV9iIYtsPpO3jwBclTzXyJikj2418A34elbhDXfThCjU9fttziomI2qBdoZ2OZWpRo NubbHf06O389iwLy3Y43dlBiwuRsHi+YRmSKTZvQ4ttM5aVpa17/AxqDO5RPME5r9js0PxqbDBKc VG1omMmjDQvLfN3dew6RG4TgHpAIXWcBcfIlVzbG7JCh+5gnjmRN0yTaAd5yJMO1C2wjU/DbMGFd 0cW3oMHUWorJH5FQQdevtVZ22lYdI4UW3AmitFxlGpRRvZMf/0JtUXDH2zbLjRmGpyiBKnwg1CH4 VEPR/fOt4ZZtMCXP3lmbti/YArWrCTYXu1ZVq/pwokvkwm4x/NHCelTGHubjGpdPMqsnGLdaX0ld XEwAsK+7rjafp5HKRHutItvqChr+h2XD1i3mZo1due319+7U/W3TxjxmEIPiTF/0e37L2XVjOL69 s3aoUVVPEcSom9BKLjfeuvpv6Zei64f30eSOCfwVLhVN292E6jJ8q4V2dtw74NQouLbcKWikeTKP BQJPAIEALgdActpawLU01AtqqLMiRFefeDduwYzJZo/nYl7ooXrDO37xHa7mWjSIHECq734/UkAh cMCmb1cVoRNV51uh4SK3/HNIYPRK1ysYTETC7wU5tL0FRzMfWrNbJf10YcjwLWdU6st1S461DIM/ 3ILAQFGVCezQjIfXLW2Qk8s3bV3X5k0eoFIDftLUuqhAEF0VtKLNBXNE3MIOGbU/KSU4tuoYfwUQ 9evRUiE19YqN06Db0m7VyNWh/yOitprJ/+xdqn4nItApj5BOI6iLD/8H4p1YJoenauzR+zQ8gn4l Bd6WxbJ1mb8dUpQGCxPnrzOm8a4/M/vJ3xLBgGebe9GEteZ9BESDUqdKG3SxQxZzVXpRNAVO1tgc 6aFyPEyw2FSM7E6IwA8rCDenmPoQrgAlyWf8FZhE16XtFVlo8tlVecvQAbFjpTm8DORXtl/tDq1A Da/usZ3LtQCtfeFAAG/Zwat+faE463ZIA4d51NOZj1M2qsHWLwZdQ6Uqjz+hM0hpD13VO3Mmysoy NI5ASjX0CQa9LuI3TWrV218r01Eo+5KYJjxKQJ2ISwEVjbbPiuzSCHmrIDbC/dvuxVMAupgCm7TM qEpPO9mvYq1Rzffdzc0q9SOVDxplCB+GIVukynxuo84oVS70Ses9yanaIw7xL8NTgMLGrt/ucHKm iHsY7vkEes2RGwnTC3eIp50vrr9Mhfs7zi49aqWGbVYFWZDxojv+Bn6H9Zj5m5K7fhHTl4oOPrtx aRtbnQROeO8QLed65NaSMMRa10VHcF+B1x5Y49VzydM8g/rrqVsP9acb1m8MHEkTMUDAl274C0PA SrZE4PznkbXTHWLEElOX6mSYaMUe/ijiPy1HIH+fId/fODa7Gw6pGxBJRtD0Sv5yb/ydu5DWSWfw ryWtxsJnI+e3GFI8JieVG9OQwqr7pCYjyQquEZyLu4pVxu+GcuAsDk44YBXrKhE1IrhOwX9vjxMR DgspznXokRR+kSkeRGxeRrrB1S5XaXqiCU5XYi7NprpCDrI1rGdoP9CeEDgWH6B2NaqM2CNMTDjx 623pl09euxrvWPGr2L3pw2a+NM9npJoAlWljliMjgRDYIc+6Gk8Cvh9g5uuJe717SImbFneOihsi QD7K0CaRiyEvIk5omBRKmaVEm5dqOyXhV2u76/XbR0M9fvOh+P546MAk/SkdWPYxbgdUBrUNbpfC uKpCtfz1HbTk8Sb/HurcIgTspwAHpEODFtqkdXKZyhiXYD4B+LUtQh/i0KaAAjg/wQrUji7HVTQo JtXIw1RFkvw01xIZTxdQ405VGHXG4a2O9nuUMj+W5CFMLEqI6xC+yy06B0DS0KKRq+Rq6S0qzZGx e7boFUGSc2d7wB0uBUNLtL7aiqiAXUyIv34yQJ1q+YWhqDFF77PJbvoos4c+2/LhZeZvd4tsG67o ls9crXGfeRHMUvmbnoAAedf4J4N/Z+dOoIbja5KW+JKcd1Z9KSI4k9dRM7en/LE+ryPN83BDeBfZ XGWfHGxcIyYtYBYjwX2EadlDUM4VUhh3V09eus164Y24cHZr+5GEjz0kcwmXPCiD8WrDteGnRppi FhI1wddfQ+4f4cyzSBw/hpuk6N+diNZAO69ze/KyhDB2FhhcXyEdtGl95bF3oSUxthFazrL44uAr Z/nJ5UvndYA4w41w4vQTH7P04+wbqL2s6skeniEQc2FHN8PmxZdtdi5lp3G7yb3Iw+HaI6vs6zuq k1X5jkOiAgT+yToKGv2fHieTlN2W/pIdqHD9ZBeKGAkpTHqYOMIc5uv6YBzn+z4OnYXnNvhvKgUj c99Ojsym5Y7tXY9fh2b5f27g1WhGPe592JrKadqWuVIzSYA0nFCRgXD794HPX5f4Ix07ExRoU36m s3INz/V+5jBSyZY8vbUVqmeKPhOCYiPBbEgOnWtRhLlcg1zOAbZFjyg57QC3SvThWBR235nDNBGA bTwzvrOyhv0QRjRebSthKir9kptDaLaV2nzqlB7UMk//IKyNsFsVMyqlVoOWRYbrbu4FdK3BfZ21 pz6FdUf1oVA26Z5gASsmyezs4EaGcKGmxO980HmfR+e05EpkJqb/j1Icz0OeXV1Mbb0c7WB/AGm+ 6F28K0gmXNIxVB1eUq4tfXLcUY+aMesqzDPiibJcVephKjpQyKWIcNez00clPVhjvUuCI5eHgLnw Nx/2835wZzwQSpoMRGNTBm7pDutGkwWWSYP3EFARCqPRwVGHFkuveo011mB+06Ok9My9ebJDJWpQ qbLCcMpwhI6o0300V10heBwNZGpDJYBaUTbB3P/xCZtWY/ffsBecxB4kroip9CBHUToyQ9SuKAu9 e1Mh5S8nySgL3vLKuhnd+2A4IPRb0vij9sIBRlEXkrr1UhNnZIkyDNgXhrM6ud6QeMSVPsQKRuGX 4mF6AovFyZZ3imjqYVb9r4ZZpiX9EhFzdtuDh4VLlg+Zy0UDPEPB/glpCnS/L9eIkH68fQmkSoXI 1MEyPkpnoDaDAASmV6R02otzPDMmS9AH+xbpxhDpjapmCPNum65qFra8hjX9U+rKpKtreFfY5Yb1 GprOMpa1Ka+q46wkTaz4n53K8M1tmvPWf1UWYpB3oanCd+wtwFwBvTwZm5uTHS/e6l8TP9r4vCr3 wuitBQCpex8EsLzFoKTu336dMzCG9oJJMCT3kHUF7FXnJ083yXGP0vl33Apt/9qm/OUg34X4eLtF Q7mW3G3kI+vT2yWyZi6A87hxjuLGXNGEUrB78JJP5nsww1rsSSF4/Nn2UUFQp2bCAffTSZ4ARUmk eAN/WzwttT/dmyyZXBRoe8CgiYLX9KD6A1ciK2N1yxJ4IvLYMy+wbhJzfYDA6gDDcrV/QcSEgEt0 yPJ3Wv37XpuhGvm4FeHiQztXdB+QT7hQmFT/Gbxy0ucZ5PWi7Nq+f5D8qYhIkHHo9uYOmje886t+ zBOZ2RK6Z7yEoLAtCp3j+Uhm4WiIJ3hi2BA4MM2lWYlilT55ma0E+9S9QwLbMuaj/svRo/i8XYLs 8OKiXmijmVLqdgGFKCbpQ8RCvrjmnpRHBRcKvsb9/u17k/PDUkuCSHwkbeiArRlv6ZNt0BM13MXr ThBsq9FXEvcyjUSo9ASi9LWD28jWol7eAyLeVTWz84z1MPVl8kVEITsJjwfqHzhhkpD5J4rcGelO Oq8rmpozPtytSR+EgZkUDAKjauhEyxm7XDrFEeTCUUYKAaG512uNZFWAS4KNRDQzlBNzq/gYLdS3 HXM7owphfvOoqG0PzfEYm5vWxtWu/4kkvlgAFjMXHw20ntDrIHMWiVu3dNbsWBUEJ1SOS7ip1HP+ 8YSqSQ24zu5H/CxjKvqNb8OgOsdTzojS05yIRo4awtHQy0kMYhbqmKnXN6m74rc6HmVkhJaHSQmu UH610Hh3PaCpaEUkpoR7i5D9dwwhiyIo71vY27FPLJXn45JagoAw+JOsp2Euo4GvHkSlklT4zVGH G0N6iM1Ryj1gj/scVBFE5erCPL7bgmKg+TjivqTbOcGysBPxHkNP8Tsf2nRmAfVjudsKXRazXMB/ ltjxlQlwz8RDmwhvkTaDzn5dgK9YFq7SbPZM7qQGC8AZWk+UoL4KTX0l04a146sRJFM73GE5uBHk Fj3oVLoiP4q7TXvY4IddmuzTaHp0GxwTljwbQOpqfA7JOB8MdLpk7sJlAw19M4X1PCMiHJAAcqCJ CeqwFpfhooe264k+0bxtV8WX+kSk2hl0qqxvG6zGeKHLwXhGfBZ9TBh1bAsK9JmYQ3pWip7tLXV2 g/tFBEXofw3esryz4QPw4/hlOaCkiF27SK7a9t8nEZGlb4wqyLCFoUebUhNN9u6SV2mvNi56P3MZ 2hYuDgadJTHLAOosroZVh/DrzjCtdeKDsRC3TZj4157StssDIyiCIU8W3Ofd8TqlWS2Sup/Gn+Lo C9CdwOU9a9cqWsmo840txciV9nYCchIcWrmd5knvyLnLQxl3KhJHXIBbA7m44+FVue+2JRnn9/5J 6LPnFVnAnlbOAMRzuiuYvB5oF+6+X9HsVsm/xC8rpoy2D91bDeTC+7Sh0OwJy/cuWuXTJr/Nbxqo kRDAMK9oN8G+4ojta9Wkeax9dQpZc6aJw606Rl4fG7qJ9R6U6G7WYeRAJ+wPN/iHS9TDhNDAPBqb MsMY9tlCRPX72LlCilser1tpHHYcV+neKFnjIGr+GUzpZyMLjO/eXwntc1v+1tI/sMiKvVjMoHJr Q8BNN/Ui0fQx+Mdcndqa1miFO7ZReZHRYWth0ykSpBRbpbqRTR6PrUeVXCIVM/cdtyvkjWZqhNVa 1QiD0bnOMYhKLZhDVhk7BcgCjU/LXuNGA8a0wS6s9iwmDpPC49JDD3PWzxLu8I93HVFAH7qobrSX GnEPo52/Bwzg1cHNroCk2UIGOcTumYRu8BDtL3pMaQQRhUWWAc++jxnIwQ/CYzSQcMqYtQ8KUFvu tuFfs0fPeHY9IcRpyJnKznXEHeJxZlr+fMPq35kKKMJxKHZa3n4jD78bJBznMw/oTZQe7SDO1Hx9 jtTYiryrJEllnRzuCTGbhRByupFH+8ZcufHGXlb5gjeuOxMsPtMOC0s42a5aSMv57ceX2ltquu0G 9IAt/+6HIZb25cGDGODEH+E4nI76UCw4qMIQfbZ1UKQUrHkR+oe9am8cvw3QEtzxI2kg5Bpninue r+2whzoyfCSHXOeijYWmror7+O6REsvTW3pPpJgen6mr/tVXZTqwu0xGtmz268hAB7thJXW0wc+q MqKMQq2o69/C5HloiXebk1tbDyx2814djn0zqZWSm9Wt/1gogNxIHX3RP0PLT/ZrO6ohmbnJh1OI jNGvWPXQNdwWKngbUFbCQGhRIGdphZlpboB8bf4k67Y+wkUvCC4De13ubrPalCMC7+coqtNBjRFQ Phta5ZZbv7tuq9swliuFqBTTv9uHJLWpKyOt1tXMdwmp5t70WOPDffQJIYe2XEUlZ4EvNhgeFaTY KQ0tJ9N/10S6zBZY3uihKvFjEps13rdN9GBvqJzfbx37myZwGkDHyQj08njgEIQ7jqiZidAFsEKL 05M3aItAmZ9NUk+o3WquW/Kt7+lhLHnzMWy2Mjwty/kaU7XIOglzGhT2a1zVNDv1WCMcy/BLVv56 tugjFtRcP6EEDrhikOYEWFW9R6yo6IG0ZIJC3wwOkJTuxvCcQqND6FcyO6kNK/6E02DhUt51ooom kvYJG9jgmWSafOMzTEgoaRCpJEcMQJbx9JOe+ARSNR/2QkoTPNxn+PQMurqSUYKwg4brsTb+9mNw 2RUUpm42zwRnPZ180yZEAwFW0Vp3b6brjZva2XJLbbPgjGo/SKCOR4M02KbXfO0h8SEd9Dmumx09 8TN6GQD4e6NOBzv5EGEhnZaP/bilgQk0ney1tcgYBvolzHlbOESIkjRFUqNSxcV1yJQtABCj4dZs UnFhx+6itD2FnRODn5qFhxejf3H4RUUPv98MjtnFRbqFHMRGrCWXJ+I3A94y14rVPvd8+UM/ONDm FNO/Wq5jawVbv3Q4pHiMUO4cdL4rhqjvMq5LHArnqUUs6/Vi2j/vUG2fSee5WiSJa+V2TEnBKvgs aduwK2DFJmkxdeQXDyouFSuKdbDTvS+rGMB928SuVDYeVfR2Jc01+VDrxwFydNSQUWMeIhgA8cba h4YCecf0uVPZXMaVO5PEj+ICE5noW90GzXA4btM38w+8eWMCcZxoG0MvLV0HWL80ZaX+Rubfh6rG 23qrvaoE/PGX1856nqIP7AFFoN3LqoxhI8CQHNyu4+mfq3HHFBn79adn2SozxXEUodE8VJANrplx h17pYbQ8L2kwFAEw2Jiq+jpBpD5AwCaGOh10Bcwo3eLD83IBuj9HgJG+CC54dG/LY7oLqSvZgAwG tE70THlrJspCWcsP795HIeOhqnZxHuhp9E9pyilQ7woKIM30ht01O3YkAMrQX/3IgXYFMSYncvvI iaW5a3Dw6HCpwrpOUBBkNOvYRJARPkiYm6oEwaSxOdeqUkTOMxZ+Enatkx+coMFDThOqXO0eC5G4 71UrtFkPdJCzRvP3Vm2484U3r9VubllI4zpL2ZGqViNQIgxbk52Gf5B5sCTEKr2Haq3jnktru1nO bOP8NeYzHkWMi1qHQKsaSwtNlQLMUvd5r9mqKnmwGLN94vgEQEa9AC4GAE7i0bgahRVnloDelIrQ gOozKCBh0SIK0lIuSG09fQUzCrPPsonH1ouQqvx4f1rB24My2EMGwKnZIl9S92y2magaDfwX1dWw OKEDuhAF3widnArb1shfmGh8tGjW6EFFPxwOeOyJ7KuoXmew3TGWhif2hXVXxAqLVGA6q7RPd5ed lw4S1TaTfoNxb84vxH0CXY8RfucHA4YKU/dmLE9Rfjce8Nq7wr0N6q0KaLbr5uPkiwfZOgI90pnT nHic3T67/4GsVydLi6t/Wflb85xLo5wijjqy/XF21+Yf3eRyDWbKO/ZIn3XP11ZQFsGIfWOUNFfH jVrShs3J3+WcQFB1d95BdS4ynu+x3lUBsMpU+So8G1vvELxNJXZuHSD5rbBbZH8AAn96ro9d6dV1 qrNC1l4sHPGMOYhmXov5bTehEFB8n9ty7QI3Kdlqh8TXsFlcnHEoEME/+a7r81CLsudCi35B/Lnf LAkZxxx86ulp3jN6tYvQSWL5mhdiRddqhJEfhxmpBvr+CBeNrOhKJcMMIZVG1KLZatLCgI2zUec+ Ewrx1F4af9GCspk2PdVp9lJrQ3UnivebJ/cOD4hZREwCZFbj8xJ6CSPZfW+rwVIBeHYaZrz+bHH4 ijjC6qsDAh4p7pDe1eNFv0G70oCqobi74IQf0NT+hoCEYbBEmVJRkRy60DTj+hNX7BroM7DVRUdi TjJEJTC3r/wiLN6xgU/Bm0Wv8SOJtChnoxIWkTwzJ4wnkDRe5elfuPJP89HN9dhh2ZdmQMyZXxYb u77VK+tiiYqnqJBGNzKWlOj99UjswMQz0g/tx0mzvpRQKx+D0o61RBSUJDM3ZvdfAr3xim6sjliQ BnIwEImKT5Z+/7Vu9OVKhzc8Xu1J+PtofJDLdhMF3uxnZnf9MY4b6EodUXHnZlxGmrRckH6QAx8Q 1v4asvoQ5UwCRstJgHkAXZOOb5qyu580z2k6KG8sj7faO8AbdwsQuW65jagOs7iF00dc+bcoMbBK vjC9zODYN8aEDFejz65yvFhBZ8zYYMEdxQxInhPKZXPyWT8SajhzPRCtmT3ge1BcfLQYXR3dT/Pm MK8S1j4NFstBbBLjbEsT95VrbzIyZrSX2VFP8UHrA9jJMm8Z2+Fz3b/znUrwh9xS0emGdEksaTTA P62IzjbJgyWLI+yzql0LEIhtaoQ8O/vmozQwk/s85tIhucVXqn53+JTDsSIh6gsX+6Y4pgKwo0t9 Z9yvOAZ5eBV0t6RysTNKEiZJKBIJeZXQ/wC8MfMDt1KzQ88zq2qUbsdQte1rec/wAuuub1xm0olF 4i0/cZN1XySQXcL7EXWo/wX0g+fedYOzWAbMkkuHlxvyx8IFtuRyZHUHvCr53k/jGndINs/qk7UI LbFSaJkERsZGCqO8kVBxFFKDR1qk2Jnk8gVU775LMWQtaI7/Q6QIp64dHbYUV6rDV2uuH1r77MFb F7AxZICY/3Ge6DTDw69w5i29M9e4juF7Z7VlPVait78JlXYmpcZgwHYhitw/EEGxQkGIaTNDaXrw 0v5pv3Wv+ldb7NsFrBtLYwsozVSD/R6LNzA+x04/fqpriyYqf3QWbFVwfyY2dzbCDJLyfNrR2RUG x+/4AJq/F0VlpBh8Z34dZYRvvDo4H6ET4DX3iVYr3GB5V8MoLXrRw5LCoSyx87mm01JfYroVvvMb h6oB28piHqPc5MpRBLtUK3UNQCmagNaZKYzCWzQsAcSi4V77j1R60xpc4l/DmwsjeRXQDDf++ZnE hBPIB4tbgZMTAgsroQlnKuXoLtZBPXRK4iRQqbRfVW6uX0sWUdiN3lFdb3u6pci1Zi41C6rxvA9v 5wJI/X+PoDULRj/M+00Qys5DntCSAKNto4BWeUffhVxekISAU64fNWp6WV0s0Ub83RCFdcaGy8yj Nr7Zv95Ev6cGJquxN+BHiJFRMphe6aDS/7xvmoG00JmdDRH8CFUJapqx7Sfa02RUG5wB5iHNP18O 2ClJYnKwFDnEivbVWEfLbI2pyJNYf5dc7k89rTVzeiuo0FcQC2xfmE4PztpuCpx0wA1LHDmh8Uxz FlS9zQLeoVhw5w4lRBLXsudl5sFebCunae0Pk1RygbFcFQhbolVbZAWy5kp2TLaNp4AQ8UVi4MYf RtVDLsNUNReOLa0z65N9ufAJYpqjmQEs9aXkRK7f9z8zhEvBMYvp6oIZe5R4+Fmsj5YX3TrddPDk XH3RtdQzLNTZtgw/f0jZl+6w/yyAdh4UAha4wR8Vft3sYa9fLpSSBDmN6UUeQ9RceXeAcT38rMUv 32SVNjL74VtpE5M220yX8sgOEN9y8b9qYT+es+8G8UnsJUh71N3eUVjRtUPffUQKMRrXQzy6xT4q eFTINC+7/bnkIAGlwCM7wkHpv62ALIS3vqFuvHrrpMPlO0HdTXBWSTJ4vqx7XE6hataPhVD1wVy0 ygN690EGjzxXcdBseur/AwOtMyAQGRfFitZxutR8eJdpdWrlACha3MN0II8PdxfE/JWuSwgsF2LA 4sK3/qACHMJqGfye1cAMf2hO6tQ/C0iDz10ok7oQ/6fetioad8+U2oVHi14Bm5X65/rjXYcMbb/h q3LP1uISfGcbuMmuqZwsFFgNJ3BKFwp7M5OiF7TQJJlfKMIppFr8npoIpLak+vP+pFlWWAyt4GbI h1WDlcrSDjWgb1umRaJFgYKOBfAHFIA+fcTUDpoC8q1M+ilcavI6oWzWhy6SSfGBgyEWxQYqV70H qRgqWvJ4l+PNQQJ19wUoY1q/OdBL080+cOiZwAqaUAm9GY8tiNXPrVAFBSHSEQwwfNZp7B0zTvBR 4hnl81VHkV5YJFWVgNvsqYlVoMnTq3IF8c+Y00EcdufIBbqdI+6WuAwEtEFqcN/ac49Q+SVBRXcx pFveG4+ScTiN/shmZ/uQygmXBS8FKNI16HyH/ISUwkgAs4CSY8C+NeVsCBp/D+8FZqKPgqwdEE5v g1qBaJIp+2hyMu4hS9WdAdsHj2aZTIg2+sjESzDTdPPT9MxTt13V+s/66leeBwp/ztUG043H+c7/ PLW/F4hMznVcNVNujp584GZeU29+YndS4vTsBmV1cU1B1OqfzJsiC2AbSpqAXiKj4DsgRneKckxI DYfOrPPDvPsqDTkTUvaxxIh50S+w4T6el/SUDYXoJl4QY652Tjj+SLpEXwdV2skYAQLGGHVoWbK/ l/zgNFjJZ4yMZfNTfVoiaf+NkTmwRAQCVim6ONjlre482IuvCnM3Y+rT2UH/9CFK64buAkJDrPKk DHE/W3UA2EV6y3QCcORVEqnN1p1jHdujKrlqlW/+3LaEydXXW5e5446waSBPkYq+tE/jUdBhUkoW X/dpVamVlrpk4pI929sgAzu98YkI3DRwnM+arURoc29vHbsvJFDzVIfj9UYdAb1S0hdEXzFuj6FK TxSyuYamk/haIdKL0mijUjQMuwqkGcK+aMBYHd+tGgG5wH3WCeHqC0URzlsMxpUXt93y/IK/P92P Q9I/4xspw5pEuT3BTMWvtTBiJZxoJTKbY1CoB5MLoPY1UqsuMH/MrN9lzAbouP4gatYTeiBpNOwY MvS0pUxPn4Y3xgUUwhl+cEqBjPhqDNDmwEym+DA0Ghq93viJmdDTyMZEwDvvKQvLjUxyciBCgKRh 2Zlsc95Q952YdVol2PRGvFTJcLbEPKhYOim86EVq5K1PXtQbOkv7MpcMqJv9P5QFI/XDTEBK/JRS WpxZ0Pq4uTqVQYPB77xqobLosUhQjLVzN4KfUaAjvPGHYgshWg37jMRIiFC8YJIDvYLyZEACdOWO 1AE/INUIKgIOIlWce3bqeJa1uvHppNj3djwR5TtHKdPAxgNQ2XPXZc8Cwm1zGSRpDLTLpz8ZVYo3 zNML50VD7aYWJr7odgxd0bRo+r7Ll4GPjd9TVSZb2gLYSMGltfWKOowgXUY4r/rR7g9wpQh8aDkU GKQ7Jcq/m+OkM4pzdxF8Q6Zs0r3WhcMJJdNu5UDcTOCw55l/iZVn5gn78WFDN9LdQOeCbccZ81Tc YWt9rkDpX5/1mVENR61s45no0dXgembbok9pXx5IsWlP7nbE5xzrWq/N1buhDx8bk7IzUkWVjKnc 9X9HOZktSM2F+K+wgTguPJHvdaqp6blmTus27+obznm5oZYXeftd9gLci80ALxz0bymNsjub9sPg 5GfRKQywgBXqAc+Wd4YZZP2FScSA509ETnr7QLW4qd+Wu4LWctOkhvK7wPQzBgDoiAURMt9q/8oa ZFjLO232/XDzf9w7jRjeTK6mtGg216F9vUrMBjDhYmZefFgPJMEhdIVvHjXIdBEdkYiPz+CYiEfA 1s+m3tWXJm2WJPeRt+/g7AtHV/Xw2DwNv8IL5djHWEpiETq54440z+3pGJyTE/8SplhX9XE/P/OS RDpNICkmCjp9nHXx7CADYd3ex8GFHeUDHA/nzrQWoVL1JjKYT8EezZAm6RRdsTPiMY4N/Kl4CYxf sNlucod1q/8UbXR7+OIqSA/VHZdnIrBB1lrF6ri1uKf1TSaQuxN35K2xVGHiDxm3X9ciaxqTMuWo yaqywuYfBmy0fcEVMyDG/1pSehNgAaHZKXs2N2HXkhDvN0K1AK/r4S2jG7BnNGilc8TzWo87jlwM BChvj/1SIGkiqBrYS7nUAm9VYtW9upmcvTZonifBzCA7ESKEBB34iMEPZ+DGT+WNKv8+SgCBRTJ9 ihQa4w8co+BN/Oo5SU64UW2ZzbOn1Zd3RdVm0IoDU/JI2XcA2x64dm/B/culqYGugBKMlDycUUlC dpEOk3kxnMXehc78EYlS5z6uhEmPHA8vEeijurcx8W9JcUWOy5EP/htsg1Al7exnr9bE1vjkVF1+ E7FZh1S6U5d1c14CkLDSaPrybpaMJ8E6qJ06nxPk+8id5kWU2pnVJG5QvDhs55y7nGoeZR08mGPk HWxrQv3bE+wUpyh00YInRjVozQmZrvya/vzCU1GnYSLSfVknV0SjJQqk+wIOlbth11rbUtWFe5vl Q4rHIAY7U0A+XTU/5uZhoJ8f8axZAtWpE3HfMPeCBY75T+vg2kPLWoqQj4ubaC9sBNXJI8dgn6Mg yQCHhUin/cIBGUyENpbh+nii1xeRll6GpW8kwTGsPk3N4QKLHxflmYDVVe7Vg/LckKJFAT1tEZeD jzel2XMfblZQN/X4ntmWazxGym/1uFaCamSLR0voBqlFpd/ZK4Di7aILpo0d7zL3Joh0c40KjV9s rQlj5mgw+ZqlR396eTeTe63WTZYxlx0+MPyi3Uc9bExKDNLZGKUB41OY8McwG2cck46NhXHHkfEa pxkfaoFtqgx4tt8TrB4tRjMGvmtTJg97Z2IkRXjPsx9PysElN99oCKinBcXaf3NnYpeA0U/2uBjd Fu3kxiRK/dCMc2aRrqhb2rN8MI3eyGKTYHsffN1suo2VKZ09/KePDy9QMqy8s5gmvDeBsVp4IFE9 miqzTQi/N83PxwI5yoZvnOAdJQQlHDs02glisWTAHC6VRXEmoRL59a2pBjlaaCKQ8nunFRM4jibi sCuESOp1TwODfXoIxL7veBJV120mW2g89aIghRtDfunlyLsFJ+4r0RDQK/kUAJlESXHx6jTvrNvD ehifd5CbKyrW2Ow42mtQZA8cW4PoDRs6mgpwGIRrc8GuREJ+mGIQMkroXi7zFDTVD6zCzvMkpMVO slkWJbvjQg+TUyb7j4rF5WTUpGbBfyA4PS+4dPNgz+yqR2Co3MCDhPQi/iaAcQQ8Y1b68AYe492/ zVHKK29bYCz0NBVBIEALoDtdtq0ioSURO/zY/y5eYClc+GlgS4EcUtve1JpITpnfxsGxTY3MANRA zF5BrGUuRyMZeTEU8aRMQm4kp897BItHyNbIvZTnkZREnC4DNYTt6NFRRGCl1gQoT7mDQR2kltZP tNV3b+aty7jkafr/kqP4KaQTM+uGzCpl0HQvF1KKtg8OM+y5bERleq9xxnCD31QagqkGPTcd1Ks8 Xe/zqDWPq3mKlfBodGRXMYOGSWKWUAT7N2It1NrhUzvT3Q1qUPvQ5ycFeZiMAX6uhd6t7Ue3RlJk w+yxfDQvyZslesPpNLhbT8SHGI6QGKRhrvmrZTu0x2fCAfOoNDv1vOpJQhSjl5StemwpX/V+MV+8 oFRRj9D7ca5RcvI7c8MmS1vSWU5pnJDjMTIkgnQ/Xc1yIg+IfLYeFwvyhwdJRDkzxhX5bf8e7mzd 20/hmbhjMyPHB/Z+KIVs6i12vkjBFkRoGMwcMjOAcv7uodMT2qo40rXRlEorusS0JuhGNU4MwVgo nC7xLkmH4xLlvgUJDpl5MBmJ41uKqdmYLQpOj3nGHj+hCddD9NliazyV3jiATIz28rkOlqPvwIsL HUEChcG2tk5C8hcrm6Nu5YlC67B/O3GTB/8sUuT8g9XtnPPrvOS/x6cZsPTLnlCLaz6LPnd4BhDa BqVSUNgaTdxdi1z/octLDH9W9dN38TY+IngMnO4kBJXQ8dusmiO8D8W3wIwajztDfdwqLcvPQwli NmRNbKs+naU7hZ4bsQt0WP4ohc/RYnTPyIQYnTL1X3eCKaOTPZiVYE4nDgzJS0hKYHOzr9z94LGQ mWp7xvwfR3jYxQ7ExId+3xSNAHwpIRC3GnCKnLGD/Ov7oHC7xsLIRDBeBIpS5lijSxZwvfFYf37r Xt8SUvkNe6XTu9PTxajR8PeZPNyEt0WV9+4CEj8TjfUW0uMHzhu7WUSnif8ddyVgPtmH8dErfCj6 T0QLAFLfhrdugP0p9rQagJppEg7ig6JrNDAD5nB52Q25ZwyWuyBwBTe7tWJsZCXXCJvKNa5IL3J0 0Wjc8Kh3LrDRIVR+3PrZZn6EwAPwl1YfEHFPCzM7OEnOtUhRznkxKeMlKGLtfdED7RL5r0MiOMyh kDlRjYG/R2yev5XlTCyhlcSReR9FZ6MIh0RL4ooQW/ElKc739C5ylKNMnJu2ZSl4to7PyLLTUW5G Z5/6MS2sAdaNoKgCg/zxTqScxNLszNREJnYnGHc97fKObr0GoS0BWzdzDhEU2GSYE8HB40cPwbeX A9c6xBp6A+vVrCbcVhIxw8DUaGrUZolMdQsfvF9qgrFKNPUilqms0BSzMShnPBKNN1sLJ1YGf0T6 yUReE1n8vZSM9Mf+5uU5ZKfxi84Ns9mERjVDpMfZZqMozlNnz8CUNeXyezR6nzgS/kK05RbSP3p2 tRuqzMHp0s+8A+xnw1n+Vw+cFBKwwjesro1I5JkKt9qbgUGE/Csx2Si34/W4y8KOwXBV9RcX1qo/ 43XpKBEK1vwM5U1X241/LCtJxl2BSOm3Dtu4Wt9yqfxoQtmREUek5pZyNM8tWsGUTe0d+JCPAkQn Pzm4NdL5MmjILc1Jg4IudW3Hl9DQP2yVFfr0L/RWb7ozNgRHFpKRigoFjlFOmGqgpYMzi1RYePJQ +wQHEm2zgUpq5SthhbIglMyy9wANLV4Y9Mlgpd+p9zg7ApRbTdoza9cI5M+vmOV0d9t3vBRos016 nvQzcFTK4vYNxe7Gp8Obc3BiK14EknedXMylflM9TaaYDoAbSJj+akyGVA9DZDEanFq5ExvxSsrx o33Ym7kPeSdqVqB0knpbwAmmiXsTb6IGbC++pmaUZc6yOh7KVoVGO0TEw/ZvSAfMaqFJwf4glz2i /OMHt+ukziOufTcNf3N5V4qRXpqAPbRz/Vw023fq9EDe/8qgsrqGjELP8mAPQPQ2vh+d3qAzsRmZ NhbtdCHZRNjIDSCnxIHpkdiXxUy4vZqsgaowXc6W2BBMjDNAHcq8vISYMU7HyQo20uFR+5nF03z6 JtNDZ1kKwZzJdGpPQZeXc2F554GFqaXhI+LS+1IYnmv8xUvvQgm24vICyUdUvadBmoeDKD/UJevv 7naEalT44vN8XEL05QmJA2AmEDUxeOmoE+Qcng7AVfrja+pm4XVTXtri3V7EumVs0xANgOYKXZGn GKofb3wmY8HX9SvG9YH84E0szS7/CXtHQImsnoG0v2vtl9Bbsvzc2rvk/pc+UZ3wSTA1PxyuJg5T DpNQ8PQtpL0VKZS9Wf8pQL0TBhiWAX7QnIyYSoMX1lMhZAZt2vQsx0BJ1SgK2pSGjWgz+kLJhVkK F0/VRsdLG6zNn6/63uCr3MLc/IMMD5oXg+MruojwWf+jUuvKn2CJJpxp/hGjBdsy2B5pZufbfjr2 eAb+lrAg7qSOS5mXOxfGnZPnKwdJgAKKqBIoEHt48ss0yWur5WHRPct1tzhPdMgAtKG4Pgz4QrxH 5tPLFm3nf/uwFfGm6gRciuYzvtxnzZ63/Z58frg3Ge0Tb/a8SdEWGrdLbGUEq8vE7hMW3L5CP3CJ Cqtyce7GnVtBlgy8rV3dr6X8iVBFxHfvjavI8CkpQ0OygAStCoSRuXOHmliw0CTykh+yzxX+74uq leqlTWHlYi8JaREWSWK8QS2fyXObu8uIJTRTxtpzg5V2yod679ejYNDg/0aFxG+/jfEfG33F6e+J /SEAWp+LNpCN/PHBCVhiyw5E493rs63C1y5MZ0NOAIgy37atmd63J51bkwI9GW97pT5Vg8HdOWJu nsnkAqk9q41BubmVkAtduSuVKQpHIjutPUelfnL5z5Ut0dgoU5bYWDh/PIgcT+od7nQKHvWn9hUm NJ96YJ7iOf5nEGvFvO7GcYxWYMQH0Lz7Zd4HxJWwfN5wxXpmjl9j8w2YQRh0RRevlGPB2v5EsYVl L6+NJHjFrvAzOq9daAnjk1A16WjSmMZRF6M1gR7WVbnaz8VOR8u0CGzge/sGGOYGoDPmXi8kzSH3 JwLYMg5LAMhggL7F/5BW45+khcI6xj6fSAnhBqcNPui0CBaJL9Y08bNFHK9R7vS5C4BuX2hjgs4T +fJqTePgSJt/D6aB6TGx3MKMqxZVKy2ArPAqVuMntuCDGybPA650nkiGLoVeZ1JaNKalFe1CmOY7 rykHQ5qX11QbQWbOdhetNry83J+wk5N6lNZsE+9y0vfbHNmaVzUPGX4EPfaT5Oz/84qYIV+UljUg X/2X2ITAcmRg0sP2NnNe+TaF7V7nHvFW0ixlMXBRxZ0oVpux3qteSQLni5yxALQa1UnBE+aJiRpO MqiKn9rURIdzqlAAD3yBhGQ3Ci23dQIf56aoibGKA2isad9lZg34DIR/4io2895BmjS4eCbu/fJa uIvJnLeeTCUoiXEaFS0UtvkFTSyvpTbQWswUfTqhWtpbuuqooQuL3FpcP45dDFmRNlHJ+3RqZFND 08f0PYBq58hdhdd9lkHSDGrgvXF2n3DsrDXTiT3h6i0pVuZSIX9xOMytxLgWYh1Wjd8OFNz1mf71 gGYX8orDHr55fKWXW8/6FO/Yub41gHK47sco+Cl/roq3tP080CNNnByJ4+M6QkkE7RDSXNUKsgh/ cF4wQrVSKCNxzsAtEL+bHYhjZPa/M31TKK3gzLjHS2zOmF+Jb/g1+OmXVKlNRsmO36BsK0mfFiYp pNnJT2Dq8YEmldaU57v7D0bKMagSAKG1E5c34DuINdEra4YefnTr80KSydDugzuibMq3mBoA3QM0 VtYWH+fe++oyJHn0U7ovDF94nYuuzWRGF8kBz5xikQsjzJ63pUQSDU09im3948ZuZASVnv75zUUO 7Oh4LXMrUmv8ujktuo1qbs1hI8gam3UKDhSGu7V7koDfe9RM9EzBSbexE+KEd6LaJNQ1coJB+Bj4 CH4kEHVorzraIT4K/3QifcKBbSB/EFu905ezcpkTSr9jX1b6SWpVGgspzDeiW2/BozW+F6pu7Hqq IAE5xHb7X3NFTGzWWbfqm7IXwFj9Mhghnz0t9CkdAIOd344tHV8GA0JKIt43qCunPtFfchR7lN1I r6jwWbqYzrctW9OlvD89/3gGd1/LTM9xJe6fXfIgKGMRc0iMaIZylq+4NDn9abtISaUxF/9Gg3U7 BU9gVH6Wiu3yGwOUxauxCiH9afXLKj8Ew1hdR/jFlquHq/tEWOY50Q5uzQ/ShxtPLjURMSkZDFwk d2Oqa7z22nz+UguoMYW27Bpd+p5RO0x2yEQ518Xgd+5+2SIR8HT8mD5FnEaPrxsZoSHmSm19QiAC 5zcfIhQFTP6jhn8b9dPmr4XnIuYftjWPzyrTfGIq2C30gyKNfVl7HZCiMHslJwnCOJkD7yB3abUT Xp2oKpSDgiNWsD/iqeP2JiTUxPBDy5HJGENNw88+bFDgzfIi0W7L8r6RLv9A36VdLtTtR0Or4Njd D8ZLF58Wn66blICWvTlxHzY8syNE3FHPwOwIfw6SEbk8yHfS/K06LTTpn1E8KIWylPpN1llCurHC MX3jlgwnB2KhjI6tgcs+R0DAsqaG64LJxVOFXu9siZIj5/DUCebZaXyJssIjRWKNgAfU5kHtoGFZ L3wAPIQ/cGoL0Ke/N7GudDiT/+th3pQJEof6p/8mAIUJzjw8Xj7VaV6efMiTfFiAtNKJLcxDscxQ hAMdavBcGhEeyhxSqgcIxO3EOgBjH0d50h8Sn8PHlDhbjf0HQsbBrG/lToyEXiFRSmvu1vzt0qY1 MfkQZgv1Le9zVA+S/y/BH2Gcmi2j2TiWA8nD4yedByIGuMtpYvcEbLaXmNl/drEDcgEux13PTuDO gKZm28qMiClqDsd9QvOGCkZvXPNzcxAEi+TjokvZ8E2Pc4YQhQM6+CRabBuOfCoAQWXBF4MdPZku b70tqrUWhgoWOyPBywQJhPZDsDKKuX2iFn8kNxCkL4EtmtoCNrWupCmGwBah+azNFr7vYLly3LqF zSRZn/t1q3oBehz5LaOvcH+nqQR6rRTcU2iFXbKUQuondVPr0upzrquRrcx4Xikd+ScAqA/e6ytV b/pYTVzgKJHZ4TRcsultQUILOSO6nmXNcVeiBR1av+yEtVMUlfGYGT/+wUhAjZwnt0IWy+DDBK3U 0uY+eUVwIHCLipOPINgm576YcMCykpx6wGoi753XUuLvFnEhuHKDIOjHaCFOO2q/8qBDD+P6fNig hZAU0nLlqs1dbhwFNnNGmi0MF0F6uMJ2+2tI/vg/jRH/V9tEPOLdyrjGQAby4rwPjdnXhAnyllcw YkJ3ik4EUFjqC3ksog4Qe6vPB6BkxYPopMIWOA4DvOY/obcYNgA+7yuBxxi3lB7E3s7gt0gDCdR0 tROW9ji84l06gNAeEVJKGqrixUhpyx3uLXO8tIJr6nrL7nS9nGu+2iDYkkgvY5/+nSmwIOu7npGA fs++Dbzw5/7SZ7kBvubXH+dlQkHVfVpgBuCDoPi0bM6WhNIbA26QUy+zzO8vHwkv29IYpKlrhiyH SpnfOjvViL0EcYq48PRjAHtWU0gQ4m4XqDvDbeVSx5MB/9K2D2utNflavNkc4itDEreVE+SOgq8y pRwNmc3vLH+2y1v9WiqbNv6Tx6uIDPdjAeWS4YRAFvlMpZ6DJAryWiwOaixECnZOXjX44/K5JMNZ C2kASG/DpVW7Ij4LRrE+1Og2jARgCNMG93LItZMF6KUngG7+wf6vJoaT4h03ggkoTdnfN+a2Pmr+ dheyh9bsAEbPDZh+3Mge4V41jcnli8veDrn7b6fBtrpvJrP0mbFJYc++1eJE9ROdxYABwkDEG5zr /Bn29+KjU1PxaBuvLeA1DQQYVc9HeBf8esTojWoQVijAsRG6hA6c7vdTZLaNtKO0nyvu0sLZoR7+ QF+kBY24Rkvr1fbFDZ2VAAFeCtO3BgfLiOdvl8bN8ooW0U8n7gNZRT532y88VQMPrRwen/r6q+9x iFEr1l3+Xn9i1spPcxJ1WY56vgbX5on1YOIl7QSHeUnuqcOjwtNAFF1c0HF8na0vaEPTZ0Im9jIA XJzXVU+0/Uftv6xC585AFhx/HjISrXRq45AT9IDXV4VZUVKlHZJIu5gV0l23spdBKiGkxla+bpuF Ee3uLOgyqcgAPvL4OyxXJSyiMT0llyJYeERCnVTbzLL8qiYAPhb9zOVpdOyYvWIT5iE8QxeexcD3 c6ueUttQYsMKnfLQ5kKu7Xj1aV4dshlF3p1rZB4MoXM7DwlBANY1Gh8SY77eCvOMkg84X5JRT3eG 7lZ2+U4ZO4G3zukdM7aulAmswFx35auisav24lyVhPkuB+uutjvL+3QqgshKGoY1BmrdfzUiUbDj sN47Dw6in+38+HApSeQ/40EX+rSzrRPskjjfZjx+cfJVv0lLs3JMmld6pBX2MKq/lhEUo/fun6ba MpiwG382aEwkeP9lAIjzwLr0lrTofrIMtwFJwb5RWJ8jgpf0htk698G6EuiUijkoKTeaTdW9tjJO u+2sND6wFWsg2T4Tuq1gpKiNvPyY809SxXIMjrFsBjptjDz5FoBXCNZxgc2KJT+AQWuHemgcce+G VTxZhIU2bzbkHQmy+cd9W0x4lcb1VdeYEJn/+Yn9OUeTn3w= `protect end_protected
bsd-2-clause
fe8f221f49770ace10eddb2c21e4fc55
0.945162
1.837024
false
false
false
false
Logistic1994/CPU
module_MC.vhd
1
2,488
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 17:29:59 05/29/2015 -- Design Name: -- Module Name: micro_controller - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.STD_LOGIC_TEXTIO.ALL; library STD; use STD.TEXTIO.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity module_MC is port( clk_MC: in std_logic; nreset: in std_logic; IR: in std_logic_vector(7 downto 2); M_uA: in std_logic; -- ΢µØÖ·¿ØÖÆÐźŠCMROM_CS: in std_logic; -- ¿ØÖÆ´æ´¢Æ÷ѡͨÐźŠCM: out std_logic_vector(47 downto 0)); -- ΢¿ØÖÆÊä³ö end module_MC; architecture Behavioral of module_MC is type matrix is array (integer range<>) of std_logic_vector(47 downto 0); signal ir_table: matrix(0 to 255); -- ´ÓÎļþÖжÁȡ΢²Ù×÷ÓëirÖ¸ÁîµÄ¶ÔÕÕ±í procedure load_ir_table(signal data_word: out matrix) is file tablefile: text open read_mode is "micro.txt"; variable lbuf: line; -- Ðлº´æ variable i: integer := 0; -- ÐмÆÊýÆ÷ variable fdata: std_logic_vector(47 downto 0); -- ´ÓÿһÐÐÖжÁ³ö48λ begin for m in 0 to 9 loop for n in 0 to 9 loop for o in 0 to 1 loop if not endfile(tablefile) then readline(tablefile, lbuf); hread(lbuf, fdata); data_word(i) <= fdata; i := i + 1; end if; end loop; end loop; end loop; -- 10 * 10 * 2 = 200; end procedure; signal u8: std_logic_vector(7 downto 0); begin load_ir_table(ir_table); -- Ò»´ÎÐÔ¶ÁÈ¡³öir_table process(clk_MC, nreset) begin if nreset = '0' then CM <= (others => 'Z'); u8 <= (others => '0'); elsif rising_edge(clk_MC) then if M_uA = '1' and CMROM_CS = '1' then CM <= ir_table(conv_integer(u8)); u8 <= ir_table(conv_integer(u8))(7 downto 0); else CM <= ir_table(conv_integer(IR & '0' & '0')); u8 <= ir_table(conv_integer(IR & '0' & '0'))(7 downto 0); end if; end if; end process; end Behavioral;
gpl-2.0
ad7ff901ea7d74d2893e566721817dae
0.603698
2.863061
false
false
false
false
siavooshpayandehazad/TTU_CPU_Project
pico_CPU_pipelined_MIPS32/ALU.vhd
1
8,313
--Copyright (C) 2017 Siavoosh Payandeh Azad -- TODO: multiplication and division should be broken into multi-cycle instructions -- however, this needs fondumental changes to the pipe. library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; use IEEE.NUMERIC_STD.all; use work.pico_cpu.all; --ALU entity entity ALU is generic (BitWidth: integer); port ( A: in std_logic_vector (BitWidth-1 downto 0); B: in std_logic_vector (BitWidth-1 downto 0); Command: in ALU_COMMAND; OV_out: out std_logic; Cflag_out: out std_logic; Result: out std_logic_vector (2*BitWidth-1 downto 0) ); end ALU; --Architecture of the DPU architecture RTL of ALU is --------Signals------------------------------ signal Cout: std_logic := '0'; begin -- TODO: we are not actually using C-flag, we can remove it at some point. Cflag_out <= Cout; PROC_ALU: process(Command,A,B) variable temp : integer := 0; variable result_tmp : std_logic_vector(BitWidth downto 0); begin Result <= (others => '0'); OV_out <= '0'; case Command is WHEN ALU_ADDU => result_tmp := std_logic_vector(unsigned('0'& A) + unsigned('0'& B)); --add Result(BitWidth-1 downto 0) <= result_tmp(BitWidth-1 downto 0); COUT <= result_tmp(BitWidth); WHEN ALU_SUBU => result_tmp := std_logic_vector(unsigned('0'& A) - unsigned('0'& B)); --subtract Result(BitWidth-1 downto 0) <= result_tmp(BitWidth-1 downto 0); COUT <= result_tmp(BitWidth); WHEN ALU_ADD => result_tmp := std_logic_vector(signed(A(BitWidth-1) & A) + signed(B(BitWidth-1) & B)); --add Result(BitWidth-1 downto 0) <= result_tmp(BitWidth-1 downto 0); COUT <= result_tmp(BitWidth); if result_tmp(BitWidth) /= result_tmp(BitWidth-1) then OV_out <= '1'; end if; WHEN ALU_SUB => result_tmp := std_logic_vector(signed(A(BitWidth-1) & A) - signed(B(BitWidth-1) & B)); --subtract Result(BitWidth-1 downto 0) <= result_tmp(BitWidth-1 downto 0); COUT <= result_tmp(BitWidth); if result_tmp(BitWidth) /= result_tmp(BitWidth-1) then OV_out <= '1'; end if; ------------------------------------------------------------------------------------------------------------------------------------- WHEN ALU_PASS_A => Result(BitWidth-1 downto 0) <= A; --Bypass A WHEN ALU_MTLO => Result(BitWidth-1 downto 0) <= A; --Bypass A WHEN ALU_MTHI => Result(BitWidth-1 downto 0) <= std_logic_vector(shift_left(unsigned(A), 16)); WHEN ALU_AND => Result(BitWidth-1 downto 0) <= A and B; --And WHEN ALU_OR => Result(BitWidth-1 downto 0) <= A or B; --OR WHEN ALU_NOR => Result(BitWidth-1 downto 0) <= not(A or B); --NOR WHEN ALU_XOR => Result(BitWidth-1 downto 0) <= A xor B; --XOR ------------------------------------------------------------------------------------------------------------------------------------- WHEN ALU_SLR => Result(BitWidth-1 downto 0) <= std_logic_vector(shift_right(unsigned(A), to_integer(unsigned(B(4 downto 0)))));--shift Rigth WHEN ALU_SLL => Result(BitWidth-1 downto 0) <= std_logic_vector(shift_left (unsigned(A), to_integer(unsigned(B(4 downto 0)))));--shift left WHEN ALU_SAR => Result(BitWidth-1 downto 0) <= A(BitWidth-1) & std_logic_vector(shift_right(unsigned(A(BitWidth-2 downto 0)), to_integer(unsigned(B(4 downto 0)))-1)); --shift right Arith WHEN ALU_SAL => Result(BitWidth-1 downto 0) <= A(BitWidth-1) & std_logic_vector(shift_left(unsigned(A(BitWidth-2 downto 0)), to_integer(unsigned(B(4 downto 0)))-1)); --shift left Arith ------------------------------------------------------------------------------------------------------------------------------------- WHEN ALU_MULTU => Result <= std_logic_vector(unsigned(A)*unsigned(B)) ; -- unsigned multiplication WHEN ALU_MADDU => Result <= std_logic_vector(unsigned(A)*unsigned(B)) ; -- unsigned multiplication and addition WHEN ALU_MSUBU => Result <= std_logic_vector(unsigned(A)*unsigned(B)) ; -- unsigned multiplication and subtraction WHEN ALU_MULT => Result <= std_logic_vector(signed(A)*signed(B)) ; -- Signed multiplication WHEN ALU_MADD => Result <= std_logic_vector(signed(A)*signed(B)) ; -- signed multiplication and addtion WHEN ALU_MSUB => Result <= std_logic_vector(signed(A)*signed(B)) ; -- signed multiplication and subtraction WHEN ALU_DIV => Result(BitWidth-1 downto 0) <= std_logic_vector(signed(A)/signed(B)) ; -- DIVISION Result(2*BitWidth-1 downto BitWidth) <= std_logic_vector(signed(A) mod signed(B)) ; WHEN ALU_DIvU => Result(BitWidth-1 downto 0) <= std_logic_vector(unsigned(A)/unsigned(B)) ; -- UNSIGNEDDIVISION Result(2*BitWidth-1 downto BitWidth) <= std_logic_vector(unsigned(A) mod unsigned(B)) ; ------------------------------------------------------------------------------------------------------------------------------------- WHEN ALU_EQ => if A = B then Result <= (others => '1'); else Result <= (others => '0'); end if; WHEN ALU_COMP_EQ=> if A >= B then Result <= (others => '1'); else Result <= (others => '0'); end if; WHEN ALU_COMP_EQU=> if ("0" & A) >= ("0" & B) then Result <= (others => '1'); else Result <= (others => '0'); end if; WHEN ALU_COMP => if A > B then Result <= (others => '1'); else Result <= (others => '0'); end if; WHEN ALU_COMPU => if ("0" & A) > ("0" & B) then Result <= (others => '1'); else Result <= (others => '0'); end if; ------------------------------------------------------------------------------------------------------------------------------------- WHEN ALU_CLO => temp := 0; for i in A'range loop if A(i) = '1' then temp := i; end if; end loop; Result(BitWidth-1 downto 0) <= std_logic_vector(to_unsigned(temp,BitWidth)); ------------------------------------------------------------------------------------------------------------------------------------- WHEN ALU_CLZ => temp := 0; for i in A'range loop if A(i) = '0' then temp := i; end if; end loop; Result(BitWidth-1 downto 0) <= std_logic_vector(to_unsigned(temp, BitWidth)); ------------------------------------------------------------------------------------------------------------------------------------- WHEN OTHERS => Result<= (others => '0'); END CASE; end process PROC_ALU; end RTL;
gpl-2.0
a0f989d95d9b0800b8ca42df1451c634
0.412366
4.747573
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/instantiation/rule_010_test_input.vhd
1
504
architecture ARCH of ENTITY1 is begin U_INST1 : INST1 generic map ( G_GEN_1 => 3, G_GEN_2 => 4, G_GEN_3 => 5 ) port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); -- Violations below U_INST1 : INST1 generic map ( G_GEN_1 => 3, G_GEN_2 => 4, G_GEN_3 => 5 ) port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); end architecture ARCH;
gpl-3.0
55436c21d28a380cfa263008890c520d
0.444444
2.78453
false
false
false
false
siavooshpayandehazad/TTU_CPU_Project
pico_CPU_pipelined/package.vhd
1
6,724
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; package pico_cpu is constant CPU_Bitwidth : integer := 32; constant CPU_Instwidth : integer := 6 + CPU_Bitwidth; constant InstMem_depth : integer := 1024; constant DataMem_depth : integer := 1024; constant clock_period : time := 1 ns; component GPIO is generic (BitWidth: integer); port ( IO_sel: in std_logic; IO: inout std_logic_vector (BitWidth-1 downto 0); WrtData: in std_logic_vector (BitWidth-1 downto 0); RdData: out std_logic_vector (BitWidth-1 downto 0) ); end component; component ALU is generic (BitWidth: integer); port ( A: in std_logic_vector (BitWidth-1 downto 0); B: in std_logic_vector (BitWidth-1 downto 0); Command: in std_logic_vector (3 downto 0); Cflag_in: in std_logic; Cflag_out: out std_logic; Result: out std_logic_vector (BitWidth-1 downto 0) ); end component; component RegisterFile is generic (BitWidth: integer); port ( clk : in std_logic; rst: in std_logic; Data_in_mem: in std_logic_vector (BitWidth-1 downto 0); Data_in_CU: in std_logic_vector (BitWidth-1 downto 0); Data_in_ACC: in std_logic_vector (BitWidth-1 downto 0); Data_in_sel: in std_logic_vector (1 downto 0); Register_in_sel: in std_logic_vector (7 downto 0); Register_out_sel: in std_logic_vector (2 downto 0); Data_out: out std_logic_vector (BitWidth-1 downto 0) ); end component; component PicoCPU is port( rst: in std_logic; clk: in std_logic; FlagOut: out std_logic_vector ( 3 downto 0); IO: inout std_logic_vector (CPU_Bitwidth-1 downto 0); output: out std_logic_vector ( CPU_Bitwidth-1 downto 0) ); end component; component ControlUnit is generic (BitWidth: integer; InstructionWidth: integer); port( rst : in std_logic; clk : in std_logic; ---------------------------------------- Instr_In : in std_logic_vector (InstructionWidth-1 downto 0); Instr_Add : out std_logic_vector (BitWidth-1 downto 0); ---------------------------------------- MemRdAddress : out std_logic_vector (BitWidth-1 downto 0); MemWrtAddress: out std_logic_vector (BitWidth-1 downto 0); Mem_RW : out std_logic; ---------------------------------------- IO_DIR : out std_logic; IO_RD : in std_logic_vector (BitWidth-1 downto 0); IO_WR : out std_logic_vector (BitWidth-1 downto 0); ---------------------------------------- DPU_Flags : in std_logic_vector (3 downto 0); DPU_Flags_FF : in std_logic_vector (3 downto 0); DataToDPU : out std_logic_vector (BitWidth-1 downto 0); CommandToDPU : out std_logic_vector (10 downto 0); Reg_in_sel : out std_logic_vector (7 downto 0); Reg_out_sel : out std_logic_vector (2 downto 0); flush_pipeline : out std_logic; DataFromDPU_bypass: in std_logic_vector (BitWidth-1 downto 0); DataFromDPU : in std_logic_vector (BitWidth-1 downto 0) ); end component; ---------------------------------------- component InstMem is generic (BitWidth : integer; InstructionWidth:integer); port ( address : in std_logic_vector(BitWidth-1 downto 0); data : out std_logic_vector(InstructionWidth-1 downto 0) ); end component; ---------------------------------------- component DPU is generic (BitWidth: integer); port ( rst: in std_logic; clk: in std_logic; Data_in_mem: in std_logic_vector (BitWidth-1 downto 0); Data_in_RegFile: in std_logic_vector (BitWidth-1 downto 0); Data_in_control: in std_logic_vector (BitWidth-1 downto 0); Command: in std_logic_vector (10 downto 0); DPU_Flags: out std_logic_vector (3 downto 0); DPU_Flags_FF: out std_logic_vector (3 downto 0); Result_bypass: out std_logic_vector (BitWidth-1 downto 0); Result: out std_logic_vector (BitWidth-1 downto 0) ); end component; ---------------------------------------- component Mem is generic (BitWidth: integer); port ( RdAddress: in std_logic_vector (BitWidth-1 downto 0); Data_in: in std_logic_vector (BitWidth-1 downto 0); WrtAddress: in std_logic_vector (BitWidth-1 downto 0); clk: in std_logic; RW: in std_logic; rst: in std_logic; Data_Out: out std_logic_vector (BitWidth-1 downto 0) ); end component; component Adder_Sub is generic (BitWidth: integer); port ( A: in std_logic_vector (BitWidth-1 downto 0); B: in std_logic_vector (BitWidth-1 downto 0); Add_Sub: in std_logic; result: out std_logic_vector (BitWidth-1 downto 0); Cout: out std_logic ); end component; component FullAdderSub is Port ( C_in : in STD_LOGIC; A : in STD_LOGIC; B : in STD_LOGIC; Add_Sub: in STD_LOGIC; C_out : out STD_LOGIC; Sum : out STD_LOGIC); end component; -------------------------------------------------ALU COMMANDS constant ALU_ADD : std_logic_vector (3 downto 0):= "0000"; constant ALU_SUB : std_logic_vector (3 downto 0):= "0001"; constant ALU_PASS_A : std_logic_vector (3 downto 0):= "0010"; constant ALU_PASS_B : std_logic_vector (3 downto 0):= "0011"; constant ALU_AND : std_logic_vector (3 downto 0):= "0100"; constant ALU_OR : std_logic_vector (3 downto 0):= "0101"; constant ALU_XOR : std_logic_vector (3 downto 0):= "0110"; constant ALU_SLR : std_logic_vector (3 downto 0):= "0111"; constant ALU_SLL : std_logic_vector (3 downto 0):= "1000"; constant ALU_NEG_A : std_logic_vector (3 downto 0):= "1001"; constant ALU_SAR : std_logic_vector (3 downto 0):= "1010"; constant ALU_SAL : std_logic_vector (3 downto 0):= "1011"; constant ALU_NOT_A : std_logic_vector (3 downto 0):= "1100"; constant ALU_CLR_A : std_logic_vector (3 downto 0):= "1101"; constant ALU_RRC : std_logic_vector (3 downto 0):= "1110"; constant ALU_RLC : std_logic_vector (3 downto 0):= "1111"; -------------------------------------------------DPU COMMANDS --TODO! end; --package body
gpl-2.0
ac9ac212e73ddefd28d888514b6fb844
0.548632
3.846682
false
false
false
false
cwilkens/ecen4024-microphone-array
microphone-array/microphone-array.srcs/sources_1/ip/lp_FIR/fir_compiler_v7_1/hdl/single_rate_hb_hilb_ipol.vhd
2
346,661
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block GXCM/5uxyLjqKaY7bnTgw0Fcmxm28jgM8r7DAmTpRJ0f98i20j726SDrJS+0kTS8J8EW+wuVxxvu csu612gyLg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block nUSPcgmyhSdBP4LF0b+EMMBIIgraDVW+GRa1f8phlZUq8Q/bQ6kbDQxA2OIzR3ZXBlE4psryPREa yk3BU4V6/9anVXYip1BH9x/oHozXAmoP4bDcCTIQU8UkadnnXhOOp9xzDJwY1tQrozaGNs341RbU HbvAS9AGngYvPk3yncQ= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block deYuaUiiVpJY/a/QlT7gGXYAf7JhD3Uk+OAF902rl8RmAWi0BMt6SMJmUHwq9Y0I+7LLsRoknq2I 7PbmSS79Vb4arExCkTBbAeIYGGIXf58/6yB5Zq9qAa2BxIjvilGWb82xh3mqLld86XBZYMDLKkxl R80crDwcqM+ecJJ7EvsxAIAhB2mYB87DW5bfAIpmwEt2mwOJvY4f2aUe7UnfhetWCZQY7U4ziMpz fdhBJpuTZ/ZHVHgQ53QeqryCNjSraVoyotjcJ/7sNstpt9KsRvNhG/DwUxLaLU5lQCrTOJ5fRCsY MH4EZZ85F06E5Em42NbDiCjmNVhjR0LbNOnZ+g== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block bfgDAFoLYlhII0x5B6GxLb4NESKtEfF3N0oBe/xmSjSU/T8RETtzf5OKgYW2YlZO6+4E4lxLHmt0 g9kdD+wJhfbu2PvEj43nZgdY6nKi8qTbgD0jjjz1FSzEkaU6u7dPc3ZVd+GnZRqqks9GFK6kPzLW +e2mQ6+XaTmoCLkOV/Q= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block RFaKlOwKuIu7GhhGCex9krIoCOjS17jEvtsyvzUcyRZi+OBcDF2oDAIkEsTG9obQPsEogP9Kgysc 6grfLXqRfzdQmGWjuXtWJjJ3ZTxFaLBVskhA617wUk64imR66lRCDG4ouxsbAg0VOcZO66g2kelz iJ21W8x1JdpHvkWXYS9kGSkmaE/buGdfv5Tsbw2YpApnpgHfM+9IUyIAjuyhdxm1yX1WT70VRp6C 9SCI1JphnogmhnH1zR2CqOvANC3cpANAEKAFWG9kYP9wYhqhuL3OH3AUGhRGQgvdph0s8NJ8PIJQ g27v1pSItzOn1jMgg0OefnSOmQC/+DfeZ4Djbg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 254880) `protect data_block +cdfYoONAreZadhHDBHOdWd8ick/AdB94LDV0yof1vzNxjlY6AxbG4AN9aGOsI4HjLsAm9RWRn9s nsHDyZEmjGYUQOFzWlJEmE1/yDzAMXWU2IBDnzN+WN+yauUxELcVxIcn+Gkden7dflMozLGBRksW x9IBmFQIm3okrpV+Tkf/wTWTcia1h1Q85mkSjrZpi2BZRkJgJkbQ6uDkP4RNais/yvszu+1gmWRa MsG4jlHro8n6DkmXov553a/B5tJoNKhO37RS5Dxff7F7YlY1PoUlbQU6FDRm6KX0TyIrTs9rKXYo Dvk/7Z5ZEJ7rjsmG4In/fxMKUF64JdxmNouzF1u/3fg8siYg9U0Q7bfowicMmAgDwfbaYAzAC4Oy 3Y2uQO6CPy/5E+bGEG69Zv9XoJByo0svHTXOzg2RBfL5tYShwoa2Vsao8iArB8Vz07uA6XCVJ9oY gOLtG5yhOEIje6Njkj69gpiPRdW6QhZn75YdyL5YgxSzmxSB55+/KQ0Zv8cHYIXEmF2X13uGp734 Q8Adk5sSsuY1ypNb7H3MNcHcxXcA/mWqnmQEwSxJ5ivAnm9gWsGfVRkW0DcEpRSJYhmhEbqB2rvL 3LQCq7q+FmGkxG1Ezu8ruxKgWWrynXEEBLlTyZJM5DYo/MP8QQKUq2nH6+lziC9gra2TdxAU9GrZ FKQwXERgiWsWUF6rBKpvMU9HSfm3r2WaZ6WU4BkYjCiYfovxAUmEQqQCRoiPuCXgc0wkY2WPy9BI VK9ngKGBoQQFp+YwvsNt1fcLCuHjl1pq811MQ9GGEvDYNtd3YepJlj+8yUv3cznMPVs2gqvNU+gP RFtJmvbk4EcByLPyOVWS76eT4HObIynNrx0t9AZn802AxEHk6Jw2gFS3Iuf2+6BBKv4LeXI/3f7N iqfhlMwD7e/ECK7lx3s6r7JaBUv/XRZIeBVOIQsNbWXkAIab47uWRKWHOjey7Ye46UHz2pNXi8Jt EpDkx3xVVKFGoqKsCQKZOqrrWe2v0MhsbU1cAACTXJr8eWWyrSFakXxsHeGtbqvQa/4PXT87LBIy ohFvPap/IfM0v9V5EKjdzln1x9yR/VC/uKfFtlVzeNcK5UWGynwj5aq2XrTV6SYBZP2jIPG1sVMz xFS0nMJFVYbfv2Iwm9iwDptSzAjr+nTaUPxSA8uaRvzbMarsH3EspuLK73HojyhKDiJfm/enrUx1 wBpBTqBNmh28jB3ExVNaX5SzPtXKVX6e8voUh3szgnHMsaBmKQXbPHT6fNO9m5VQg/sAgmftQbbU lGEynhNVHhc+SeZrLFX9LCUa+IrdIT9pCtSYFO+Gxv/061TyV51bkx8AbQQiTl/9gxxzYsFCHLii M2QvlbkbXq9bVo9fvOEK9smMm40hTXPLxHA/zcQuiTLgRWFSPSk/JYtItkOdd2qK97JG0OzMd6lf SHUTVn/lvR9yv5gcmc3vN/1tYsNCB22JhHtxZ16yvD0CPoxLIqBuDrJU0+W+Zdw0TednGizZpElk VRFmDmKbHZL0Q6hJZMadSd1253GFhGuc5bbHFHd5R8V0QMLNY1qhDcbxahOXmwC6KOIg2l58XIP9 EYii2sZj5Gi6o75BcPJRL20Az7uohGq1jByM4m2s6a4/rGzlG6zVDjcQnJ7qnGjjAf5zjvPgUqJ1 nMwWBobh86bqj5kKTSu5iowSdKNCHj4RFqE8KM2PAsu73xRDKYwvJgxKTXhh+ZfDG/68N8mZMoHN JtOKwaXGH9KimkVAGhmrwzx9xU73Bl/YYchHaxNnTiwrjRjocX+uWlj+TVwchZ8wWoN556zHolrR 98ZNgZNXSrnBSp/BU7VURZYgwTeI4NaSUyAnWUnx9FDB+cg9uRy9JBc0uglKZm/itdD7sfS54OHs wqTfzL5dDrHyyA/RvifYTqf55lC6u8DnXcP3nG0mNndiL+WBDzbFaN3HtPZ2RGNy4mPWDoOoef5B iFDZjVvOEP4VUvvIdP1wgoBytEii2bCs2obO4nQX8Cpe7/crbflCT9KdyEz+J09Ib+tVK+5BFK4Y wiUJ+mjpDY0Lc3UiTLxjFL5P0HmbpS/rF0wnlAeMwZ2B9FM8iZIuqqTK7bv8xqk72nHmH0viR1JK Jdz9rOqu3AqAiNpjM29U2zGw4V6CmcshEti+bj3U/V+sQHCcBx4MvMzkd7Z7AR+ENDZFDNOCyR/d QG17Q5u189kDU+waff6RMRSXs4M/OM/76xb/GVCix9h7PgD5HiVawAGXMVRLfI/rcew1tAtK1w6K CG67Z4ZnMS4NR8+1d4XVyKPd3HLF+RBbkUaX/0dfIBvwKlFCamdO1HB7sKqBsoEaFrVZkuUs4NS6 fjJVDUVmYydf2QWs71dZYenJG6OywdTEUraKmmKKxnMAne6zbMonkpfJPRjmTe0H0wzJZijKLmNp QxNsongVyt9xfDbr0/2Xv1W9TU74DG7/uiceP435RDT2NrU87JUDkFRhGNDITQ9nts9e6CIb9xPL BkKYQAkZcDWU5vGMPcC6QLRpl8RwJIuKqJux3RbuBNWamoRGHXuQ5dvIY3p7gbrVjzlygHIJWnsf 0tqXENQNGZJOh5m68HLK6yUAvH+erZIGM30FUWV7PqbRq9ZMltZC8b6ZaztG/wVYuhmEDHsxYwRM 9AH3GwamM0rumBznku8MyoggSUeY7Mu+v7Hu8LtJisRnL3NsR+ZNEiZJqDmKaH16WnCdKiMpSkU/ 3GZFN3lUsiOssrjyVBqUbEuASWu/tSOaTYqdNYvNekojzapsyGLMQpsRoW+KEucPewxPXgaZLrD6 wx3/n2py3hA8AkoRCRmSlBhcOgPiJrRw8N9Nc0aFoCJc3vTpEYSzoDHJxdU7bmQYAmUCet5H3tOo xqW6UQmh/a53SVThcf7gxBaxNkh1uM0veGlud3EXqSC5zcFySeMzgfvxn4ynrOpLgEh7fB9cGQDe nsxIGGlUp63sEaL8KjjRQuwlmyay5d67CkNa59nGI9mwTxDQYWUqgQroA3k3r/GUGYfyzmB4XPgk cvcBrrJEPgkRNw3niNzDikIQqDyZlWMOH4TmX9VCVUnyNxnDglkbhmF9Je/Ok7nnJkol8aK72n5B vxL2N2VGXiV5/kSyGKXWav/+FFFHb5xr9/TGI04zNaXLSr4Nuh/zCaNJb0r6zSqGqor5uf1to9sx 5DdA+ZN0xKEE3EVwxLkrecaPhOgNnoH/dyaAeewcEsBa1zXiiR7CLBqBgmzE96Q1kjFXzBnNTtNS idUd8tFfy7r+NcZB01RrkVbh+JtsJzUBQFrg8vbq/HGNd+Xka6HXfyi6bJmCzAPBnEHY/AqGeT1w 9TPoflghjcoNTeZyLf/xgb7E7zXaEFRzgNsc+eJ361YbYCeme94dY67L9rhjJ+NNtCRk/slj3aJn gwnU8KEUFn8RTX6iwCVJdw7ubwzEKfy18KWson/dMluNlENCX5/40BxOp6As2dVfDLtnADPom16d /seRqwRsdJ/sWKHWSJdt6I2oc+51Sxn3Ls87ZuuaN5kEIA8BwLXAt58Bs2wVhzMkdn2w2CHu2qw8 Mi6oGfreXhHQZgDgNqU8fQb1chTgPxfmlbXvq+5A9x7BsbeZZjly07ultRzrbY0qD8TxnbBQ692x A0d55/7qecjaaqPnju1YEp+4vbw8fYDLgj2rGZ3u8pq9jzekBKI1bYPUS1s5o5aS6QnHzL6H4Ivw 6dTa+LvOxVPBpizuoDhxYt0de8bcMuV2jFcC41sZkcQYyhVFiYHq++sxfUQOpYS+OTiXmtbxmijz bnjVfcFqFuLT4vt3dwREBEpYruZ1JlP+bUXmWXxZWgX+Ny/Qqu/qJfZGuRbPaMXoACTOhrnN7HV0 AgYATbbkL36dnvfTrbd9GYXm93CXefHOJUpth8n5EQnH4UeaONQoou5q1/np2BbkpKVe/tBlYD2J KZIQne3osRl9hrJ/cZ9pob+ZI6qQcmvDP/yNuNB9pa8muE4aFKAeEgTB7nXEJudqq8bIihlgcJQ5 SP5zFsI9maEr03qy0mD46EDUbKQSrd0NU1d/QzRYixTs25wMkxw3yIzK14W4f9ZalQK2InRD2L1b Sh5Bu9n0NJX8157kEY8zDZbuc1lsc69E9ByJ8pXD01AFhPmzgzYQci8lLVWys8VkRI5BSSO/DtIs Qd11P8gJ3tWkZ5S72bbCfuZPGSjNKAbQWsYdWGhbFY8vzY6r3puGJxLbn/+aoNiGHQv7JHbenVbs oJWhO/LS1hbdhlcVjowr0lixvK4YKAwOY4EQTEXNy2T2yIL4Vy6I7kYoOVsZDeMjhlJ2mjgMP9MX v38FXFjUrmbfWHO0aS64cj/rqTL/7dGNsnTnK6zhPRfXxUxibOhEUjdSOj59gyt6NPcjWiOBMFYn eYSU2hMWfeg6TWaqt8G7qmDVNcaif42EPekqSzHN/v3+PKUkd+VU+br6Z+qnG3WguXaITkzTEHci lTmdQ9envFtCGtOXjARPG5Ali0jmC7MmxhbjWWJO95vnwMCZFxnjji3ltrc1lUbzReR67MRzZez6 mESFaX0+DlLMadCnk0x6ZAVR83TXRB88Uqosph8WdqF90lNH2Dq5t8btvJASNF8z2R/DVcqLuUxY 5/R5O2Enf1ve4oH0A9S9bi/lRzbLbDN4Mny0paM35ovFrqDNHCIlAfxVuDI4dJJ/yvlOwefaTwYj 3B3Q77qGkcIB0tPP6N1p+uPJD1i7JYR06P+15QNxXxPXwe1cOVjZDHGp/vG4HV3DnRJynnq+IlNj Jq4LP7ajDY9AstZHzIxemXueLpehJF3XN7iYXUIuAHSgLS/BxsvRjdAAr15We2qJAof+t3+tzsJV BJOROivHBvDybvn50MCZ4qnX1UfDnSwncw+Q4+AMynGce83Zl7aR+AIMBVLHZGrrGDGaZX5xNHwI L79Po5ovmxRJA1ExJuDVrBofAV+VL9et2OxVcYsMmBrKjKdJYlWQDyLr+Dsqx7tcQQ+nOUGgOpou aUNL7IeEnFXVEO3k2u6m2dbcmzXLS+mliw5Jkyb9VQtaKpmgXP8Zn8TKIN88uk+/sM4fBaeejf9a m86D6VoeQHCl1ERU4ZnqCOfRwZK+y5SO7xnrTwmeKMytq1Z5VysZNInh8nbyXDSUQ7BhFhToZkM4 aSOYlX2bvoEYqj7lb/rsDzpBLbJSanUw2GTnAnKRhvTGls4G+/yu+LzT8SQJWcCHDxwwXv+dixLp bN7rdOlvEnQurBRyfpfE0lNB8eLMWLIJErN4zsw6wlI3wlo0HRbWXBPLcahAPjnZ13PvLV/Vrhds otBWVRu/P1SkpRZiAwXTN3LLbiLDvQVFWXwEtU7uugCdqbZovUgy5mHONuJki+TXa6Q9zkJiZbL3 poxSdoYk/q4kcKY51JxTBJHnozk3Y1ucmzMejrFbnCJn++1dzExgMhWPCF/knwkCgmwsPpsdnAVi SrGe/rivApBte7zP18iBjhAlqW0h33YizoVtECTPYAcPkj4fQajAyEBJE9fb7wfXFy/Z2smGYs66 l2ptAYF6CrV2F4H7qa//NDLe/HTKSsBUq1q447dzSRcS0gLrlT0SPTECsfKeqNznNpm/229teDoj Z+PbMBb5r0sZTO8lBT+fwdmc/tz3OsPipmF2CMIKPiZR3pI7RbgoNa421B+ixWYiXAeCpBAk8yB6 MdIvPzNG7+I50sJ9xJXpWclXq4zRD5HOC3gVNvqCXn0ywhNpQz1hsms0Hwb7Pzl1wa16Kg/VEwTj 0sJJ+rQjZrzsJ90ngI7ra+ly9yMYMLwQFGpkTAlI4LqM12gSxjL+X/YmH5WliJZkPM/+B0dw5xnE oqPjXJESUOe3lyaOH/12Fz/jjHuyEiKpPdBPs8VIi06ozzPFxUAViFVE6RS3We/VyFw6jaGHu4mJ ESlo4UFUyAK1iJgbw/AgGTUtkMMLKlBFzcWmIQMfTuxjKPMjNIe+/MyC771CnwfJuItO+7PgZqsE cCVSOEfh1dDFTN+oy2H5JUrPhFpulRYCMg2OYrwQXERkSxOKdFkk8sihZYDq8jTQvwSEi6+L0uBQ F59zCQf1hxufjXSsX+H2Tum/bAH2cp8G3TW6DPQygoF05O1qF8ny1ZpuHNd/Y/NF9OUC5FT3AXDT OVYfJI+Dks7P0Yua2zmUmqjJEjjfkSFxsU0qbyFeD+GhUCUjMs/1s3Yo6aA3jF+sNagJmexhsz7O ncbBMc2ZVlO2qiN8KKozAPM2mi+5Z2BhiCIU3DiKXhpsBtAmsBLKsK6kenQhn74B0scHNlTQtXv2 Zd0QCX+MAc94kCLL1R3/zhxnmkPbpPlJi+eE3a17f6086Fqa0PJwKNiv5DSEbeboBC4dl00sBL/T lpx1+PF7JPebHW5M41VPXcqJGpornoqtUPcB2x0qloQcFlyRbt7DmjmmaL1KR7IaBNvEExYnuK1W iJiM0hDFW9oTwjBURUUcSzIbDx5HxXIgBGYItFagla6LHy8OIrETkEeOuUq0jR6zUkluNM5p0KNt qLm+h0Wa4nr2BjYW6QdTAa9YEosj6EZE6mZR1K7p0BKGcqfinUxw0jHNWkg7K/cFlgaa/P52CNNN Z9yGWEvgJ3LGry6L0FezD+XcOxRdY/sQS4Ix/qX78VKEZNG3MphXTgdZURkO++nOPH3B/fssswvZ CnS4PQRy97CmmrZo1I0h1LSDASI3wJC37Ey7CkW6vZ5r+cnR6taJSoWc9uN35gnpEBbzBtt/I7wE hOfPJUXLBQ1ck+1wyfd+PtBiZ+ak19VO9+/bRlPB6/SSvoRci88WZdwyGAy6y2lavx7vSz1TsOn7 NoomNBSsrHUXssqGG9/ZiI8CF2DIDL6Z3ZqPmbko8VVKTJqq4hIwxs+OBEBz7gKWuOVS4AaLUk0F DLoXGYVvnyIelBY4yobQT15S2KoMo/Id62C6e6dRd8mvZDpsbX5iOdxJM8yT/ADDZLCpjnFns1G8 0yYaXFIrYXDHyaEHEmvFmBw2yx8+7LaXUuwszisL/X3VERhERmeuHiQkR5LUf2+Un1a0EQyyWrSn ATuofx8zLNZBXUYSdv74gGthecVmUx0g8luzZihzNvejtj6Djbv7DYyfR5yxtbsnbh+1Q4ABgbLr Bc2YvsKXwhGtm0S3CLH80/yztC+cg9E8no8vDaV7WNV63ESJZ9VZFbTiri5f9DjzMYyi23PaeFEl /r6QTBwWi5VFFDEDjBzcDvEQGrq2tRC0EvmW550edC5CGo+hqlTTPP+OMIGV7g2edEH+h5TaNZh8 BUdFu90eA8Ot84T1csXTIHtiybJDCY4bodtGNQX+cFcjHwBk8bS494FmAP4KfZmttSfULnPddbRY d4XwDIBdbNjbKzUThRynDje1tzP1rgJVibjlzyHH8HhovhGmnDCeiYX53jEqNaISMzzgYHSLPzme uXWvINoE1QBvVuTrnkrV756si9efOwsHUrfeKcpk9FlSVk3aw0HGF6TeG49RVLbo5kvAfzAw7yRS h2Na9LTWfkyfNWJIxUtXbTvl/LAUTYMB/uPN25UKo2J3QycdiVoUgx3cOVfW2ffKeXU2YUgIsMgq rIQqBangrWu0KRJLMOOGI4HClbcQY+LYXWJX9PKd3JI0SnD2Mh8ts8Dt96Pm0oaLfE7nUKTBC9Md wLU+UzDiFTFl9gc6QjsiJ6EESmlB1rODBHkQhwJY3H6HhXb780HhAXJZpiD1/ePurmn7e94ch87b 7qsr6xv2arhE+alaEvn4GHeCa6hyvmY4gPuzhhuOM1x9pG2WChGrgqoJbt01CkZFx6NjYCUVVGwK cfXRs8dnx8xoOtEu4gW0TL6Idj9B1Zuit/J3i1/JbgY7mu2LQ1JWijzGD/gTGyHDgGEod1Y62etP EpHZGHbdqprAPCQLt2/z6wkDCIhfCq68kfGAkGI563C3kfLK1vOnTeQDCMCMCknmJeAjVJ5lzsiY v9YGWamc/RGvQJWKISHufuOcGRvs61htrgXVla/sLo1dEuBiN0ulIzh/8Q3YszyoEaMYVPbLzT0u c9xXub1LGLl+Ug3T+EDtWR5aTLDSlNNfdRZg9JExxDgpetKktL4AxQNkl7JRJooGttxOcu3DaCej 4WvwBnXODjnDcWq5fOBYjWtwgWWFM4Gk/03H396JZ0jKfcG91XmJvxqOdzFKItLdR9OJxsZ3+Qt0 nUWzCvW3S0e92lLr/L03HYRPbFvJhESwA/CQVv8YbqM12Un80OeNFrc5K+6Yov51vll/PNGi710P F2vAc7qncTENLw0YjuE8qdPxppaPZqbJXnD4oTNi/77I9pGE3kBtM6L0MHe9OZ9HfIsqML/VbO9Z icSRatbwosW0Xc5PcYavjzq2Xd6Ln+e0UHMHj4wg4sP90DUtJllzCiRu94yBaliEwBSjVIBL+TMj OmsitVrsLa7owlUFjiERuQwGggct0QxP68Z/CrKC7FlUn0tPP3mZZiBK89jTb8MWxWVjxtMjdrnq f8PJJUV/6W6qvK5dgmoBh7L6LGllYGTrGzQM+kCIyMUW4sdZT+07nE4EKaC0slpqQSy+0ebHRPW2 cEkbHkAxi1SOafKm/BvK0y7xS0Ki/bVvD46DsUDTiTk+fWb1f3b1V4BmEXiH6k3bYh9WvzdZ22FM NaQpneIjRw1wpdBvLmy+FDfuur2Wve9fBKsd8x2uUovbCFRXYSgmt0vTqD21KzLqcqfKA7cUfOpF hT6tGiyui62tek4h1NXivcwVYR3ZPz550wCJDZ/Y00LOogGUAr7hYalVs0fufnb9QPgfdcpF2vxq AU6XXHAd3vXVy7RGeT7/Bg0fLzJn3WaSSMEwJmtUw4gBJehRR8R6Ua/r5mpn1e/KGxseeuzRRlVu Hh3wW2Pq4cH6bubSvFMrGczP3vYewlR3h/vNaOYTY2BbuVCFUbaKTQEkKq62LIu+McUJs2nxu3dX fgokHf4CmA9k+hYS8Sk1MolHuyDuQb1s0FAtQAPZYoOaSeoqzEXahhySXAS0qCfHyDzG0Q9W/0Lo UPZ0NIf0qBI8VYZyqLNdct6vR2ooCmqjOW73p1umAkBrFkgA+QazhLeflW9xm2eKRNlUwjALq+xf 7dc30EGoEBGSYZj+JT1Tv5Jed0njbdLNZW4pBEAMN5rvX/WBZwSZQGAhnrn6j1K6czatpgAwodHJ OfkLhoxSIPkTasUGpjGvQbYZVPHoanCveIXixMEj2w8hlYd7glod5j4c37dLgTM0B3l0YGpqMjrj zngsdk+Ap9h1PY66qxClVKMquOxVPabroH7Ynq8D0TtZvyeILiRc1Xfc4rEKdWMlEMmLZtE3/JuH ZHayJopXbAjeK0nGgLG+zhiyO5gLvP9hHJaHfAnEzbxgPFJChEUvD1j0yWNeD8p9nLFGgfRWcyXJ Feng7zQoQkFowZ/Wn2gNLt+gkMNQ7E8C/WhrdZIvzpglVvfRNk93UQ6hfdmje39KsdjIv3NXlvXZ sAaU9ZULkbLpbKQSYtU/17LUGZNQITmhhTKPEPjEoL1NOJGrXVGXZsbJnLRB90/DVdaNDoqbwXq2 A1dwuIxNnfadM0ugCPETcx4vphrcDiLSCxW9/89d0519AxC5xFzc2iwcMX4F/Ux1jw574OShLxHT ajAZDK4d8mBpCI5FpZ2TNGkmOKoaF584GcYXwaWkPz0Rz5V3E0y3Rpjmqnhc2cvRMoGxep4AYPi/ g7oaoPtxK3Kv5v+xemIBCR94w3L95qoenGAlYIS/iSrKPkgSXjS8HZpQHG/R6x4nExd7QUOpErbW ndKNJhFwCGMy/P1wEkyIR99UzjodFKmmqZ8RdGdWGKLlRmY1Sfp3VL1nf5iETjsigfYAnX2D0YGI HGGK+J2pSvtgP+HKSUfZ1ILGQJZglku8qt8GAbwJSFSserv9WF+MgvRWJFcIZu/Y+tQvs1MbXiop vcJs3ry2bxjiBXSkaP6lfehxl2e+wWITOzIY44SiIbEhyGgs2UnzxwVkohYR/pQByHHMfZyJTZhm O9DST/Pty1Zn+9sF/nlvm2GgFbdVZqKtalueMHxXYIbTYw/zqs32EbThwttqZfuHomDusHVCVz9c 2ylCZXcVKXSlS0FYetV3GsdITBkfXmQKaPvV5u5Stq4+MQUBQzwb28rEsugwMbtUzWpT2zN9ghrS x3QLCjfwTyfuj+qKxsLTpEEaz10S6uFImmM/suHTz1pPwEYLa9hdc44Dq38x3acPjYkP5CQXnfI9 qLhV+1yGcPZwg0JxVPP+Pxa3el1N7JHk4PjOLhlklJ9tWMVMi47xXYYmFcnqBHvwjUb67mccCf5O TVXA1/0IoJtBcua2X2xGh/F4x1Wf7zFCM7kJP08FHY4Ai1Fe3q9J3dKVbhD7d7gutGqkGl8DQbxZ PXCyHdN04V+IrpaskDR6k0X5p0/5gDrrMpIXkGsI9bVv5km6GY+B9ftQA1eG3jo8tshoEXOWABUr /qKUZGl6YtPz36rT3ojiKuAQS+TfK3/Qb88FAJOKdxQkM8RgutxYCsU2ofISWE+YRTw0WxdUw4Cd dKD5ngQYHh9yC7pVp60Nx+xxlWDrC3KccDgn59oSxQNVFtmMRdCEomwGBSfLt9ebdoSI550Xu68+ ruYI5rc8pnKUsCCgaVR6CKoh2XBvF509yvX/qxt/5fJ/D6+LXZ+DQqOC7Amlr7+fYMPqCC1iv8c9 4MdC9qEaXw4jWil2JD6ejLILS9hws+obrlz5lrFfICIDwLHZzR66KKLBYZVFqmyY3kyJtbdDSnTg +pWT7yBGp3YKlzoXo5iCrm1M1RdKL2HFrbr6i42AG4yG3v8wxn/dp5gfS2gOcPX+na/0u/vo8m8c E2+FV9uC3vyYLkNBf2LNmwPpMoJWXouXRQxmOmBTxC1Kez1PgIc3MIODvtBlXAAEDyNPD8GOMPib B7tDw3IC6FbK3moN/LAsgjhPhMxE99q3E7IZkdkTnSJtGfR5KWIp2H8JBs7Df5tF3wMsEBemHx6F 4o1eL2NvuqDn8eONQguq3DSuWgaFdrqlUhrt5OqsHviTsbP31NS/Upse+pOTeYJ/l+pd0NOBOx5/ b7+616kXHIWwIaHfBIR6jNSTHrRpJNtzeiS3UZHP7PTm0Le67HklTuhvpsFpWz4CaEkNwRH5qjOr aXKRY9BnTL1MYdhbpzNPDMwNftgRbeX6rsUz8rs/groMeuBWBj6B8yXP23jPzbFW4Uk4qEDf2qts 5u/pDgCpn20dSeMs2rSpkqpVBjJMNSrAouQ6TWx6iEbzdIHyZi4bHJk3ih9eLCKlznuuT+iVYIcx MvPHR5lOsgfZi05SNfWfVdufb5nfBrYwKVmjSu1qVz2bXqakuEYd8WtxbpmaQGZtUJFULP2yQ88K +GFhYzK6Hkpy/i3JemLWntrludrpLn5+G4bYsHuFg0+3acEjP7EtaARwso77tu1U1Zaegdq87nwN jBQk7rsnYmGgDKqxN8ExhsTEXQohkYnIgJoZvtE2jSzCh24C+XkZCk1cSGFy0NZtBZPEP/y6k5+8 azhpdjPIMvehgD5IYzx7LdBmlOHWPyATpx+2hx/9G7rLE1/Kpn924bLer0isV8JlogwecJB/X/am a7laHUocW7x52M3ufWRKSmRcMLN+BfBvqeHi0PB+W7FaZBZAqcICs+X3YZrnVI/Zf64QNV1X0Qa9 RUcEUNWYWhEgkAfIVHkPZmibXkqnwlPJtchV9v2lSoDw1+60u45ixiS9AkX5WlfrTugw6a3EZ4Hc MbgxScVp4dpOaaNNL3qSnRA0ibM3P7WUlqDYM4YQLBtr/Pnvr/4KuhXXCulTczpRsg8hKhfPJQUT sLPVPLkwQfOo2pVy7v8zDtXikp0w13yK4pklcPCmmOKN5ghSnTyjmP7TYX/i1wg2aYptdGQEChRQ Aog25IhLbuiqnHeQmpUKPsgFG7Y8R4zweHTYQ/zY7RkRVPOxbgmK2Dsce7Qe/gm1CePkWLuB7wrp jZZMu63eoPjGb1rmu/kj1VSOc29v/mlrfx3kGdG5FLK1c3eO9I2L3zhP6vMhaFrsV0RQBS8Jhj50 kbH3JdBIoqUp7QH/zinssbQL49trC66qfM3bvcvJAX9po4Nsxh1wTCziAT1kme3wK+GMLzl7ZSN8 H9ST04M6RZXbvLGwrT9iVPLdkPlb5OCZpmqqn43oJMfI619RQHb/xvk4qwquPGlqh7DfDhwF4dtG 9jkw3I3ZkUhleQTnHZEt353SIvsQDjM3DVV/z75fMzBMonKlfX+L8Hnurp2YR0nh2Bimnts1ZBC2 mj49dVMrv6UCV0n0FCv6YyfK7c2Hn6HHaiHSz5KU+V7QWWdkfdG8bkbEgH24/CT1FPCWYhZV/Tg9 TD0u7Fk3yRCesm7mRkvqOmYSgsuzDfY7GXZJyPabB+FMsTWCosJbEev12IQl1LqxjeFu0kFIk94+ 2qn7XbUDmt/PAq3RrIrhbcfBg/IHpNXO1Ri24XM8ibhCa4FLHoSc0BxYbUVDiHhpEHVUaFgvc0IX 83Qtrj1EqsH5xypQ/GpZjxr0ZP8DK2KElBp71xDCWHgFi+u4KEHXIYF0BQouK1ncr+xtLfQPEjn7 JOaIBQh1QXH4AxHPQ6syC8PD5LluW/qiG0AYgq6iG1ksE5aw+3A91mIa0vKfHKuEl9U0JFOcesz/ Ldgafh6aPI8vtxwnezhw1Hupe9+TDnUuw+C5VocMqrybq8+hdoB0iEb6Epj3WAxQLAvU8xqxwSwA fyNtou0BbCBiC/xsa8ru/KvoOx50r4C1HLrfy27HZWG+6rdu7N9Jmg9LpaysqSTfaiGm6hymJpAo SNkA6lkt9l7k7oZp+aWTTjlW82ij5TgLYE2baY51OOeO46GfW/xj8LBX1smcPtzPbraLE0FqdCDp fHeMuMN8ZTJASJGRjpUICDlRSFM8IX0Z869A5x2+LbgGx28QEgzQ8lBQvX5WG4RfB5kcmTZvjXjm aRKCIrKUor06CEFphuTLSI1axlt0AkNvJYsZxZ6gOaNdBOAlaysloGv4TThbM0+k5BjXB3IuWHpM KCH7HavlS4wymFF3Jj+C1YjFxmWFgmukSyCV27cDmqSLmM/QHzgvniGVipuaSZiURXJmot6M9Q2n bznkjv3N1SZjReN1uCnHhdoPz5wsDzalRTcExSCG+TQBsUgRNOd+X2VP3D5EInSYIg+4pzhKzYOy fTaBGG5ZQMcCJp4Tm21CJ7Of+xcst1n/aapy0foTbipGUM3GOSEwkJMwvrRRz/qJfKvUOx6pcdvj Ke6Hbf9j3RYrbtd7ZE4NoxGQ1Neik1dBaUqOt4txIP5y+JfNgSnv8CX81nuGm5g1yccjWymlBlP2 ++VDj25uKiv/EK55djuoowm6ThUqDXzhA8MxbWFJqrk8mObW5lwWAP366Fnaz6FNvhzFKty99CZK tpyfm/Jz33p4i5572kSmgc54rnvYksp3W95ERSC+QYgPN+UDrZijTjs3UrmBGgjXVw0dQxnXIYsE CNQZtHCd2+1oNML4/g0C70x8WVNmlM77Uz+d70U84vwwJksnf5PtTWV6ADL5k7+1cZ2mulBaxiJB LBBSVJ2FeN8oeVjBAOIUaNEmK3MZMSRKpwL/9X6Dqwn2tF3WkopRJBkQrJVMSx0/b2DYE8cRcGbX 20+RS7yLzU84m85PZXY2x2RkyjqyzQQ/X0S9bxkk06MGY+9jLGb/V9Nz2qyCkxnRQuyAK4oTNiAg AHCbWR4lKP+1gx9cSYNvWptGVAkgt4ISrMHdzH/IKjcfulebjkUb2YoPoJCyd+OORw8Y4LS4xukP crwEOW3JOgTKMh057D771jvtPRXOE/tyoVaQraSMvvkV2JUZtdNEcrQ0s/WuC512oNhxh6B8kCib z0viKHKVt4J/CrBHp7kwMFZU8dYoasUhNhoa21aXTlbh9/07UUlkuX9IHhzyT41sas5PuozHqOb0 fYrRQFBKWsHRyxJbvmW/ZpDDFpoQNi+LsdzDPX4044mj2YL9LHFIANil5HW1k5GNFeURzuCFlp1a I3diQWwK72xCi+gfLMEzC0QCr6h+XzpYECEQsnLZ/3QaNEV1hNzSi6ZH3tvqZjE4YwrUxMm8kZ6S EpuuoT4dgd4MlxhpZefY9RxmjMc6Aew8ja+lnPZuzNA8M2D1JCvg4OyQ7RzCldEomELTVBWAMq3R DeLlF1Lhdev6/MqEFIwMeZMm8crxPq5Hq5VxUOBqJ1pbIIIuTORe6Jvet71MvhUN9ycoJIDtxq0k 1Se4/HIkhrMLoT0A280i6y9c+Dez5GR4Pw7fpfdvcA6p+LbPd06egrNXMGlcSPBTV5iDVLXq0N+d Nfr6XBcLbcYL6m2f+QAQt8b5kB1iMJfbCLHaXqzTvmqqc7Ce4tQ2vt5MyB00EN7X7cbM8R0vhJYI U7qYdP65dNmbowWiKmM+hykhwipgIE7epKM8dzkHlXZN81HRj9b2/guU7VYQIFEfxDKsj3+Jwnil J94dwrWR4gXwqQwlcBp+eiLr21jjcLDRBGJ0uOTY+wQ7Sb6Z7PnSo6cS+OEdC14TeSIlm9cWe0DZ 2+Um6qyIx4lVRhNvQFqUxUon7kRITaLEqPuDhu4px3ChyrPR1zMz4hlJ4a2/EFDaqCVWu6awDyZB HBQzIOAibQ7zCFVsA0XCYwjAxehoHcN8hAR2W7JPzM4becNmqnru2Fm4gMLbfuhvquev2IHw2zZb 0MaYbiid1a6mlAAtN9M6uTkZvMw+i7VJx2bsWxfMOuOvppFMrfgB50w3FACBaeItz2Te72BOMrXl GxW8qoJsXO3tekRDHb0Ra6pdXZsDhJs3EXImRs5VqdCBBltu6PB8gVRnm6XL2BvNXD1Mqzgk11Fd HeR/mZWd2pMEH4fHZsemIYI1WlHk9HL6dln9DtBYikrqTHgw7aw0vD6IjVArv1bXVval1Q0tX8Ty d/zWoCKxrL3/anZx80nCGFYvSpvseQ3d2HqumNFyYW9A0AQ7YSiQ7VM1O12BVsCAsC7qL5b42MU6 P+NXo0WIRMHFajl61osZws/DU7IsbJ3IWVmX/W8rpFKFupR9AyYDG+5uWMnD1T+MxKxLAfIeUQeh KuAorqrx8d0A6na33dxpByWC1fw4IFOU7KrvYcUgApXdI/xqfHLlci8Coi2zViFmTHFLQ3H55TjJ fLx9rHgm1C9IQuN2sKOTjTeW95CSCiukL28DTZMJbtclmQRM4Op5eVA24j+V779JqgIOQN002GZd IVF5fh0Irl+Bb3gTvAGoo/VrGRWDW/f02tgxj6DF4lAKpzgz95h1mPjOPJ9/DK9Iskl5XFJHD1aO zXgDd3VwF/zVW0oDLLHo+z4JmuhozRl/8IJniGu5e+1MhQYNTA/N3X6VfBlLMT7BqQsSbCPuInUW YR+g/y4KGFWkeFV5Ewch4UxGwpCJJzF+u+Fq+JC/J7T6Nb3Hm0pnh+He85NLnvfXQvlbCHhaSHgN yjwLMT1pVRJQCS3zSNw76Zpc1w1JQuPmZffrpkELgjOJFicwvYfMJKlx9biOU8B3+riB/PD6d/ex VgwStnbCofTtEQ4KFeGdTb4EaJSxJ1wnNQWmHuw5XmLQS+sjzlqH6DL1oTEMQT+27Kcmp/CWGH7z /dRMloIxs6TeFhv09H2vES+CegUVjRJBWcYWGBdNMGnJKpW+KObn+nIbLwIx0GTbKoaO5AaKrz5H UEHXltda/D5TIdsA502W/Mx7A2Hvhku9LNPiihoekkpvpW4/Cli0aSnqEvXni0iBT03wJ2rzHXKH 9PEHYDKIUMOtHIaUQNRyZT3+2vrpEBJWRCCyKWAI8pDkvMqa3OTXDO/Yz2qsVHWU8MOVggmsg4NB DTMlMT/gCPCRJb59ijmiNuFylO5wMVQeOpBMcrBR5IV1MioJCbktLDXuVFASb5ykfLgr6XpV3UnE hCKLj+LTuZ1wq6Ugf4kqAh0AOm1AKh2nxHqmZpNnhxhS05voKWlL/ljGBtmWfj709Fxl5+XMUGKw gXgR5eJgdKkCPrYkcxX9EMSUK69XukJjS8xc1+QmCoygrxHlK9lq6Zz7pUf1nn5nIe8RY0RcbKzj hVURjoK6l3+0P2BqekrL3UX5WZrqhalnJOW6cwJgFTDFsatiZREAwAWOnoSmEtHoFtZjPaFzNR01 b2oyksLedebIZhwo8d31vYFyJSL7DFpgkv0Cyworu9leiiMwmnjED7Rv2WJClaWBOkDtoiuPxTmc 1p7MEsm/SxjPER5FpHuDgHQVRNgi1oNyVqf/xKJbBJWWfA4/3akcsrwPvn8cJRAzfsN1Ob8vIs0F 0nsqp5EYto4rImHWMq2rsUcDQDHKcm4Pihs32sOZjROsl/B7t2A8gSaPqlWUeUw9Cd0jK2AuetDm eH7vuXx8hNIlrPe2DGNhIkaVcEaZgiy4AYMdfwJ+ewwaf8QhDPCuotLx29gopaFtqJ28qrnIgUMa DAHgH7xaH1U03C6lnjp6z9K8SPvjUzqERzNjX7LKYfSjDjLaTxig1FVVFK6DyovQCZMMgilUNHkc wtq/P3OHQpXsqLYoHj+lFMrhdfxv0P2zfhlUYpc/vhU62JDeGw4oEfq1eSr1ZwKKT1054cBYUbKk j/0EaOtSjCbLg9S421hlQ7csTjH1K40tSMDDK1povL2Lh9ZiH8W4VkeIYlUtYq/PB8ZsIPx8DRL4 06fHjMWSqVd8LXSmcvkdeOHBI05UdN05TdhiNCx18XtMKY5NGgRqvzTUQnlctKS/VFkLq8e/WS2r gkoiGUD++v6/fjYWYQe/H4aeCrCbv2uPJmEyFgW5gGs87EcYu2hRiGpVrySbMOZoAWJh+CzDn3lT B0DRnrSte2z8vx31RG4rUcmRIvellN/xTFPA0sOcB9N+Lrhe7CvL/D67qxEVtmRnxqg/GGWYPaxD tJkVNWiNZrl89aiwj54WZ5HkMrYrL8msFKLFUSAvWKfxi9+pcxMLjLpOuFljgMSXWQX6uhQ1ZirT jPIT64GuRuywS6gqUad8tOZM+K2dZfuYBKnN9HR1aXZe7O4v26UsI7lUVaWkCdyvT4MavwR2oqH4 G5/ZqitSjr561qxodbTxNz7OpTJQ5A8f5QvnZNvLQD5a91RiyWsiHzPKquoJCtOReTkiSd8a7bsi rzboMjyPbNvxTNDeNw2HlE2+xMVqCPW/181oN6CoZK3g1nxVN3dPfG1VeTevGeRhZ2WJ9YB2OHLO Qur25804i3JclCN5t7nwINzFITijfIzPnQPG1lDnEFiUEmTBOiLpicl7vqx8pbD/8maYYZQDAOpA 7v30iqiCp8fj7OFdNAxWcs47VWdCVRt0jrmA4eQXgGsNTd166Xdn2iIEUPLm1Fqv2ENgu+Schi1K yI9nbvRWalx7OLbNIlO32a0ZIjmpUkurtDTLKkilvU7G0tXGaqzRX/Axo42mTSvIbxpV9YTRl3j9 YfYI92RSfdZZAyCKiYhE3mU2dUiQe76gnBYdVgroU02JgtQN52W8ZNxQt8KexRflyivAZ3SNs6PJ Tnhh0vkIrbs0sHcWJt32bexkBre6y/P3Az0KzpiGbMDDVteIr/uEWoGXQx0/G0PTDgpBQzye3JX1 WrF3YYcOShUQ1zp8uEqH+emK9OSqk1iQLGLUuk8Ydefez0iqtRVJ4VTHAvrY/9M2841LXsUHdadQ 1AYUDn79eb+nXYWV5p2t8sEue1iknDtUsKPA+qnrXwT3O5xHJIo4CywksVst2RIOksOhAxuIy42v xPPU2p7C3r5stY+tRn5+8Hze5HRbHYpYRDlLUdYSITJCqGTc8GOgGR2tS7K7r+LV3bzU9fv+Mc8y X4KaDPP6fFgM4MZzHWAeAxO0k83YJU1j5SDUU9H9Zh4EPtYsj3R4hWRSVTsCpZ4uyY6V6V9AQ14h hPApdzJK42JV45Ff28Tr2h8V8YTmWoCCLHzIFZkB/RW/Wi4LvkHJCelzk1NnO/bpvCQdpkXNn99j aOJT1BoTS50B495NW/UduydudZkm+ny/8T2r2PrRbdj08xFtCLR5T6ItxZB1quhCvwqUpKkYAKQm aS6gGfKFBtsRw7OqtCfUKNs9hxwZXi0RpD7/1/KqC2BIlovYnECXt/h+jIrhfc6SmOvY6HR8UuJG 1TAR6N+yyN2EP9K8Jso9AozjOm7qOWMzxxLbbVDbqajM7ON9DcwKr/BVPRhUrj1zRKDOAlzkivV0 vm/qznEfcvb+ccGhwadtEsKYASc+zjA+ww2ek6/PH56C6u/tJFUNBb8uvHhy4e1re9NPY7TyYmQ/ 0qlt5UwH6wHke5Tx8Rm/xYk7TES33RCkjewnz1BbalycVkaKIpvmfwmBSL00DeHMDBdnysvuC1oE X8w9oxK+Y2654NRjuz2GnMoTGTnfwBBkQXwT2EylFgzX2ad9RvJjVLChPFu1LWkQO2jZ3H0YzgyL T6BK3ssrSgw2YrgBtElOrfocETotk9pfefeWunBDwrgsOX/CO/yoLD2fbGG7jpVzOYnLfLVKm2P8 mCeJxpDMzic1u2seYWjM6G29k/oEblgoHSnTTE/5nP/kW69v77Na0QC6A/PMWtWGj33MyAk1g/nX lQQaHxYf0ggBXDwXeKlTquPWeqj+8C4uq7tCBNA4nfcgmpBvidc5eStPjhh0Kp2MjCDeD4EQ1Rw9 BA9KAQaoV6MNNsIBuOXXe5EP5Ld4Ug1tEnE9Qqm2Tj4onoEPHfYcWfc3sUOCBbDTwFc83H4UI/7y KErPIiO5GSXRj59GANc7MpgMEnYlfsZ8mhdanStLpLdnw7P+zDVDNL95s6M0xLvFh0ZW/Bq1A+47 s1cER8DIkf+gKGF5pPTOkHfkq5BRWptpsxsjYBEKS6wyoW33PkUIKCYsKbKc0RJu1zXAK6B0l9ic DSG495FerLj31lx3bRidwGREFfOlmFavnr6Y4/6ahroYVrepcyYGLZdbFHNW0mlE+RdCwMuMsdPP AOLw2xWBII2q8KB+kC4724nceep8EsS3j+f2qZqZiDt+fBQaMy/D9qBKegV7eOqNGvePezxkn2MQ q6sElpe2oTcvPu9bbaxJZ/waFj/QBTlkwFYJ7zxM9OOZ6mwtcxHMilqrYMW2Bu7TBaQalqKY1JzN lkeLUMu7UEUxj75Be7LncsWSjONVCV3GnocsaKZTWAiR+a8EXWRLvsC2NZOAhVGVC/xvuejW+5rZ dQJw3jwRdg7h0NNTDz46F8Sn4Zm4cP2pPjpuwY9o2tta9NPh4nLlIfARuHcKAfz8PqK3Qxcu4/Gk h6Sow9cyT97ER2xLUo+oKfUU+EskBwoTW/BvZkW00a5ReEwMHmZjmdOIcMRB1olx4oYTJ64wAE6Q q6Uy7mfH5EK4BEuBRZgOoclZiS9CkfiH0oj5qWWHjAqf0E3SkKjjcwQ1BUZroo/QskP9LlxgzLma oNbdBM92wE65Ds7/2UySWmR6bsqWvsWr+Z1zPs+QbzsGpC4qMDCFBHlgkzax8+9e+GxlG/I4Ro8V WGcSyNAGFUJZT1y2bpVMvrDv6PeWWEEBEIlCNTAkWcPjzoV2Ai9W4Q2JRKUB0J2vzcY5H9UeN4c6 nSsn04Kc38A6yWLNJDdiotyU5J4edKg7Ii2Q24Fs0doKLWoutRhAvTBw9bHx7LNUOTW2jbEUEGJK lpZAWCqBNaBhGi0J+xH/kQ7ypElp0so7GQPEqsHX5EdPf7jv+cdnCwnHatphCP/ubnH2VTyAkBET 7u12Z4HqM3SWInUQMYvQXBQdMYl2hGhTmEtqKrRYro+bUTrhVrH8BX0um6NzRFH4UAqbj0Hmq6A1 3fO6r5Nwz8HynKdUL5sqaWuIkJKUk275sfVK+DuIhSMHIWcfjuBwlOcRRAPtgFp14icoLGhS+SGt pFbFFlvbSE3NRT2RMimUU+zwnPd9pDXuFwtcxLDhaKyoS5ZOX+Vu4ZBvGISkOJKhBWMAwGQNHlEB 5cif+LltV9U5c5MuCB3Y+JgepkBFALha+LshOchVxgHij/UUltml5EBPkXPWymjEu8+5c0Cz1kp7 R+k33o9GwaQyt1aWZwygbWKlMPY9ypU8e7O+Z9MSy0gw8SQ3fHF+CSwHrxI0Gfp8O/ws3B9XLFTG ZmWMkfspLDh3JW9P3knYqxFeWTB/0mJ/S1WiVG6ZKrnzosvpMcXi4Z04CiHuJNPRuLTl26X0379z TAANpbIpsn5wdJt4k1vqiA0BZLTyG1QLywuz8H1XQ5gtFz8DhLxxocHaBUWY1EFo64kVwNEOO130 Cu1RTRm+3fOSp/9HO1GbxegM+bJUMJaXKrP56ftmcot3+xsxDXt8sWVawNMOJIH22WWM4x2ZfMHc IGeBFNzOqj06kO9gFCiINCGBPlVk3I0OWJkiVDeQBqsPoLcniBByVjHZq0I609w+Ym6DGAXJPwNH UapZDjVDUDoIN3AzIj9tO15B2c161Vj246SHN4Z2FVY/NPlEFCHTFqu/nx0zDBE+6pWA/To5pH6u PJUTWVTFKhxdPmxleIfDrzJEz+YRLUgac+aBD2AaclGPezVXWVbR64SdnekkuOjnxap7aZpDzM+O 5O7duOlNCilTKwB0G9uiiYnprkgzIizpJuE9egOJvAndXaOoMxW5xIOlnJJbrnMbMje98AfouFED E5tmc+3oBGvl6KfBd4Gdh3JH379bOROkVpDCjf2jE4KeIrUAkC55Gzg4fOIdAWVOByVJtLDpRx+O 9neDC2XeVLIeBMj3m6fSS2AfCzGL25uIRQLfBlmcc4ixDR22wWow4+8DL8utZCMBdKU8WOjxV6qQ KNypGgWnMLTXNm3AemIl/AMTneJrf05vryWni8zupHeDWex4zvGVYW0a0IVoKz53nb4VdvWDdzc8 r2i5gt6Cu6UlUgnqhRdFGXgr01rM9LcpFfl8olpxmDnq2FN+YjCBKromH8pNkehQQy4QXXkdqtsK jcBAnA8rXAaVFbOE6KXrx34VCej8jwkzR71XKhBJpOq2NaH17cIsGs7H0ThhfDO8xvYG6WfoFkGl m1huXaIBFfX9faPq2W85Aa8fQ1FtsvUidj8dfvyZZl+3oykOMe4Ct62UBoAXasx8gfkArQW8wEFu QGWtOVrVjoYTbD55U+03kKh94qChOXqHWZaSE5h5Qx1OauH+fraGm3HMDVNAmVQtWeMrvNnGLHBX WT2rE3fnsgKcSJGlw13D0cqv8JfKgIMq3xhd7AWApjCW50cmRV9TPsLrldIKhqUK31WRFOkRMidH ZgBoGJw36Kuv0K/dqDWsRGps9eURIqPLIrnF2Mx2E1Gy8JtagD0vY8eNEvpEMMCeLO54x94pWPPn Fm39Ac09SsMPB6T9ILPwdKZl2qQ9fxgaffoaF++zCxGOc6BjYhHkY9OsT0V1xH2exh7YhPDES2f7 J5z9xh27GfkHSbyObmP40YNcVGB9kakvExTXMBxhGih82/sgAApziit8lcJYtftk2meXKZpueHYg wJFWdZrAdmHotHI5wV0PNmXJ9MeIEyi6JN8M4cCaKCAc5pTta2wYNN3TT0XX/PBLgi5u+fjVyqJ3 +XzRWuvrwXAs2RMO5KDZ2/kOAvUjpdnFg9+qE0u8YHTmVWa2Iq2KlWYvGtKyYBFA/XVp6MjBY0nN eVgst7Fb3/VWs6A3/o462HrhDygZVPId4oaJV/3abEFOItKzR9VF6FVj8G91z2JWGYiqqVmBeQl8 yK31kv6Gga68sgm8HJfsGqwwavhwTTf9usYjWZmrLTW4I8mG6Y1x2mY+tc7WLbtSy/r6w5fXxrbq 5SDqDF0h1QSn9UCgyB5+jutW7irT8QKEERd6XRz/dqQHKKhO6xXH/5MKb17e5Qp7t0cQCGWid3O3 LpECrc0Th4rCL8hweSEWl8x+xU5VWLOa8xfEkkRHij02u+dPS8SRqQOMam4QJQoVTA5zeOImlLCO PIbZlUI/rLBwiog3ia/P+/jfnPJsBjqIPNCqVw4p3PYjqFWk8rxSBeBWbFnoMrAFbDiXfKRJ8fKl NTCF/MToqcdLWdHnszk8gbknZLYUPqaWf0EL8PSl+kdMQgjR3/ig0Z/iajJYRr/tMlURYuL7lyED 0zLXPnRb7mRCal+NosXeH5JUBqDotAyW/KZripfWH42IntplRgYfYR7FJ5yT0tkTbYkgMKV71uZ4 nkvbj46kGqEBj8EzRxgAEryCNboutgy5v9neHgDUEqIf1sSJXiRBuQpoPIvAPyA4JMpCCOmmF6RR AfKk1x4R83QVlO6/aFybPvtWTLYebSeRvEHcbfabXH+ypo70Qfg45xSK+b0zfBkttXlHIRjGj0Oh z2ZL4vwAg4pYX8rFiG3KRKKuIWEITbqvO5MXLh1eTGt8b2JTMnvWP1U9jUs+RonV6jSMBCGOqQow R32QAb3aKJTplm20INr+l1DFJW6R5CHu1vqBwe0Upe+nJWSUotGzpk5IXIdk6DvG+GpDxjfAV5we WulI0z1f0NCxJe/soWZVUW04JQ2SHvwUXplPeenYn01mqSTzvIteeOFqVF1fmnIGZ6ORrGdQVt7g VMZde4tnogzkuMFwXWv4JNJe6CZrmyX1Z5kdDfZDyFpWqvZZuJg6n7Az09gtyu1hl5rBObSqKCUp xWZ6NAtnNyVxSmZb8ai8Z8kIkO/ZA1NnuahZtWsjssmof36h2r2eSaXbcsxuzlvC35ztgo4Lghrt WSZhbHAyI0taSGLgzGDwC4Hy405qA0iHZrAqcB24UcQPIsF9VRXrYgElcOHK38jy6302WGgGyBQ2 +PUl/zOzGxW7FqqAmP8G72uyl7MfIOm+eMFbrQoq70vIB71vYxuqCHhjxroU8zkd9eGMlz/wyG1/ 00EIsbGkgIn2ELltPJX8VKAadu4BFGI7eZBeZVrlYY12idw7WBb3MzCaMGI/z1dz3zpJXEL5VfAB BJl6qKWZb60RXcTU7k/g63OMfR6aP//6PIBe+itQy/O6+Fp9H52hOInWzmAlOFWNVpo7zczBCNGJ 0iq//g9Njs/9chuHGKK9FuSu6hN9UDKPHmFHqw+IE4I7aM8suq1INkG4Dkyazk8TdfrStazAHkJu sukJfkoUlr4tDK+LMU4Ru2gKfcWxQxv7Cc4snUHbL7B1s+nX6mEnrgcIFoPZZ2anvxn/E1jCK2AP LoZJkjv0n6MmDdB8ar4nLl+G0yig67dX6roSklzDlE3/oMJaN1vBbRHmcgaHsy/GoZ9Ye0vNR+X5 hOvEPo2LCJdmY1D5Dvo/oozScHAn58VJQwQXKK3an3Jla5UlGfrLioTb6O0p+kVN0Z2ehxlz30sW BUYP3CrmDUEhUatNwHtaGODWnxVcnnj+x6nJbuwjPsjzxMg4ZwvVg//aJnP3e5lxeTWCZIsYY344 dtPxHfklA2A0hA3BNLe+zYtolHJM9rinCERYMeuy9ge+21OOrM/Pi42OmFgDUZ7kickgCiv0OynF aS0s2+fTl77Q293/Dj87Gd66UxmsbuRIdKga/ZBUOxO3KRbPCMMjhAZyzQSX+CLt5CydYuxPhr76 hk5+V7WNKi7p+DvK6Ua/DXXERRrZIzG84TtCP7q4jR1v5Iu99Yc45kX1Wv0ZxPasgH5YE1+HUCM2 l3pDK//P+4hkmhfwNZy1nG7xvja7RohayQK/8jcthzBC3LOD7Gu/3JUlGwCuoPZJtQcy1LuYz18W IWFHl0ApLiFHvACd3mamo8TSjCakqe+mOqH6in3E3omIAfmxnTEElmy0Be6jI0oX7PVLsD2u1qfN miBB8pYC2J1alSRD4+HUp3AWTyFYCzh3jgzqr2jtiYUgJtJvS8rmDZhzqx5mgkX/tyRIGVTyreeu S+DNbb01s2anpT6peMrVjJjoY6gzLxyZUBKC5cYZsH644CVsHkQXSKuJbfBs1NL66sckxNurohve 65IYaaWOy597AwpgSLhuk/dJ4AlyN7SOPq583QrvMhzo3/0fnrhmQQEDyRzxxCZ40z058xcS61QZ 6jSbq/CCyR8tEM9jR5avvnkGK0Y0wo1ZFYUhOrKZpClyDWeim9Bu2H2+UGjcsnWHSyWQu+wIwcye OiBs0ajXjJxmsGOeRsnZcCBiDrSBVmJuAb4Tl/69G8X0qLZ6JTE/Ny+uXuvJ2o/j0hiKgSwR/Fo3 g+RIv5HPufZbyukizDU6TVZwP68XimixKHacuZeqSIQvpc/hOkztb4Qn5Q4oqwCcRU9px+/1rLs1 dTdAUqpQkRxCTSqOWyNg7oKEI32/RIlKeBbY+eiFCpONkzAuR9md3Os7CboXj03ItDmPx84be44c xcjpvGq6bTbFzuXR4OqlEyGBfHQeNkPA7f7fdMhcXwxURvZ2q/Rpy/rFafA27IJfV4NHhrBM5Sd6 6Mdrma/DSBMHPAH3tizx6n+zzypHoAL7BzS0kA40MP50UXnDQDLVbH9jceGT+glhB5PbcChJ5Zb5 aZe2Qu7pvMTjCEJf1oGonk/RNJd+b7yERGDUFDc8h2KHldCwA8HflCutY9plYkZCw7qtZuPgQ8cW d1Z5FoOScX+fXqxbWWCtqwf6lReIIM7iesKIZv1z2cc/iW6NDZa9UAffXxlJxeAQj25tMhX88UIn vEI529HDCexCE7lI2saZoBv/3b8O+eRuXkK+B0SE2+aSwZQNYqeX5FtZFUXK1Ggfr33S4hEevF4L Hp1QWLBhP3+WxgkysHXjW/daGxaPj/du6z1Ga/B4MbmLo+JBvrptVPV5UawXeRtf2OozShmYYsNG DAEmgs2cFGZHiNhuqc+XDstosEpitMqh2jyLKAPvmYSUhVnu1XkKsoJjhPjX2kkvD2iHpbwszxlL MYhAJwKps5d8rX9VQjlMkxt7/805pW+Lvr+9A+fUdtPYD8gNbDGGvjTz/8FQp9MmsFa9gBVnzpkj 8vUqj25o5CvcY4crikv+YRvINPU6uzuDPZadH37JBBNC0wqbeEE4f7kJfgEeBxqr0aeMUFvzUCva 4/9xQXeiyMvixSuhzZMo6EbmbeFFBm9bvLfzVOJS8cqPMyt0CjZoY8XzhFyUkPgCDveGJtEDd/7l pyz7vG5PYUhhV/hVwu/WAWLv8l9UKMdlIvGuMd01uGlhwjJxIrzowX4QGAyq/v1DR27cuuNc+vix UkjwbSVK44WOTVCSSh1FpzoW+LeJ4TRx2xugTf8lytKrGlWZ7+7Jw5jYrouCYX6rRNhAF5gi6i85 76VUQF13XaGthowoj2BYEI61g9wgiZMZDTygI0EH80P0Z2htvrFYM/TRnS8/hWESfKzRtOcxmfYq uaThMOCZLADsJxrgKKMqPnpNcYm6JBlUUeX6Ts/usGw6tTqaEnq+V1x5tjN3+FA9cfyyIWlpREOf N5ltx7V2WWEnyJPsDISA9j9XBZmBFM3cj13dOvd4AlQo5bzeRGOjvbMjISMqUmn67i8hjkNWrCPz SnpQdNM2Hs3JkrdDY0hYeGfmXr1xNg7fyNevNnCXJ+1TkShxavOm+ZNaQ2HiEOsI9DXjvZ6VQgO5 lJEK0aq+PZuMINcW0mihW7Ue+kxk0P7lV41/iJnAL5RA/ChSSuETFJDk2iR+eLrqkeWaWsDCP9yR 0T9i8bCCBFl6CyE9m8uvgAKnXABT/NMh/xy713yG2D2tPeRFvqtloekTKuI+Lh2PezKtePDD+0kV JnCGkfL4P6dKzYpQKA1ngoiaBawyA3D/LQk6+Xg/JFIknq/0IouepUo7wDkuZANCvlyNFd1Yw0Fl UKTVbuOLpc3VGS1js0dc1uA2NWp0qL3O1Lw5mmLgMKhY74m2/SiQh/kEgsoqR/y4J/EjR8G/jd2E akdfX+vOIXHpCsyxdP10DhwyI1mIfaPj2zTrnGMlV81P6MYlScr1X4V/BzDaIkSDSc4r/UIjkKxq S312FQhZqeqDOjjcGVe/XWVTxgdUF1hV69tmn74KS7W6by/bwMUSBvNC1mL7PNqRIXkLSjtIf/Na /q/A+JkrTW97aRffEIFn1WvOwpG0HKvzAHQyOLPX8PDMnMxpO0KjSluVN28kqEGSbgrbEka8LInz DbUQEDScT4bjC90eu0S1RCxLE6OXjrFMjQTkS098gXkc9G+mq3BmB4pRqQoff5Dy+SzsQ8UE0JNv UvhDIQiyJlNHTlJpclFguUsNl29HZ0KS33GIP9WdDMnS/zWNf2h2gjuZpVttVo6xqo5gbGv0XyGS S6utlkNARsI6HnSVHM+9SYpRf68YhzPnFxrFdKLPitdF2xwISpkV3UdtPToYVAdf6OnuW8ANB964 +RRhqdhV1fFCUlB22MPdmKXyaGW05244rnUZ0BAKt7gFEguiEx8ML8utARvn9FwN8cMiiVIPuvQO XBGt4/3DWimnqMd1yWO7B4NGVeurhUJZ4+nDBG4w59q6oFKrs9TrYNcf2Fl02mcu5rkK4dthznM8 03o7k3hj45+wR4njj3n29dFwNbXhtTFpPQH6WOC61sfbJD2YCDaBMjmkfZkmFNJFCTUgv2PArYrD VQ/glq1KZl/uAFjg7CsNWKNaCd0uewBKTnAnL/gvhZLyGg2ouVU9W3hImMr3+mq73KdkzuKoJdYq ExnkR5Vh98bwD3LNnLsfzEOy9aPH9B2RoAqEquXoatYtOBpMJkLfYuRs6FrE/mIs5T5TimqRIviT Xxyl5l5EZpntRWaquLbK3e5cB/TU1ucRXm7g1j2KiNlO69MAkK9ssE4i24REt+8HJ+b5GZEnK4O1 PGZy3UT0qHUah8LSdVeDNKY3YX6F3bcZJFbukTcvSuhym5k/4HD/zpRqylZLVg3QUdK4ZUyqpT/Z SnqjwoKQbbgVn4sSsCDMbBOS7Q6ako3WD4sUIv0V2A19xG5DC3bgDtqtlsLjDs6y7XdnzlNQ9Wy1 1s7KUj7o5QFfAz/H7O/S7Hzeg9fFxNrnbvyZa1Hk7G4JXfUx0Y3gLBWHd8ADylwoRf9G3aSMpQVW R0FiKgla8yQZfM5knQCWv+9aJMW9fkuUPGL/s2yC7Qjm6r8/PzcNu+R02mxYQlyq9YGlAXJX08qS 6F6wBL3zKYE+e7xrcQTpm3uRJK0KxBI9OZ3T/Ue4mySR2afhI4aR21uxUQ/2rvxW/htCoMgJOTbS qF2PWP78PypFyaM9z+shJl7tr/eIKYLjeEye2sYRlZog3wNFVWxHfPQaohnR7Ii86mFg2zt8hx/i stIkcN6jB9w+1bdwD3Y8vaECijjgwgMPBEhyS0TDwWT5mBAhw1yjZAkVgc6eT3mOsL38ySR7ArrZ 1eqjYUkXfy6ZCB+1qb/L7ttIgtUYsLEYSboXlKs6NR1cmjq58zqAWEChBT6ZVcjYd4n9vswI7YOs vicDP/sSoDqcz5zvdJHDWBT/N5pewC/0yw04U5issovwPsQXfN0xKMWxHu2ww1QbmBVqwmWlG0VS tYRXp26OM7x3Zwp8QnWtrMJXeN8Yh4NMRhLMsAGvB3cI95m4NL2l8YS33/Pd5bUZcHB3EKqDidhO J5MnLjhM10o84/ZMneFwU3V4BSAAI85REULkX/RHl5dtSSj9TNZgbSuhpHDOypHs9Yzi+27mqWof ZEfZjO7h0NUnSa1JERq+OX0t2yN65CcEcuSmmaA6HGun9jbODaQgAtB3Mj3isnMzW1QWNs0cRzwq hq9SNWSO8bttK8xGzy3/FI7UEbNOoNw+A/4EXQHchDIm/NvINUccZjBVOWnBgFWSr6XqtL2IFBdH Caf6NDUuOCLf52KfFs0h0ItQFwYKfwgcpL8iAcEs8pTMPK8gZvG4xBgothhUecSqt/7HK+FM43Lw 4/GmGVIs+lLT08v4gaCp4UHEqDPiIJTW/lcpnKb/86ZFEKgjG4e4LsZS5Jz4Nu8hSAmuiDCmZ1uw M/hdokm8P1Ep+b87mEapUIlLA9t+uogBRnNlGRCnID+StvUkfa2O2D25cFpvEnpDqztKsmYTCAdC dyhUwirFhrmSNl3Z4HfDH56owXgntqBmbZmzN5oKOFTR59y77Eja4tipbhkg/pVXUnAoEa8c2crY Fc1z7zDxyIJN1uSuE5qJAjTsY51gzQxRKFTpIa8YN5x67O34iFZQ+/5fOglyvckK6OHClK8+lmnv gxj+rDz0PHGXtyKPfij0g4LAIHUMSihOvYd8Luh8Pd89SGe1ugZ+Yu+fXAj9Wl6fb1Rj/yBa17Bb /IHRQ24rQWigGXd4eXg65TF88w0504OTKeiey1guSPje+m5681kgc9txYIQZdnIxvpymI3Mhbc44 U25NbsTXlieufyEG0rN4aHeq2vxsGP8j/ekAUqhz3n5yBR7d59kNWzqKk4FqgVZgoukCdNQtmr2m Vlu6O2aAKhIxt5Si5liVH/MOAt/XpMj/9/TkAJ0PCGxEaHoc8zpRQgOS0FWxxvfesAtx842+ANQD 3vNPKplR5sKO7D4Jin/7ESKrnAZXwzqAI35/QOWQHvYi1Qa/v3L31Cx8LvrJ+fqiz/PB4ljg4ymD asFgJvd2LiTg9Jo6Gae+fu2a28NsAGU1rLIBTDteXDFfnVu5zJymJjq2HhJD2RBu1xijnbkhX7ey +0Lcro4sAn+A4gtLgEsm3kKCrYGyYMegb7HCpU0Wnszs5Y52ZEXpvlS1UXFxmTslJA9HinimWTdJ YzEJcTBvN8obGPNHM7OCtLVdG89kVx/s2wTE0JdPGC5EaDnFBPZXHkBxS7n7P6X/vL0WA6Ke2oS6 pkYsyBuup76Siku3yAT+9+gdX7ArI8SxHqAALOozASD6Yv78pPak6dgmKBOVh7eoMgSZb5wx2iPs cKW+dctZaKKenvNjo4a0miRS1fjLyho0hCvFEeKH74+GXQFmrRE2z9CP/PbsPF3p2Ist1uLkZ0Qs Ic8CJfhgLbuD0ES0F8lv9JYv1Q3qflDWffnjKKCbCaEsTyKN2A5ER7leW3eov3CKQ87o1T6pgXux k8mwjIqqMdAUmq50/yWYz+I2/KdeWVfvX73FwmS0Tta6zJ5yq7JW+z/nRRUobPdBKjreMGbx+II4 kcGyx+S70YgwANRG9IDjipVnxu6CoOi8Sabxc/6b73QonMCI+xhD+RHCXFm+AlaJdchzY49mLYbe Pyhwzub67ASoCFK0SdjdwCq4WZI72wbYmnhyM6Ii6gNhRj9V7KWAxwA84SJdFE/XqG7r6/WXXJ0L uc8vXQ3duALIP3WL+/bwx1sCYNNzCnZnOFSpC7OH6B9bnvqz9EdoeLicEA0N/J94I00wSNF7BT2X A8u4kTkpJpy9T415XBrovcHUEHkzQosA3NhLrWy5AqX67i5J4YZliCxloeDo+ocSoGOSOLcxRkB/ 6C7DHXEA2E21kEh1gcNIr8H+KeUoH9LnvbDbZipUXFHpB/8Mujbgi3exTN0aeKJAr5CJcB5dUx8q LwAUM6z+oTwKLPVIOUFJN2ZGeyAD7z+6JJuV7rcURmXJXXLl0WVxew3xmVLKDNZ13JgXn4xAc+J3 /IQy3w4d9aN2UG2l+qSeOGOzoNMssymhVB/vv77QIHP8geYtKZ7nYIXp8JcqTkcAKOUp8RnAZH06 LlVnX/KpQ1aph8XKPqWL91obcLuuN6fgngxcuGFrXm2KpXPjybg6Z8ZX+5vToT69rKU+HRPVrCPv Ze4pyXTY7k8QTfdWu72er6+9HdRs8NAInlaSghuHrk/S78Hf3nrtNqgJwW9plmkZ+ljUVqqonhJn 33426kA0G1CEONBfUGfrBJRC6/5oP1ed0gWWQYLD7yuEUQU5vlBuQy6gJXMeVyw5pMxkvbqzo6hC Dq9kfVbIlHSzMhGTya85qLJJ2+0dyPCaY3Vb2dufxyW06SH3UWVQU9aOzbZOTpljOW6eA2jlGCd4 fMna0Bew74p29AL5iPQ25qBpYlY7m6oJ6e6LXd0Erni1ha3hf3M/7t2VKfOuNt0iQ4QkmHyeTnsG CoiM2zDmrRnSYXZ2HJRcP/b4KlaAub71Xo3ey0SBdZ1wCabZbZDtWZTSd5AiIjG9frd/Y1ozenln j9B1hA36DaGsj1HgJe0LNSEaTGLIEdcTaFW3ffNuXh64EfNmJD3Ihazp37wL0QJlxKCCirC7sn40 gZKrQcDezXHv+V+Ipw8ZS+rEm7s/4mddFPP3NgigAPEIhuJ7WniothqszCwVoPtMncQJihumO+Ne eDnusNmVBf1lgXo4XYQwrR+0BkbgxfN5Q0dHJ+hautApqvavE3ISqN/DcRTOrOt5n8tPdNVOMOm1 DImNov4bAzjkP7Kh69jWlto1QsrorlnXbsYI6xk3iJpDvNtO9/0KOoexe4dyUfETkVf/M/Uj547g fsxcTV7hWf2dlzePJTgsCr/nupuRliQPstrmcfaVxltmHqOuTjFkLAz3pw800oEPN0ST3K+aNHtV F9xSqLD8ULANtBIsXPvwFCyFqxPjMQNe+GUJ7Ky460oP6qJ79iIkfioEVEM8bdmlHORLA8588d3e U+pc8qbMXyNYP9h7j1JQaoZ8ytDtwe2M/r2QMiyMImFic5Mlj3QOBH+mIPP165WZLXLRJNNdWqfa j1bxYk3c7jn9ft93NAlTct70q1c9M1DfDPnRzEgMInXLNnx9QHZzl66+GGZrcg7P78pUH/wlB4M/ ESnJKzQcb/UqOYZXX+Af+rTCLOpvZdJNDFWEY6hGfQeDFUmDnA2fii8WxAJZ10ERGbXgbTWOwvxZ MjEij/8tnkc81KbKAMcfI7igoAM3vyj4W3TY3FsJpa4bpuOXzE9usMgCjT3FZxGIf4M8N+kDOZH9 gphaWbn5CJQh6aRSW44BOg7wHOMLz+CpGjpXZhT83HGtAbwXIJXykw3cpEMHr2Hzid/RD7GJ8mVA +ZdEQW9BHqv+ZgVbz1qiLbCzCbbo7tljETruiz7f1KIbgI0Pa+F0cCmosAXlUwAKwcCytNFk6wWn sMtwtjWY0dJMUGtODWqlA+GOVVIVA+vwIaWGa1WoK0KmeycRdiw8Q8PaL8D7tIn7mIn1kT7oaFZH Zn8pd1rCCBQb9zucn5rcBn4vmBQKogHEgdTC61E9xifLvS4HPl7gMwC+3G8Fl4YryNhRT3DrbAHR KPjvzlmQWzP/Wppo6sIc8YkXCvFmvDORwoTRFD4HIivdtdpeIG7uThAtrw/Hj6CSHxi1uFPr5vKK GJ+lTDW+nkJ1OrHp76InisUwmT0oD2xymXvno0+srjcEChFMTlYRsJ2nOfA2Y7S/3+nkMYUypxiy NKb9TZv7JWsA/hJY0nM5OYTxzxjhZQvxSyDtmr2D1mdEwyTnj+vVf8kr+JlO6jR/HmbLO+u67tQy O5Kj/BiL8yVRaNJgCoIajYaRAHdubFIig4XRt5eknT1UDZkY0wt57IL854n+6Paki4PX8JahxFvE 2Q9+k198hUZk3RTt09Tga9Rwni3BXXUTheTXCO3RWwzTgxHWQOUtYvaEygzCXt0J4ezIAD4AufxG n9+0l0VzrcK05oNP3pz4Rtx80bx1ef9v7fsnht/CgyLUv4kXHEIaGixkdBZpii/2PDXv74f5Tpht rbBK9EzuBo7lmuGPVEC/3/FI0nGHBtmKeZ8V7qehfdZJDggrSAi68XdIUTzLVqFu4tHwVL5705+B LTb+qLzsWQqbJy186gNxjR73wWQS4+UPX6qIaPfLL+O3zzpDOBSpSv9yYE9sKSw//jQTKDhMSMYH m+eqBcQHcyVCfEU7jKho31cd1UwPvyX8olYXKPPFiS/DMoCNjCkjlmnlBqZ97ZGFW9xr5YmgDlHj gBdbg8NZ1YX+2mFN+4Hv121Ol3ZfM0hO5+tcQj3BJrGvn0IqyPcAIufKCLGKDguxYUKr/vNPuwrs 8etXEmgRvjyFZP+SnpqBm2KCcCwa2xXBuH93nj5zZIjlEhh2YJUXT/LNfoPU8J5Go6KL9gTmCKVS OPUX6t8pRFHW+D+5PyIUKK7RiJU5sfSmTKKx50RNXqZjz1fMUItkrVTeFfrtRDC/DHlGD7fV88Hf 7Ndx4DrTcAGnDWHQzox2iTOya2U/QaaZjnXRoNEDib2D7H4il7kIR1G8++c3+BSlTPJTzvtpVRNG Gl9y6ubRKP3vi5TNQd6MVzWKhnh1iQK71pOyC8hiOUkhClcp4/NUePO8EKxLwQyHu2OxViB45wZC cst4xbk9hDqB00bmgK43hWpm+OY6kBohPhLR3W3aFw8V9/fe7DQsWrPLF+oCC3cHl8Fengjb2NK1 l04U/8EtTB3Mjs6j1NMHXY9jLXj9GIdtb/hWvcqmknayoCQWvJOHdwNla9Y0/GD/J2UntCEHtfpe udOckO4tyMGH5dF/ZwRME4CHpHBn8BpRhusMjRDpXrbZ14BvFsfgI4KfbGEsE8MVq+MUllYw15g3 ZBmcZurj2VtYAC8JSlppUL8gKIOScauxp411AkyYUKQQXa275Yd1jdSigMtjAfkHTnN+dDv58cmK kyzC4PGzjtTA0A6k90uvKM2a8OYZ8pfRClQ2zkGJ6vkvL4Oc5/SCAs+oQu9I/A4mgB7E8n6gmAXU +xBAWha8TchjcpTZbdFVjxIJ16L0ck+GIhoBVlWFkABl4o6BR4UbDsZC316r/5YJDvpDbKjF6lzy c1Z8wPoTZzxThtVlAWs/kFD7pt5KUqinOln3tII6cd2b8HWbE0IBIKfRQw4jNdgSJB2r6Snk/mAD ipQTZv/L9WttYC4+U4r8AeLvCmOy37w/icVt9OtcORtIECFsFrD0mHU4IOw7g69owH6DYKweXGA1 WAxwxPp3bWwfAZAG2KuNiIB/+uHFVbQHtnPvMVZ2LaE8ZnmMdRJHfhmPmiiBQ/bcgdKkoUDLhCQr pyF0fHRYkFvqHvWB06lxo3lRRR0T5CY2KNsLknUnVXTbycSVXRh+eitiLuoJLdXhx8XnuFHXtPKS xD8P8N2229gUz4xzhPbFNMci9Ke/eeBE7KN8EuTG3KSE2w6Utu+Z5u3pkZ+rMWkJK0LFySF6r98o aPTIJF415lWVnby5YB/bgyV/ZNUSFTigXH4Fq6FAZnXIBvafxg9VAgEhLBXQr8dahC8xZKzQccab 8gvEdCrOMNQ3V092qj8J/GtgllNEFGQR1SEGvKKBtVNhqT2dYuDm8YULbXhokR+xM4morEEzRzES /uevKJvHBegDJcLhd9owFMvf4kSqrb6g7ZME6b9Ol6DnOTkp4dNvtltnFjljhYhg1NEm3WmNTkvF lv0NZb4a9fGJZUgZrB2tvjitLiOfT7mCK2vAHomsezDyBQOUAEwsLA4a9E3XENMZ31Wvpb3wdeQc hQtKXvpRcyiO6C98ENQzwlTddwAIDzYeLg0XEbYLwqX6AautACskuY0R6NxiyvteMRcyZPoHQBGc CBv7ZEqEFJMvaiDrbA9TkDlX9DYD0KHxFVmGadVkOqjfyu6xMVdm45TF7+2QAr70/Uo2S7JgpenM pjHIY6G6ey8aFYEnWogrE6kG4Nr7ffj2zLYB9hQzqQ4sDPbVOQz8h4kuHILCEXjQ/Fwq5T8WWZhA mkk5/SgleyfLqzIpbPD+4qlQSGGJ/W3Sav30Xwkto0rJV9Z+wjsMyXpwiP76VRReNCUoEMCbOMV7 QR2ukorTv/oWZvo685AMcUknVYtI4tpmsg8uLp7I9+PRrmsxC7lfpijzgsMpYvL7+TKSuJ2iol0R gwqa227JHMuHPj/hAdx55Kczw27x/UhJtDwAEltLeDhYdUD0PD8xUcjrDd0fN/dNeAXENC8YjNMp GeSKwulNU0zWWCuq1b055GRKVPG3c2hTqxCjSkmff9RiFfQga1l2gsnktTs0XV1+Yo7QDYTKplb9 7dnHTIsd/ZLktnbvqJ5KK4mG0DiGm07f3s76oInXgNLwQDSpdGsVyN2LUQXZgkZ0cesYvAMrOrE0 N8Eg7no+v/XR8NOcE/IW7G4sSoRre79+kcLDFGujnoIUQlJUNwvz7yJPCuKGyCn7d4RkPO7LjgcN xlLgURH2ErZBAHDzarpBDufy2mbg1lLMURVamk3P2QWr3VgsE2FC9+ijyDnJ8iikZZ6kYJXsq80n ATnf3EhW1RjPdhQe3c9OftmBh/fcyPdWL37CT3cgf4n52u6AqWId4a35CaRs4Sm5Wi5QP3jZhPdo fMJq5gz+0CtzLn101cwWEWD/R0p/PNzguP0pWDwqgRp35FSSy0cB1q818jb80OkMXJyJfcEphYW+ 2egsv/kYzw1UqVvwtHI42w26mcDjeOAAp2eg4SzHxAf8dmucyoMHoTFY4VnKyW5s0zy+XS0GeKdU ED3is21+t20SSu9MnjqArSS7OatyRCqXgevVu0U+KwJ5Eogr1jZ7NxFLVcyrGrgtmcEigj3Qjtaq 38FnF01HEeYpKzf+X2g/op5YojnMK419Oou98xitkDWDFHfSTCcEemekPjJJfNyJUSU4DnC76KJ6 EsIyPsaiCCk6DlhgcZ1HhWuYaT982moObRV5244ru3j1K72zpS79VJquQJRm6TwFXb6nqz9NTgz/ Y/sTn24isn+RAc7YX54pqLYU/hjlAUi75/MehSChFiZOyAhuGy/kMYTrtMrBB7hToK7NMwswxgAR +UkIw/4PpDJGdw9puXLmdfupLfksHJy9XIyWjgUdzEn7e7JovMQ/iDU/PDo4O91xGqJ18B2iuCvq bX0H93hANN8fLafVBKb6UxnqHpY6m/7vFKGUNUdbH8sHf/VO+7t7GSSDo64dJqfhD3VDsPGSQ5qh XWg7OIdqmn5MKFx3BYYlQ4HpK51ot0hjwo/TYEMZNT71kPd/4I1r+ZSJAWr4Aqaa9Oa9FsUlSN0P 29AVcSVJb/IL+uP4HSMS08daGxGPKALZXv5YIPJDo05Kxky6h2TTXagT4W4nBBg+GV9+DxyPZrET vpCChdopQ4/UZXO3hzYo3AZKX6/ZHGrtKuKKgezXS5AhB7cDaFAEUKl4diZvXj2DP/pGuNwVRFFa BeUjz8sYGNM4JwG7IXEMkKAI1cSsmgy9mL+4bV1DiHryH9C+jAOrhc9PNx9KnrnufHv5KizR/vzW 5OFFZvsrYSbcZg3SO/f6qHu4X/7qhD9mf+pd2icUc6N1hzSDg6+Gh1IPAM8QeDEMpg88EjJWm6L1 A9SMFKT7bAjtQLlNntH5cdMppAMufUTbTTGRwBCfq4ZZ9d5iIZHp+ijws17BgY4kMIsNxiReLt5o kLAI8R4Xr/6Fi28bdQMtTI/AAIbt+GlnUilxRIiw2MBOMMElnXIlUGvfwjRs3+85BgJDdWxG/NEa Sw9hNCProcjebgpHNUDn+/wWu/0K7SqiPJfnj1Mne935jWu4mF4p62clcTE3Ji7nkDYddnDsNaag Xz8kuF4JFM/+J6b2KZbnp1acn2vATBGGDVYPmstoD5G/ehX2KGhH8S4iWQTerMCKnkhE6rvZimuW 2tE1MtZYN1r+u/o4bLU4hesvu7cfSDgjdDc64ry7Wx3yMSIFXanAnhRYudkgJMQOKunxmbeiNRZn pZDZOSOOgfD1/wIe1h7zj23pbT1r3M9JjekNtMjRMtl77OoELXVdcN/P5D60J0zvGXO3H1/mPJDl 6jUJN4Xb/Lyk2a0jZ04PfyFdKLpYlA9bafI5TyXEeTgLAmfCvDKuJAZeFIYsN/epa66k3eZT92tr aAcPDdYZMFEzuJGtYwJUFBA1/UwBTR4bQdSqA375mRug7VRCfCe3XdaNVuX00ggAriHvirlKwOjz 8BLqWSIhyxtLZS33B7lAcLc9RL2wzwb4cbADJt0vMPYeufggKFiT+7NJlAhNMFGoRskqYlqSjdcg ui1vJRhYqIfmc9/jqP9/O8DsT8vZzPZoF5rBhxL5baj4pG/W+1usWthrg/gtYSlajF5lC00MRggE 1QxjM+jvxVG9SqJlP9BeVwDJKdOx4p4G1+0CIWofBHjPC57kDqBNTrS1XmihDIHNO4zcfL2agyMb AkKw8/K4A7QeFm70ZeETo0F+U9clFhvJqKFPCJ+igh4zqQEXmNCBZnAumZSZLyUzXDSxIGAFJLBx yuMbRbAeWjgkslGfu/hprNS9iK2gYPCHtFaZlKU+u/HLeI3NAKZoWSbQ5kPaeskV6ZBiErfNbv3Y epi0wVm+aM5kZHMd9dPjgoAqVMBjuPs7sCRjoHWaXehsHxuAX0rei7qvamN46Qrap5L61hNJIlRs HCVwxtbMO/TP1vDS0Ru7UleJeMk0mehrTBlE07Zbln/o17PLfP5hIuwGbkSOsbua4ptS8VIslqsh rB6aeYn8Nbp8ZM0aIytalOvCwTvrSh5IbgTUSrNqvq0ejHk8mfVAKBMfgw//PSTd3OvdyrXmPRJd Kq2LjJRQAvAB3KyLgleZsrB72qgCOXPIpoS5WaJWCF8lnjroPxJcFldQmgJY6URmY3G07a0ZDTbp JPkxrKpJsf0+UobjaIt6gvtXD5raqeXfxI/Tc8R1d9e6pAmttFsJ3bLjFsvirGSBQ2hyBogY2d7b OuDNniHqaNQmq5k8aaLX2VGqYARf2dBflQuodkds4b1zPl93ZUsVl0f+Jo03uPcp+kkY1tPNnELL pdI6uVJDMvQSV4JJDD+QcWqpU/4mj7YJ8KuhZWFr51dyLSmwCt2LZb21Vp7zK0f9a2FEppLy3jDm JzYv/Fh/6qXDlncEUpZQaIHi2Lq8B3sFiEYJRKiEP5gkxmM2kcAoYCKuxh5BlzWh0jFfdklEhMu/ Ym+eHCaUc1ilg0U+CuLfZVbKt7S3IMvOLFZFq53f4xOv36Z9W8E0k7azyx+73wZMXTCNhTg0sbtv N9V1m9Ie7KmHBgYfteCPKjzabOemK/ZUsLqvKvbNTHH/jw5Y95I8brnOoP7EJVkqIKAW1QiGNEmL OKa/l5otDMx3cWCu1uxElhBIpz72dBF37FxslvqhvKVL4G/+KHatPIZkt4NSUQolyK4LIPrzk2vC +IFPHMdDQft61kJepSswWeHmaQtn4V5EkfMVQjES//m/cvRP6Ca4vKBX0hA2JT5uGfCb1/5WexDQ UsuFPBCTUurSF6zKbDez+6GpLX5gJ0flqA9n9lSBR8ROyGvEakiYIaKBAYdq716JYz0pQ1yQ36zn 3NtXSPq0EIc/eIol4OqzUagQIujipLg3D6mxF+ja2oqz33zWFM2lywkzkFw1gvrMeKx4QJ3ZC3Sa fa5wrSnMfetLJUeQZMBbe0C19IOYlnM9fxZcLkExn5XdxGgYU5cDmm8ZHGM2Gvf1VEMDDmtZ9MIT 94d9RJJGY32fnYarGB67lK0Gft+cr9blAXwkpFdAUV4wh5nyw7i8bYES++fnukOi2MJhgQzxtaTe 0K/4gExahAAC2XTC9rQoSxP1K+dnZVcpcyo8CzpkShCHRRRabYpXXiYb3YXLakyNMRAZp82+XLd5 TpIRVN8PlZUTWEe5gvEwjikjxPnAy6ALPCfXEMet0VCNy/IVAWB+TfThxNclWtz0aMX/PL3YxM+8 uG8PX9PcsjA2/dUDWu8PFNVc/x21VlQRRy2+SCj5cfVFEqnV+pqCNFIfpMvhV6Teu5/BuZv9eaLE wh9MR1uhS2i3pE/BHbZTFKuHNDjadoKj39PJAGpITZN7Vg1QZjyoQGHCjOZ2GzuQOtOb9mYNPW3T M1X0eb6HLddRnT8MULA5XVkMt1dH2UA5/t2RhTNkVR9AbD5myvxpQ+7+txMaQrdWl6F7R74q6Nha hsjynbea9WpVYv7nITOpLiHNa6fv7wBb5TCC3POB7iFHmQcnaPi3CyWi4M3iwsJYFCffoZgvSkig GPtC6fbAFzdZoEV3CAI3W6SbU1WeTPv9Q5g7j2NQvXV1YWNC73JfnaCYeSRQVF0IZa05GnXZ0GWl JapyTgWNSsjm8UIw60qTsKu0GN/nbkSYEsN9a28mmwA7Ktd9JHGNra7VvdzUciPwRQP8CHdKIha2 WyGKshkhSlH36dkWgVLD9YmKOzLLwHRCdKeg65rSj9QHtuJnDoRI4tiOrk+14QGP8m2Fa3j7y/p/ VQidXsnG9jALhhLDOmPEMhs1zgLujvvM53RUQaLAU/FbtdyEJVl9iYPIY+hRClz6M/RSXMMWXn0g FgmOCtb1hNCqbdzHgZlkhS6Oj4lThEvH5aamC9dAJa5R8q5XQR+MTlfn3OWGmOmLv+L0e6awMLOH b17xiP3hRl465TTAECDGoudfl9Ws+JwYKRpfGEBnW/kwqeW+/165ZFUhUofSgaF+MZggWQkcaEBW peVx8UwtxyKcakj/Wou4UZUk2+hWfpplzeiDA8entkOpq0vVZnjdQwQOjAvczsESlt6cnPo5DwGP tkjBSxlVbO6teewREEl6z0eohSaBKErA7uv1yl32JUGrk0laKyvplRP2f3q8ecEURau5AUVHcS3a AspRbtkU80ioZh8VTu2I+kZC5msXX5sWHF+qcWNJb5i1FQic2daeIihPKhKBVkX1/d5zW2qXayHE OVSXcrbDM2vDcAJAEwojFk8qBnN54RRUsaHBjF98RYFtpUNYyvbJ5QEIJq4TQqHzS9SJ6PgCBAyb VLlpnmvmVajBzgOyMHDE3KVLrUh94k12ch+ccE+fym3qfNgZbGrnQA3qGjG88FJla/ALtzUjV+DG V0dSClYB3nycW6UpNdZ5tBsPQf8UqtWtoc6e2elNO7Jic4ceJv8vcMhf0KrjfEf+/EiNad1jc0VA VvYSd7qu9NeANqIailQJfBAOzahCijpltnNmWRW19vD/KZlcQZMJeoZSa9r/NN6X+KwMPeoUZfh0 xbddyNcrrBxpUB/S0Cn82hlAdnQM8Aa4NpkBF5BBfO+FTp9KLTaC9UHWf4zyS8WFyDWWvkf3gxO+ T3fLk+ChldO1W4n15eUP81q4X0oGvYQUTjAyWDZWv04xUUiGO4S//7Vw/CGJf9ipn0lF4s+GuiBo h6Sb8Oq+HKhWEA+ouBXckAxNcu67NKE6p3YAzvaMvuC73HFfi71hqJwICY7QSIAHZ67dTTqkCfND WN/YDkReW7IzDZosFM0uh/+UsStn21317rDPa24v2eFLigHJ9JQ92kJsJtTjttOyZUmdyZhj/cPu NJQpZxC4+XXW8SMB48l47QCgNEtAobMHoyHZ8GapakXX/2a7dU8eWoS/kv3sGtQyFAZs2IDK/sAB +sdEhYKoXtEPVD70qqBITXZL6L+MepEJJBNZ0WCrKw8D0ukewWFaAIAOek1cY+ttntD7349B/X+R 58qGKIUoE/koMTKlZ7iwaM5knENgU16vv70dTxCS0slBDOpx2/bHDgCxqXFI7ZwCusFRI9bb8fZb Mva3kcpve2idpvkO4i7kEZlKB8suPcwRe6mYDXviUzVqoGUAxMLSue8mGUnapXRpNYjzLyenGCEZ 2SV97/u4RYUpnoeNToGLuH5GLp3qevKyEpavEUOzVnupdtbv2iM7xHjJ3G6i+hhzEvu+1ZUev68g IjO7U/lMYucgCRMwayK4ovdpcH6ugh5tHgR+RzNUIxp4P2SNCDNf+YeGQdUYSk6M14sWz7WO4191 zOcb79/dXRe681Dpzju3PGkRV7TNJMkZDaKWB4U8WZBJE1AojYDFjPNYLiNpJznI6MHW4n2BnhOm A6ShQ+sV4l2pfwlQ+bRFtNQYdXe5Trdn/nTFg4Gqnemkt52b49ILsqRzqILVkL242JSSSjiFMOd7 RDltpWm+Ck8NsX370G5/ViPiRb0bSRyZMor/ttuBkCj0LqY2npaLuAueYcgxO+KZ+sDF3JZ27HQm EJ3lnBlMHBkiKwUKDpyf5Pvwr33mH4bDgY+zKGgHt2MF1z859KiF0qUWt7xCQDVgZInX/JQzIgXj R5sTaX2SC8+wQj+7cNYLzoqePeUcS8ITcBDb0m/WdPQAgWGJ2aNu72uQTu3Zzm5n8z4QygqxF4kz gCvz0PiyRsGiS7b+EbWl6MpkcElCK3Tr2r20xyEJ15uf2YIkgxwtxo/RPEQs4s3maRK6faMn7Unu EJfSVr93Tzw2N/2yAol+cizSshpbxsePFlv9YMKejMlqBSmXv06aYu4uyV4bE0rHf+pglcNYfdBh /e/cBMjnB+6KT3mzUhOgypqeWVWZro4bF97E1xFg/xSa3Jlqaj6ZHkGdETj1lO8+HISNjjs7mxv+ ZyPktbPK1GVhjx7qQwcMtr3rsDbvL68vIPwgYMtv5PY2EuDoiq42e0CRMlavucXu2jSyKmDQ0VCf 1mc3RV9wUDM9V7Ejo55BLaRvxKOPhrcpO+fEFh29mRTF5qbPAIIAiM9P8EB3tagdrVBCKNZ2Gwcp 7p3zdpph8JaN2LeUNA7KbjN+mUUn4hjjZbJs4LxlEyntWcNMGXC2b9FrhBkVomW0Zu5HTjiOSy1O gAuYSkP+N9EMJ9EYnYYXrnQpqshAjSwAAfALq3sgw+uEjIVE5GCMgEIB/vXvQXdPkMkKPoBi8fUI Tn65sUDNFNKFKp1t6rN1L3vVapp+/1fss1qv9o/B2vC0JyL0gN++B0ltUh3WlxXkAVm7f2QzfJhm 9qJOf1C2oZxgOKhV0SpxAWzREAV2g812pP3bDegDSIH9+qgSVKv2ucEEAFoHjdg++mKJ1QVwMfG2 uOQ4L4Q3XPOXu1ZvDGBr+8Mst/4Q4s6qMvABy5COK8NAjOntTP1sR69IRaE/28ysOI/CIhU5lisX rZjsHEu9cFA+jDmlTGRuDV1khBNFWGsh4kzuUeBa7kpFhPjiZYAEbW/R/pJeANqdvZ+Sg6q3V4tA Mj6Wc/ggjAa7ooR0b1vUS+CnTbUXeoPrrGdt05QegKlCXcvB46VhW2IZqlZzA3FsZ4G6N3pMN+ai JrpHjzr7FKf2AOtozeeyJJMAu99Pz4W+al2bFV/v6FSySp1wTAMu0/EUeNjQkqHUAYdbfrtdK07p 0peICYuTw0FoLp4STDG9ifOx6Z7aKM1p8CzHrITsXari8ZPc/I6PHprJ721UTTHPO5NMW9c2alm7 v/QwQWYT9PaM32YFHkHiVRqHfmYHorCTJSkh4kl/I7fBMuXlPIWcxdvF4J5Epm+niwSUFrt1nFxP dtuvFfS/fzCiU/GACYLVRIS8Js/2YdFnSDPKVHLK8oskUdkcuQhLefvBmfqUGxcMLJ9WQ+Z31oES /k+pudNFU5NQp3sTLUVbuzA8+DCYv3ClQIQzP+uH6uXmw7ndeBD/J28hb5c1M9WGrCeDDERw9rMv GBhOE/MAuHaeHR55r4iYc8u+En8EpGm6VTureJXQYg4PgzL+QWg6EuxBjlHCXZVYSbvnMVDMETo2 5pzt+nie+sMvXbB2q8zziLjgRKoEEdyhOCSIe/ikqhP2RtfO5iqE+q1sWtBdlU8iRgUEqa0GLh5Y I/Hr/koy4i1v4u9KxF9HxqB92TQskAQl1mEcEc3eSVeqMD1w4171rSKUZtcGRk4QBvbOt+w5WxIQ lpcwTVfHV2iYxMZvgtDemBeEJS4xBk8Mx2VmNNXfo1uja4knBS8GcMBuyI0eD1K84JhF99bkKo4j A3SEhcz71wy+1E+70GrfXYs86bFu50oN3zxB3IgPJsp8l1QP2Reb5qesUA1jR2um1qHEMUzMHqI0 QVfVO/dxGQu3eEpKyZWvOnKbyPOK3oI5sk9OZx2npp59322gAi1qEesFQGMFgQypWjZrBJ5Heecp W8/HQQlXESUgC0fH9NG3bcs0KB2YfEIGOD1Gzh7cT6t65HJlRo/jO/JuhsXsddMoS0lBwreKq+pE TNsoxz6ergss4PRd+cc9vXkEVvoOO0ktqUSD9nJvo6YRbSGhyrA3lyr850qd9W4oNKwUtn/NErJW Ru4CT3pNASaSl7b6QXJ+JR9qvn/W8L5BHMBuu0fSj75VeUJDcuN8oeNVsLNbOiV5mMSkaCsnHZ9j mv61ABBMoQuRYrvPUwCWUdzj8anBOBEwuY0SmaL8n46z2drs4gtlaNzKZ517joCYbpx2KuZ3puFe u5ZHx80EUlnJt6qEAVM5TQ1qt34AiwC9s2XbxNbT5VVFmv+5j6iDh3mGasqSYV/zk6rRc7rPAQ33 GzIUDLIt2s22DmYTbChJJpNORlVksAE2lF4BJt9aa0z3hUtVMTvScbDHmna9L6fH/fn9gpOeo19M 7SxATj8wjPGjQErT4c4lGucgycvr3Fp5Xig3TAck5YVlbroJXGpwalRaDI7/wKCYTN1bcb9WI9/i B8dB9eyTx4pBcD2Y750NYl8Xm0Cp4bc70e5eIdq21nY14RM1TdpE32PAT6SjdUh586OCCVXmPPLg 6g2lMGBcJAgDRCg+1B7jKxFcAsiqVFc9bsMa2EebchJR57QliKG67FlQM8B1m9OQmpOK6e9okAl8 UZlM9RCq4GK4iLfLjpe+yQ8yw31NJZzPHbhRDuUY/f0IxgLlAkfwlKWY2aTuE3JcIrPweolrFiDL 68nkHraAyJLRb1PV8ZvYKUQG2wd4Zw1e3C0J9vstMGXKHeVy6cEGnSWgJbr/8Yp0PefFhxejsrDH vqNnEKMUbyClo9PxpnVNgKR2BS4PzSW9g5eNOZd4AInXWpUWT/RgzV9zF5cbwhYmIikwL8OcPXES rOVqUp+W1wX6Q+fFmWNKNXUrX31hXOIpPd6J9kwfLPdQ3NEywMZY3+SXUjH27MVYwVJrH0UaPD2v wbkctVGYUwmiY6T4KA6u0WcxK51yR050kKRSXWsaj5azIpjP3mLWEFdyY+uWhmXxCbuIyTED3zdr /2gjZrVuu/ZK+LGjy8fp6y8+O2J5snHgUIL5oU66fDA1M0efiY/rHIfmOLPQMkVFgAEiJR9OVkUv CijtCCNH8FHoET87oIguO2i4/YRpwcvWh2fbjtm57GwGfFK7p4uSGDqlYkcB7a2atEaO1nYwQJcm okgX8qbWwtxz+6yY+qrUKMFwpnS1u0dVlIQOZNzmbNIVf3plyUtosTg2DnRhTs5eAfPXs+czKdOf MformBlPdMvFI044Nw9cFy2EMeBtRfbOJtv+ZkZ7EUxE8TH17Su83GTRhz3wL95ZkI85DCDKV/f7 pSbZUwujhHmjtUDUsBXmOrZhFUV+gr89zSr3m79iKwhcbKUp2xus195TIlq5txDAcF5lWReI7lRx q3OevIiWvH3c0ynwN2Ou9CwFU/YiOnwos7e4WRQAMNsjojR4BtPIeuxTTbjMxDMyJdBswFq4Je9M 2sTxBvKeVrjIcmQ1szutezuomQas1xo/9vtVAj4QeDKzEm32NPzLFhUlZiG181+K6992bqqDboGm 4HhPgaXWjU9Ner/TCieQOlSqlMz/fLPaTUhtBaYyGAsJBcrANELIFzaVGoeexzi7B+0t3xdJD59G O5oamDSzXR1hqknbBmYB6iiOGfD9kHcsTUhUyyo+rELl3fXOJR6XVfOohMx2A6t3u7fd0spHFVGf nLQlk519ltdMwbEjKtaNPwg9WbACO6BbJIihBXp5MiR9O9zs5gB6xWDMJ3IOiqoPPW4lytZNBBD/ /zu92u9wWVOjgFzvhYBq+PslSRO8wnGBH0fOyJRaV9dYSDVHojB8jxtoaQqhTSUDiDf6LTBBZBab tfpjOZtGZpycMKNPx3rKSwnN0gWv9vuubmF25IUUfo63AoIrtCZ2y7QtE0xpqVRZsfQIcKOoqQ85 aFZjpzn/QxyZP2ZQz6yjJwKsdFkYubtu2BnhycWRxUxaf52ioiWl0d5KwVq6B5T7MVp7cec3j41W qgcfi2EBLyNTPg0XW9nno630u0YTFaHDbrAdc2lFSd89fnIvMBkzTlK3PmxOhbXzZtDC+NYFM9Ab rOXxVI6LSZnPzet9i+R/Lx5Gl83VsjqWsRKKdipbvkyl1b6qp1JTAlsal6hySjTl1V6mmIAPCoPd 6iIJGjVFaG4GyfTCVS4IeJkfJiCu6s0sBuv91zMsCceeKOQrheb+G0MDMsZDtKeTuYi94dUw/Yt4 dKBAeI0UCmF3HTB1/S8kdy6hGRBuc6pJCBhlJzLq1f73tFUGYl2XEQAUjpDDPOsJGFo/RkKz9Zbv UtE3WUSCvSKLiJTQ1xoQlwF7nxQrnbcbZpgx7mrgPkAg4WNBFzRtfcv2YvwLqmHsOaOtSpJDWHrY LOYpLXxikirE6KhOA3aR/QXYQqFjn2EZjTiH4hcC5M/S0ekdBqq0soGixcSc95/Zh6baLX0cCGmH wUCtZEgTUWO9KWzd/RA8L0q/YnoVcg7bJeBzLacm/Rb1GPi4Z9tqdzUemYj07rWikKXVzJRNlz5a gSJHVdo5eYlngBZSMrS5EsCRipekjiW8SpdMHLaj/4ATtF0tT+MxgoVWpHWD0kZrHnb70FO6jcV2 Brgv9YROH6NwqWeG7kWTzw7ZyA48hFDeecVD76zEtnabDkMwsVlS2hhPbaKBGUfMHOhT4FFbkzfl +x2nb0kQ1f1bVzru4xIe9tVv+U6+veaccf85uzcKYChHf0jyLK4JNQe9kZx5kMoxkhQV8wYgEvV8 XIWF/C16nm1tBXyTjLCL8UQZQDpPSC2GX8Kw5wcuU+CJ3goNsPpZuaAwn9JwsIdqOr4XEBe0zGmZ 5oHl2ysqq2m+t5sYoX1ZRSObrrzy72X8B5uv4OovrICv7vA8xaqNfgrBy0qiM4FGbYUDh1EOB1Ou +vIesLXd8XzceS14vkfwYSdm67leUmAL11tRW90TB+kjXGbGIwtMs9W6Nd6ZVMN2Jczm4j7lNjd9 yUp4UuR4RtwR91AyvYnwQyW3uQULg78+qulysYb6H+CAPwji/SMkZ5BIdakS7j8fdkMDAu8EyCcd dGr4dSIA+K9+540aQMifcKvpoX1rUiDKw4wlPcVYdLqoar6cIDCorS9P40m+NDVbbYgONSSjx79o 2OyNThE1Pg440GNAEAmsSzYESW21p0W9nGU933K/UKokR0zuJV0naZl4kSs/i60EG1jtDkpB2D5d Tfv1dykgJibO33UbVJqfxLaf6UtwsLiDeyTVHC+1ZbwHPxV5sTl+vHl0ODeTwoCcB4pU3LaFPEuC IWxefnhWxkuel3NriyXhB2WewSCt/OFCxlHmlVXA11/vN/x5eaAzXPK/GD0aA6LM+0rn/2xchE/m VEMi5xSj+GYf0KodunFD4wT7j8WKEx+LyKKAqSk9tNKaUKfTbap/+msDNJRVYlVmDTg0PAToIRrI uhO7XJfbNcLvLYWRVLPZjszmC/S4UPBV7/df8wxizR8fAXacrxyegrnf/ANdvaP4z3Eo1L6ev5hp JMW7HXqCASEvvmWNqIv/aNf4UUWaEFP5oEeXahrPaj2fjh/3cvVZ7KPjmMqbuEnZmQhVO6eSY5iu MVN7luMiWqLfRhMHjWmo/p+ij9aUXuGb4mLdTqWYie1KpjaqeoyCn/X2x9oQMkHKPasfJghHKmvD jrfhp3nDAiaIssF89j7ugZdWAseorB5CiH+zjYq+JL/Hh2b4IyuTDM1eb49t0MIuat5kKFuokwsB BbCYNJMaDWEeaWbz1kGsjyuFimx7p9oMwxuAb0SbCPqLB6cek9j9hccFR3op6Epc52U5zmfplFpM 12Lo1/meoo2Yj+rBjHpAM5g2z0QLqirqdbWyUmQsJbByqbeU28dLzPwO3mGtS6QC/JcOYqVGDCDn xIaDMJxlyaStG9CSJaJ7rANSuf2dtF7gfhcyVBrgegZO0jpXk4l8bUNpGHVSAImblKw4aQub33I8 TRRFuHkfl9SsynqMWHV6yZ1iY0nWFG3PYYfNvNMP94KeA0nfNf8iK1ASZy2seju72QFcGN1r63ZU zZIRlK+tb7s7WIi7wm5sLUCm/kEakneIVq6tOIqJmUwoCcVJf2LIdx0WBTI/ZNYwxdEhOdqu0gXS 7nhOa8nSSqvy5P5B3FWAC3YEamKRfoQqYDf7IQPHdHDjl3UGAK99RNwTzXgvm4bpsF9EBTP8Kzsz HmP6DWEDEFU9ugKw/8mPMj6M/qMa2rXHR5/L/PiDBVvCk8jPIekG5b7GKj0Yw4LkItpQQEG91F9l rMinMWfG8ledTG1FLaKr9YpRftgKeWktpvrOR9ttVSdrglylzSSBEp1l0vt5DdAl4ZueegJaW/Fa Sa8XAwk+1/Gx+Z+sWPn9b4Q1M5S8fSMWPylF8aDrlA93YzN5yzv8g6Jq9te/in5cWOh9MWRvR+/9 mCk2yLdvlmcqf+W83adUhOftinaDg2hiVUC5VyIsLtY4VNyswaXeXmS3jqbHkoYwcUTnpjf5aQKB d5M8fN+rS5YPLYlHKICw8jXmxCnqKLqIs1VmFNhofbR6Z676u/Y2BXBgH9boKj+PiX5Q6d4w0xyv qe939fLF1qRECDwFHQqTuzuKX2aLStX0wda2a2+0C/lyiFxh7YQBf23V1cRoGLBjYwSDaXISxtyE oea7RXIrS/vA1ReGlBDvsReXn5ufs3DLwgw5Cr8aPvrbW3OIM+IJlFQ4pl7xcEPslULFkzF78z33 u1I0pTZK/qvTGmcUDp7GuVdZ7aoKN9xyKxRx2G6dK1D6uNwhGHrzeCIkUtSZYTF42A9Sok+V6OM5 zox+N0TAG4FFDZeYbasBBaU8XeVuStAO8xBzmSMTAkU3rNJ5y+1t+uBdnorZvJ8msdZKxwC9WGUY e+4PhjBvZiJitY5FKNJ/fix+8Vtw9XPYTwr70I/2psU7ZSkZE8ClnKqjqjVKZD+AQXf0EerWu0je aeewo1qlKtw8xh6eYD5ci7FYfYt7+Tt65KsqF9vLC7B4a7VLQi7lf566Cqbe61zsEQP4CTkGG6ZB dsX12plG116fjFYN2DzGWatf/JWLYSqhEEzeN30BI2tGSF3PAb0jbaT5X+EJ0SJImLTe4dxoO/1S TITVdXfkikbfaP8FWOMxZAXO5phaUWrAUn5V+QkchYKS8dN/PhAUCRuSacNX6vkUqKclD0ohyO6U nP8VIDF4gUnNGd361gC4aoFfNnCTusCGkqAsp2bjExmeF+wragHCDEMJ3V11dKap7Kt0wUwWWKb1 cxnxI4zHJ64PdocK1kBmrIdU416bF2JQIz1aRZ2R6cdp7PHOGOXUuORUrU1v6sQqkGjUa3Dhs3RP 74k5X+lRV3Z/wSn/m4ekcKEo898vFqVVSd2ty6UrHpbrXvXcJp0CWMfoNYyy4PJCzP2f/+JEJ1pi Z2NPgNMvBfAPscYYKTMcEEPiJKyxyvKvXf1kq59wQBrfEXoHCSBsdILT4SU/a7T6Xko82L5lv9/f oajkkaT3rJZI4t2scQcDfVhe+tXI+Js+lbJmZh39qKHKf9DE5ioZXD/EPbceeyMyjlutTYT1Fbd6 Mz/WqSY3OLPNfDO7AUp8E3ADke2k2VKmzaHZgGOMmxuG+XDX74xbBMWihg1g1nIAVt1ZTvcplKHn V2B1zh5crAUE9wkbGO2qP2PKQnpReKtavCQF532m9PEj4DUnVp9MI4tJuZWUyeBPaxaHA18SOlGg HaCSuJpHz9Fk+2y9B6UNbZ8bCv0QqL/ivfSqFmGVDKm70+DZgFMq4gqcXalaEVc37MvrI2Ouh7Jn wERlznzFdTiMk4aE+WAjkjeV5ZcBatQEQCRzKv726dDSKC/XPVwa/zGWrLEe5Gz57jnWO4xwdmbu 8+Jayig1hYj/yga+O/phgHBPbGUWk3Qt9XhbfI6/vqT1+8Q+xhOSVVeiF3UjompL+KZh418D8jsd MOYnXh19JV4KNPi1DnpQ6H41IhL9n6GwvASE4DRQ/euHPX5LMaC6A0TfFmw+bVJSMV5n03waYxqD em5VuDP+nY3twoZQEGAou3SRqE5rPQrRYf+QhjlByU4sY/TUYnQ36pxflT+KlwAOCWAzSADzkezs UCrdkp7QhSUBgmJWzZvs9CqlYyspDP8909vcGU+RyqyERmb+Pkueq9m/+Pw1bIi+cTyvDu5gQW8p AbqK4mM4e8Ne834+37ueS+V0jmlMecxeIoSPJLmjbyZh2JoxTTegxGY9FJDdcjeQCPWpysKX/fRd dh+Puxbwx3TZQc0LxC1t4ia3DGwQFTXrnjxkAFLsao5a+FJHVxE5lNK8xQiG3tjGj+9zk5tyUgP2 Pt3VGKNvBKxtbRyUy6OcBw9d6F0MkJBheD3MdwMXWj5UvNM3hakC35nmz/oPUhR6qgVGuB/Vy4F7 CoL/EgXDU+4rhVSLdUwqicZXBajW26wJWwWcRXYZ+lCXVb7ZQH7ygFbpRDQI2YkmOjlbL1BLophc 6ItMTdDO01q6gB8A0j0nwC4JdkAc4cvPlX0yzOTUNEp1rUe9gqDPFwNu7cOoSPfx+ePv/3lh5Tyb WYrI6fOy1u5tCE747sbzLolxHjRp6+olZrX1GfTqK6rn//kxpGs3+xuXrcLRhYPmVYudcDMqpOG8 vCPorXayQqFu66JLBcTnGVJiyrrjs7CXRIubwuXDkiuvxnKyy7jaFvjf7MMR8kzGT1OL/AvC2oDX rqGjeBqFkxWPb/E1tioxklUHeypf1++sqSXoCeOyI6TBjW4TEQQoISrz20xYfiHE6FL/JumosW1B /xmUjX1dnRUfTU6l5TWE/Mk62n9/Lxqw9PlIoTlXFUnwAOHC23gz4CrYhLZ81+b3vyZzhg958vr2 WCBEevmCyE3D5nfPsFzOmmedNYyZshPAZX3RbU09FN8YuIIs8S6o7jLlz+t4xjp5nkY25RfevrIO DCoMpmJpVYLX4uKbQ3weGLxMgZuyBndg8wQpFItprzsn3MPUZ7FvNJ150OfNt4winbP3pycITzhl bhD2xMF64sgktoY3kfC0y2HHlT3Y6596VytcL2VWTqCwfuSXnwonDomEh69vzJFcBOK/6RPlTXyY EJrW9V/HzHV4aKhcimvNS7odgxJxCYpN+JiRSD2QfHyezvYm9UdkYGpt/xfCpN1FkTotAiQRN94N /L/MdxI01ASnjJNlnWBBMZuNjZwQ7jt9KmvZ+daUGYThdb+YVOwlzAXRYu77vjNxVJugnRN0RBGm dwuz5XE1x8zqQvMf3E6B4ZlYYf7J5dOZUm48Gg9OlNT6s5Pk+qJFfYRO1An7Oh/xL6wATXGpsa8+ i53v6SzN9FdlnySBzge/nxZcb1gV+CZ3vkBIGqhECPRKYTOQSU+ppQnGtBdPcFD8R6zdiDAC2q68 E+elPUD5kCsTWkLJZRkcF3Afp1+kE4ePT6Hhrpp3LfevYcK3gAjhk0kuh8sUZBQ3puHwEROqjVAy 82nv7ma0A/YXcdLtFldhNKR97hZD0jTIB0vybQj/kcd8u/ou6BQXh/FEHCiujsQzM3wQYT+1d0pI da6sLVbqClyRKYvxBBL8AEBvNhw6ZfZh3OZcAmJDuXSP8ErCZY+u6rBUA/LXegAjMeDPlvf4RWvq vq4zEobb83LYN51FYaeW+usYdXmx/HKBUxeVIl1XWlmkjOsK2Pl+Nek4OkzgQPXCszno7smKDRWF 4hYKJ0x3wndkPyAO6vbtTSf2cE13QquuPK9J3x3RC0DQuQqQCSoFwHDNgd8sr3/bcSrl5k7eKpRP JUVNSE5HXrg4m5aqa7qLWRhJra1f7AlDrfsIQCwcN7iKB6AyUsXE1Z7pY128+vPQyZTASJafG/8e yp8A/S9OfacdxvV50a3R/npmtDlh99bvD1hfihlDOLwvEj5ynkcIUuEPxp8XfH/POMbvDOwaszyK A4TyccTbIc6gr+9VvyCPW3hIZFOpnOWdVnOR3BtqSA4DLNHjs1za0wc7bOyJ4hQfI1WzY2/ZmpUM rEjiLI/0dgE8TmwcQUbmxBUPuGxGoiCRRgQzQjQldiOnWV03My6fQwHTEiPYANj8JlKK1bFkLMwb eGFCVQrbWQxsOhgX4dAuhwP/+ePuVz8DJbHt7LSCNx9eMOQuI1HAK/rZQoTwbSzLJS+cnrWbEWCI rHaT3J78yWSFnxJUgMalQXelmKuO04Arn7s/vXcPWm/mV1ICMcZmtMRAxE5GhFiYIEB4R3LM5CP8 ZNoAC21cvb1l/GCcegAYVTXUWBq4hiA+XoKXcHWMrJ6AdOz4Z+Pm2yC4brEwPY/8OiV9AD9Q6ssO mWaPDfi2aD10AGKLKx0J8NjXL1eU6eqFW5vCNpvagwJljAvBXgKHy3txjU9ejRDU7K+xBe1Nhzpc 0vbVbItWXqzw+cLw/wu/wjacQnXmEHf3WoaoTVyu5/4uXw/UWwfr+keonr0y1ToKztBt87tacAdH ZAwMbCqHtcXn0Vw2wWp86ndFBH41CER8ecTCTf0EGpna3EY7PKuW9nhZxhW/JmHZzIcV9jeYWjj/ np8CgretvDitj9gaySC9ls0DJ5NQjWdjkAnrcgz9SfTxzrOOfaqSnWWxvRzY+PRanRCYgji+jvA0 T84gtRhV4OK003qZ6GjjfeMpcauo1wrFl3B8Kl7ehVAZ+5TL0H75Aif9KRpCWmkQldrznkeGLzia TqfHFjWMopMz3XkRiqB5wC9kpBIlYmsquyeKRX67I1PszOkgfk8nA+MIxPHAkwgRIWB3+7YQMSEN S/d0Yd+YduH/VAbLOBdCB3df+OZYmcWs95Ivn7i0kutMQoeYrl4lh2J7cuMHbpBLth1LvSL/og0R wctSJx//DdGF3L2xHb1qP0T6pOExbBNPZweP0gvMSffLrQAyBLVSWT28SIs0vlr6KK/Nc1kQkHvt Z6rDKMRvF958taBBIYfnhOFhZoTKWaZ6W35ujUs5dQcBfdWGiA7Ffq8ECDiucdd5KkN4JkvmCbqu 4xE3Nd4qEXZSusaBrI8h1BbyP5IWX72Pu4QdLEcDIQua4XpmXnz9YbTs39/Snz3Nn2FqwVePluwD Bso8lOWkY+UBc+TuGVvb0SaAlx9D5Jnu3KVyaWAgQuZcIqAZfmW+u6caKKyRy2ZbY+EDuPLeLbMj H6xxUa/RcEa3+tOt7w9eyPFFWogZwzZFYDXhhC4qkYUm/4owiE+AslJJKYvV5788aiEAhUgeI0Th a0BENqJO3uHY/kg/Ujw9+HP7//bSToqoxTqtsKmivoeXFKVZTcOrecFFb0ESjS+LlCWwtGdlTQ6Y A1sND+k/7CPIcZAduSWugV3WCL9rzXTl7Z5UfUF7T5REq00trBIwp6BpL/KvPY9L9grVXdr/lWTj pf6pZ7feSNMwtzQRIvENWKDMDypN2qYjgzNIWnAv+4N9BpXMc/HGBv1ltcXxUo9PCRR83VdamTRP XrHjAhaT0SmwFi86Z+e6klN/F9l/agK/ODA9w4YS0kol7+AKzYU5p51KaaRDDJDSL1pv6ZPYexc/ l3troq3NVpWoxkno+nb2ID2ugopQ8E7nYxF+mRmd9Q+ZcYCifrA3HPhwvC+cvWSi2KOhB6Az+2YU 14r+9UQofivEgNUo9rhUdLNiNzdnk+OTKPBCi25kVHsH4rDkJptTUvC4k/eg6pFw1MuCOntswFHq FqfZUUo6vG/4LOPfsW8yO+8/10L0JGKnMkI/Jfn0FrolSPYTtzbhJFB/wgzP9CgYuGgGGW3r8OS5 m3we/+P0yTzjgcXfKJvvvUJs+XPVq6B3Sup0M43NeBBsTGFsm0RYcOSTNXU4UWkuTgIK7X+xth2a KH/teJ++yMU1X8t9rJdjwn6qfd3LeJ81vBe6QglLAomW4ZY305q9E6CrguAcCo6gLWm+25oKC3dY TXQbWZc0zjIc+Geadq3o0gsjoK0jqpIHtTh7MFpdOK6B3UlBnNzo15imI20MCrDOdmOUfZ4gjPOU ZqfAzO9Q6wW2xL6+6kKVRXh0a1zChEpOb45CIj5AHLncCux4GtdSHfC1yyl2ljmk7sBQUOiCM+Ez 8zJLypUtds3hMUgJWdrHF4X08etb63gDOvWij4UxZgyIS9PQfIWHQA64L8gJ47j3kPGQiWZrNyKk XFQmfi8NahtaIo+GXvOSYiZ9Hmv+NG7N81DCgLQSWmDTKnZEQhPPsqOepix9wL2Bf3lqrTcI57Lq rwQjdO+v1P1za6uB1ZOq6EiW5nJ55Cmoy+83BGnDvw1aYOtlBPMwv7w2fGIjwa82cAsMQmbcWAth SX35iwyQXWpJVSThBDszQ82Sx4TOvWeRf7dukBwM65Ig9LH9prcj1HKtWsEqH2sbkstY4ffruLBD sUWtZEuFsEplwFLz7tEft43ScNo8vIvUQDPcyDzsxOx7BrK7nMHdpqGhR8Ynecq9hp9dIGQRTKSk Jcq/mNHbzxA8iHQFUfAebEeaVbzGcL3eiLRDZCyfs4FN31oOPjC1xl4Wih6ZW8M616EPM1iZT/zD Vaz3D7CYxY7M2VIEKe/o/PXVTYETvV0CwQJAyg7qlff46D/nNXu4mpJ8iau+9/TW5X72T5E0MtOF hjsd819ztvmspztqzsB/9cwwbvMMvH58T5R7r97d3M0aDaL0S1k1MKivk55nnaD0/LaN72IfJ1EN 8Y9ofk4zqE6XTk4Xwjn+d5yFBI+29cFZZBPKgM51Ij3i16+fLWjkWAgqJfgIIMQrvDgbSwRtopZK 9VbFZFlnZtt6CgcZwwTSOUWmw9yS5ixw2eegrcC3zAvFHEcNsxce28tzwUENaiFrsMvkRFTvBIgz N0fywUP0gnEx/UVGPF1FROIibXCTCsIiYG/35pCIAmee/yCSVsdNAhyiqaGmdF395ki30WjKpUOF C4rDjVQEWnPvMbKsVs7N6qyMmEOr+VgYDkGlUnfxbv8awTUh845lLGtVjzuykph4RQ1rcH38rJbJ 3Nhl6L2A59eagqaRKp75VVPH2A+Toxlr8Om3SBJilEUPS43sej5831UmxFKTjL4OOH9SVyH00QPy sEGxXiHHvK5Zklm/0scyL7Hlg8+vYs2v8Hx9st3kf2Bs+FnmtM2+8g0kjMQApOIANM9WvbuQ3p11 OMpd/0meIIf/6fOu7nQA0bkCr+sjZBkvTM298PWfElWHOHYfYcIQL/EaF0X7XTY4E0XbjApnqXJb YFHnsNArmxRHtZM6acbuaoDqxLALy6yK7mRNNVt1qJ2/e/vg54SqFIFWdu3PT2elL3M5g3ysoxuU TZ0xqvXT+lD+d5md1t1PmyTFqwWDqICWZbSDgClLkswMiP6bpv5qLeKHtRLx8naQ0+vvBapWCe2b LbNI6ne/3PU7AxXAe5vmSTm/FMUNn+R70iyYFCs0p19ppxGhkU2bO6Fy+507ObZwsiIRSUO/dQ6b UBvoc1JeNytGj2wx+gBFbXx1frBiMK9R9ywxjHNvltq+vgXjou1ajhvDO+unf+tOAVvkbv5c3b0X Yem6wovFv4Wh7prs0vqEvxh5tQ2HmJYKgXkhfIAcMRiaQ0KGn8EYnFAd/9PPH0XbS7d95sxQ+Sra srCRKptnCU9JwxevMkd42iDVsL82VEKsACKAjbay/oDMh9qEqAyZiy0TuJ9qc+/uPBCGyXb8H60k HB+5bHsVs7hfnzEGQ2WKmg3V24JqSIYHbmIAgfM9bxwjUqXHZ1d+P3IJlTAcLq9d7vvIl8HeeszX 3gnYXgZUYr/ooo5v8Doi0nRqjEaD7+UHIQzuGjMrByQns2aalrUAKH77rFdJ3zPqe+eWHdiA8Nc3 bcZSMh98dPJFj1946ouEwvLqdfFvXcqdWRQfp56v+DRFtEdIy4J5jlyyVMy4EUagj6o4HfJamvSu x9FHzFaLgJfID5WEGlKgjtRgyBAZ8MIqfV/FBwELPEZTTPwGcQ6BKYvU+dll6gx8MRPw4lr/zvVz 6W9JSYjcJhDwnSC6L5JGqH/KlmNX6wvVjGTTn8MUq6+lgzWdk/vpH+XfMJrknRm0TRRAfHwiTwoc mm8qj49hgVqtKnATrNvLr2omRq804lixt/NOvwh40Lno7YW7/sR98MhWOC66FqKcXDLFIpohbkYI A/guTgraPApcBODMRxMbkMWYCjoqgzs55oz2iP0qQNn+oYC2BAgbNs18kZafx737Ul6kfwfkoqCC UGAVyMNDGD0q7BhsTVuPpk3gBYti0sVmGEf7RF9dhWRnZvoDr+I5EWq8dqi0ljllh7QKfoUU71Fb gvOlLZLwX64UKKDSrwp2c7RTY+zCn3jN3vUrN2/AFIC1LiJbux6C3CT+Fs6wGo0cUoUo+iWMpvYX /NclbM8vFJTX6rpCWlVKBaEBdzrFvgYQUrro8i+QLNX74K59hBZp0hY5K5NU+/rScxLui6dxumAr fP88PjFavt7wDVQFZ00b2fW5MnSLZIeUctmDulFj6bg0+SLNBiF6ZSA7zbb9eHAouSsWRE7HehKh N8BV5vTezo+4D+sbXVHNbgK6wjp3H23HiE66oydDNt2lvd5zmXd/9YXn+8OFzAYsMCFYqh2Y5MDz oP192I3AO0Z95NwiYB0Db8GiMEOT5Zg7H4D5fiacuCIcYxRMorVUEneG5FbrVSVXUpwztyxIAW2P QX7hyVXzkwqcHOtGvRK0TrNDFOwaZWXQqHZ1npO5nk+sC8zgeFxVL/Agz36Co9ZpDE7+ThiNTDzu mJ0Vduqy2zw8ciWyl/Gty1cxb1Wj2gdTp5FmYKSN58sVvhFP7y6aCVMTy3Yitd4T482Y97ZFkejb IkGxAw7xhXht1Sk6m1fM0lsiA+1Q1wDsxQ+scgqB+rNM4aG+9ouj0yo5siKeOrPOFclDUn39eAyG ZFaBOG+H9QhtyoOsgPPafuZG9O9CP5F0eVb92pzbfd8Xuobf7DbR4S7iCCMQckXB5fyianHvGgxa Xvg+SFFoItzEovursams71eAAeEZ+BDTaW24Brjjqefqvjo/+AeA8lqTb6trLajJfR2N85OKZzUt f30qARfyGa6GelGnbptAsquvnpcwviMrTOjUJpzJuMG4N4YudiPUcEYgCfbaKlGMHlcmfLV4s/+9 LkD4d/gfRxnQm0GxH8+piS4rfIGAkwbuiAsl8LvRTus7CEgs1zb4RPcSinebWwzUH/5Fcr1Dq6FY Te5hB+CjUbxqOU5CYkTHH0791RadNFM5uoQnM/PM+xjLcyCfW2RLbf/AJhxVyvvUgN3bXZ0DNrJ9 I+lPft/6wWwuJ262/lbu7fZ9N8zFmYNZmvSLENxGVoYlD8AA7I6QhqV5Ema62F/bZ7jYhK2I6S/g v7N2VonhanOd7YlKiiQEpis63yKdinyOCxcPo5XW2sJvqFkSM2OAPtkxLc/GXNMNhhgdDtU0oTc1 829Lg4I2KXthEYJ2xwSCtYUeBCa8Ae+Rvt9dgyYxhqq8bGEQqpAWynDQiMcfDeBpkU1wj0gGecbU R4goE3ICiX0LC3zdb5yYBVvmMzQNO23GfLAgcRfLsX99+XFrIMeaCwq9g8WPo37B/b9Ak4iKXSVo 28hPHu9PbTxVjAh9VCSPLhJIRPe0DR8ZNoZ5guXV9aSLMLlqDQDoroQ+nzeEBkMRymTODJJi59fE +atSmh7yK269ADH8E5gCYJkYe5MovSGaL/aapSYBVNBKFGjOqs0nEfKGMsL/8n08LQoPmxFpBF8F yuvQMj9gVmrOknxJRq5CJTPrXMptbWe/tjrkerc6MwIF4Sz06o5sqwykWDzebAsSBaOmxvcstK91 eXLmdIJATMr4NtbOTTrPpNfeEIRA6UXI79Xod24jZ7e0bJswohLJPUv7VD6t6BeO5J20zgbvW3Bo Kz9OziaOZ6j5YYBTcTcU8fjpDHROe/UoH4Xl+saOTk0vYabxCEz9AmmlXSEo3HKVO/WSdII6mye/ aQwFRBu5fIYopnJrkB6mGiMYhiXuE1j/fdH6SgWyr3RKE3VOilq6HlflVI32zI6HTpJ52rJjjxQZ AkHSeAS6QnA3W+9itdZmmqZpzjnCLQv86BybRibKdwZj4/L7xg+my1am/2Zsk6Kvam+BKdvLfS6c BANDNBo0w03AL+5D+oH3czCCpyYMU3tYypn5IGrh1yXgh+qs5coX0hohei5Kypg+9uONHD8Nhtmh ePvyQkbL5efvqTbT+MUPSseeBFHGiwRbPrFetP1eWsArK4x8qzw8Lt5D97KQ4qscUJqGveItRhix 59Bn8NQFVjMoHsAWBzVw6OVPDDREMCLyr2M1xFsyJ94tNC85LmIASnNqWFSyET7kYKg4iDPppSQJ Q5CUK3cL3MtZYwvj20vL9MtNPMn5/3BbvZ+iOieMKxZ2tu7U3b5wyuxSf8AWEwkQntiHC2dhNsFf Zs5r2zKZvHSnVMD/FHL253or4VWlEmNhzQQzIHwzzzTEMk1cT69SK7Sp84RPEVQVXsgqcan1cosd sAnJQmIPMdNQrSseuNuI0MP5OZY3b4c84pcZr4aGoy0l5xCVbn3cPfTZ4Uh4dV0Cynu3fMXevTct 1++IUqux9/jZ0wDwhAGqgeH6Ni6VsMsLZStuLfxZvsuCDiO4tVLXvIUifhnxl7CngVkn90689lJn W+X/9EYADXzueEI8BL8du971fH7rKN2+lDz7oCoMIa2mJEsTdJPOjdHBbBvGsLqiCXvrbtulF9LQ ln+UbE/uyqm0pt3RpRk+kytoe42175PY/E+mVX5Zx54H7CKS6imWTq4gWRhHHpmTBRrB4lJFqXU1 oq+ii78epMSyd83NYxTobJ8lukPAH4YwCyquNdblfuMKfrvmWFNWNo8yyNrG1rPiIxCKm+CvFTNL xGUVgL3ezU0bZIhPB1yKPYkwkabopuj4raRjCkbvPr3RIgCRWVQXD2nDe28hnFNFvWp57Okigd1t yxACvvfJlDXFU15a3ZGJ+Oh2yp8n09WTXQe8/4okEK3tF/zufWseAwPM6nQQTxZXusF517Y+eVVp xeUsiLZ0h6hWiboETqaAL4l+tGD1liK59pjR/mw69sgJe395RmVWQ8N1TAOtIL+hG42SytLHYlNv Hp7ZQ5TygCfhyoNUCvxu4+0IMYxD6KpDqPwXC+Lb4xGgIbfvgCbEIULBjNwYUBNDKXeSuT7LXgBN 66X2I3PpcCtRM+ILFfs8qacsoBa5onjoJjEuEBqZVGTTIKf0cgYLnTmThKd6FY/LPLzn+vrMKwXB MpKT1Vc8Z1YaZ+1VVUx5Wl/OCjVjB2n6Pnnr+pnejCaLjOSy146wDf9rAk87f41VxYsikwAhRZIo OzL2rnkZd/7ghHIKa1pmrkclpnpVvdIS1Haqkh8kk5jVWXEenLfcrWcUNJ0z+jC05k/UvP57vIUv UxejmLUJgZcXXLvvezWizp//6Mwzzghlav0aPRahze2HV6IC2ik3P0cwMZ2LPoA0qKMfPH9DfpzY iqeieeL8tCax1adb9Mwa7PobuVig+AelpMwExtaMCPK6vF6IerqL+Uk7jI5JodECFt4sAnUw4Aho QYi/kCjXS7N+264A0SS13Z0mEYfEie7U5hcnu++QVM8xqgsgguO6obNHu2QUvSX9PuIxbMjlMvLl 4fPr0yHNx6iBtt2JZAQv/2wFa1tpnLwml40sGsyTLqSMSuKa2NMu/Mo+g26lvlkCITzEq6svt5xs wWJYXCG5LcAuXG8QKJrdvUGlKXRTwN4W5Q+ZVi36e78sDCXFY0jsuY9N2Kik7EtiaHJRvGrJJ9DM Oj4RoMJI+stVYgop462cIP3Dq3XPOgcv3INt/SKoDWBaXdHxFC6pCy/dg5D05rvyP9JDJDwjh5JF 0qGQaWKh5j+o7mg0Ah5jXb9U1FEvKggTvykKHdFqwe1av/LTO5XpakJhONg0gx0Oir+pknFNoHvw fz7ag2TULwrmCPeuOzAmv7gGsmD1oNQLnhDhtHfQtB477ok03tGRzldjDMBk/B3KitJ2jaEHZ5oH Qr7ArK2CtouAQ45cM/4jVWNgWmka4jEEmkjDASQvQ3XmtxA0b0IIfkb1e153hscT5olZS/PscFnW UKNJj6HbUFFnPlvHFclkOzfrrxjBq3pW0MTmteTlIYepYdybylWEOvUcAnDLZ5j6LCHULENuL36T Euw110Tl667puefuZKiFWUfMxKMJZraxZfvnHsxcQm97JV/qVRlwgvuEQRcqJvIC6ymA3dXrJBcq DPFZ+wQE4nvRIKsrvWbgP8JIiJFCv5S5lsHQ7L12sUlW7AItZm9OIVARW6RTSj8JaHjFhrdiOdZB 5DfLHRJaWx8DFSb+10GqXUCyKUQD+dc8c5NjQj8zhncJTkt1I2GAlk3dnrpDZ4wqGL3meBtshMEA eqAa4gOeVdGCLtbYzcE1QesOvWFEsKVtzMh8le2lza9nyNm75SEPutyo9goSAQ6S60pXXsh3V/PQ HJA4YLMVrTqPD4Bk9to/8bbl17mxL9wsEwoal9bmaDH+CYwc59Nm6ve7D71AINu4G0Mx4wfEeiLg 09VRLQ35pDFHLUNeflsaE2brKVvWFHbzSxVcQtayG/AfDgGB4WSCcH7ewlRIXUb0A2F3rBtqZYYY 8ZBH0R9a8C466r2Wgcdo3yt2HkQ6IHr/UVCFvTGad915iD+n1KRTqUMp3hkNH67cjiY8jTwikZYW TC4lwkhQCEkiCTaQaLsXYlJbx5VM9FlDTMNOFEmzAR/+WE6dW5UES9KMOeF4YxOehdhuWAIJpeK3 7O3EG4Z6N34buZtsHj6ATNrK6YankyxKQ9hUJX0d9PJX1lnY1ENVB/bPRrTXvRJoS2hstZFbqkdD Tudg4fRAfDpm37kGLxzX0FmVN26OKaWP03mMShm72ConxjjsrZhru6cCg4WCQISIc27GWLz3cgwK zaNfLMgIEx87YEIAwLKFfnKs8NnS/fzq6ivD7gY8bQBkrZfn5gfn2GVfZadCHHIuV478AIbPLO+a N4Ed620CZw1w/zBVYRxQg89vXwkpMcqcqzj0oWnPXkDvsrwjBQ0nNPW5oEEsgVZLwKkBgV0bWGVZ Uu7o+aNviNKdqKs0x0Kv0h9VXs2JgUgcM87pHfATqmPwGKK3VKTJuAlgJBh1hxoIi1FOWfyjtOXT f8vgAaQXFbw7urpnCvzLmzNdLhNyTfA5kUcDkQjCU4MJ4oQ+D3D2PdUHAzjivPieeY37nZzmxyns E9tJ+vtUrLKwEFtflsG8tAY7tVQRUWiTBzDVeXAPjCZopBn9rndSphTLb/B7K8VtJtwMFkV2jiH/ o2IYxbEHayIecWeLCkQzkH0WYg6AJu+3qx1rbpshyuuKUPRqsyAoqWZqqk2j0AKlVxLRaqD7LrjV 8gGKZOoK6CjemmP72mS6kZtTdaSf6HfT05IKpaBn9AZUgdMAUym5qNkaFJ1CjQShB2qGR2tqmEoi bEQ2eAVpqExbPYTrjDxf3bOMPuy9gZZocedr11OAGKM7g4r3e2Y9/QJqrR8rtwUL2NruHDlpActI UJNw+jEiYrIGwcGX3FWJjpN240nCvtPouqVTkT86bniuhLwY80TLcCoTaZBeMWyzPXJlo612lfq+ NMY3EvHWXgEVOnLZHLwoQ5IwiQpYSUKXVATsM05CsKaIqUzmJCM5eHWtA876DEkIJ0Rz+ZYOMkAY 7osIHeAh2vIhGnahqElj9f2aX5QTrHCWyXxW1PXwT0yPwNXZGKWMFIIYVkcfARhfG3vyYoUERkya lEQQ2RVHp9BiGLEDyid/7KcMEsSx9FWDObqx4G3TlReC4bTv0cKgMG4B2lKwLH5Z2x6qG3u07V2r KxYo6qgT/SwnZgbH/CvdXH4z9wzWOO2NuLa2inCa+9Ib9R9B06jUHYAv5uEBJ21hgB0X7BTARRRQ DqVm864lVAC/ZWHaeuJIkG8ql8pSlauO6kryWf47OSDmgIvyklvNuhEep1mTx8nxPIfqr4D7jU/U ZSdVSQkCB0LRpj+EB7XFaolcxo7J58uV1Ds59EebCbuPnPhBEdhaghUkc/X66e6HGlm61YQ0MYi/ JyBF/luD3qaRaF9tUnwHg9gBgd0c9nWIj4odPiRNo5KMZdWisBcehaduvM972DqNJEsSFKSpf7v9 yDHJbNHYyZxQpWyyyPHzwU42R1iV6wkxKAh5Lt8QjXDNUUkfrph0VhzPCjOeKUyu6kmPecGb+ABU JhfP61ROab5tcR1hdmxw2e59Ln2Ct0avSkZSjBEavdiVkixJSqSan1NdDrvBsc1/IWyuyjYjwt0v Eq7HsAt2DD46DhKRZFcicXj+0cVEjULhKZsTMMIQU6QLile9HlzltPDA5CDC4mw3YeUCSkSZrnE9 USVSww8vwx+HxYWL1iyQiXGFeqApiKgI5VXtAyFaPjYyJjAj7xA80EA3aRnLQSbvZzJJ0ydF2vU7 +MoyAn3DIZTggxJiADu7VjmcdNexAbFzocEz8vDhmhtBROzQjKGH+D9zAGUNLlhN7CFdPrQA8dKc Sv39CSyChxCPdkXYcEL1ygo0tPmeBRyXh8SsfrIgfddsBF3fMiUGzXhZ4gIllWRrbYtWCgoAfFgA hTO1IMmTgrKtoz5GEde46ohs15C5Cnc/B1bFQOpC1obcBS3mzUyKmA8oW31m0Jw29bfEXPtujDgP 1JeHELHMH3B20EI6n1w/v6uVv6kK8sQF9PZCNPv6xZ58k6fCeTjtp7jXqKE4pdEVoWje1MC8Wtgj zPuutezN3WvA389U5QCmzNrXede52RSukyPNmjKiYBjMhrrBEgeLm+1FfS7IgMHBR3iPjr6GUiBn eLqneD5DPJzhO5oPqfzM5adxOxgdiQ0zXeXjqYnoU5qnRPwkkdh69nNRPQXMBARR7W+NadlAsgHi RrehjoyCm5BLKRf++vSugq/q0XmHbSYpP2n015n47yzheZST0y0dZr893uuRC2fjOS8RUUMDzaXX IFRsOgWYc+G+Kp+HmvjhKmxs05/bhtJm6JhB/LaVolAniBYqBHwfxV1jp4U9vpcBfRDm6mSE5ceN zWZEar5D0w0OyugTlFF9AiWodaLZ8TasgjpzeqSwIV2/vNGKIUCQiRseWQ/Adh87kDmqdbl+ZBmd KjO2UWEHj+1zF+lPywQ1wNTXEox4Orx7lLO2zXKIsC3ewfLRLjuo3D13uJU2Q0pFZcnr4akft8gD R4RRa3DZTl+VQZdjg/lmKMEkw58c4g0uI37k3u+EOqz9AorQd+BQlIMJFljwDZi4Ratex/CTlPk6 j5xNgpdMrQkIrVckYNagmhoKsiiWoHkHDSTN+hqvwLiPbYCrxzxYB298HWfV7RMzD5TcaFFuP4U3 RIlfOwPy8mRtFadJ0QS3+kLpLVTETOFN36GbMw6bYmJptILHvE7/OZQlqyGlikPJwOAN3Hqw7wIZ qg92+Kb33VxaVCSxJCmkPMmKEWtB9TxC+s/M0Jz3jriUTZKEvLhjPWAqPhqGZUw3u51qhYTMtSFC TXGMzfHwx/ymYsvCveVK2viXZUR3WTwKZyxz6hhUmHAQOAubXg0Hx7k0dG1MX5yMuzwb2MnXRXCI rDRI+zum7wOHDd10AGPNJ2zQnc/zzQdGw1vtrrJyuifVNNWiZMys+DmqKfjIEtLZkXEgtkROatNb zA+0Y0OY5OLQJUhX8Yqj3mD8oj+EbYDEX3GG82zHRMm3nvpd14vnPWiqwun8aAwBnESIOycq55a0 ggk5eq+PMLoXcTt+qgjR94hmqqMviFXOWBBAOiulZXcrClIdiWqrYTOMH43nZzdLPL10C3O4xAOe enAYzgas+4VrEQW5JYnN+//6JCkMmopPO5EVkYvEk7tk7VKG4COu95KCd90ldggW9bLV9ydVBgga TGQrQG0lS1NT7X/TxOMx2Yv5gY6jB8F21fa1ZmHpXDYPH/y3tK4Js1ct2wQu7u0aFv1BJhcMTdhU 3m3SUxPczCC9xpcix4QU9TGXE3MkFtqoj0z+2qcZpCs0o+lcceP7Btwm2ynaUxrKXGSXMG7uiBpe Mri7FpPKMWmeIH0Rm2wE4t+W/pWTfE3JnntQXqRQCkZ3tkhPNzjhq5DEHASpeNSBVWPJxTIurMaE VzPfoLebx9UJVgJSP2N8bhwzEsGGL6hZpQ8biTjwCKaGDirUyxp+tpO+f4xn2TITzMqEgVJ1r8+H Qf5b3tbL2L+JoDgEdIVnGScqMb2FBzFFA0Bwl6BD72kBIb0AkWoj8oMLLUAJuYdNBmYjerKO9SRJ ixQYb3KbbaeWDcZbA2tklg1KE3AyIlRYhCxARDtnpmHkhOiwqabIrQgtQkW1FdwH3MG1DbBpNJsa tedUpJAa4pXpVZ00gtnov9KRRWt1JFna3EZoD/1eRJJFxJakA6hBRkD5EWYT4igtNNI3sBgk+7cK Q2MBNvJ4WJqacaUTQnv+haWHNsyaHsuw8B64LVO4C8uni4wNcDV4iDvErSk4uLo8/Ag7jMVP3MtV NydfsRfS/k+bP9yGr2dlWr152CAfhxo03umSnpxIKtIUf8Ym9IzK7eS8d1D716IKTbJr4O+QcmPb ZSD/5FyJLcbIzDzoAhoNTZDrPEE19KKGDQMYGWPSMrE1lxVlZPXyk0VKaRT6mK3RwP531D4GcdZS 3Th6do1CZICgyAWts3Ehm1f2xP/ss1JAhZyNrVXBssJm9IZCcr3WOhKqovvK1+x0X1NPdSG8+dYr Qb1fWAIVDe/6SdeQjFKjL0KzOH57pees0rDPhJA/PtCsFaHUFcxld3Lsz8WzP0E12VZRb6TjMD+t ItLKtQUGqfvdoBtdXipjJu1npehhAvd3hw8wnJJkCaeShatRAHg21piJQQ0EE1nPHdIjQUUiklFk AsTzeRFdUZdW5f82kJvxoJELmVN9JOlmULt08qMq19mt9szLnx8uiAZi4pWmv+OoO0PuQ/9ALVxM /oV/lOvNBbTEmbi7iRgXSCvE8j+r8zRpynlDr4vmA+KLc2/Pcmuq4yc0ZwMY56tULDbtPa8QlSng Ox8ZPlcwzilIhKioWWnkMX7n/bQK4IBsR/Oy5qX9esnhzik0OWr45+s9QkDw6byW3tfXp7qbOB+M JE2eR/JZyu4NUjBgrBwxG25i7nY/slHqyFiNTALlEUJWNx4y14wa2KO2m3CPyY8GJoMpiBGzsEbb C/37HFzHhWMK1f2jDe5EL+oLBgdb99c2OLIEtxuUEpVzFUx4JX87dw7t8mssr1p3jGJRUEK53ssA 1QnSyABByM5qUfPuIcJTfs/J0MM6BVuNOnfOF/C16NaRbzFcOd9yBHInDUxMl/Z2ARThraCsVODY tvpw32ZYQCY4fho8BvAmIDa2AvYDc+SMtPWZzTy10i7sODYu+iWlSAW/GZrLJNd5rP7i7/lfteyf OVc+nwRFqLdf5O7zOtjaFNh9feivUZGL0qPlvrBeBtswc8AfhakxxoEQugwXZNRSAfuUe/DiTlw9 tUIL0aQSHJvFr1sWqo9B4fic/kKXCaK8gp0ehCukg3tK7UNKFDPyM5dl4FaVn5k9nU5nWnXoolkh SFlBfipT0Youym5lWgjetGRGtWM0fiCruRUJUdNeYH9/PO12+EoPZZ2pQQR9ACuwoHX9rmqPYKlZ NCNLaHT/YcK1y9fprFWt5F4NquVzFcoD/5Qyc4l59BwOvaowt5N5DO99JfR+BN3H0xDmwNXGfLla WVFtXywx95uHYRXAlgzf1ZHgzpjOm7xJk0ZzXNRQlLPzbwTpJWJmQlZhHBTuKpps+XmgP5VFs97s KVoN5XF7HAbwX948qnu5g+WMyOpf89DR1RApAKuTYphx39+b4olTDJHgutaxDj/YzPMbJpdXM1EI +A7MnAB9gndNgc76WU3K8SVkdySfCWI5GVN6PHUJzoillZtWYSyNRcxfw/upXKqd5Dm6Wx8MyKw7 0WGPuu2n9/A2UgVCK/kzfPar/O8wFqtXE2t3g9vL1Pe+vBtzABNlhLi5yKkusqWgBk6kp7zanZLo B/5NlF5sTElkzzKSAH12DZUAYLDxcOTX0h0qUWvoC9p1QLluVjZ5nu3KfroIo3WnWOEW4pAJumEB pcT91rC47rGLYPoSlO5TfG6D/IU0aax6p45TVwzzrbM1G8KFLCKu9tFkP2QQYbZfHKRPvQpl1y9G 1HnrD4BcLr3FHqGy/GOKolrPBDQ8/1Ey76VP/lOh9fdoFtRmBUlB6NQdC2SG0u57ZgtHSg2k5vEu EK2W2Z5aXj4PYyHFitCGljiZRS3dOablvbspz5HaUytDQF6qD+8JtfdKh28Xjx2R9nQfnr7pRLV+ h53bvIIVTmqS3ElFqewPn68dRgbUmm4PE6RJuPrfaVqa8iVh3ox4lD/WkUT+lc7qTEwNs1Yh54Im E/eGNiCe3FfAOxkFsE55I4wt9bVwMKaHRw0SUMYPNOex151RCcIb2ROhJWgrc6cxjk7ZER3fVt1m HLEZS05mvkW7/KrW2MzkckVxNkZKOCFLkv3UvfEv5/QIXAGgivujfuw/aE/Wk03DmCFJCvEqwy0u 03Mrgee/YjLJzn/Xf96dOz/WCljk10AiOINYuQwQawZ+DHRludM0s4SN02NHAQy9Xf5DvjpGyZuJ 9Cg0u13n9bBsdg5zmbl0dBYwUb98uCVsZAWdkIvOdcs4k/qZSVzF6RPYxDMJSdKzcaR+5Fw/1oX0 08OVZHwnkbEzeApg2WJl/EiXB/VfGQtzV0WGWgyBXRGYEAULxGv5uEiTycqod2Haz3dY6+h7Z+UJ D+BISaYPdo347SFgmcXCO0V/MUbTBDQimbzo0gFXUCRQravLFtQTtKod6voK//48qsGDWHO9mdzL 6w6xAoE5lyxugQrs/vYC1kIaRqOVB2WPikQ6aCEpL0c+KuJU/V9sLfc5at8+2RecAWfNEY+RNRDq MN6xX2q8CUps0XsdJlv5ugW/hwGhboHdeiCyH8Td70r0DCPbQsF7OClrFZqEg/MyJYaPqYm5RViZ TVbmdOwe3CIc8ISmvirlCIPUPKrc8LLxIPOVi7DAvosOzMtN1Au6XQ7FJwCh1/uC2gvZ9Who7lAi JeGG1qIvByCJUj04w/zNr3chXjPHO8gNknvd97Z/q5tODJhS/ng8VtalIzhMYx+Yo9LSqVwYd/0Y jNmI0nZCGCmVZBmAkWXzdvhzjiBbX/048JYGxmGs1CxOP/Keylzr9Astsp5ktxtAwTMkJYIf+Bey xKqvr5ekf36qk3IU6zppVvz2UKj0lFmThH8CBMP6MSC8xNHCcj0K+CyocmJGNxBS6NJT7elVV5oE fv79ZO7KZUin/4H57k1rX9LQ8t7FPtUcVCbZ7ONdmFSCO5UiFT1Qx21lLB0hybhSAvF6gMWYYN2U O0SGS7f+3nan3r5xlYMqB6lMBj8lRxpoWWv5hH47OTSSnkuICJoBsqjg6YuoTg5sJzIgJp6P4r2j 3fa14vvy6vA3r6IN2YdCKFA+nGzlME0Jz+f1RzjRtzE0eERsghIXRRuWczoXyq/Zii9dMI1YaR9e ZYVkEZg/9nJTkGWsLwotnta5MRht7AhWP2F/bw/nZ4hvQ6vGMKt0j0ghN4IVEXJUk4wdEv8DR+yk eytvTVO8LCCCp0HmOs8gDqTG9UjPTKKTnjjvOwrl59jca2un3lALskS78aZhx2c/e48Mrh9vVYc+ BzVCtvZGWzSfxZpiaytws6V9riw00GF8NKmNUZkzvFftqFdaBudPf+Lx2ADn/JNax50btG5RWkkJ S1NOljvFVxgTe/+m7Cxg079IZKHs7l0i22WRWUSdXWdf96VComJ7G9XkU9DpA5hrEIinJslTlFCD 99bxxlHNeEukM8prZ6e4jgLOCPZyGppWipmmIhVQjzs5VP5utS5ba14hiVOoUWigkSrvy2CslJyk LlDZUj07zCvxo4ipP+GUYDeJUfpW2/WQgFGVwp7Q7v69Gz2jJjS7bg6pvFuCz4q5xkXg28mFjOs7 Oib6Y16J/+V2w72QUeaGtNUBt6pgAEnn5kqGFuWhWaxCohIK+oizZBrf4KD1OUw/wIYQTQg+NrGM PBVYB7DPKLPpanUtmSuvxYbQ2PPaa/4ejiqmdDGozrq1Hd0nW18zZhIW2WfMEXsbp790p3SYH2lg d7TQbk7rRARkhPseSMUGJAg9yz6YGXduvXkmBOenCGHB6op0Z00ryhUkOSqb5pgw/1UDePdqqwbI URrdNM6wcGvupskOuj7MPB4rKi6lsHNTKTgaaPtkXCqSzi2H3JVgei8RHNTP2DGbERzfbAC2IsZj GRoWUnRYUM12HR1KVjOjVR6QrTc9N0J3mxfEzk8AqCaHainsU+XzYPgeK7zZmDcbgrSX2OEkUD7n nsWpcL7R0/Tt7dH2e103DFl5TUR5GO9IUaeJzUwmaXdDJlBYnz8ZDk8AQ99nW/csOGSt/oZHHBw2 IJYzFIQk6bbD4gfoIkZJMofDoF/ow+fMN9YGtGMHsTQZYjEQewwCYpzf7sw5nz8+9AGKpQkkrU9D 2RI9eV6xJ2BQ6R/3Y5QnAvcl0AqK0AEHggtY2ggd+eMo2cm+0Rsn01bIFjXLY/Vz8HwW+71wbHet MVxh6OeL4+k5Qu6dHUTzybRWwxrZrcBk7vSWNpTG2xs1tVbpRB4Ftt3nCi7A03rrTTWSoemsQepG 6PwPhWM6y9BiCfGVEh1GvBqlhHbVdS9F7fQuUHNozQ4mXTsBmvQdNx2NzxTbhtQup7rWw2zJtBL/ DyiBAlLv8wJQFrgXGsm2jeYTgoDT9uLJcTiYqH3QWhhmZV9ElLJ7odUlG7no4t+vJooZR8wGk38j HAyx5+86TyPvaorMXQeekeYmC6oKlGZYSPUY7OT/PV5N2gRs6sfBuSmYLTHmTxTRcyDponVIjGAt DZn6GPRoFSLvgYey4N9ls7P2VBawXdks9I+DzSn6YDLXQkcezmVKo5W38aE0enfS5ePj/IOgX6aR Ztftum3IY4lZXTo99P4iBo8BoFZftyTIaTMM5jPWyfMs40PD4Y7+JPLL5NvI0SJARj5Bwd7kXJT6 kOP+nRgFo+Ub8T1G5BJuUWmveE2TdEpJbanWISgKW6GVP5eAtdEooSADBnXrP9pfYbbRo7XA26g6 pv6V1M7Ik098CmmT0BuimXp4H9nzWpK71H2JwzyYNHTPg+TZQipjrhbJOXLoEUl1MVQ7YF8tGMM/ xJXeIDVg24PpseojEH0rPIgvMz3gxeCu7BLjBmxre0wS3LOpm6TFLbahQhqod8RLUTMUntfgEp71 fH/cfnC0l8Z+NzsL5Sb43F3xbakA9kurv/+ExQ294CLh70PSOK9FCABnaCfUwFjX6qE3esXk80AJ 4c9LjgnsrCUn7kwTSu+iRUsOzGjFicZv0SELuc5QYOJboAl4OWEEgU3t4/2DhHhuQFHCkYh85we1 QODfhUK+yy1DsgGUA0/4lUZpKEdDcNzAJe2gfkD+pOYwq7tBUGlgJrJL0luHKcaJGDz/AgFxnMxi sT8BaMAfKC9STMuANR7utov4g6Aga6ngZgvfTEwH+UYDs2bzwLbbW/TkuEr7gVE+4lunGpW0X0Oy CTpFAt/9kNiC7yL4LdoKuVMONxhAK7iD+VBMB7PNMlUFpiQVVxAWK9q5yj0P9eVxYYEn4Zv3HQjh Y07Gzwd/YjOA8AU95ug2Ql+ISOm3bbP6qtmkf0BhH7WLDgb1/gUL4EWBkUQOE1+lXXogDlEtC7k0 uivTsoYVRc16NyMn21y1NLJGc3ZfnuDpzkBSQ8sgoD4OGYTD7kodDU5/FCV6EHDvv6d8njLs8CnB Jfp7iCUAe5VZqM66a0gBLgsrh1gRtIt16TgdNosdEZDfKdXIo+t3zoy48zC1TsDqMQ4isbs+N9HS zMabHlsKub8cwImhoPGDEJa8/F3xswPIbMS/oQWYslyvEMjITMoTy2xsclt7Rof2Fkmp9eysQuZ6 PIFIw+6vfQkfrW4Igcf89rjgdhWf4DgFw51TgGzvW3Y/4EPhrp90/1mH0iJHYJltFO/cclzmHfeN Xkf3aKZjvhspdHW4Z8FABSjSarmu2E7uwdWAoFfQ6BqBblo14PTJco7OSBkpFw9ZK9CWfYOlWgqW Gxjpi+Z+bFgmS6MZL6Jc8n3Z2myMwEFzQiMG1rHa4X4mAru03ZbxSYCdceS7wFDtXYeUPvrmzlZE sKw3ivzLXfLF70S1iRXBgnxG7MyWtZMyn3oV2Ns7qkCynjYrVDTNWoSckSKAOHF3CsHEPy+64S4J kY9zHR5e2KGRBzI2YHRpTdQS/1/gGApOob5jgp4uKOdOoHE+AorTh7PvpdUkFLzTPMEdfs3317E9 3Jfbp9QAYwXI7QLDGeYeBMz9vNeXDi2ddk16T+3AUQaDXDusXHyf7+fYDf5SYJmr4QeaMN1VJLJu 8yyxJ1D4gtITxlTbo6l99qbbGXPgLpaH5cEU6DKoIo9Vq+q9gSmGT/Qa68doaVVT7PLn+fyxvnlA X/wB5kbG7KNfWA4DnF82FRFXz1Fo2/A/1DJxsJNf7yMMHzwg6+E9TmnkCHKiGhiKzucjtr8DtpvE 91ARuwAXJcO22RiT75BoaalSCj1pnMzxYwPt7zxaBzoTor981rv2O2uZVqhXTCMkJzFzhWP/qCg1 tuqm6tArg0HZ3x4zat/3fV6qGj6PetMdv9Xmf/Dg+TKbEv7K8b8YpYOogfG9tPgvTS2Jf/N9kpxt xAmNAPAgBo8ruvraxDlqmJLKQUa63irXAJMxQdLlPSuMvEIwNnrruH1548bTAlSekXuf6qsFmA6+ x1OlUTFimCV1Yh+pUPR8ZjS5RFjOmRt45Ot9W1j+mPCowz7rU2qGPuIrntk0/OofQovJgAdWlapL wF1VXE9wgLsA0KSLW6JFMbKjkdQ8hZPoMTEqk0GdeGzoOXIysa75SxQpE+7M1s4nEKaDLzAnl+Id O7FjkrJ3UsvtJnvOUWL3Gv6TMuOwCGMViYAEcN2BnGpkMVT35hCdttlSsnLDmlRMzNEVdfBRnNRs BeGuthLSnYwMNX7uJjxNWzJqOjsC4xTG4PHWx/BLVyV370IeWyAFo57X4JlhVjMECvycTN+vkC+w JHDFDSaIzn4HHXUms58kCYNQrxjQgNu7UvuQTZK8AHb+jMWqUYDBrEvx3PSGy+X0zJABDdDuy6Pw DACokkKZrwcKrAlMUSVIV1ZMH93v5ekFOvyYEKL1DSFbgUW8xHiZxVf2lyvZUymuTZnVmSLnRd1C OlnGII7dJXPuYxun2zTVV9XZui/G+URIVowS3TIb77vCvi/ItW5ddFJ7vnnIXfGRU60W5u7eI6lN eDT2ayVcGShNSuX6necIOEJExIucT717AqW95PYh8rFdkFg2xQ6qx6eym6DLBb15up7hC8UxsjH0 AdIkJZ8b78Kaq4wPHTzbU7p7caz3seVMsmnCLHJkWl6hXrBtZtMUDAXzhKwOtaHeA4C4kQDbBS1L z2c1+9l/HOxJldSjo7JtS5EaQZ9Ik4RGC2ropnZQmeHcxGYviP2nDPvs51J05dEgbJsK34ZpOBSN uCuGAgqRfpPBPCJ+kLXZrPcslgGmpwd25059L8qsPfLjtt4dpVbi/CDXI0lj0nfEjNTEnpYK6N9C pwjcRVXejidezoMx+ySpZIphrUrtINsLA1UL6gQGZPGxdvTWPWqQIhW8yjqdGfOR3+1NZ/y0Paw6 MqYo575Tn4pZmiXrmMm8QvZYuLgXb/pOd/xt4EUfCJBWPn7G3QIJ9KQpuuM2nXopwTtDH2ZiwQMv wf9OslxhAmffkqajF+31+ZNiQLtKo9lrqKSwQ9shiDmWIvMA8bhj546mIEGkL1CktQ5Vm0iNhKbc P5Hp+BGrMSvQzuCDi+kLBLykDe46O4Sh8D1O9QemyhKuPsFKYyvLCFrMG8oioNFhrPeXpVghn+Su zwOwEmFDDox0/DtBRW6/RjmJtpFXdKx2mVRoVSKeViELiwWv54Wc1dD56RiF74TeYR3fC9OqNmcH I/UxMGqOnIf3J+ci431PD7hr2tO2IwrGd9ZX+SR79YkcH5xCstjK9V/GImoZGdN/Y2XkCcTJVT5K asffRW1IWmRZg+6I6e9cFFA3FIxbXPLoGiFdJVAK2ZggSeITbmtbYSiEXNBjGDp78pjTQAWM843/ TRKCWYmA7HC8TWRVOoCDqTHpqCzECbA9ITgaO1wabbRyMY6yVQ1dPPMXf6RDRuPOBcYHYeTEfYsc lkUFhlRBCf9IaorVKP9P0cqi4Ll+/80D58RXGqufI2tGhLb80Wi/e2C1+MXQbqHizf6YaLWaQ6KR r+y84tGlD4syZjlCb2ABPiGCel4UorWM9PhLhyyk+VwYsKhpRH3X/BLbaamylrFr1SCZtZrHtmMg I99SHvMZfQ7Ierm+u6SgEXwqcCTurlZSlnz1EKC1q3bNrHrsp9Q8H84e0RoFgxhG+QFDDfxNysd1 5uKDYOTGHqyyKiP0VEAdimvn45P2ev01HbdQIIk8F7tvm3u1oZagb/M+Htlr0sEiz+2ylXLIKGTL m4QWBFRqLnA5DjTG4hoRQ6TYgZ3JjVLFckmEz9T82cK1NgasFhOGvvmlSFZdIsvlaOk9HnJBka+C BxYtEOyNGwtMZJKfBCayxYWtUVtwoey+spGJlEca1F8Nb3QlTvQDGfZzNvjcBJN7Nu5DVe+JAxUZ Hy29OjBySkMnoZ0BMFa6PBMwFv3R3wNphMngCgQg9BfqAJzKoIYnguXY0DpZ2uduV0/aWtEANUdV SLJ2eChuh7rid0a6VtdIiTUlpTRHMmm4abi0Aw7iIznc5sprsQ16qTvxhLjAj6HNtJDtouNyYK9X thL0MbzADAN9riS4ncQ57+eGxV2p+6jdYZ90UUZp9NXWQeVuZOu9qBIcIOBrV5CgA2fsqXcy/zbM XCfT3shL36kbw1ZCJOfUTMcJz02zrwFbOAW42libKCgLeTVZX/rU1KEZmLr00kS0V9VpHy4bGxuz 7pa8xQev8nIANOdPpcc3gmL6UjIrbtmXfiT9vWLM8F4qm8avfPzQBtGYhMWZeXGFAY3MBByJuTKL yMz2wvu9TKgLlfFjpBdiWnnDaFxl+TDuBrtvHtAndFo9TbWJWu2+2W6EtDxvkwQGKEpxZ4iyed5/ ntaH2TUATBWK0+4eOaYZ/Bhw3Q0I5jPWp9/w1YOz1AYh7MjqGPLQBFUw+ZM59n8VASC+l5HNSBKM lTsqDfu66hZscDQeRRg6v/Wdr64GJjdVIPaZWTKdkY33IBLkgKkPFRtn60qmd9bsF1OCmy9MdGEH 80cr9w5Y/VvPX46ZHtk4qDPiAjV/eUb3LVM5mhAniBNSYCJQUNlFw44G3mXkYHsIM+JvwMBWwAYx 1A5L3FXlxaqIADlOcpRs2P+g0ALg9bkN2z5mSr+214YNcL2ZvwZxCoawsIGg+orX2AfidP7iKBSa bZcUXqcw6kpsbchrQ0gI7AygMxT3jW2W3y33RvYjuPdpOPLx7TBGN4m1kKtwWZV+ZBVqMSQoex/j 9qXvhmA7SxMPMAWwoiX15tt7lVqg0Ia4/xfdc+6oRbnen2avB6+mufMG63c+WWBkic6oDWoi8WzN PFDFb4yWo+gjOKPsq2g6MPp4xPhPAuHa550kmgn7X4Ytr6TvQZkZb7mTRSLR/itSkRwG44yD9XoX aObk5dgjUvFx5RDCXwqhOAt6+vaHk6/UXBW61kBWhngDiz+E10GcqXmIpGH5H87cPSVFpcuwBiku Gsenn7+nABYChmPZl/ofWmtOM4UxKWGPzc4QTuB3D+jpapGd8KzK4cfFyGYP2dtfl3lMBbYreCoM vLxqT2W+kjusCGC2IlgsR2J92E3s37jqTyBSBXlvXQiKybxf2vQjsVCWapqJvrg05e3tNjWdVfsp uaSyM3MggxEaTGPahY1WKashght0VAYWbanhznjLtHBVRiQ/NU/K3KRv1slW/iAhdF22g99zRMFy bl+M2reSlR+STnH4ZJxSm6GqfzlUjlW+442AUylJFr+atC46+GOdeyqJ9nHrmnMVeXC2jjPeo9Ay SaWxiRChuaK6QQSh6xgOkL6kykXnRIUfrjvzHWIdTfT3rpkPRTXPJ3Zi3ar6emb/hiPZlMo3C7i4 cFesbahvZg7QcA8xq2EC8HFNl8Fxcw5kUttkr0vkjR1o8EZZ0SvgP9I+Adj4oWvMGFZW89iCS+Af ws6e9oZD+JD9DFpBIFXkwp8wjWyHa8IuwWO/qLHAYqdwD8coW+SXsxVkW81h43sURDGNNbKZxIQx 3+u/8tYpNTl4r6HBn7HwTiQpFqzQl6d8qYw05qQeOI/DayVa+yKfayKYbmYb++8Nv1c672MkQxe9 oW5vfxKIYjR4dQu5vFP50dzQeyK8NUTtM/uQdowhVIywV6fUpqKJhPbf4k9cEyH0w/UunCiV/yy6 4iJrQ2KHeTZdIbo0ivGd+hQS4dDITmY/Brzt9dWrhli5Id0P227lt15oyy1M1fb8MFVzuTSF7b4Y 0AsYTpQNxKRouUafW+Rdk6lfzoYoYcmbtVlUJ+PPlr8Ps3XQxLIUqyBYBi0ee9ewGLqTLRXYC8av HFgGMOcYb8gK7F7bcnsA39hLJc4IGPbEYUTJlZ7MdkNMs3S3N9zcrAsTBPzwsoD6e7I1oGtwtR2w r54lVScPzHNfsEhTN4Ne9RVwC1DixsLR33kF0Ddi6H9Kgd2Aketu6Mo5/sQOSN0ltkRpYtsBcYHO AZh6hHToJrTnWHl93nBmCYifHoeWVsqa0ECsZttWXD5iTT18yNhxFrZ/5gPjEceJuApJK29KvFx3 3FHzz9WGDa27RZpr3quBY9H2yG6I4xHfBMTRSyobDhFWjeQd+Y10Wo57e7jjx6/aqQM8xZykI6YT sp//in0Uj2lHoBjL8rpf2tuwlQ9WU8hSQaCTCjLLDhbXtW0+JZoXaAa54YvgC+4Q1zvGqVpYgQ5k yL1M1BKYpj9OQb9yh8SvRGhMMO0DqJ0VLixjMS1hplwhMCAmVJcvL+9yPrrZ339mrSUN0ACad0OA sORMC94FkH/dtw+geSGR3qtk1wHs+qP9WpjznMlznQZxHenuxLaKSLHlGRq4/xiEH4Y4Tzu5z5iD MrKgupiECNjmJMj9V9R8nqHfQxcODWWTthasZ+kwwfSKabXjuQ++DkTx2F9E/5+jOX2y/DSRgCkB czagdH2ptU1EzxoUaI+RRo36ulxNYIcCwyi00b//AzGZ0Pa67+1lThXCloPDgPkzA9uNCTFHhT3k ybOEwzQXCQ+p/jvxIE6K1G9v0RDVaPDVvPmIJfbq01jxftikioPMMwr/EfWXyVnOlQYaTPZrsNc0 U8tRCPVWknXZLUK9R0Tfbstdd/Di0w4pROM1ZFTDum7BirDQGNDwUtUNypWuQ3veKLb9W5nqEFsP Qob4bAMsq3AN2v7i8skVN3PG3bxYFCDalWyuHMo5ZE360N0T1wDDRtb/v3NTnZmDTJSpg4shb8DV hos/TJMIsIq/rvEnlZM2aQtFrrVHHo2BxtgoxhjkNUbt5lLbW1GkSxcJZ1aALF1TKEwbXJ0k48vA nWAel+4iq/ng0yAXIf30+Zcx4M3L2L1JIeKO9gmVZCyT8TqaSJ2MlBE6tgEwKb2wGLxtuAMhDQ+S 7S3EzLhAbBfz3YTXJ/JTQpAlwqq4xT7EFeBYsxr4upRqZKhjivepgjuWdq1Ispm9WEgI+Pae2CSz fqTJTtwq2rUOpHzTmTxjbJJ3XjTxRV8Ly7Yburtzrbxlpja9KUIBsJGOccQjd+ow3SBSleu0RkG6 IrqbW925RK+R+nTNPzRn8PURkffB9pmK46xYX3eC1ezBnSmszZxcykZIfxRufhr5WBAJVnkaXUZx 8Kr2nstElw8oAnstOTQLXuko1MIPEVq1Y6FJgx0Mdyz3qWC+MEagkbHc7EAWaDwdpx67/BxJ063M kWiuQb4fzVS7VZ2zue0DtgLOJQ5JX2jte6dh+sC2w2wLoSlmVVZPMEtPGpNvaJkEVvHr87V0Fot9 BCskqNEiiEfSNNkQ5nDKiNpCtiBAMijufA7GT9t0S0LDhsX59RpjCD/dU7nEpHgOIB9MsQaBj5/L GWB2YebeHWSsuW2eKDLZsMCMf3plTMAMaP1pq4x+AO8pLB04dNWsmqEsvPM9l0I1I02SzBOuycW5 yi+3+uH7vSnS0DX2arNeqy/Wnqk63WgwgI2j/y5k1aOOx9zY3giTf4rG/OA9whhoHIpN8OKtBOpc DrCgW5onJ49FeGMD6dApz2Adk5XGw28Bi2nVI1Ccew/miRwBE/nvCBIBx2WnYi8WduaIvb3PdTgk tYcrQKhBKVmg8b5YHqn09omeV9rh6v4huH/8EDmhxxlU2CnbuI3LpVBYLnjJwYyFsVoJBseDO2+C SSTXUkJZoswGuugo8g2y6T3OCNohJUGVmCLqYVCwrrVLKbbWbPv/6M2d3pqyqpBZ8B0i8/VNN5mp JDFIQsriIgXUkASJDmBoyEhw85wKu1lx++2wJk7WrTI/gSvr5+bpBrdzOLJXmoe5RskPV+YpaiMi AqWgQ9tBd6eLbE3P2+0My2BRdYjUQfghLdXtRJLOi/avuZXsmWzvsaaKtZES8dv+fzZKmkS2vQ5W 6Xb72fjt4mxQLPok4x6CGSfU/lL9VPtQKfg/s8fikjwXpl/6Xrooc/XWE4SCiO31+y02mj7oFVtf ep8kDkbLvAaesdHmW2lzk6dDir4sVYzuWTCssrrDtH3HjtNgxMDLlRs8nJ6fkz7vELt99NhL94x8 pOrIQlA+wu2Vn3iUhw5wM3rXCz90j+fZqh7R/jJ6K2yaYnjbzz5e0aB8Gaeo+2bsBmUqY29xYIpJ XYUUCLBSmRmvs0VVHfYTqN1ZG5BtN/wIsoks3Es3pX7O1eqRDpAdLe0nsyb5ATq5g44MoBwnXG2i lpfAUpobARc1Pdarqubtx2fFFh260pLM6NiAPk/hh5Kw5BXf/YlveRcwQXYlJgFd2CqaG5+YSNH4 xcjdMu1VWhxBRXYrdWW7bFK5lO0Se15C4VrHwhUgXgrBbNSIrMZfQHFcxryXPyGz0QUEo5FbpVVR dUcoBEOtxnV+Jxsz0/ahTUe/JI5XpstpbhsZAutfmDN0ET0x3ebIfoGxBanugAHlP7n237dNvfAR xFpxcoma99XDLCh8puvWGTW0QMys36C8tZuZAge8OjC3zap/L2ayrzrdq0GCl72o71Zd2NFeHcwt y//QYgD0nTfpilx6lY/0P3tSjJTd8IgWlg8jQ85wCkbJ/aGKTPlgEsz6m9Nd7RJ7s2HuSy/CZpDO C+QUknp3c+I5+NoomzgYlQ6JsWVZ0MFHzGMLuda5qZ3v2iUPNHG/QgOB/GrZd1mhV/67KkkuRhIF jHTpanNZqJEnEhAuyos+gXLLJ5XIch/YQarY/uZrtLg7VFmZL1qk8cDgfJfxF4UL53Ftz9l0Blg+ v2PH3wSYPyaIhWXFui1PRWHd5rKad33oGATmUTynYUI53F7ypxMNA7G2oy8YzjskSLJHcOjMYnWJ l3Ak26IpjpqJhzRQbjOyYjKCP8xsQLGlbsXmFylqzh2trkO84NnrPA6zbPrrhTz1cAr18RbXxd/+ U0UJ77v2WqC+eAvZYM5j4Xo18f2NeD3POiiw5cnWJr6j4JG53TEYF+34Faz+z5CvF3SqlE4ZQmZL 4u+2QjPMdaSovZVYaAaFqfHt0ljZo0bCcFw4ql/+8jUSprbbvi0t0HTBEhChoA4A0TbjwvXYWnAp r660mSx8PknLedhIrKojpCfta6g9aKq2ieOr5xuT0kXcgdJltXpBWX3ygKztX+ftxw+NHBDdP5Yk o/mspuVBsjKntAbnGWaotfQbuNVH7VlUM27ygVSm53fh/9nnLlOanAvlankyV/HJTkjubrtjrzM7 cEdrjZkuXceSpINa9b9h0wqA5ulveHLnbgQi42rUhHyZf0KRKvGVFoQZSZplB4QOlrcLlaK61hGO +rAr50fYgUvJ6Z24yj7xO2bVCJpyx0Eh1XtW0gDPR8691TlIn9VxRfr7NR2tpi4EQvU1agRRNahc qmNxHaijkldjTPDx8Yd0RVyNxqf3l/NutyRQZY5Sey7TDMZxLA6ajIHUmXuIZI32/qqU6+4BFOEc /LLp63DvqTT3cOBQE2t8STzZ7vf6euJPidTCYSigjYBtZd2pBt3eYRT3yJ9iQOpDLT7M5FJQjIiZ 1jGCUQGYOZQhDqI8SKoJ3FuNgom7YF7//Q7UattSFSNwUieo6WS6Hi2RF4ZqY6xmMhnsmFpxaYMU YaEiwLewitT5NgCXn2yIr40UXpqxktdXxtDr8AKn5wjH5AB6Xh1LOcVqAXx6ccqQHCuRUHsGXaTu FhF+1Qgs8xOle43XKjhARycGJVjM2X0FEQAMkKVBRfGQ3tHaLV3Yv0gdXg2dzy11XvwpZpBHhZZb Ag0OMjuHk86doD+XlKp779Dz9blYnCeIO23aH5bNU08WHrCZXZgaCJd06zZOUAZK/QGQlKXCyHkE 3P3uOoEwFKRl7ROBugIISAmNosBABD2JkNpR9w0iRByXCQkDevyA+8TF3FkgyFEuIv5amS7yPgf+ 6Jn2oqFgDkXDZZ7+sHGx5Sh9f6XvkIJ2w/4xm1k5JzC0RnHPaPLYTHM6STgX7oQlq+fBH8zLYFIo rlnkI4RzVzhkUJeg7uFap8SQQCZxn4YSMIOl5WQkOL/SxsmpmDZ+/BpRRHwG3HY7rcwWgVibZG26 D6W4Qr/ZVcLOGi5wGKdx/DFgefKgHcyda1bVWHsLuLuh/M/KAvz4u8YegFji0hy4iFAhQpXLzT2/ ErqbVd//Lcw1BCqsMm2V2FW7DRuT7k0jLB+9fYfTt8wrLLmXP6/Vwiz+mQlSTBpMGz+t60sQ9WzC 3Dr/7nvYi85mr8rnAb2lAhdiB0D4+yx5HNh1KKeFLGWKTt968X+d/6rEOBDv0Y+OptMMoUcuSc5/ VIJXF8vSl9853ktP2/2d87p6lmf5LEeiQoyZlZjX/UORCnPIYSxZ2IVKSkxo7fVYVEUJZ2lWQSpL tYYBphNYSD3qRtps056v4+gFYiaQps8TjiZ+d/IEqrFhRzsdpPsSfx0TwcCudIPgivQ9OjCaW1wz 8jlZMyLBVP24jhzgnRS1lJSKSa+AjRAMGg6aFdAgvwyuwIqTllR3ZzQ830WCwQrOvK4Y9BBdyhQH JznEXUiGW98DDYXkOMo5H4KDMmBFD1Eybk7nowFow3SVBLcR9amhPuB6P/+l2xb9h2TIVr60j9QD UhhnMn+vsPgY/nzDRTjFjWf2HaUMFQfn3DafFz05iHpGAE3WfbvjGQlLOYfJzQwalxDpSdU3CngK D2BAMwy/c6YZgE8DXYupkNoeExwkaKCPh8mmkrr2Lg6k6sLbOFragLjJJSjK4CxfqT0SOyEfZOpb o4CU5z+b/KLvffq5htzmfKZ3Ww2ACnrpjFsGPlyUKh0pdcVe6hpC0VcsyLd7Mr2xiTGFirFJYCj/ a5ZIqkJ9O3ran3PH0QR8e8dThz01RBm4w/k4qYKO3qzTjG62xjf7XAEHFcx/yAlGGxVQAQfVXSpb 24ITUMtq5O3A7C5vP9gDwipUbukT2+RVttrLGRqqTc76olRQ6p98aM8aGTc70Jvmyo1NUc5Ms5XM yaWmFbcOZiLaZv+x1tdr+soIyuyM1x2Wy9ItCgRakmIqx110eG1LecQrIARfiUpx8s6LKLm35FYp puMDiECecVEK54f3T4s2vO1EIw3Y7Yd9bPNU1XVkccF4YUZk3RvDTyn/IF3L4MfzKWQ0VtTLPNez AsFHvAV6yRnsPv9oWnPYCV03GLKCfw1RUvyuFl4qMV9pieTAIP5Tn/256H7p8s/k/puDZs3v0kpx 7C61DxnszXhzEG6RAQddFHHMmvzicyLcoomiLcopAQ7Pq4Sx9AtcCytARNi8mNV7IYf3u7ktCq9j tTOnFvvlJkf8bTdyMoH50ROgA0lLugW+onR6Npc5LmdZlh26m01EawSxciWQ0msuBdHi1h6kdtzE 53Swzb/j44OfzeTv6UhQ69JHeFHJm7lVHnhmfRmhbLwqIM0imXSQAciMFt91ju9QReJx7xTLxOMr klZNRP4+Oc1i2krtKVULSmEvm4/4WOFsb8/VXWe0gZdnW1gdiRmqNrQQrzM7YfgbipwUr+qr0hJv NcxEq6M5svjmWoBnK3XQi+Wqjj0nNk8TL3M/ig4oT2pq6/bf3TTCtVh9tzKtAplXlIVQSrZFCfMl +XTJJ7W/fEayYR6qUz9GYYNuHaULB9nuVQT38E6u/DJf85WzORdiNN37EZNMqS1j0u3xpHCqHltV E59ajV2D/djXMDqoEy7G31a/R1bAnGUTB/HXv7D2qpROfgpKMQLSZkEOSs9/itaHoRtqPUXM20ZS ex9YP4Zs79KHqJCJviK34imbJpRpa0HRJSl2Klp6HVP1nXrk+9IupL9S0zGh5JweLWfW8Pf2wETl D2Vlyw4AzTXnqMcXuOaw9naxI/yVq3cjRG7vRweFSua+PtfsNzbnyHjjWV7urpgpDl5kJaA7Oriz eeeK9fdrmnn8FIiLMV3K9+tD/zwbvA5Wcd+8B19AtGlqeBldlwOyrGLGEGbhCvIMjBSl/OXNzSBY MWLy50oA7D5SzllI2rXiGj+ddWvulGxc3416V8jEvp0S7V7ZrWPHIgDkMKBSzqIv5l7+gFbgxw52 eU6HG9JXMLExHBnm+6I6FSoYQr0mXasdhFj7nNVN0FJPYw68U6Wd6fftjGA5dHhivT/KtgCGAS5N G0GschehpvdBBaKmedXr9zi8AbnCCV6Zphkb+zXkhoDlz7wTrpO3sBjBaiVAxvOeH9hEpf/hItG7 QYgFWdU2KkkeaKpJhPtDMWS7ePLlUVQS1b54183U7xQVev3b748qAZWshB1px6KeEpNhhvZ9DvlY WZPU7QgVGncSg9/xAsSjBoku5FSI+/Gs/JAnqbgZPDY0o6vX9X/DtCoovKJgwkrqbPMh6A1U5y+s cvf00UROwyOeQOxNwWGVTjVUjFjixCCmHDMI4+sRHsjQQHnOMILdQ1o3gi7OzrTOhl9Piavmew2v Dp9Yv2hdqj67TL+PUrhWS93OTwClIh1/IXaMjaxMCoQcTjzPYDnMASqdjlMlkJSHY0HdKwc9VtYW UzHTdgQ0FcSH6szLqzgTHQ0vf8fX6Cxr6yYd5y8BZ9El/L35DTN48P4a7q7DAfovYZcFN144Rjra NAkqaIxjPBwpRDDFidt6e9Shcdy5Hd1JNm20Pokb9cq8qbIFvWwLu1gy73wP0ZhdoQ4fRn68grts yUMxG+L9G9RioDOHKc0um+BHDbse5e+qrBM9nZxyqVhBTLx9OLXR9HJAHNEgaob1r+MH6HfhBaH5 YY0FPw4j5MR6i9lYsfFfJ79GI6xyrlJ2U7nEMhAmWeG6x//evDv0yOkWScrzSQJjfSNLnGMknls/ BnDSKlEfn32YU4JGy59WkmRs4wXNlBknFATNnEjdBPF6Qf67XVJW29GiDq7ybhBC4Fh5ii4qTRwL zaEqs6fVRL44r8ZcRuW/lw1eULnEioMBYXjZfBqUeuJF1rCiJxyL2noG8DVylRqMPTULYUNSw11D sHJj+WsoXdXFPm1ccvLsoadxiOSI2l6stBTsth53oT9zAzuM0ubXvUchOC5fzpYqkEsyGVLftx3t QvmjBu8FWuohSd9ZDfsbrbqTnWoBdoZJpv6+r+Ez83FNzUN7C1EIyukLuxHhzNkaAM0fQETB2Eaz uhD2J+ycNFQXX64k9ovkWMKZSfhAjBmXuaQm6mUWWSy7VrJKKoK6FUixUX6E1Fnz9BA73sO25oFr bJq2jsoRFXHaiHjKqR0ziQkatd3IbJJ4x5xbD+3WpDZVmqCFHAjmH/CevSogUBJufqFfhFQZyGuL SAEGDqtw0Ur9eBIB8GQOp9SfKfWQNkRLq0zTbQQqZy71djF/HSUwdRtf+kete6n4+0UD6omGYa/g dCvGqCE8o3/ZEFBoYJAaVM9GKggbIAH3eAl8nKaaeF38I7019DtlkY9M8W0FMfusbm19BsivzuHQ +wdU/iuV4+jlZeXtJkOsch2CeSwblYFD+CJH7l2EYQv9fOyT9pv68RkMbbPVns0eZJvWU47tUcaN oljOhAhg8G67ygbP2Pmkz8z78z8jfVri4ERZ49ZemgrLg3dXGZD0tBaCmnton2yYwPWGdYheym2N v0lIRiD80FNSpJiuRTGeCQm67DJuNJ/OWm6y1RmV0NRTgF2kQCkL1eeNGqZbOqkNrEZVl+FvWVuQ tPcbSGPy7WfKlMkTqnm/JK2vcjLQjWE0o74NXfQRP1WZTaOmrTAm5UJYiuN0xLsqQWYigDhZu9vR 6HJTQ2DLyt7ugqPNMEOI239/8OqkXqR0KGGhCP8v3dFqCYwNlweBK+V0LPlyZcfTf+xIYYkeFZxz 8PdLoav20VQhylU7fZEl6fmutl85oxhrh6od7oXkIU50bg5Qmjyc0ePLySKGOzcIdCp/iuBkdTcS IJYzC/MjgTBTd4ztEUcHvwLKzZSb/PcWbseJulwPQ47w2sL/8dcAZ+vdd4R/XY3tvvJnh1C3T0FG HvK2KI8QCUn68JzFCJvwdS+HPq9y8hPfiGz7A2RPpj94dHl7hOaY5AyFFKZhQxtGVZz2X0zkTmQP V/0B47eKwMk5s5iPprN3sAZvcTBQ4xRLyFaxedGpBKdxoGyU36Hm+OPB4mOgiUY9zeDH0yGpKGjP /CauKZxsRT4Q/KsF3u4QJn9tFSdQ0CO1am4HqVjP3NrRp6XRpvsqSp59zepB0Ei823S9ggNHl6Vx z4MUPCGUVbFkjOinlBFURcpsdRu0bWwkSLGw5Gu34+UzEMAEp5GH7yKhCl5z8eA7Ml50amMY2wr2 IVkuuCE4ecKwDLYmMZfxgQfa242OpB26yve/rIPj91FQDJ91TaLYLwNaxlJbFEVQqq69+kfONQws h0fSea3diizURR0KZhoecRpfquR2IakS0pbWalDLqlx1muZzwmLaQGCPFACxJasdYmQ+C4eN3jXx XZsUWZLsXIotVa/3rx9HVbTCkH4LH2Q+1dg0z7n8A96qGWGxDJKezo94g2De0ff9UcX1Rwlw1ozn a5FFcBAQWj9VbZu1llSmOwI7oxECn/bm4wDpX6Ke+RLhpR7XQ0XUYZ/EDvvblfWoQAVyg3VnFlI5 QzevQ/LckZTsOX8ce+t/w6HwgrKPrIu6rx5HLHOdIhKLIf+yCEsSB7dHCLMuQ6K6sXbvCE5DPcP9 vIn8/KrEArsaVCs+qqQkJByeL5WC6sUEtQrzt9/pAJXpqnbtwq1EMQgdvj7U0mb1uX2DhAezsLtL TxemqkUxgybvDomIJgl1Lvl7KHmrzPHi3+go0DmpRfZpn6DftsKo2bXYJU/MuDTyicwmCfXLlzGV bn5mfvqW2mmsMqCCHEbGVrAOf9LvinmZ+PBXBy0qKK87v5Ev0g7mFMKc6fUg/tMnhZj2V7TNTiyz Y96eOaKfey3F2jSOkWHP6S3wUo8AgFER7a7DQ2IJ1fxnjmQ54THu+Um2xqxP0M0Cso1neeFj3hCj UvRtKA+qPXfEUcc9r0nXZGNoK3kcJzdK9dJTmgxY7N+7ZTU2CI3XKrsYVcaDt2LB0EWTGyog+isx r5xY2HznpUKpS1PlYPhRp5CbUFqUlVAlbDYpn+e5P30UWjuz8ebpEyh4TQY8VIH+XWZVcn3/ZaBW P08Cytrcn6+b3/pfOIzEmAukopUKb/NgGOcZGwqg/3likiBNwCVuGXbtp5UL/HxFv/pjkZUneLG8 AUwgh0OSa/OH938vsKgDEi91sIZ+9SkY/UVQit7jjSoA/uU+gezuInaxEc65hsD8IgApRkz3F7nw 4ZBsDDHwaHlAJD649PQvgTNVaGnZE/93+RxnyWrqqooajCT2KJrWTA1BMpVAPRcjDqUGxmAtkyAt 2NBvYQ5DkhEXJivR418H6T8oEjmRK3zJ+ZPjf9wboRdDvPCdr2RWxbDe6QtWJXjxA/Stu+rzaz01 Ml2wabYajD62lc6lOZ3jsyDjPjcQeB95c25RsxtWlot2RoKYF0rwyh39SE3PBnT0tRNCAGZ9EoL3 XJkHkqTc+80vFXpWBWMkFXelzTq65egp8to8ef2qK21hLYDZM109t8byct26aUjup8t2q9qH2uXt AT/WEZlsioInsaMZErayMZt0tLoz0FlU5HU57hvJ2Le/+krkT87oK76QwiYuGbcdn87IL09lKCki eJAaxJAnfjngfj3HFyHyscXaVFiBGtdV3ducESHL513L6pOyT0QiiAb8NPRuVfo9D9PgwCZwEaiQ mr3ed6xpICgKZKiN7n4XuuxgZSp+tFYk/KcVyqPmQGydT18y+O18nN66N9DvZ/w4xgO7c8VJo+7w kqTtKPlUGub98fmx+Fe4I5zayXtgoOsPteGH4E6V7Duv2L2901AxNnvQj9UAaMbvmu1kMbvymWqh Lk2HmBp2kDfV7mc5nZ35qEu1P6pz9uUm3x7oDHY2FLPiXQxBKsVkmKjrO1xeo8xUGki4pXYE3X5p TMtsy8neJWhvOdijaVJ+YcNh7LZDzLMGVlmh4WZxxrmdMKkXuyB1iuIHWzdZuYQ7Tkt3ZslH9jsJ OPGIb2duLTVDKSLwtRit+asxtvxguvdvtRfCzgAjnNAaLOQYWfvtwFpxPl3m0POmV6Cekrp/z6IC 8Xpc2QTJC7KQ0Bj6k5VTRz7hk/XbmAayKfQmg734zHt1NB2EyPCpoQT5uIu/43PM4e+7B6ahPKyb Hn1SHBtBuUr7uMccllIUbOxp5Cy3Ul0FApPKAwpmfP1t7SUs1Uc/0o229a0TkZ0ZsvmahPbjQIMT yjoWbOJm4+2VP4B5wJsdn2jpDzhAjZ484bSDXWbBwm1AugTVbnDRshyrKNdIfnF/QrdOkFQhHo12 tUjJmIYCgIXGm8KwPlmrx2sNUQUmvnMVwCw7KYXoWZUiJI7g0pdz0qe7wJMzJYbxUVVKV2TNHOfn oSIr6Ig7FIue+EbUDIuxKtSEkMnV0IFBU0IGgoDoB9I9FTMhszyIY1LPJmG+bT0oVBnPzwAITf4X pH4DkddovHH3Tp1QC+rIE2mhC4xtyQI2WlJrPFpqO1hjWLltX5lNYNIOZrDooUETRe0BPVv/Pq3U BmwwasSjeGHYOE8NakqRyPLspWNASrNyfCsJ4FFUdXRDCHBLiBE6B5f1MztR2BLwJKQdOUDWK5Z/ VhRTSdd9YAb/RbRM8y2PCCl5DrzyQcGaQB/WnS7ynSwxQ1XEO2ECZuRyJlRFCQJrOzwcdjHgf8YF 6IHGr89NnnSKJP6L2+wGVCGfJfrcT0xCHVLmohsL/9S8i0wQ3llHx5WtZ8NGxgTgXgqT6IOYvI53 C2FUDc0FSHC2801G2cdc5936xiDAoiR9yxzk6PaIvW4OTNYUQfYBlRxqQYcckQJrPv81ZQiVYgPh jf6LgTbxzLnZpJjDehK6Yw5uZts8wySnDV6vpy5dCmD/E6Y7f3dxrW9y0IGwsgk12hf5TGfTobX0 pWv6T7gIrk+habZny9dpG7PDDKf0lcHmxpncN8cg+ikoU1HMG8mAYGEAIZUqcGFoiRhZaLaBRC4q u7+O99M/cVDr0iLH6/uzUVj3JReeXIJ31J25VExXEmy6bWj+ZzENuiMDFAhYST7RecykqfqeK1vU L9SStc1MVIw4xsHMaGbaeC0WSO4z8JxfPlKpP7YcvfuZPdbscfrBQA4py8kJI5ko9MFkHn3ZZEDM VPSyizCSQiUHne93XGhj/Ucd4CqZBgwQlI8Fudqkv63w73SPKCeZvmb6wz1u+BNPmr4YLEWttHmR brhmH7peiQOzca7kTO4TKkVWvjvrsKJAmpbJ4Fq45qNjOVtS3CggVX183dPa7nccep85P/tnmL8c VTs0eNF1VaanzSqeK2AfSXVU3pk+MNvB2zlwZ4QSiPpGRUKaIZFRaxlrVVwtrfwaYVosyRyaNz1x 0RG74q3eMThX+U7o6wfVZuKkw/GS7+02zhX12aPLizlPmvZ9uK/aCQV0wbOFgQ2qKCdasWamzblD RgORAN7fVZwH9MF5tNbdCA6KYSmDM2ZrB0g//axm9084DliFEhsp1I25Fkdb1ZwFb3HPbWC+ahOL FFDlXJ4jn4o+2pboyX9O/qdHrWUpdRM8F8fKVa21B9PyDyum4JVwz+hyrsQF2m+/TU/aNFaFTkVt EtKspN+uhGBJxGcHxCMuBhhxsT4ZIdKadjspZK5e3cmiulEUI60w+4kLhjBOvwN2n5Vq1j0B1Uvt TS1yHx/gLbLj36+9ORQiJOTtkBjK/w+rCQwYAarKm+Jf8pW6P4HF04Md08xEmGCWIxjqSRqO8vP7 0357I8re2l4oSM/lk4mjUyI5tkj+WfVmQ6/FOhj6OJ4y3bpVxkWN/ovLR3rmfJ5VTXIx52E89qcx imGrqCj9MCjrv+oIUnRlJ815Sswqium2/sE1D5a37ZOEspt+c0qSJmII6ZiGy64yHsgCmBzDjQcX ipUFfkxfsCEFrJk6EKZR5vVXbKGwaaXjNZvBd1X1Cc0VMbvXqBQhWx7ykPqIQ53EHJjwVBrgfChA LBVYvCO5wDcS6KaF0rUpb/r16SgsW1Nq7swERmNNGhkASH0UD/A60bceiTQEjk1IF7QjJ/5NdG1F WXbzqRUlRhGZOrMJH/zUSeUog0StZs6vCBX5rOxnnDWJm3cRlhzu6ZWPwLnH49JB1nZs8zKJfAok QvtuMMojqV4mYudxP6vtRCLnSG3zTB4jHdLO0su2VuDqubBXJ6N6FVJ9EJnowV2Rqk67Mu7FQt1G 3NouMF4UxUbRQWyTk4Kue+NQbZI6HQ6QAWlioF6Iy2lGijn7i0WEI04ZfvfQ8ZVMWUgN6E0zw9rY /YgWArEEHWSbyUMoP02Yi3ezPVYo/+UOEY7iFflqskcdDJUnB8KJIlUu8/WBMt+k94BQ38PbMVUL Q+SFgjLZXqI1IesS5NrVCDNhR4h/38qTcyIJfJKztua5dg5c+cJxSeu6soE3um4P/omnNUec8FzP XoMrhCablAg99Ftx+9RMy9s6R9A+TZ/CzdikCdhUU/CeIv+n2B3VXv5GpcDwQY/FLjPLOHa0X/kt DdxvTkkxPwXhvOV8jaaYtfo013/YUT3Uzz8ZNYakvGpHXIroQXTb/8ZN1mcnNTeEY90LNBBtgyy6 R2lUKhCtsZm1FT/sUrI/5srTCl1H88pweu/FFtmRPLhhgvlMqKGyiuRB/PrO4PmanBSVFRmcsNfi TrEhM6Fq5CcQ+WytYq1pmnxhaYVEcKU9l8hJpttqd3olVR/s8M1cF+99Gdjzn9pW/UDUWMXhk3Ro xdSKhleTtU2g9rTjodd4gJ46vbntAlaGAnL3eKjXp2CulliQWLucrqMuGgdJewnZEEFqAsSSv7ut C01j6QGcwsCDlCwOrqlvOYhHoMAIAqCDay9jiUs9uFjQkyOIS3QtgnqlbdVcZvHTTLigrWUwvmD9 WaSwLAKoKP7QXBViq+eVfTf3IPjYiNE7daa5mZqLqrKcGrTtDFR+wvRdsWD6SU7d9MHNBnDNO44w 9ofjSy1wRXmv0n5K6FFDXuBq1UNFcpcs22LJDCOdeJhgOhDINaKYGyxyRpMhatkaDroyHynY/S2s wOt1imrrwkgY3vyS8520JJcKYVUnboXctaYEOpVMwwUYWKDcxm2t2Zep/vy5/0253ghYUTfcpRDw 8rr41/sQWd02JQNBsiaecWydpEB5gs6Vb0SFNKkFPjsQAlmS6h5AWtc42q+M4Yi/t4Gjw5lobedN eR9mYEKj0cMdrr3gtUjJW1mBJHhmjH+97amwqczuC8QSnKD3WS/zyifG98tN+Yn4RvwKzse/kMP6 csLf2j6V9wbJkTdlhhfy4M78Vnj18UOQa1283TwV6gA+TLI6Tok0GWMzpcEEEhZSf72sFBcBs3Z2 qWaOAPieAu89x4znARdVt18Z6yo5wGpXCd3aKHQNPkDAXB9qVa58WH8r3eoDk33VDn6zNsW5vOiL uR/4gsoLdP3sOWjWVP9cJszZYl2CRlu8IstvOvSYTUXFhhhkcunKeKya21U0A8+kD5tfgjDmBxgG a0kfkz5NJdyZqCtLvsniWM2J7xvz4LaEWbKlMNLVnAMvtRSostVASc3oysGbI8kmW0uC0Bn3clbF deQujR6pMRTz/FcbymqyB0GKyGLnbsRP6PJ/XKJg7XsqHoKVoBQtEF7Do9/agzQQFdV9uuf8M1J9 0gvK98OzgB5tT9g5pIdSkJB4Kh1TysaiROqGv5/eDHxF3HCwOX146EMF4NE+OJxQSFOOl0pzF88X wnMCOIz/SLOFHvIbWzMQeQi9EVJmwyrL5iw79hTs9fGNXKKVySpCuWP0M5dhpN//w1wdcgMjFKWb YVbmFHlscCWJ6iAd/QFgbLWC1atnCyOAD+kPpTF664aluWV86DJ/iVzouAyfpSttPfsaPtjztDxI eZmQtnXJRNA0SIXStuvTI+wjEWhwWRs2NOY9uifuw/i/OkEaiEBe4Y+rfCV6uV/3/jDqvQtEyJHD 3rP3sBbB3Cp6Ab66pxwCda8ztd7LmOitXVeAss0vYTT8rx0Hy67uboCz8o0V4j1iSkm/rkGuZsRx NbwnX8wlYQGWO4YC3jQ9hXtZl3pMb6Xcto+UAk4+tABPg4euXjNrphcsDctqfLVemzbjzTYXd96n l8KE2umfYkd12yKp7Seaua+TeQPJ57k7pWdu06WHr24uoglFmuxNXqw51jkcm5Yj2hXVLPI74qcm 66fOs8cHFy9xZ21T2i7QLtVURFMPhU/n7SCGzN+mPk0L7+RdoYrGEZtHRCRzYnHSYQ1TmVFMLM6T zWgouADefEhcopEH2Awcrbo+BaQWIfrkSyZg1uNEiWvKSquTUjCx5rrdbaGDNABYNEgDD/8zoAyQ H2lB4NR9ZVHiy3Z4cQVEIq87nRIVI68YAZ+mhSyuHcO0lDV54zGWwAOpD9gnBFJekboz0WuJIRO6 UfAgBZ8JhADIcaGlDF30T+eNJz5uvMJ2WYo6GVFCFpNU7ol22Zig3rlJDe+CCsKhNMTuylZS9xAl yJO2XM8QVmQXW/hOS3TUbua5ZReRxm0CKSHMnwgK23hXOx/4EHOXmrUFJp03V8brMsQ1+Fl4vEkN W8JeTKWvJvPlmCgs3kXLtsbAUvBYWR9JsQyOjc2kVMu8G7rDQVpQql3sVFzTUSha2Tt/k35QOvxz AxR++sCQ0b1517jy9vO8teS9m3VbB9bZ+tE+4GLLizQqILkaBNA8NY7nzKIXBuxj+sO6UgDPr19U KEN/DOgVENgDZUntP3VtEgZecsgwMzmc8VLauCdz+z1uMdXCAdEPQ2FASvOuDrQdrZfn+cNrCyx5 vtYDsmMFlBBCgXzj+cC0lagW7gU/oo/5OgMODtgpjzWaBqMTdo80ipBRFKnQT+Q/GB6oGqiUkyRf BvIi565ugOjOuFsmuzhl7cx+eN71Hd8a6pBwrijG2oJyKLiNGpB9FaKPpgtaZfp4cYdF0ZjfJhT6 EgGuWWoeBiUsBQErneLFW4rn9iprpzhwwxCdIyDNOtSAgS5pjDYNCobF0BEFsuHQixYwszS06vNS BnIzqoXTCk9uxvY3khMY2h8Vo8e2NQponA+MC9mmCODaHOuL1eGQWNFPDOfwIygag1cRjz9UD2rB f7/pchYgxb1MOEWwzNCg+PJjbleRAlwpBxYoMKrFSEt5qyBVI1oK5F1rOiXKnQH57P9dPrGdoMMm rcmh9KS3xQShvjKs8733HeZ4bUI6jdJn0PmArODr0JHkZzv3dvE+jMvApjVIRklXZQYGDxvMOZ1v +x528CtCl9F6EAn6atalpJz7rbOADeA/J9+6J4UH9/9khN/rhcGNh+KAId+jqKbge1juJv2O8uJF rb/uE+m3Y1pu6wHc0xgbczWyct5ubQmUNQnYj/yQ0E0nexKGd0bKS2Aik9Bl3uLiFqjxA1laPSRr kfCuJY2Q3cPXaZPDOEwQIydzoTHAXyNb9hwDgYPtN4pZmTbmUQjJgBd6wU1oet8VF7uP8Mtnvs5S w1F/DyVlGEke+SL3k/21wiFAvhIsJZ70dnTJjoBkdYS+xMfowMCDdFVF5rPkTHp0npKeqxv6Jadj OCNk+wgMx3W7BYnNfPDK7Ze/ub53pVKhVj9m9DGtGm66IpemvifeoouaYvdrV/WRmT6SzEzFZbFD e7QPVMOQlQ33SCDWLBci4k1Z8wB/doV38oa2RmWgB7OfcU4/c2q3052OEVgsK9tUkqjpG86V38Q3 xPJpDdQRuhEYCxwdIpsa3oGeEuc+TFdjAjcLYQhN4B9dRBL4nIoQ+N923tkUT+dBlX2MgvRyqmHu FvpQe1+4PvuIo0yBvWAiohkdPk2cPol1k3QucwaoYeiqasg/1jOF2mqXZ7UMqrgnyOT1F7J832ve ZA/TWWNFkiNY6jjBWkKMtoXM0R1hDn/eIKJKVZbELa3tVKWaAoT3xslSb/BNw/ALMGtNtvVeEWcK uNfaJtYdKHbsqC1JJBp6nxd3quEeohNWdeuopXclhHmP/uIe6LP/tKgDC0h9AzH9x0io/Wwom5ES IOIo2MzE8JiqOEKN5mSzPD19p/yeLCaHC4WuWzO43xnVQtH9CNZH2AmsC7f7UAfAUAdOCQ1iKmkm ZmMoLdMFYB8SPfCswLzCTm3wkzbWm2vwPNLoyFvVnFc6Aznk3vyFMxwt1eHPem9Ng98eifvrVSBx S4Cboen1DHPbXbHl7BkAtaiX2SefV7LVZWN+WG/ZOdX2qWJRI3eFLfKYBybJfdnLmbreRlp0AKsu GNT2ZHhy7cAXVONsyRi6GuZjlQoWoJxSAaSvLIMVDBVfQ27KaUjq59lujRWOBegAc39TIX+MclLI KTW53UKSiJ/miD9xnh7fCmun/EZiMZAgs/rKrC1hGHDFtqnirbwnHa+4R/Ng8DFdSLAR8cHPNXmu ruXmH1DYpfM41QsFasAmzswjdGXl3Mm8g8DFKcMMcYa1/RE+vqZvFuGnmGoabmWGYiB90DacRGvW qUgVcvdLe1BHbj+mU7un+tuEKDhiMNi0HDiQU4/VAAyFBHtY4BxmAe2xvNM4wWY/BZ/ynL4bCxpb sQ1IoALG359J32cScPPtghHD2uVUcewwUw1eD0zJYe5dyAInf7U7gZnXCkL4Ms1YYQsUMLF0U553 JIkYb+I9E7OuRKF+KbJ6zJKXTUJZg0jH7bDOyrzZ2bZl3OlERthOZKJaokU++f9mMKRzap6NF16F AtDjO+MmJlzVdwXFWORuwQOYuY40z9rD5Jt3TgmI+qrJkHekBnBhE0POyetBWaJY4gm4YncFSNgI RnNKY5jL3H0kC1wSFoiFL2TzkVu2ZDDIHzBe+ydEl1ATvYsmyAMYhYEqQ3kVFBdit+miu4ax63eg zJrJ2U1wCHRgImEmqXzeFUW+KiqBvfEemE/hotwj2lEaWkTOZfSrA/xYo8UlVGJ4MUyftbaL41O5 2IsdQLnlvfZXOcNRsyqVRE2s0OTLHIRICy0wspX/pazjRtFKRymfmuEuQY1HSkqirJdsutCEcOwe sS28q5E9Ypt0tJPn7DCkDEjgT/wLvSSNXR5Xc6rWtoHE/Lt1aQ3R9HbRL8PR5yZsx5VqQZs8qA32 kIhu4PGEFO3OLXTXTtkoHk+qZm4UVrcvGX9/xg/1wuZ6ca/YoPxDFuOnGeugwKHwskw22XwaIWSx PpVwB/QRA3fEPvP8pmZSyBZyvT8tuFyQps2p+1qWt5Jv8gjrKj/c8YVqU0uGZQf7+rCuHa8x3thF lG3k1wuzd2zKD1DobOUdecqYFpnjPv4hAWvsrMyWx2RcbM8DbqF/1EKL3Agjfbhx8VrAbiHNRU9g NNKsvEQxKaVPQNNnTuj4DE/V20nvX3rn7K9l2kXUylQlW3GCw5dNKJsHZYzPEZBESc92E0bmSJtS K9DKc7es4jZVJD4sBrkTq3WUfgqtmE43I2/IRp1bJRGN63WAjgzD5lRTu2LRi+x+J+ggm1htCp8I E9KnVsSRwyZ+clrG/HejLIuFS4Z+wXvTXqqIuzNCKwV/+OvWwkJ7B/wKmNGo8/hFExZq13Mr+Gvz rvsq7+L9k/+2fj5VXrgmOFxIJJlc5D3GwbUqho3T89xHIR4p4/uPR2e3zqRuBQL0rJ+oXUC8zs54 n+jL6XtaozcCF6UydceB+jQfr0WJpjJ5a07PmmtwYWduTkpVB1YNUPI4TsosmFEys1ezCR93w3x7 N3dtyway4yMoUqJGZeaxSIN1d/vMZajmasWNPaELKE2CFpHzollF/7JlnE+Df7zCmGUBp4BppIJC /Q+uj6+RacxeV+b5cu7gfs0/q7iNsbpeo5XExu/a2Xg7wJu0KAiWS5Jm3hi8M4BmwaaHyA3txKEu G3Ns1zzKto12nmVePth8dhAvllWOTVjktmxQj7IRM9EXVxXsd/C61pDB6SzNR4RplTzmZxi9xBRD W9GtYnf5Kdih4AzgLHvQ+o2L3RakIVFJPRgsxPRN+jhYK8Od0Lm0LWwyKUBkb9UzHaOYVfS8Ux36 pwC1lpWdZCT3sP43YT6uc39ZzSTMA1O6g+W9+0EDmai18G79pwN90Fs9mysZcN4RpJx43/iFHsic OgqNlzoI/ToLm4YoGFmLXSkV+MNFO7ljztwI7HpThZ9essjnd8sh8gzUaP7m0bMh/tMPXS6rD3KV yqL7PYrkIBj9QilUWg1wWmEeR4QCS3Gz+mYPU81RtM2F55XaaxHlmysw2tXTAkVPd5YqKtW2f64T mQNY476qNU9bHfBJU8kWBjdY/xjw/JdyDkgdyMO940yBzWhNobgBQJEPGdDRF0/aAdgO53oA138c /zpRxBCWRQPneCBM0bd9MRmXRHtfjkTyh3bqEoUQTigzv48ZKz4uqJDquoOVdQtJPIgfLs/NxODE eiRBduM7Xot68f8tlxg5mfHWwjNXE2qKTqnW2pgNzuPLYzSlsrEN3uZDe1EmeBWc67E4avZpDBoB 9KEJyuQiQgIy6sGegDmK7yNfKqkaKAZsJaY9xpb0XJgc5JSgrw9Je2flECSC+MUQo2aXytBQUEbo CpwdCtdrJehcTEDXue95i9jpetU/C/M2Ed60b1vGGJAh9WaeWFyA/QDZufLiGzB+WsZFbwD1IU5B pY+bRejKvoZuGhR85kRhZ77osH2iaTCGxgK1GavoqOX4d9Ii63IYlk+nxOQEICYmn09oFL5dzfw6 CcivMKKIJnHrlLrZKejzgc5iECVWmGGFVcv6crnUJ0DA/qHApYoMfqNDyvE1UojKY7/xNIqBPCKE XEUvyTCy1W8Eh4Kzl31Ic8r/yKtgxuflJOCiphK/fP/DlktmYBZb+Tu3zHhBDLrtUxQfiYSwbXS6 yNWL4Mh22uo/9sV5s0UKDm3v6bv5uZAhiS27MAQ3tXR4EXUiDdiSYSKELWxQ5Lsb13gXwEekwRRs iSH+T8LwhERkBiWxgkAZYfQeatzyimZ5VcNLDh0ZPD1DUGfEDG9imVCEGzvl9kBxTLjwbJUnBG+v sQfBJ3s/E1+AQIKc7vkS5YrTAKFuesZ8Y5cRT2rao75pCSnmUJJFCC3RLwGmoIQlnObBnIsWDa/i BRhUYIR12EtB8xXMyskTm9ER7sMxvOQMDkaFbLUdti9SlUFf7zdzM9h44wIfmU/fN7nLdBZK1A3X AoeIuf4Oimamjd9BCaymktXg49XAGrIZnwEmQxDzde34tPy/fCjVRRRJDAhnauWCvZvMIc2o6s6b b0T95xfp1dyYHPz+K5vPepolDwBB0a1sqUgrVpNtMd7DtvSyOD0nLk1NC6+Bpm5Hp8eXF8eUzQvL +ZG1H5Jnmybel88bNjvDHOW7mPErG3feOcR4IuCzoiGsMCNtu6MUtQQu2R2qH+D/k96v1jRjJbkH O1MTw/rMAvdRewWIUXYA8rXNqaotqUr+t+LCDkJokd9jC3A4g0gTEyY+ly/DyqJ6fOC1Er0ib/UJ EVPqEeWBMiNKHdOWstarDHyIaxdfXfSdBJhpLX0YFtNkUhmMt/6wSIPOM6557iTwxcSZ6OU0AWaI LPskwA94bBnF5Hd3W8RDUKjZCb+8jNI3wZ0s9cALDhhgtlNhGm/EvNDol/5L7lu6ggZ8GVxXVNrv Uyd++LiWwTX2RZ8fVFCr+fEDcI5Fa2cxVv3ijlk18igLcqfI7ZeebHJPFx8rM0WvtjxDu8lSvYlh ETJqE88O2yfXkUyN5s/Dnn9wPPSPJ1MbUwB+RcvkUAFCVv3Ue2kSb3Qm93nxp+Xk/WVBl82yVl7t LDOfh+MQ4oaR5JQERc1lahI7cDFx5GUkN4FA9Kuv8cOGCuSf9kSTAM61s40VujeC+DnUgGIs6Kr/ R6Xnh3DOlsujphsYNjwxRMoYQQCDtxxp0QM0hX0SUfVuO7DtO18o1qaeP69K3rRqFI0PV5q1WBla gACh5V+6elVALMdzkKyPfcOKSSwTSS4neQsaNE5kOEzlZjnWnCLpgvpyshPpR2m+yyuL2XkdqyJP 5WG8R43Ou3SI1KcJpFPc76DynJ22x3vkpePvz01RdfKn9M7zs38iN/ZyUEoPllTnrsVJefrtJ97U cgNczdTbhsynq0lhXVneuwwhTO6HXDzcc3rP6qLEvXowKP5kua8oyFl1CdzPI3qigqED/aT3AOhX uInodVRjQ3J1nOnYbTA99P1/J2/5hQ7hoYDPs5R6ulrePL0wJKEbICMsU/RPXV9Ijz6ZkCSVTXxa tsjc1pNjfleqDbBfOI76kYSZPuvqryN4ryHM7SyKNkrv83SLYm4BxgRh6sscZ8uZoiLkTfOg1+mh BAQM6CcXH+rdYb25LcqCwE7zG0m/bmK3SzhpdFEhCDtIwZKt7GMfcmrpcdHUB9MXI+p2ouYEwgfL lg/koMv6zQh4CNN7dE3AsFKqDqPY1UlVMcEhHHkXIZtTw2UXYDfBwmFC1aW2hdABrmG3nmZYiQgE 0DDbkZRulvzTy+o9ahqZyT8gGvK7Y3m0gGuinvUEVtzt4j9O9meRBz9FKzLBTAJNUFutZ1+BLGoU dvF/kL7S8vWiQ2jm1NGWJDvTXGdjvW8sAzpJ1kH+CYWnnX8yIvsmXzot8da6RUIYgbTv4Y6zqQV8 +J20l57lzlcWex6qAk1eceyrskVvlGEiv99VtCncU/NFNUtIpw5HtTBjOi6KNvHB2oyNQxXrJG0u 2gL76lK/XlVBrLmLmSpx1C5HU4KGPLC+w9duwTlroPVkdGMx/ITnoSXooUcUZMlUe3gzNZiyYJeU VC2u72RMPGdSgESKgGisSAz4Be1S3tTKitShypciUc4n1U+cbXl9gkpkTkAdr73x+CgB8Zs9gQ3+ 6Co9/viaCbXqm3bVWjXTRxzdHha7D4975VNQ3MQPeWAAlPtDpHWbeDXPKaJZ+PFRoJ/UiI0+wG3W SqdeAVzFA1O6wjWNkyVut0eQDGaFmt68KPjLjZgem4MYXj98bxO/66r3fJwByYt95X9fJ3Wu+xfU rsd0z1FjkCGtejNo0xgB4eY/069l6O+IuHmeIzZxcWrjwPtZchvMHOA6QrBd6Q1IzlleodC+2PVL T8Gb/SrkxPdEHsSbzf6GSG1sTR6bH8zuRniFGIx6rPcy63VHSEhflTq3QLLiMltv0GGhWkVE+1/r yz+KJw34u2xu8E0KYZOzwVO5oxI0nvZ0nP3kG0iEI+Q6sdkbtaS6qPq1+GpJq0mjmC4UtdX+8Od4 +F9QevcWkGn+mPji1eAiTNriOaqTCvY4uoYjp2YdVmEbCtMja1vM92D+FzPTIzFoUY6aCrLHowe3 6s3OG1J0dWsoYj//+uaY15RWdFSVt6jjKxoQcQRJZOvuTZEInE1xHjebeOAc1fmlawGQwuVqyjaC 4x+mN2LW7Pl4k95FiBlOunwerjZgINT9mhx3tiWV7n0s5zpGLEg8o+cdx9G39o68Xdb2unI9pgiM vrXrUAq3b6uG5ndcvJYxOQG8cd0AnvxOAzFUcmOvR5WX6uvSil3ql9vOKtCM7y59wyBinX5BedLO JeLDg8m1gr3gWiJxwhVQnE+Ix7eH7Q3BZsgP76/cNW2de1fkjs+mqIHrtQj0rXFsKR2XJU3GOVFc NpJ23el2FnqzIc8UPPI1jc5PdIu8j7zP/02h69k3d3B1opV4lQuwltv08yjoIZzAJ7H+o8vKXhDp EA97sC/G3OCk6pNqLyG+3JAUTRHtNnLrmunr6w21Kq5JJcVWAF6O9aI3KrgV6I/x1bB8c25uq8JI biPguZ1gxrUAszfGIWGKv0AxAOgFtHbNcLkbBXGBJ5bju/L08qlQoOWYrGfJjOOQl4he7VlRAEbh /pm1XqE3dgZv8TqWbdftJ7+eBpjFt1hCYqAXe7WOe8kMlJwRpo1YY8LJwy1SL1hsTN4Pc2CsWj2B i92+OnKJWdh7U9Ef2/AY5DLkRhliPy/kEeEnL+FtviaeBthD55uEe9Dn6M++m1mDXIFqbKJ7zdgW Deyk54K3h5slMxByS7sJEJq6ybFRJQBfr1fLaT/wFNKe7XohRS7fe5Sj0gfdsaubBJkYSc6vwu89 JjvYqXH6ZMLyT/6GdR4KuEBsurSG5G/eePed4kGH9w2ANGMtsfSC2Ag0D5IBbahwp7Ke1+CMhSvu C79GW1E7JHkY+0nCaBNz2ZQMHdclR5tyH5Np0DSIh/wufJHgq/R6VeKEQT+5+yh+Z1WimmT4rov7 daOVbG0C5MNEimSYBhrjFO9EmjrOAgi5/cCmLcTOsFi/iryMO2SxUY2An8UA2U48mGKMHrfbSuZ+ td7VokhPbrWvYk1HZI+IeW88P489JEm11wTGzquEFq/vtMABRdL7P5sz48CJf/6mSJPMyOqc1SoO g6wro4WEw+46DBYwTf+pfzeEx8Sx/GHiH/IjGilGSxg5/zYqbWTyspXtD+rffcGFWWdbbpDBinZU z9VfsS7QN+nDGVL3Mj7Arp7HfeIKW30yPRdNnG/zQL8v5Jk7WMGPc1ieGV2LGLr2enDgWK+eUhfw iynCkWvFSt9f28j42Chlmqh/6FytptdahwviSfAD0hJovOKqKyOBaWRDF6i+Yt+gzULAnWj9MEj/ ztCm2y7vfkWzaoQWiHfHQyPiCkH0/Ee8rDi7PfvJ0SuZ0BcLsHkDNgun9Zf4YC0WvKHnMe/3Ppgd QPemU3dSFhaX6WlwZl72hkxpddJD4nwjadI/ee/QHsdcgpQvLvFALRoKIXTlhJSQDJ23TbPOQGKR xW1zPhaDfTqtnidPBwRTMlUnTt5/9SYFdwkhnZEM3yI8i40xSHHyfEToQwyerkzlCN/fASGl7nu8 oyNO7hPRlPxTX3/3Z2sz9hnQFg0PWUhObe1la7DPO5QJ+aWhsaP2DS/JxsRneyc7/omi9A90KI7I OFJ0zePVFWP08AwJSxu4CfG3jiWVXsgQxDBF079GPe4jR39S3lhSPum2uW/SVqMSwT+5yLKOEvhW qZ07hRTWsEPanjwPAqcxFd+qD7reLHdMX4u2jOG5lvJ7zzt9O8FoogMJNd81g7M4XcWOHyTr/4aB 85H3DXJH51y1im7i9q7ye1Bjp1QOGhBKgoiGzz5PuiFMZqZdYL7KQeVwDV7jpoTzmAC3waAC8Ysy 662xnHKtuoFWttHWbSZnS+Mq87r21AUNY54H6u3Yhs+lrOTpC6I00FL5QkTZ8BnVGg28f88f/v7B 3qq+qyL0Kog7L3kv+uUQ4Dq5+/Ec3AsifTKYRECXdi0Z5YmrT3TXs2VDFh3uJLp3DawsxIGoD9hs oghbXa0gCD2TS/RwxeObvgI9vIRlNHexZ0KM95807cuUrG7tYYMeHIFP/9ocvphjVkt0RPOcebie 2FY2+9QDdMcXwIbxCOUi8lnTw7BXJb4TLoTIfUPzovMmORC2bjcdch4J3xrcQMDRtF6voBwB8b5U 2kDqOGu0WYbIN5LihAO/STPhVdhC/Mfk4EQ+hlG/VAtmStEgerAftTvTy1/7B+zoYadc8jvIYgdm 7PyPwmcv+0kOjplc8f3lD09um7oEDbNRioFCOVuA4wezH9Ejjyqzl2UpndzsDy7dsX60xTAIxYRT TP2AevwzAi3LoG+IxovgNwDq30pAOmsZeoUG50u7lth38BJ5tt9mEHhrnEo80vYboXJnw5CVf5pm dzXDiVdb9Wz+oo8+9pkKsH1WhEykvqAW8ipiQxTrdIHgX92/1kGW0+9swZTdhaIWVQ1rkLUZ/DwJ sWLqz46GZV3hWgqHTRu8okjaMseWzWIGHacqRIoUIE43PCG8lR4m4nkP+83GqD658G2WiSlPhh9P 6vcTX9nArSRS6+uOtLsrwYO8yEFLKV6V5x4DK523DNFCcYb8ah0NPMClYIrhRiTzhFbsAhjiFkyA tlj0+I/XNmc57Lgcy4hbNgAoeO3DN1tS2IKqBRASOhDGxcUfWDKHc5eI2WzbW/LCmRabQPSp4/p5 bxK/s7A/lPuolCB/VEgHJjN8DApZft3kF+2tyFPI4M+wlZcxtQPoVASOJYBWoLmerX7RusUIKMVl lpsC8y986vqbpzl0e8FAkmFAmLJ8pjU2piTQ5Sa6AeIEpyD3Dq7wSUfFtTW7oJlwVWdtoP5bhxBT b3MmrRS2X7Jqok8O981l2rAUDLnktXDiamrNFAQsns72eHKSx/bBCBFbwb9sKteM13ss84miJXXb P1432PmGhfrrjslePlkMDYZ1GmN+b3Lz5GYLnavYBpmF4pG82hJMhmAI5ALhvXML4LA0jqJ1tv4t B1PcWGFq2AQQ+I9SkfHvWJoCJIDhmGowmYDj4hOwbyamGFlxb8qkn/Wplp4ub/DlRlr9orRxqOO7 uL9AmX0E/K84OB1iysVlKAwDHYJ0Uyr1WDJ9Xc2wErwz3lUABuO4bpyMfw+3gsrS8J2Qfxh2+qoE q7HsH0aAgCvzZStCxpB+qSEBaL8a44Rbw/Gq/MKrRS5d/avICZ4sd+XWYb/8kNoNo3g44VSpWUxs hD56gX4/x2Tk4CtrxKgF3RG7H3zsFAANsYwXVxoPL24+mqmVcVhKoiuSN/QV6HPFn7A4LaputjIU QuJ3k2Coyw4H5LnmLNLDaSDBPiuYOIcu4J9BJPUtXAkILPM0Nd+Rj100/inqs335U9NQZ9S5oHI3 bcHEjsW0xsBo795gg4rzJ/gF7BhtBwb7kixqgD6EoYcIl8u6WEG0DxYd5INWRTdYXK2rpnVODfrj J84GXxSkSPPUaNM0KGnke5oXvIjDedEZ0Q/nBlikG0UWNWWRTffwjQf7htyEtvRNj4v3q06XrxcG F2aEVgnD26hPlV4c843YSGd3RPpowX80SRY0ZjwdYgpd8Pz5MFsXhl9PZmUw130HMD39tQir6K6C AegWNfcnElbdXcM4b9RvMpeIs781FY4PoEo7w87f0CnJjIBdzb9o6zzKoatQYfZtBBejv9P5d0zk qwuffUeeyHqSaoretyEcjX0yvaizpJ//s9pOx4GO2odciJyb1HFZ/bXDIx5L4qLwUIuxYF7VmR1O S+Gfp1ho9KtAPqa9m1Dqmv/9TIEriZ72zt1tL5uXlB220i4U27z//pgpd/xKwCaw16CNNCJL3X6Z yiLGcof6n6hpzyVYJM5dh6j2TLNT+CTwCBMlwTpI5hlxu06Pk8CpGL/qE3fHKJTiuiDDgfIP/FQ3 FUMil4SjU2rU1BxUhxtBGV55UO+3EheZlMDz4LRqnASViDs34LnLbp2ujlHEQSYegjmKgu5j3rhC cNRTW9+17Aa0SRffsfi4PxfvkiozZOg9El7hGH2pvL+qnH3L6CeSxmb6lJ28TTJPaEwCVEXnFEKj l6O2/AjZAtMXH/zWppEkE3m7OcGDCeJCGcVjcDBQ6YBVC8MplLKw0TsCZwowEQO2myrULrT/Vyx4 /Ew8G6ypWZ0V1RALx/Vd/684OlYZHIXBI3vqoZ/T3vQ9MtcXh1qX8W4gKGIFBisnHSCOLdcNgOlp Wo5dDgPrIbxpy4l3I6u+rTReC8hxf9tHxupajvjGsPpSzTbEx9JEQ2kXAvSMz7dHY3FAVTFUd9RR wBx4lu9piNbr0STblDgcJPnQfg1s6axtkr8wnCqNC/nysviyAu/chopNEGOehW+aX2Thu3rgAJ18 MYsNuesLRUJN9ZAQtOo/NMcHmP0TXnoTIZ8W7etN+y/0evp98cNlljnOcIz6EHsxDG9onnGDc013 gGecoVcXpnQnKz4pH4d9NWAs9QppYtRJbAp7buWWNihfC/QOG8oer7GaprNi5Q0RCx1v8hfWV6Yp gqy1Z3IumYoXGBwlNYPpNRlanN/3FlpAYzlr9C83d4iCo6DDXWBO1YSGLvqmCjSuFs4apj4XdtIh ER82FHdV6VG1h8UXuWmuBPNqXJpnoePP2SZAmDgIeme4B6URZc2kEkuf7xuQNt01BOe1NjLQyovU qMqjUHP3bY3ITYLUuSIZhd+Nlb7C4CHR7qZn0zIBSh9FOAeBcQLfOlF2grfiLxyPHuss69cE39fl u1Tgh0h9wYGMRtnyRdtyMu+hvkPTKEc9STJFTM8U2dp0GLPzqoxBNJ+jOSsnDxqLjxYY6EgJtfeI Z7vJi/ztYGtho/Eln11ADonoDIUfmf57vUZW2tKDZE0NScoNgcsugpwfweoWNMPVEv/yxISa0WlW Q+X8TBkIaqm4vmH3XOvlTfHFt6DCJv0XAnQmma1amOB9qMbb6lqJEbF6anYQkNWfaUPXWDjshs0Q 4mhZvjSj43uBloPrRah9INdVB14I6R/cKJy1fW3g1lYhcid4dlBDt8Xyd7ex9GLu6le8ksZqp6gC vdiXi6LLTaDf3xH35lK7fnzH7aQYd/GJDFKbMv6x+VnRqIEuj8Z6EK8zQS2iJTwPwgNUPPdco8d/ iKZW5/zWt6P6oGOZRVh28KyUw9fWGh6eIY9GylQDoYPKx7bCbPeX1VXfqfxJfKenC2cAe6o7Dsus xD8wO0qKNT4T5v+N7Q9vZpLiD4yQ50UPdDpGhQ3C2AlClE+T0kFw69OFVL97Jun6NSROlO/Dp03X O3QQFpzfoBOnDRbZRh/aoTgJVtk3OGe6isze1jsvA31Sfw0cqJtYFz7PE5tp1QjiNMlZ6DS0NTUs G70QQ6ogvODKioFoXWbDqUuIG7f2SHMtYhHd78uorhHFH3uFAgOa2BA8OYbQyoFvGN91KTnY29B3 0IngzkAArOqm1eEQPPnXJ2OXCBbq/f7UcGkYQjR3OviB55PSfUisxW8RyRfdK+Sd6Ywrhrbz1H7m TiykvRV1MPGgZ2qsqwv+hCQACOWRrx9kq03v6tw1UoBHIcvyR5x+l6bIHBeefHuxpccF21hjKle+ IXdAOhFIyQu9mvTS/eJ9a3pkBGfUB3j1Y1RJfv6c+w8Dpz/EdQCDKmhebCxVeufgcJYmpocS3Leo Taqtu8ZlRVnL+i2YueJ8WW6XyNRuCjfJm0n/FMbbDfBlJ4hKBS7r1L3q/5XqyVrWYuWHeh3JP/+x 0v2xGO/MPkVZ1C4aQh8Aopm4NcdSUrbJYselFKBSnJ0W/UNxETXFdhPNfLArIONeuCSkSvS5SccR OMnDIznYP3T99weC4eyKB/jJstfgqQPv3r6xErezor1tXBv09oaoff+G/3AIYOuPy0U/RG2I/WxJ Q6buQ6ho66Xa9xXULaGBlGS340ITv5lFUjh/FI9Mq1TJUyqkmxSyTSYHH91FsbvR3vEwnZM/HxVr Ura5DW+G6z9xoR6T1pCbBouMVM+Es38C8NjVHPpGiDOeIWV/DbWfxTVApuRASbTouLHTrXUj5v87 m1MNhsy2ImdhaU+OYyCZZqz2sXFRb0uaKsYM6io9Uqrgvgiv3+FAHKRZeh+NwhgcsBJtb5OMW9c9 gniqQBBPtJpJ86xtyOKewb5PNcjG1E2ExbkFaU4p3L6+yBv6h8vgqSrC4x7oC/81aTCYcJe/KZun C23thKfHSlOLNwJPGwscKJSgoEri9HkR9hvHL+z2ityfjukrcr8l0A8PNVS2y6PMyIQZuQOrpGqB iQfuEdT3tEeUgaGej1M7fa7lFUtHdQJ+VhkitlXSoxR27uiFscKyxoCRbg6vLUMB6mxcAJtOFHar pvjk3I5wjKheYw2+gfpDosqLuzFr0m3b3wah+W0CYd7zqaYbgDvA7DhOQ0jCziMLrVHA3K4xlnz4 brdQ9ZhH/6ed3iDoctcL5FF8ItCaTYnOemBnB7hdScOodLqM52jei2HECxbnHUonwUxwkvAnDANt ErXbCyPeFy07tNgxhuPQfELMNzgUMBG6heOX06XcaS7FBOM+z74dWJjZzFYt0s2bmvTTnP2EHyv2 qEkea+/RUsq3QLnZBspVJobMuLpBCI+m5hiiEZXoQB9Is/tAvgwydary/nBqojBPcSuVHDC6idtV S57+iXj7ypoy4lTpBqnc7n5s7ASoOYVzwceBZE8XfQbuxlKfkDddTRPwLw/9TJNt75ooPdfJK+Ql isCQEVUYTu4MEZ5nXGU+r9iOfPWgfWG7HGwABuDGRkYSkz7ob7MuceYqWsHcN5KLBrl9r9KFL6T6 1s++U7R/Yu9eywGHMh7cxciRhL7PlVaorr2RNQUF4LQLxZR+lqw6umNbYAoM4qNfEdopTpMkdfzF x9C6c+5tghfjdyIn0c53ro/L8KxlUnbWLcozUw9mVWCjzuPjPurp2x8WixsF/7nTobAAcjvuJRGO QVwb6IcRhNcJsaETjSoZSh3w9bc4qegeJs9Be3SfJSn/YMiYjw8hycTbkTNNjyM5yeL2nkgf4aBU +HH47TemQ0sdmZjWCyjI/Zl9dUoomAN36tUdp6ZyNbwEhtsrX/g3k7yXm4GAZlglNKPmRLPYHdXm D6nfjoC8Y9gRUT6tM8mnMlycPGQmp+5mL7RszakNFawq2HBrlK6DCK+72/z+bqvcpxYA15H3ThDi R/+axQ+SjA7Z+PTXf9IcDwx+oEib0LZWN9P7xTNW6HpAAPEmUBhOYv9Z9orU/JSj3ODbMkphqNFp rE7x+SOjquL2cyTSKa6K6AJQbWCSfuMr2nQQ4Cf9p738BrsxGVQtKTmOQ/GaxWjssIvWGx0vHtMw qsMFj+M7yosttUEoDWuJJNn9cxdI5w4N9K53bbWH0M4KJb5JeMoF0o8NZ4O+bgs534YPfB7vgcnp Om9+EAK50pNYmZPhjbXt66/ClvAnGBt9s1CiVvh0DngAGBLwzBHLaO41Z1LIKjE4bNpVAVP+BloU wSYMaYzX9anqQ2DrZB90kpaAFqKKmJ6irCsqBsyUe3DppbbNu8LiqM4vGjCl0q9JKn/F0NX0pZuy eATOo8ZPl82sz23ZWCaHCljlaKq2DMKrWj137jmh0Z1k+uxLrNoaoZ5y/lk7h+Jdf+BQGfhyrqeJ p5u6nzK9SOeG27xbg0PZKLuYZzDfdjHKV5X6Os5XIg5L/cvzd11FDY77SDIb4ijRdaMPGSyPwX3f srVElalJhnyoPLlZcmGImbuLSHCO9m3matt2YCAcJSB+QDVlYDzZs9VR6gA/T/9XgIWAEcp5/3av gg2q13PmbGv0VXvsRv2d8KFXzV1sqmpuMBcXM4+mvlDtgfmaoAeA3MV9k1f10Rhm5nuxLGbrVZcq 8O51ce5sblwjBGRqmjihpbGcBDg/43Dl69i8diDFDqSo3h6AETgy9QcdtA24BZlkiPHXd1IhYvxQ mb58VxpY9HoWNkgcs+gPAtklPY1ETw3OXyIOCvsLAKMYCTePs4kmJHzJ7SHfKOu+3QTw21VVorD1 0mdBqIpDnsWOSCimXKUdjfHByXItQG90BugmwK6/H3gxNc/fCRiFvpHHKlKZKuo1JJIL7bvksNXf meEVQqs6/F48vtCfVWGudSsYeU54FRuCXexn/TIU1UTUbWR9r7BMop5SBbeRn3wAd3sskUBceizg 6rQ43y62fXn6h+0xidvnRxbB7Yt2v4Cr7rseyUkUrt3VhtKvqmLzMZOmuIj9X4QicjWmepXHhT/U fB8ITS8vWn7yTKV2k2arPnifDIXBbnMI6QACka2BF6p3JAFe1nVzsIpmtGwT1FFCVXepbwu+qhFZ bxYpfH5er17oAAQvdfmrrEKXtCBLe+4heC3rtdj3mZWPC8JOQwlG58EccHQbIh8dBK8X7GdNgzad 0zvZJ2QiO4YcyDotEoCjlZmpQqz2B4xkGi+Gk2Gg/UVsR9hneVRhmIvAsC+lmgwaKjN7/lAYhiyY GhIS90MnrEoT03s2nbsZCuAC5J0omEBip2J2xJB0Z4DElhKydQOSOtGihpCpT1CDb3QMZsyfTqy7 UJIPmk/CjbtPszE3fk+E1Jebm+8PWWb/B7Tq+FDd5lh7LOZzwp5KfRYx8lSLj6oKUkRrwWQ1yVC/ rkJaPrCyOkVlGiGNmykJjL34yoCd6nDCSc87kfBd7gJytBQ136rtxJdxIvyLm+2EUJYwT8Ws/E35 Ib6RytznTs3Tm6icLxhqr31njWnpCrra7P7NCANySdVbeasqxx0Hk/MMVxDFuEoFqMRfcuX+NtRX PPcMjcRvIRVFo+DSEkTffcyNIWLUTEVwbJoK6qfdfgG5SXUoVFhCUBJEY5KhbbgFDGHjMuJRjPzy IDnrc0Zm6FeuOQhQ95GFQM98Mp/lZSGWxah1SauK7hDiUjYeDNyKxu9KFh5qXUx1u5wvqCOh3odB NzM1CLfKxzeI+p+p9iREf1xbNyccZgSETUltO0Un0VWSJX9EjX4lCPao7Vc8Jp/ni5lzs6MXVp8r Wb4CRPPUDlvBuk7dm6rfB9TjxJVnKrQiJi+/qQUTRRrxTuiy1051cnILZgOZKuoeNgEOJb8Ops4d vGhf8vgsB+hdX+v2jCYHB2OyOYJGlTrgcfQ3VPYh58RiicCchg9xLwEIazhb1FnVg2DNiMvAU9NQ W5mJb1xk0tUqWNaRljcObyW2qW7hAIdlv+nUdr81qMkGeUXVB1qATBoxekC8bZsabYiOTdG4BxHZ M5Ph4g6ee1nRj8xmTbOBq5RpBzJoXakYbYMcmeU3/Ycgd4uqzOjVt0WXvMkP8ASOn+KHaPzp9qCY EfaJJ5QY070vBTPjmtHKqSLmDCuAEmcJWUA+tyLCkVsEcFgpLkQxjPXD8j7kOjE0g0uSeABdPA1E FZaSuOIkwCDRFWQLHZIbq+0tX1VUOM3o65B48cRf50QL2Ensi3iLHpIZVzXsHv3PZ1C9gIUUWC+2 uOTq4U4o2NO+5auA5lvbI5aoQhraGvUUl1x0/Km5P6n8larRxdrwxfZE5kgbJGkNCVTMpKuCtHz8 tbEcTvySW0AtbjtOBEJmVoRxKauWBfYCgEdh9dopnImtIJ/xhknd9cQgTiA8U1aaiZn3Vd4YPfr2 dmLiwGxluZZoSsQMbHD+zA9b1yJCnMw2jNJKryxDcuEExxQpO2r/dp13Rz1Isemv0kqv2j4u57jF OYYhzEwzgcCsv+dOOd7rHDXL8ehT+AzgT+9zkzKEsll5qkREg+P87BDYj/JhSp5OwakSSLJR95I/ Ps273Vc1tvw6RNS8t9P/XhyX0cGOYOoM8kIWzVq1Je/8spzsaI567i7caK5oQyR2lOi7WUJ/5KGb IYwetf9hDeKfmYdOkelDyDHKzYg2n0QIVMDYM+Cm9+epvQpAW6tuq1wmRVr2oOuc7s1PwSshisHB 8TSn+9zPK5t1E2P7nh7onLTShKSL/S8sHHhnmvvoGqqK9paEiPvLVyyvLWaQfKyVoSq6Pw6LpWyZ YlbrW8a0504xWGiu9S7de31+J9k7JAveAc6ySM1tT8wXzPyTe6Cjx4nPrt1JJ5uWce0OHU7pU8/N NSaGQkHaSUrslHTmKn/rz8/Bq8XfwxliYga29cP1P6qXk21eFfDKAnYxdbiqOZkYMSJL/H158rb4 zZuFqlcy/Il4PEhpJia+UnsI4T5K3YQWsz+mu8DBOwKT5iQRcdVEAnA5sj3H8+FlyYffx8A3ui/Z mFGgZR7MySYlaO1wrAQ8xA1IK2CiUfSWR7AEpC+c1cvzwDjuqoxbg0zg9GosBJnBiJIrDOXxSSWz VfyV6VsnVlzljJk4uxLsscXH4L2ru3BeGz0yDLlKMYHEFJKUtId9um2d+6z3oqvQdbtVfAe9rNXe 3olC5KCLOZ/vR8/7Lxpr1AtXrioNiLKTWiEECpab+a0giYsuP20+1zSoAwY2oTxBVqKDAxr4dn/y eM9u11DEt6HAbzNwjphEHxACeBwY6YdApL2OgS6+O86/jnszSDcI+2CY82fiQs1AmwQRFq1itl9O 15OiLDeUzmh9fdxDRLsQn08VN0JUxV2LTnQeoHgU8rDF5nWp1ktOAMjjSR7eBWBjCdHmuGo3DwD6 gLlZisW/ofy0ZE02lcn+uL0qaK0KFMZ0iTjHAorAFL3nQi7sFsX1JxLnbI7uq41u4nnYUjKjyyHy QvVbSaqJrG5YU2mjI1NXq7Mw10H/6iS3iJuVNwqg0fqw8SOFJSzroweHviYtVd7fwN+XntKY8CFn n8wFQYqwFyEJxpjyzEAIrFIetHNHtGfLjnVm+J0L76LB0o9MnyVkAoe456gjXolcP61FnkjaVDn+ xGI1ILA52DRYxrb+fw4Db7XyGnZfDR7MMWsFIeThPveX4nvGAuQMD9Qygzu9NpNtR6p3ACUVDqvc 8o/tUFwSv50DY+eaHbfYXXHOtcasKvP5x5dNXHXxGB9eherqO0k9HjnGdUlaqx2qqjc2MvoNRIVc zqC2VHjRGM+FryzM5hzUVCRkS82Dz5W/o3TpVo/LXmJFKCvBHlyXZGUshj9a7UIvekPzeGLtiQqk JhKljlqv3Y2FEA0Eg2bZwfUPkkBqRMO/ONKu3PYc7OL9L/1v8Q3jUDs8ScV87LX/b6zwRCB3PJCi Us4JETGRk1CT8A0vcJFJS6UzjKeOm8FHn3OiJWh3/j5S3i1gRLBeBZWiWDUOgUTpW61FJpPPiuTH NtUnXJ3pl5wmQAE5fWmaSW8K3lRiBLIjU4P2jI79SVMzetyTjaiI+JoMhpCNQVAB6yBqVORz+vSW DO+0w5/tWtE6lu2cyBTL1BtVkYO/L0TS5mJ1e1VfoCtv9dQsbHozKUTAYxjgXHhmHbDvEpPftjCS nEOXZ69MKF5FtHbQh4qh34ShwXENKXKSuvREOm8EsTjUhUrupL5UDFDXJQaJoU9tevhXaD2xU3pW ejAhE5D2NiySQlwmOhPwICoB7CYj1LahoOXZ7MvvsLHBevXgsEGLI/zed9mWqLadBFKFTybEYWgJ 2Yk3Hl7p/c/TgDFB5BMNP/INJhclnU3iZP4qB6jk4LgocLQMPa634Px5RWhfaRLaMhENFgjFZBXR 8kTDp25xbmmfxOn1jN4wnq6IflDi/bboMkRATTYqJhPjrRrL7XVf14Uvwkl1MfRcVG7tCfetwxnU SYFyjATNApjKfzFyljtANuP/8cinvOvZ+4suBQsM9qO4xDXJA7pudvHUVk8posBiTW1bFvQBGfVL qxyOqJnEIWDSwamRicX/uf1uNeQM2DL9SpO8yE3eRkLAvAoGBFZI05b1lbqD8x50E5yZ0Dxr4dXL WfbN7QLme53KGZhimTaBp0oNRcLBNKQirL6MMGSTcqCqUrWuNDyOpL7oBvnsVIBqoB0L2FhZyJ3G EJBuXJklw05blgeYc7g5gl5h3QIbV8B32Z2XHJX9QrjAc/u45+CeNY2/cI51U2LYDfFtCulJAMsX GjnofcuClKYfvPqE4cCWERl43YoLtbR7EmTTmTgK3E+nxc29W1Uh2lPuE920UILu9cEm7usjx8qC 30vrawC8P1AfYmPWOss1W7ESdX9yCy4Bozd+wjq8mIgUJQRAV/3XJDWZg9lGtErEqImSfRPvd31E JW+vgSPiHW7altW/Zrg5YPpNvVGD6/gqrpjBd7cA8oPWcv68xFdu+aRh420AGG0MFPCGKiSFXAm/ biidp8lovdvHe+rMG1OEWpGAckZBr2iH/A1oT7FLYk/WiKI07qPYG+pOFTs7dkOH7TO3UbUAUe6i 5jmYhKt62uIOnPKAqd59shqqvL+HUbtJyEsjDQXhriLQMXrn/i2EJ5N/wFb4VJmzP0nBH9JBZcbE UUfK6JCHz6objVtwDWNxpShW/X3HoKDtRHtbPiVYS+rXzR9lN/6A8y2kslnENGeJFHYnU8M3WlTb ZxrDVsyiJkpnGBOCIyeqdK3FG/72TUcl7DKCZvg2Utrm4trbjDSiv7tpG3hk4IMCNLhCNx8zaURa vxV8z2BHw5zvdCFXk4DERQNkTEjH3KIS6/u0g6g+Nvn4Q1D4vBP9hqZGuIr2GZfOllRycCXnn7px UUeYMxj2Pauk5n1jWUHHOak4LD7/qhlWg3hYlmFu1263gj+tZugiMZ7tEUSW0ZZHq8IvheYqDyJF h76sTRZUoO1flkowYa4Gro6CeABl1KnU/vOWQjl2ilkaNWYyt7LNqOys0uBlh2fT3aSbAKva831t 1dHtFMDByNe1a/RL10DanoOesCSRC8okat0l2clSpz6gd7kDOtZWenMhtblv848SCYKaBtmi8J0b L5Ur/EzydX1jNJj/+MM6KF6Tph6PSqBoU9Zma3LDhZiHBCm/x3qf8TZR9UTvSZlP7tezdiY7akxh ckFl+kQ8ltY75a3DOa1bETY9CYJ0gOXpPBi0uZ2yvQN5uYZUtTWoa99AFKFe8ehrh6XFAfC0vRNy x9BcDete5PalMfoaVZfEi9G+RP5CjUkVvoLPLn/fEccMZo9E0bTHamFR5baBiiscDtw9VUxHc5op j2nke6SNYFt6S8EqMYbn6tvj2fNIPFSRHckK/t6UTlVyZw+8cJEh5aGW4N2sWBnIiL64UxvMSnnV wn/VHaubFnW3rF3nikRPtOkEuXZRAtN30a2Kesqo7EtrpYuCuV92bC6KG1f/GZZLMjg+nqoySBIr 4TLwX5PzP+JWGht3/DkjGgs2XhQ91Q1wEWpMxwVBPl5VUfsKgPwICmq5if99e5BWouRIVAxbss0J ZzDOHTj1/153LkNlJig9aaoWJjUE18UfZAYSTvEEaIX4m26v7DL+K9CWZbFE1fxFbuHaWvxJtiSD 75XtLEdnJ95NLOIeOGQEIxHLdUSAhuGno/oonyx/eP4gW5dAsvyHA3Xh1bRyHCgrXsOB7YF3uvK5 JqADNNTWzbFhKAMU0rOFzbricScKHKHETfshN86X4oJq63iIfei9z81hg09kUbFrdEckjTm/gLbI wvQTknS0iphCEnr//duz6Z9dv8yGJ6gSozIjWT0ayifW4fIES/QSm5zCjrPdBr07QhpJK4cSsO8t 08l+PPKGaBNh2qzCV+lk8m4rvfdzDLRh7vmSSYl36KJPpx4+TAHD4uDEkLCnhMOYYN+rYn3xq5yU M0m3gV3qECluQUgohmCvDX58H1pMbNzzHTFsnlyrq9AzlnFKJwjYYqxtkKEcNtzD7ak32DY7vzEH Ulgob9SJGbVkaQHYQbuGV/BjoqocU2hRt423Y+gDtmG8VGt8YdWqGayk7oMv14O959trDJQpT8dB 297ZFN8Pbl0AS1VEeGMQBTcA+gco//NHWadNqtfv71dLdoRZlXzDnlt2BL0ZXWjV+TKh8hBDaGW8 P5ML8lhU8MtR2AJOkBBXNVBNdmIRPbaD16zWV4qZhvzEfWfTF1JoCxXiQByYvA1kJhZDtYFEEYJa 9YzKXgZLrU+nzJOfM+D1RSAmpblofpYq0Nx7vfKSVLIlMidvbyj7s5mkJK2wjsgrYK8gJf3JySmi mjnKNRl+jjk/rTRNBcI7Vm+DoSboKCB45xU/a4Z6qWsvzw7KeuXAand2Bp7dTzLXDEpjLBLDmihj DxbZTT4P2uBlsLKhmEewCe2XPSqrFMEki1KIKpa8pc1fZGaAVrqYrrvEwdrycuTSyPHyfS1nKvLI JK2v2AVXg9ofh+jtlY72wqHmbxG2qewhXceHAkS1VSt5DZBT511IX39oz1hBnJ1lxNcGJ5eRertq hV1ykwMsi4u0fOWdOZEGLtI6nuF+sDkKtd9x35/XMQSe4m7hE+U+X1dDxXgOKkRnoog3HSdSeNtH BoUUuSbWc3hGoiWP1p0vKdRyqCqzqMW3HqEQTLbsYsLc089BUeu7hycmlM8H+40axHVmBSYlNi1s QHb2i4ehvEUYAX0xggtx1+kvIUiZ4e1boY3juYMTVTwdIK0l8riK3L4IOBcN17RzlIFAvDdNwqWQ ZWU6pLGoi3ESwPS6v8RhJvXtggXTVyolscVgHGR3/vfVvdwOAveJHOBp29TjqHeixdUEQ5WA/mF5 i/HUQUkdbNHAJFflSFG0izygqChSKG99c4ONLPxoFRcHX3YwgenL5KjjKTCh40YY2kgiON+zTLGo 3hTdZ5J5S96YIv0N16buDWwTUQgKcxy2lKtr6B/CRvRIQqXyztP6ymR6zS0h6LYO8ZTYI1dYa5dE R4WI1ZOBW1obNpVbrcI33rpjUZsSLTsKYBNaccIqfIStdtObQC0Oy6c87GNF/aeKJbhe5XSNtPC5 VW8R51KxwsZNjPXf+Q08O9myRhVLRmcPabDu0xgVjd0fARHS+K9sUndDEJBF4qFmDoCnjjOmROn8 9b9S9riyYJtAu4aX00CaqnqHi6p/NfsaE2KzK2MpHOf9OdZv88DTyxMNjp0Bj2Flw9Fwrlgjtbwh vUlo7hjKEvZ1dzj9ERI8LE1eF/xXZ7eni2eQ1JR7vX7JyNajSoXCji1Jq+1bV455ZplHgejHmgf6 tkzURNsjhRLpVxkR6Bi/iGzWBsD5Uz7Ht4m4lBLvq9X3f9C9hcBUKeiJUwpCdZUhN5lREQ4K9WLE b6peoPuWQyO1SeRor/arVhH7LVjj+lnvjZyUBlHQ8NIHj91nV9RW3HRySHwsjCn9cE+9eXMy4UAj GAmbTwZWLuqOZ1zPfXn2qs5+N7V4YIf/NEUVYCrFJbON7Jm21Nm/r8UPzEtk66M1xK6Tyz4WG2Vq uO9t/nfVjHnNy5cCbCsbBqdRjt054rrgU0DXeMiJOhjnfmfK9+JJPbXBM61pV9PSzJSnrPTqZi1H ymVl3vdLhUVT6M/En85YsK3iT/HKGHFcnVRGQxCzMYb6g5/ECGr+wL6UJUZeXAFp1KLD111MEQ1v tl6LswBcB9yNyV+KJo6NBp/eThjZlwUawU6qJ7wgJrm+2sf8xmdIKRS928C2lDa+Aa8OCzgg5G2/ kT7BKqu4lfXC6USvYwbWW5eKDHZAOwfyisxt2+i36NcTbiic258jr/7LLTLbl0tD5xViOT54buWC vB4MwtbsrAXhIRH2IiwF2GkadlxdXbz5NfAW0LiXl8FmS1CIzVkNAnZRzmUizCc8rKTE76hquJ3y d+Pr6oskLN5eTHwTEzvT75kKqrIzRcWEwxGdZfa0piyn87YMis2yJH6TK23mxaeWRW1hslJT8NXz hKgt2je5gSCU05cC3BygwUic5pcOZZ4zLwQTGxnclg2mchhmjWx3rRHFSTJ+csTIbQRWK3cXKro/ XrU/1VhOM02wdBqNzmp8BHYTuFkdCfBYHGXw2VJImuuvC9M/fQKFKW4ixt4YqgxUMDOU1mXlMRka KUoRweBiKt33jCumGMgqjZzL9YwTkjsWDqMnnFofS6nOBMuX4WjwfD8TSlh1OEoXkpbrHCEyZnr9 4OBSH3hemlC+ODrYGyT52iVCxRp7ML8itv8sFKXtSqdTvdli5IOn4+x01e3vh2k5Y1JfpeTN+FeU WfOuGrxO0Kz4jR08VdsAYAzCNeTuvzF9Wa7zOs+pbKxcN0MHOtujvoxLJk6RaW5janzKTdmQMR2h P74qwqV89UajFpefW55LeVOFMYAHuPrT/DNOqGj1Qo7DZcmOdWPlSe/CF6vViSWfToTrbda+marA Zo+e4QzPuaJHvUVAHIFD3504RWzzmrDT5HmZXLm5s8CuaGiyYbLb7pM54u8QscOGxIpCmJpisObV 7dH4P2C3u5eb8JkYsUnjVRWpcxCNBfL6MhENCz5vAS5HyEXGj+b2e0cqYtA2EttsNfViZ3hYvjsC rwDH66RLiZtv1csakUwd/N0YX73oIm1i3JVkqncDvu5RlF25/KdEtMukkpRscrbEk/gm1G2esZtP lBEOMOlADZ1inhZWnyoMrRvHmrgRWavZrDVMQserJxL4yCdcIZyvFei1JEU8gg6OHzWO/bgvnfUa 6kdbHv+xwoLhTR6JFgKHxBXYEGc4bS0qSWqHixQwOV3zU0579eqQNrlTwqEcMIuZXenTkGITNDNI Sf6oFFIJvK73U4Q2i2MljrwOmb6Ut9mGo0gCTCN0pNhsaQiOf5EMp4pfoOMbuipzU2mFeNZ1Jnmq XRL3wrovgRWx6YtaVCRLZF7o6K4SkysESQF6fnrpSmskcVC7vPxlc63F5NTaeZq29r0OUIZ864Hr aPxODJM/zgOG+bckkILOvFgdxXIGgUJj7lmOeXhpmF7W02yM4kvonpfWqC2TieW6mVGfIhpp98U1 IAMMfdEGCpeuFMbXOTZkkm1I3CZcIGcrGgNBqKmFgn8EzATXa/Gmcx7Z+eVVisCIp7bQTYzsu8Xf MYS7Vu+MwAnDiP5ALwcUUlqOWqhnp7dTkYULU9He2qon5EbhasnGzZqjpbRE0OsSu8NVK9LfVCv0 hr1Qhrd59Zsz+hmxoVCNPD72POVvZmVZHX9Cqa2XlyoaFh9QEK9h129kc6hcVLXqu9Y1ev9TSkYg 7SOKBAe8w1PqytMI8And5bETBuTUBQeKNt2BY0N00UOAUwVTU86zim5QxDbgzabWpRJDVOcl2UG7 M+16/LE2yUSLFCNGmUCDhCnIfGjLnmhmCZnjBbY1YEFj17gmWYo4Ia8gQKqNlZV9S1Hok+inxZsJ GhvNvPF9VZASD47moeD4FoLDV3P3FpyFmixBqs3uFuWXE8Xi9jMDAq5I8SPH/XCaMl4708MVwrSn QMqPeLzbLR5IhmvWPdrfGg1zeYspk7c8hMcEMDK94WN2PHYHjO34g13J3fTS7DzBu7KVXBazJzcz TXJlvTLDlco1V8aUjE7wWWyBF2w+Fmk7QFY+5J+oAfrIkAjoFaEBBYl0xKm8bSC9MrPE5MkZaHpJ CMMKaALphagim3AkmC7hWWPhIFbHxjrtkXLXhYAwHuBuRSvQ7dT6dGxlBWsn2gqAe6cXQ/M/vjzq azs9BAb+U2xYk0JUhZNs1LNz/b+6srR4A+SlikeVSo8ky5dYEfuaa/ZRpYbOIxvhlDB6a/+gdeqO +D7bYmG18mcdQ+HO0vC8ab5jpAk0/BGmxy3Z6TRC20haVHS41EBiCt2dzhEIdEnQaqgACtGVHANF 2ZsnbxtTT+vfMKZzcV10NAKwBsSd8yPo/7XGUpdVHxfG7aWXHrNl3lkCzyNelXljiFl0KkTMeWRx 1BtcEAPriKZlp3o0syAGzSApqf8zsIbgE1oZEWV+pyQFvRVPI2EZ5b8J0db2OabCV3IrNYKfcA6V su6zq6SWZh32GFx/WoJ0ZXbEN0lATAI6CzpXjXWhXxLke/OsztdpShWCTHY/Q7+mVj0PckrMIUET udCGK/yrnz1G4TBpCoVr/3ZJ/BxZPapqD/HlXT8y3u1Pncl9vu5JoGJi0Mt3BcyYgAVU7KJ5R53B BZwghXeEN/Yer+kiMngVZfEx62DmX6WkBmACdNH4KjkEyVB10my1BNBu3EeRNGXeaBHZsAie6bny kjb5QBKC/Gw8259hIAPTWc4C+ppbBqaj8lcq/vBocFjmQ0DTEoiqFm89yqi8pIaEXc3wkwf1mqyA z477jF+UUGo1zFzTiexdOuAb7pwjCS4LYx5lB0vWa7HLDlvO+bRg63LcmQXXdTQZ5Mum9NpKrMkw tQqD/XVqbl8+p0z+rHnA7hVF04yCVzdM5PD8jydtvpvQviMGggqfUEnPrU/5M+A0k2yQyhVCQKzv 1ZE3RSGsTOQIV2h8fSNxYl84pR9SyHWigS6J9LyF4dtwmOi005FaMPOX/kH0C8VHmdB+24zAJUaq Zx0qO6Anm+AbJD3tispdv7VLZh/OP6+ihYX6cE7pYTl4+G66O0kQJuKYF6KUjj7lD+zrpaEce4LV ZTStTDMQIHHhioSfHEXb//40dVyCRI/JRtSQVIHkwo1Oq9WIRUQcZQajg70Zh86ZyMmO00jImTgA 6DTpLcii/MhG/MmUnA8CKg7Xr263GhWNcg46DoqtEcIA5EzceAp5tE4s8/d7BQbjn+HyrZrUho+A 2uOaYYuh0+8R637MEEmKZOVmXOxeelF9iqFrAL4cmwhRQawVxU5fq5ua28FT2JyNr4FFnU9Wev2g rCGzsY08W3/WjB4mjQ1dEUO8LQ1Rs/goAv+1K2emMuGe0RDMOYG6G/igC6460rXZPzjcIxci+tOS 9S8XOMUhsVE9oXGsedhhTynMbuNjjneAok5lc/u6aA7PMIabNvAc+KAgTcY9w1Az7NQAB6uSwaPZ +JehJ2qUKXel8n7cD1guCfE63O9BRoFWeIqPN+MxT24+eO9faSvFwn0j/cvD3gm6LbB4PXvpR4xl ZAanhMhAwFhRp/UgYj0wbWoMbYtO7mjixLB2NxC2UAmNM6FEWzHQ1FM4Oc5N5QDdoronn0AcuH4q d3lgzGWJv+jkdiCy9/cvyybOrnLwyOPJ+gdZkzYwFNoVWhMkZkxe36RWFkVYTGQuyYMQ7ui6vtAP X+7/xSpODQo3DcMZ1TIxGjh3/oDx7eXwJLMIIAqm/QCX7o6ohgVBl1KEORyQUeLu9qt/IfN1YkXQ b+fQ//LF1KHvxjGGLAezgzT1mSI53jFZbMGdzRPEqusa7AeAz1kqDi4w5L92GaNOD9GhlRpgw56v sxORFumrbPTRvjMtB16fKDkRK9otDMyd10DGHjvTc69asmngi0Fn+M5MkyaXZtlGPJoA7mT+HZzA Jv5Me4jtRLp4UjbiaCLq8KZEhwIAn91UMiayVnYfcxENIgb+N5UZAC95zVqGXoG7S6qZx+P69f6y eqBPs/Co+CP52qMZ87yVH+GoiZ1DbAspy6wQYasCN27FcJRiLI2o8uDCa3gq+ksVCMeibUW7C3bV IcLjCmp4QlaV2ClLis6V+AUz/X3R0lJq8I9lMnpSlFcwTHjcqx79OBz/2+k08FT5+ojp0lFNZJj1 74NAv9PSF82t59PhJ93bjs5lBTpO965SqEb9/IrR20wdhE2qcoTdokjBKfIIA6Neb0SWMstt0GAz L9Ne0rGU5otlP/n6JdA829kKYbiFG4gRjyTc0ahpDeQL5MDAJ6ENataRIfk8kr+jNpnRVO8uoFI0 UClG9YPoItq255m2vrxoNynZXAjv0yx/0l3nKlj8W1/kyRnuM65jQdF+KIE4ZuW/EFyyNGfnyzkE vOatreuTVgYdt45+5v/xhe8FU4/I7HTAEnE4HESS4xYYRrQcYCtaEFVp/jga3t4VHH5eIdRpl/lE 8eB52iLpJtMTk6OyP1PQcogPyAWowRNmLQzYnzEJE7kcJcI+WhLlxXXG7HMl+cymK9CApJZMMFkZ es6zOJaMwPNrl+FHb9TYTTUQ7bmED23MMUlppZ6aujNuWDuppUgusRZpF7HfS0PlEPRN0v3RWrCq z+Van/bOEJcMNNuO/B8cBW5Az0O/eH3KDod7p2HxoIj9+4DDRaFDM2GfO97RFkO2kdutvFu6QK8+ JL/JA7q3wjzBGiRtwqrL9VjzJT1jqTfQXZjyINN2L96rZh9GP08OErfsg5FvqSjRzV25ZuMM+aC0 pm4cu5nQadTZs41OYvacUx2XTKtgUMKUNZ1ZHz62tmRLMGgxMgsN2hmM3lowgAaA0L7KvxseXe9b csd1s0L09EhU2zbIEF+ugLlVu2eu727+/6VvngreD3EVDIUYTimR+BvEkN823r7HOMiBb10gJFpp Q0SiEF16BmxvGVqM4RK1/59ZC5I+NGHmltAo9+YOAspJp51H981j07+to+by9l3b6pH++3NmpGyK mIniWBUtwaU2e+YI2DGhS+ZFZuet6MLV/Bb989a0LGRDC3wweuS6odK8mrzMhCx5Y5qW8Bff3ZAD TJVhQJWOJzMRwtuTr8yjSQe66ijBZghv9QD1CeXdxGWq3js5RI3OS+WBiFZ2vQd9m6b1Nv6cKP26 5PBMb0ZQtUZHy+OJzHgzC0LUC0dOGNMQZN5IHCj5+nKVDHASJokHRB2XAcTjS7CB/CSEHRaw5ykS QWEfxvgKJdBpwffS3bFp5uiSF81xEVi029mHjyuxRNLzgFqXwMxBjsC/vQv471S/hP9OgwPm0cCi g+Tpgu6Qcd33CDDQnQf3QFmyVM57l66SpF9XXub4ZIe1C4sFZuvtWryc9brzNMP9/T3uoIZMNxX4 NzNjyoAckyPHw6+V8vF7fsa40zyF/nrCNzQYD8iBw8Uo6WNUDzR77RHIk92WTmvffzSOiWVNjebu 6Jz6k10HLFZmeKgRijEoEkFx9TU/Kid5YXmy78Paib84tcn/WlHtYOOq4NFiljfw6KJDZZC3VaRw 1usUtMIkAKNZbvP85GtwkVO1O4NMx8RLTMBB4/ddVndAw4GqI48/3Dob3B94TQjQl5TN0Ej9ezXk qsrHfOHKTCBgWhCJ4DZYaZWh1dXtb67NzFEjJafz01+r6xAZm/W2E+TSbgqUnfHJptLNIJoYTcL/ rL3KYq+KTikej4+AsDdu0hr/t+6nu7uoUpIQ9Kd1+YmWP0zIB3X032cHvslGsQTv05F2w+7EFpUx 10Rd4+ZZ836otFYJ1abFlG81aFHKKXsBchlL6SeqjNAY+aCHkOUHZObrKwvCuI8jjWr6X/vNYZFo 0Sgrg0KsIQBRBoqyaV5nM8kr0UH45a19xlunLvp0PM364iPZgOc1vjT+aTTkGChozyODgNtTjUF1 TuFERDJSbwzGUoHiM+ri88oaB0cuNMv4Mc2fSg1knrd4BCiO8PaD/Jm4PwC5aCyKXMkpFc0o3oca m7IPq7F3XqC3WIytSHZguJYKqmkMTM5N3BlzMVSpQWFr7H58LFeHyvytwLxOYjR9ttpkFRCxGrq3 z8FuKlcv+VpOhr/0gQGktlY3UwGK+h8wATKr+yXE4xzdvFF4XOJJs1TuqFHr6VPfiJi+CMssGG2g Qos7QdgzDANZiMz2r0Ucl4kIWJxu2rcc6sN595X1CfPGvXz1Quh4xcyrnt3FUJfIg38IukCRZ4f8 Uhg1HgBwvG3mGrNdaL0igqi+5AxhkNwhbNRMjjy92mcfcZhrlf/GzD3wuQdfT9InMgjuSixG4nkB EvyTqJ38lADmq72OLwAjjGvQ+E5+ypzbCeVuOFIeOF+45kYwTd96Mb/sKqThwP8F1dLsr6jBmuIr QhcwR9kPxbxp2L6gtceu3p6HEMtQQ7CqbTYVqoQwTZS2NTYpSsTBoN72rsUWHE/zgxdMGnOWaF7r 74mtp3hNj9yVgosu40AHKqZbxJ+2QWveO5Bx3u1OtJ0cFwCyOJGdsxc+WuH5PQtP1FgyLkUl3xFD p3E0+4VyWs+8sX4oTvutxPMVQA5JEUvlA05eDo9s7YMgmpRTuyhind2iDYTH11ty/JRTK4r5oeYZ 4RBr/7Ww79gH26s/resOFxwCAghoMdbGpqRLPDMcETf+skI+gSl7W5ucFrHAtJp2AujxGnHwRS08 EMqx5Yl0XTpZYYzwf0sg3X2JwdrcodEtdZGABOaOKhackzzcfHtmzA7bnS9Z++J9gHk7pmN5yO8g 5Za5l8qzno07eel/ALzOLva6ReLsmFEaquAQLx3V2w5O5YoPrSvouhJninPKWi58PHW2ZoGU1Z0H rVXO1XUiEmRRuzwYm3DGTUBSVe7gqhuPfFDeh8BX+1obHAXgYEIiRnsFfESl2UwjgnbM7Y1Dlxpm +AvInzZhDEEMO5umVOif6guEVKIrF5gGlRGhPm6kpoJUGmrI9kZJFTWEvkuZamk7LZmpliFtZxsc qSv40xbZe9v7R+3IIUobHDeTXSoGiSz9ULvwa/CdPTqxKTVv5ACwStG35IOj43VOcM8X2O9b0TcV pBJzd3VGAkqKLEdWN7jhjZXQmkZNOvuYn2ntxpSANKlRbgexK2R9hnYADqhNqoJRETiSaYg578VT jB5RfgCB1P1XEXRXR8BHVPsQy7y874WS34dleoBlnhwo68mCYgLA22zu4lVN2TpJS5enirshrsp5 lNyz4qOkJkz9ZwvSDcgSkGWeaCVHVu9LCJvY9VXjqcG1fBkw8Ais5frE6b5lTqsYTL0sBaLVwPpq fy5LIPSU51B5ArrGHe2lT/sefr+V+6QzzOddFGaUi5S/ao6glcexCEt8fwltR9QM2+Q48X/bbWAX Lqf2ScvVwrG+J0QuMdsGRX2qkH+GOAYMfQ/lECxgrOHpt/1cafSHAV7Nzfci41n0ezKqOOWz1YSQ TYqnr6DeHZbYrh1f0YOeBjBVTvcdq1AbNuiFHtouFqNGCuFrCqZFW/CQRaQW6e0I3Ma3od9JEW3b 0jkYzvpxuZg02nbadna5dlneEE88kjwbLxHM61Is2qsjrT+Vc5caCUq/3JODtBtaLHBgNw+DNYgf rf3J10G0gUc10MO5hVLeN+ExOqe23ANAR1Gy2cd5ualuBs0+lCacSKSaD71rOd+4PORXQtw59RFs zz6CdlNit/oMq9yJrrJrQMX0hlfEE6L/OFq0DnEq6KqxvHadQfEDdbxTW3fJhkf+gzg77uiOFbnV qBCGWAB002uL62QIxdQozGWYjgq4Mf0CfU27C4Zq9D9wgv0FHHwF4SgQx8Q4uc3fFdS3SLXZFFhe 6ExozpYH6+Y6BZ5salo2e6kthtrpToiAMjf1Q+MjyHTRLMwFL+PGawx08cIYG0m8zLt9z7bpbIgw VkuaORB6cyj4PcI+XQeuPK+StHx2mbFl0iCGCWYs7NIQIn1Zlhh2NBpsvkcDv0wviI/nRjSn83Rz NcKpbiUPpWFzgcTWCUqOlJ4z/vYbMSiZiNA1We1aW+HqO33Fzb1TOwJ7cdYA4gfAIAUrLBc8axRA n7kASdBn9KxPxzaN39XivmWFyqiTcpNac8ImfZ5fPfydU3+PxPDizTKUJY/wNOEmakXfxUAePjXP XeGRw7vTBp5HcnZbJ4nsMM4XCDCc1+O9oFHKvR1QlzdmXPRb8Qc33KNToayvty+aSmHepHKcewCH GBJ0Bcaly1KXI1zHsNkEifzEejs1go1fFEiL5YVKROUhsCrbut+DFZaablXU0de2+LBtsLMYo06z xR/1oUU4ueR2DkW3ftiYdi7bfoya+FIzvLk0kZHeKbfYKET5BEk/Fg/vKBbZwAsdBGGNL4IklGDc 4Ppy5BdX54HJM55arsFryNFYRqPSSJSYuQho3f16774sYygqh3IjKEhAEsz71QDtSPHx/CPbIy+p JaGt4y3LFkwzWhVf+atXoiWClL+hvBoqOZZxRaojjzukgSd2GZavpfNtfb1FT1AkOrUSqXHW/xlb zCvKhBAyCI6hxBQNn6qTl0s2jJta+QMJijxMoHY6Ye/8J7//YDd+wfI/tehUosmDHb2GTnMB9Nkx xRfcWB5HkCfiT6mBYCrGVjdhtRV9LkBgO6UNzi1JyYlIaJBEotAcBXjBXs8CMqT/6iofyvuB6mHD Rtxc2Pg65IQGPsulX3bmBXAzslCgMeVA5DO7ShcHNhlaZPUXIUv7vt6KHyP1exS6yQkafW5A4W6R r6jU8LjxwSAiUII6os6WpNvo2zDBHr1lje7nIHsb/K+llwT2L1LSPwyeqr8Px3GBqfjM+A9OPyIT uRNg4WIM3TYsc+Vws+gX/rnDQnhN/tS3lpTiok7kQlddmou1nDXe8H1M/oKvAATaWSASAzOd4DU5 586RbAzhu0FX7wZbMjhxU5rhogIkHBOb9IZ3DqF+JuLFEZUbDLUNN356RpydihvZHTSJmtagdEUH luOElHVFexfHR+azC+/vES7hH2xO8LRe3aCwnnjdtOwiudCmrCiWMGK8d7a4skMQkv0g+Cn72OIh J+0XmsKgC7HqnGSPIjxEGCZImhYkqoLMwF2f8AWPntGE36wyhNGEl/RMSdn13gG+Yc8ttJLCiNSJ Wvgg7vzXrS5rPgs6kYVJAdrsOSdA0nOxZFiPY15gIleCuitb0Bs1L4JYcQvMATqr/M6WG6JyqvvS zQZjp1QFg5rMwyB7tqe9qC11fZiJqyhTnhzhEb5+mB9GTXu2UyGEXkzUAjX0JKrOAtJSlUuOtlwQ omSDLypbYTXybEIxk1b+hL5kVBV0ikaqGbWFJGfeEgy2G2JEhRx5rU7TRvo1jeKs/w8ArOwVaFKZ Y0QALTfAveufMME8EC1Pos+P+vGileYqpJWvC1/JHPhHhz0poKJTWYFgV4KY9Igtqa0KXU/yLxUW eYwvCpsSL14QIuG2+Te8ysfuUJTvp9Jjl0YLi+LIkNSwic8xnz7r4REttINa+J7oh/6hr/zn7Q9f HmNOpns3ftg7dTVMctifA3ZMoPQpA9fKtKZqf2Ezxyf6yoJDV+meoB4lNVIW3XhcwcbFtgoqDe0W JtyAN1nhcSECsDpeKoLl5Ok/Nq8fviE1GbS2Ta6sBfkahW/mIoZrjXUC4dWpDRACL8QWPfTmswAn 8eifTdA0lF0jsjktfbS+Uu7upRsM2gmQziboAcR8Y2f6TBwn+vFZ7ut8JVmHwatlk5mwHLitrVuv tjjPYUKRrLgmZfnNRN5btab2u9iqV4uDLvwqRhEcs8xpITv1dRr3bPTV4HykyU/wBunU7tMgA2Gd G48+8X1v6zTNCezjVjXWVPv0J1Z/8IWJlTZRWmZETZ5tNnE2nldTf1NfAyeUkC6FcvhEP435XGvh K7FvMWeXYfhvtb2FbrvpcLltTZz19qH++J+yAvpuBiDk6qtAXvB3pKE1IsESnu5RM4WM0UcDmuor rDfu84AkCA4+8FveuAv3sDY1loVZV9fnaOOefcBQnrCb7GLFHIh92irznSTaxbwC1Zo4mEwsgW5b sTWdA5E/nS9WAnBfjbWRFHMQxmAZPm8ALH2rMheii0uTLok2Bc1+mEOoQNMw83iB2QLVlUH6eomn jekQ4u9hfK4RJ3ry/o6QMa8m5uNdo0MI2Eko/yKoClScSR6w9lRfN11sLU1j8mvrYueX7++MQ3+u BwdzwTzmt5fNyi2lLdP+MsIv780P9mmKaZ37PhXq8wDGnataKXrPHEkEYDhnYhcjduuZWJhT494l gGXHWoAYUxeKpike1b40fsn7uthnBIQrLJBNWJIVS8TYcJozJ0G1wZjkfrQflcURPQUN6fj79jn4 y6F2sRCldx/K/Soib4/sLxP/7Rw74BZhTTff3vYim0q8Htk8JZIdKr3Pjd3ZMLCe6lCqSTb5rvMw zAyaGkVnDBezAG2FsxKG4NnEcYzpaw+fTVZREa4ywPBcv7cszuIUsEEaa6X8ck5PdgyjhriyPNo+ ixGQ8scKXsQBcZnDhi67qjUW3T5qxngJsF6JcjYM4wnbGW8podbRktPs5HuYHnIC13Du5ZTsm8L1 C/cLf3brD8fJTZv093VEQPQYJzoiLdLrwfqycI4vgeEIHUPnjaqGIK4xxYyZTmh/ulcW8+Ejfqkh LPTgom9BH/NxASEn4LmWg8uaEVUQX7gDNAz8gv85nhRuujJq578xoyLoS4f0UiVlmhyhdTc/BQ4j 1P4ggigM5bB3/oZZXlKf5QKJyod5TEh2pYFI94fqZR3UXOkkQ6y70mIanCtpuw5Yt0sMNMsW1GwL rCpqeiWXrrGaizkMjNbyqYkbfDaynr4IpgH62PXUdVht2AmCqEZD2nFuwQvxMqtCA4rvJk5Cs6OS AY7ePdYEfEVdQn34w5K+mn2370dfjlvqtSNbyy85VHZVvi6UapptZXB9MEWAvDFgTWAPbxVH+vOe Wmn//O/JTpv+r0FP/sVG2dAn/KdDDNoXgxB9EuYjAenpSc+0trfOB7fudmmR7dEYSjUietC5ZYHa sJBR/AtUI0rC8JpqykjyeIWznq13oM1QNumn6EnV7c/4GsjDKN+qtAkVsBZsvsVrXL4a4pUaWm/c pMSequQn3LxvGqnpfrKr9LiBJ17bi57ZJX+qESsJ1cwLHC5O4FU5viQmWzhnlTG7vPBelCQNJFBC cBqsEVCZXUI0gLKrB7JaxwjtPr6gXv0uI+ESAJJRm6EUATvXFYrWp876zmu+wEV2zLwtjl7KDg71 8OLLV7LkM/ZQw79mLGMNQ1i65fQivZOZGFdHYkaWuSJxPM+0NlD7m4w5b8FjHPtPcGeIMznIDNsN Sl4VVXsjqcCWnoi+TFo847thYE84ogGEPsAB9YN3/ZFvvqA+mrk/Ekkel6FbL0DYnOyRubGztC+B BB8X4V8adR49dGA259M1pvCXP6lnuP8EeAY4JAOeoZpmU1biSrBSwzAKKiNfvJ4XW3gR4/pUqUr0 qcYJZrRgYtAbx48YrLnShPoFrHAHF2V5aUTu4fLGKAmeSqqBHJLUOta8qqfiw+eWHv3S38uKNtgc 7wSG29V3DbKcZ9Rj0icNjoPhoIQKByNgIdOi7uCo0n88URJZH+CEaXkG0Q0V7mVNF04fC+bJQ+9v AZ31Th9PVURsZl7Yv4Y9RdYvomxWJZJszl2zduyfCGi0IBuA6W6lJXf3q/JydO+jGoF5Yx9IUfEN xquR59L4a3W8ACRBYpRWs8ggrJwcinsCxNzddp/XHWOs9NlvzjnHnIRqi+Rxgn6NVMHhEVB6pvlr TEBqJ18Maunhwa+wHa8wMTdwTsparFwoUhu0K6jCKyOUSYFEuN69jWYd6i8dfIwnbgdDa6DtLYLP 3bPJpn1vZ77zOgSyvDLlTjPZIN/CynU3655qik1HnITeLvHYXMlKvl2bPwJQ/nG0QQfU3VAS7tpQ Whz/sTKVesbtmbg+Kuo4c/1ncmc03MtAUad9YJG5Bh8PlnZAxry93wEJ8yT8oE4VVHUK//splwGh WqbD+qCknlMjaoy1DKN80ypQ9UoiAeKm+z6EMXej92tuWf1E0Lm8NY1ljhn1q40unoFuBIyhtCSr xOV8Qxtc8EkGEd4lju3PPFY6FJTdEBSCQRvaPi/oxXkoXbs6kKzujO3lPJMrB3Ys2g2MG0koTTy1 SQrCekEH4CyKUx71PMO3RZuAMdSg4bRVJ8awDzZSXba6V0XUe9DOsPXsP6/JouhfHHvfFnDDyUgy 59CjqFAP8AVGdg1IADWp7qFxDE0x70t7e+2KWzD4H0G2AoRNu/4cJ3U1WVPc3N02GnLMEmg61a+a 4xVE3wXY8hwO98V3g2LdDSVzpYOMo1NAiiK9T2ayBhAJsjiYKyFCt4ia+oQWkXFONh/+HRcMW/k4 cWz1ns7HNbgOZZQd6vnI9SgSKcJfuUZhdXkXHK/W1Bf0oooAIls3uqAQi+grjsX2j3WsJmNCB1fY bNu4vRAUX5NqpeUIfziaebDfnXPfr+AvZgCe9Mupkeso30ddsTAMTpbRc/5/IfM/nKOSsLXGp2Zy VjBE8uIAz0b4l2jjI1boEILNLtJNHjU+xKNufhaXwvffsBVCkvFKNJHpsehseCxTAAVTgRpwtPdj /s3tYrB+kSaHsP022gCYukxIh5SWEJffkhLIp/T3yI4m4KjNZlwH/RxiXJvNUIDmMgi041v/zqHA VX5hbYh9KZKA3IhmZCZmnaB7AaSsjJeCR2JYejtdaV8zdGKm/7bc4baPpJTe3wmMXln1H0y0Y+I9 po/OM27Aj+0WJ7NM0o8VqlGQjUWoG0ESDo9VlH1z3poF9wQLNkyMRvIhVgxWKixedIRqLVoyPijb PVGurNN2k5sqA079mBWoQAuB2Q6C3vC8hLI2WkTJRRR8NYluvQrGXb3SLtH6O20kOID6xEFkP+ab m3v8TnOwWJ2Cl5HI23J4IdskX2uwy0tzZqokzIdSB57Nem+jnWsBnAr7F/TsvzRxFfN33yIiQmEK oBLGgeIsEGbXqLchrok6czIBYw26ECjnBaVPNWwjzkLKlfGx5wPyvzWMKPdKXnrZ6vAdUETAmfZw fT3d4IJnKHeuE6e2FfKkYw/90FLokjSwVloKJxNodZ/9eUojuxtNz5eK4KfQEpbl6U4oV7Mexwyu nf4sMvB3APUrfix2cAiuFvSWHkCQ8YtqDhbcLnXYLsFdhnVrnPnNJB99Bj2nEYbJ/Y4NsFim3zbq lxmIjs+FWr+VG9u7RWpnhTycILPbJ3EiqsAwPwQ5Bwzvt7phG08nAd/ELDjrpIOGi34eI72pI6MC jWJwI/pZEXVmVX99OPe5uHZPDCxF4fKnPL6oo2d5Md84TOaNcux980XW+kIBSyGEFPqpYuRqcMjC Iu1SaFBCy2Kj5uBsk9CK4YraXuJGvnhPuux3xV005J2AX9HWjYfbXnNNYji1GwTzS85+L5jm0z1u CarGd5Aavq9kmQp/QaCH7OH2jASOPojHN2UdWYkmIuYYQKHTcW7RfK3ALaBNDtylm5GCgv6D2hny JmfCUjAZrER/tCPC56x7tVhBaBUF8tr5pBkd/uGy+x5Y6Y3TrWxDSnMEt3b1eoM3rOiJx9Jz03AD dpIO8OA43ij4So2ple95N4kaJCZcmV4JAiJ10DFpt1mJ/7J+A8CyRZZaT/tkw4rP7bKp8ghVMAW1 GSaUpdNTV5WgWEzCPR4EEGuVFpZuuSTBHrHqw50u3mYHbW5lfLLJbhBmz91mLbslye+RVj+wFQuy lM8DlZKhxFPP96tqWmkJEcuYXAvHcRIddhuy9cn4BkhOVwKkXspZ897BXnCjZv0dy0eyE4/7SIQa 8M6nNTFzAPYdZ3D9a73kKtwLx4uBAOsyeBXutfqQ3Di9TqothyNeCq0/oue8HmG8BontyUoYxaPo WepL+saGD8RcNJ/dUPjKlRDVurC7a7BoQKQP6Npjem+G5iPLj3pXHTTZ1Rq7xisZh/eGWDxDGwsS WsIHQCCUf6UVfI1OwhpoN2ddbwuAXs4UgB+M8nlKwOPiK2iLU+asCvpu9D+g5jK9n8Hm694XtCQE ZXYelD8Ghaxhuuf0nh9rp1mGTNVinYg3wTA+vkmAUZ3rG6FAhnd+MAYYBIrHPiYq0GXvn4JBjGQd EOmvZPsJVSg21VpUOUroUSi+3LEZwARaphtjmQnHVKAUfiaOsw1QoBSH+dOD1xD7/GOd88EOU+Tw 5a1qgoMCaUjY6fH/ZRu9+0+F3/jXSdScHOizReQEoffw+dEUYSRdihUMMcm3hM7CZ5ZtRHTjuvm1 B8867WCi8rIslGbtidAX92KIo+Z43GI1nseTA3Nj5Rb4D/YDus4iNbixnBqNMFlEb+R5H5OhgMWe CF81sTYiKX4gbelMEkZIqtkJgxM6/ZSRWPIZwyVAxASsLZ/d0+S/G2NytB6jz8wDzYd65L1im96L gB20WoPOfrZcM6ZI2oZgQ6ErxwoOCNjs8NJIO+L4qvzZMg67KazIcN8S4qBqyHeS1LiNVSlmD0S0 Kmr8qjtKdRDeurGp0ecnjZ2T2+4n+kX7pTSoaXNhCbpSlvQ/k/bNun9P2p94CJNPABlUpjrhKuwS 2p0ii/CNE1UKMMi5JQ7b9yr1qlC7Vn34kv7BSL3qThIJKNuX/x1sI2YmacIJZRyCKj1SwmakT2Vy cqbv8+muDkTY1X2uvozJ2/Ankbt+Ezzzg4RJJTo01qvxGmnjpG3TzTpKN9XdrXHDzbcVLndUXkLp qbMqOnG+VsVhXBdYFkOLU5tmKHk3o8DKnmF+e+zokqC+Sn+kPEpHUOmaeH+zwaubqRBiyROu8Lgo Km0r3Uw9pcPvfh5mIKQGph+lpnjjdJN1fzWSfEa8YXMlThxgVLnBvUCI1UkPdlnHPVQ9mE4WeMgf oYm7xObb0C99jmPaIScucp8iPK3T1ft1g9c4RgNd9lViMJvuDP93MyYl/4aVX89Dp5s3I51LHSMN u1laDeXNPsMEJnMcfm5p99xiXSimo2uCMwO9zSx/px+MtUD9cIHhUeqRab5Cm243X/DnpdCUL8zT esicmrdQZcAH87jHGKlWIbSxS7ksesIeG98jLWHaVSJ1x3Eaa00/tvH24DHrDsI+/oHsycV9/1Fe a9lnlurjRiB6+lSVevbd87GwLQBqBc2XQYoBdut40+J6QPjVQaCJRCYpJhV/4rM+DbFYJNIKQ48k kx80c0+gpanwpF7LbOs3QZcqczoo1F5qgZH988MQ3EVP5MRo7NbjoU9RAIY2MTTBm8pE2lnOZOan s8xyqJZd078Maz+KTaiX6ZaNrdHXVa9pYpmde9oGJcEFpoMSaa54ompAq8xR/I3rK28W6QTEGQTO mP0TtHVRvZM2yB6MUHEZ7GSM5OG8LpqAgB4m4zkl1fZhKLr3wLB0tnUcTZy+RsrYJUjjkmsnF4qg Hh7qlOIq3J6FC0Rp8gwvVI/lI1o/jUqHiTWb4780BN2bUjC5BCEzngytDbgjE1O3r7YI/UmVkk0i NNaLgSuVHyRpBlP1vTkYguMPdVraOAq4T18PLI2GACrz9ag0yShP6uy/4UYy8s0fShkOUslqeKfZ 0lzYGydeXtk/4yDI+yj27jpsxg90jG5vz0ZVOJNQRQ9VHY2gZaReE7gGMaAnrn+snM3u4UMLfi8H zZIWFbf4O2UVxmqvif9XETNmxaOua+4x7O2O0AlYn1M95rg2pcKcW0wPpV0JvJe2VxFuj3c46vVA 3Fe+SaAKYGChEUtIYFAnc3DJattnx9IjUztorg3Oa8LnZN+vpgaEIHVPfWJiGs3xb0THJawS1/s9 CxFitFac2Jn4EjqpxDWL5AiSFH8jFerwlTlrNrsNTDjzACSq8uUcm2ESnV2bzEAF8jzPUaVP+jaf 6KlcrS34rYBIdl6hYX6QDzj26vFiU26d9qAG+1vNyQiyGxy17sGn+xVhdjza8QDRy+T+NycjL8Jk WT59nx5Nt5nogbP6puTDnuveW6UhuIrp2pchrgqlTgWbaF+hSoHa8RaCkSNLXomvOJ4GOsy2MFhf ziltgBbJmL/wp/g3mf0l3vU0JE2MxEzllLKQhZQSyLWMGV5IpOZqj4EF5rNXCyWh14ECh3TBzyRl sStTpyBUVDIESBVv5UKD38y5Mt2t+iydH0Pw2maEz6sP8W28Z8oRqXS4ouysnKlfbr894OkL7VZE LTsoDG9U27pQrP/i8nPhKAD8wmRcMoMFN2BuIC8buf/87A3QKRCmDpNGad/QnrICEBn0z64pBDMZ iwGgTfJQ+Ym8V/pf4/fdGA4bVzdnNtD9jYK0zO7jn6mJl3db6IHLG6EhoirJ+SQDjQYfh07uBrV5 K4jRJSfcl6KiLIu5Nryv7nZJCUzGbYqvPn/OenNjkmdlpSH+BkKx5MPuNtfY0B0cFVJjNKbQwrN5 rBdOSRGspn+EUg70rLvyIr2yPWO8yneMUPtCDn9sEH8FT/6rvTz5TompBlfZLTIqTryyRAc+2yBa guooIWgget5wywGZfd24ZSfyBCh54X6A4/I0C2wQKauTO8qM4ohTpI9QsvNT/+AXE3OUR1jeKzhs RwnmHOfggaPANn2MHoVscShnNaLMcI7GKyV/ngSeEr+Q0/UzefcnzIUU2YUhuzQC606IGsDtWNQi Yu/vf0E0+VPzfcPW095oYNa+gbW2wh77stWsOqd0Z4rLZmkWrgDDHqoCI8FJAhDUFIREzTnzB+FA Ebj16fo9nMX9qvUn/GD0XHyXShYSictuwNqMHHKC8J6IicUhMHvrIGg7OOHvCyZSm2c/qZRe7lcG AC6Jz22op9T4U1Tt3yKqt1vBCb/lVJMaUBICDY66Mw+oHTl1vLNvcDYCh6YGuPkuohfF90KbRoca TpDt+zl5XUNTIbDZPlbNzR/3lp5k7tCcUm9wiV3i8xfw6wHQir2xJTM73C7568dcXXXPRg0zqUJ0 bv2Qq83wqrUgheoub3uZrK+6s1r8h1DXcnnIsqn3sj1iEh9eg4o5nXkA0ASnbP/dk3SKTP/J7XKd r88JCpIwQGIaQUTQsoRklvSx1NPsh+VTm44oMOZfKDmjLCRJNqLVbyy27n0ckwQqy0wISqx1TH16 A/YVgRzrQFpqlxYuxwJr2DyzUHsIXd2U7YaDLHfTxII1oGRlOOfvvb2rcTb2pVe1DKO0PDnLEl6/ kbwqxQ7rS7ZcvZj6IptW7nrzSHZMuAWUUqgdnQeTH2KivzJ1EzmmRUnl0yNSYwhrkKHBkF9tqSH0 CpYkcKKNGIRM7IOAoULQ0kLRum030jr+XWmblsYS/CnBFB0PeslNQO5gk9Lm6UvjuqUtb1wnTnEK HoSqh3tDARx9kLiv4Y4BJ0DY/Gpy5uCCLyVGFavic3N5g8VyivF7UAvgDNO5bE5MPvBqs20yMm8P xtPlGdjvrirgesUlRwj17nCHDo3NGyLkyRJnDaBbRoJfhVp23no0O8D4MX7Xo0EPQPVVKcjpx0/5 +Scc8ugrht+Xe53MIDHgURAUF2S8B4cFMRuzatCdpwxKdElLInYlPUiJHSCofVxGIfgRljOvAOSj /PL1AOc/knEedsJJ1cc7yefoEf2S2Bez9KUIsq0ahI+h3o4WmneN0pKiRkLL7cKvM2FW9lgQJ7Vc PGMVSZusrerqlLPOYwh9jqbPfTC+zkLIR9H/dY2NJMwOLkXsgmUw1mevB9mBBm/CZKgvZ+LCH9j/ ocu/427YH2YSQxm6TtDhWc3Z+k8xs0ogEJzo438HL80aeyAa93ZHuVU48aPmT4LJfRzRtQ3O/IMW qw0ItPv0WFA2tWTmWgrDzcs3XLX6H3vlggn+v5c9mrmtsOr77gAfqzLfmnJmfkNgwARRrorMXT3V BH4rfNc8PuI3P6GY10uk+m8nwFwF/3b7+W2QiF65FAVZ8TkmDYowJLdosBzSIOjqNZzmeUNqul1d 6tAq96x4O/akYJW7BZHsH6nvss8SuT+Nd7T6BMOAJnHDY5QJzktH/Ie5P0MKEndchlr4PL3EU7sk bUUgAhRFNhPGzi+9tSthxWaQO+qGziwEr9pWv8H5qFIhuX9X43dFvL4p4slqkC0Ruxtljmpi6v/c 0azRRJcAEDamgBl8Gp2nsiSPnnNod5eKTbvXgjuS0Hp1j5L7Xd3Rgo8yOf2n8Se5ZvY+vL81uIZx fZrJM/uQkSm6idMuGeBLP2FyH7yWMGeP6Q5xB+GsWCYf/TCsIXfsvIItexc4YvQl+hqsKmc0qpoU hdk4x+W3/vOUtcErDvSs5L9shj0KkzzSOCc3nYVYrVVjQ2g5P58y9/z3khoegQ2yhrJ50hPPAd5A efJKZL8+xGLEFJWKL0Im3NoTg4Uar69Q//sl2V2DuIzfbR62er72WijkH9OGxrXjKVo7jhjmy3V9 SzI9wRBq583sLU2kgGGZFEawsG35xpLEsvWG24RxBOT5LPcKZpC0KoCpnLgMWaoGGA3uMpZtY4xg BRtVsf/eXohSWAA9/3TM4rF+1WvNu09rHgJJkhmODaSc5b5xg1w2c+yUOWOqd+wq9vcMmSsrN4f/ iCHMTgvRqkDIDUn920lz45O+HwtdCdaQKS4fMdLh1KXv2gKz05YTbcRt8ArtUaNDCre9NW5Y5o0W FBVWwC2I1NxMpHD9jFCBqKDRagITLpG5GOxDzfUIqpEkz8cIlhS+0APyZigpvTR93/pB7t1d+0uI X0p5U3NXs66/qt9AuIC5AKigtT+kMV6fuiKjYZrQI/5bBgYIZlG/TvC1h5yQl5dg1OhE0uPHXdd0 dMiDu39/RzREfBZxGdC2NhN8S0SUjQOCUGBAvKUQmAHtmwQuwv6T2A7E8YwKx+EYiKhDcFAWkId/ 4BMGKRRmThXebA7dbQkeq/gYhRmgqTqujV8fEhN3zN/IPiXKW0nEE0P5kI0uIzV1DrYMdmUYpwMk ZGer7Q2c/zvO2RJlvBcA2PkAHu/cOP/iuUhrv5/w/MtbcpImstQa6aNE2Zat8zX+e7D8izkh3jKd hiv0SqPOA7LlNPLTsShgN0dPey+fgH8+DxvI4CpWioma4gTm6Na3qmhkj9EWtL8exmWG9sQ+39dB /3YrsYcOkc79a3X1JMhrm+FqV5OhfjQBZBDJKEzcKvaR20UJekJs3KK/3yn3YlOicGY1FlXNI5yo zAVY/r5Ju07BZRIquZcRoLEoIg6BW5oh1ve727VdXz8Yf4jljC4xWu0Tk308xwctniYxlVOImXB1 oI/Y/I73tI4fPUyWdDLmsAbG0uHwygsrnBdm7NIG+WkwVt6U//L87tZ2720CCPwz/xZYlibh4mVs /SCJrbGWpa6aaX01dcMqE9cUTs+Xj8hJt7lld0ZqJW+ocbxt6r6/v2Iyw2gsMAuuQ44BRvsLbhrH G23LLu/a2pJ7u0uwgV9o5tsntcBqxwe2/gAIzdfjT+L3jA+nkSleZpQRvH43SUa/QcMpH2tq+f8H gK4TCoxz4nUucIFmx5JpIQ0dl1f9D5cKo7LoYDwtxtf24xyzmVVupjzbol55Sq/7ezjD1M9RkAvk 7wHXIu4YrjI97JVsojtlv/dQ+gzvVyHrfwiI9CzYUVaYwUn46FIKrb+vT3vteM8LcXOnmg5maKxE UFCepwbFM+9o4yTCmJw5KCIvQPCIO8mZqaUIR08R3/FBx6xAlEzjdI8F8A0c0q9H3DGI4q+42yq7 XmrwOT/WOu/nJtoniqwnjQGRefKFc/0Rf/REUGUEOzGepwfcApWiHncx442aAdsUVg/MALW4iNpo h7bY6gOHBmt9TL5pBw5iN1UdVq56QHpV2W69YWG63kfkA3WTi9ithyModa38cMmLLLLH8B5QwTzn uBXfaklLzDiQ/rfcc0sUD7vQpszVQavRdd7T+iYeBQ0xvlETiT0MkGricZgJ5Z3cop8q6drbDRCU 5+jm4za4khIZylgrkiIVkjVzYu1Rcc5sIETjBXiOltQp3q1ZAqd18tY/K4UuBDb5vWXoGvO/GPMZ gtdC1T0ysCr/JcG3yLzFREhMG+F/35f2lluizM7hGfSe2Erl0f6eEJweQKEExW0r8m9pHwMoZJsy aQ+6L5dw2ao42kqQzudhrsW9gHtSko1kwve8xlx3LCAGSZelrf1m0+vmOict+ci66niwxHRZ0FLT 3TurBMzzARMWcidso1mo52VQ6C6Aw3BFKbdiIdekbrIkUWHMhDzN5DWDFOrTgKvGYxLmbcB9WCZv 5eeljtDjXEq9OKLRCXtqLSao0ekqFn0XecgyPNDHbw/FhkUy2NW2H0C0TN/4Co6yHIuVtvS+cHX1 0G1Ot0g0bWqdpJa4IVo2Wezdrt6bgNE3O2Q45SG9sO5AkFPN6fj6A9rr32kNKZ/T9smZA9mN0B5w 6v8/wKLii1UfvcGDeWbCf9VjRX1IcQCL32q2n39kUW7FSrC3A8OfKqqow0qWgj0bnVrGbDBCHJoF UzoQx3gSIvYeg7UFLBOIK3s/NMycld1gbVuJqRH8OanmEQMWQRu9F6H4odWUFos/vxvr7+AC++8Q 46WJO4+JF1ZQwnzmH8oG6TePfLRb1jqOmhG2nqj3wXCBGvQDgAPn3JO5oPD6fbnjqkaFMqqrZDdg 6dIShb1b0xE3oDY9j2Z0PiW1nRU2KdKWkFohsRnsY2LWDZAeK6G8Dqfj71sDflCVsKpl8vYG0qR+ u7T5YFJexn2FGEmqjqLzhFYEaIgv68jRJRqALqbFAMakg+vt1LpLmYKjHW1r4F4Ma/AWgd/9f0p8 r3wW84rrD3e9gjOv/GZDrYA5BLEGgyxdiOrRJWiWusQKNfnQwNXaNDNZIc0V/PvIMFaQH8VSaWLp d3kuCAHWjIWReKDA0ag7JtvYWN8vGu382KvCWdgm3uEu2hkpn/ZHUzGYsCi+VE/N9Ecgl6Boim8M f9OPfFgxGFf8bHYVY6p8MsLUNTSe+9/xAtEz0R8k3l80xQ1NZK2nDo1HxJgOGonhb4rspHn75N1y xKB9X736cO/0Fg5E2yaD9gG5qZB8SdyVb3QpPUsMzTW+gLyKq2KO3NWvm7ThWAHkOBF/t/HhTmSG qkspQ6E8hgmisd7iheJ8a9NYeDTAZ/v76OsQnjOSsOt4cv/o6qMfeN2wief9fCJwFIGKIfKjMweD 8UQa9yQlC5nXLxrx7wotQBaHi4FWp05w0qMj6D03wtE4C3cP2jiS67i6a3/aPh2w2g/G8QmEX0Et iB0HrpwC9ejaos5dv1Y8SliBCYw/m9bfAv/Irvej3hgTYcQb74WihjyLcRj36+lpe1GoxJ6C0WwW JN4KybZ8OMRa8vOzB7C0yZKBpgFkOKFDJGRGv/1EUJs+T2e9numQa6Ch6Eqxc+p1aBYQRpS0fJpe 3oGEov/PGUSrLjoIEUTDrLFZEjIQ46jRTwuAgo8wlrbIdUqqrLRq/RxnV8EJhJIwLXvceX79PCET ltZeVx1sVEMBhLJltvyoSsuzxrKJu8RgUU2uOUac78u1uDtcFvSCDugATUf4AkXLDx+0Lhh1AG41 2p4HFqTJPC2q4b3VdXVPpDLEMl8ImJwCjkEAnKiBX4zyuXXTBDOw9ssaYhfiDaAfVihTGnNH2Bh6 pokLCWNh04kmhO/y22ZFb3WNse3pn8dF/N+A0PBXJBO2HJ3umdvqJiqAC1ALx1NanztNsilY52IE 8u5GGcbIfKpzV/4du1Z9ISC7YOVU6hGuqgfAuvpjUSoWyK9+R8SeNSI+e/T7GNPiz8ircKAHYuzv UaOyo6nS7bvx4miQ4Iov5NZNcWZZVo8rAJevRC1z8IefI0Df48d+uI/32fxaIsHXqHQP8HOYoKVe g4eiD/5w6BMmifcVCK91KpngEzfuuVcT2BtL0TJ78eLlxL1zKZwVDz9H4oervIDQYq6yk6EHRe4o jCxA/bm5z67U/JUM+K4saZKR20QjYrYdOIC/B6Z+bvl0/pxckpPPH5sKNYLKCeXoue6x3W5ZbhBn DAOfT9jCCsWhAs/JEhCn/rTAkfiBxRyQyQM/3Gvl9r6HlNeesCKQ9WhyJ7rz6YETWyYldDcu2sSs Ze1tiwTyIeTSitVwam8J9Ao5FMfOUDUF+8HnEnYWUN5ISWVzCY98HcQCJqcOfFf0KRtgBXyjszqR qyv8K8x0VYV4oSBEuqYaYigSwvzcZBsK6fc3pTWVdVVUbkIkyzVXiLqrasDQo8JigMhmKcHX4gEQ ldzLXvq48xlzvELOPqcMsaYBp12bCRTDQQSrLHMamiRkVDd7WeTKw9maDQXcVoynC0S2PjepQd56 taEa7ROUZXqkKffjAv3LpsZnOmok+tRFgtfKuR3uXbTsX+QBRUcHVdSmFG41vcQlQ6X06C97ccJw /+F0B5YCJkmFhcq2uqC/TVXhnq2dnDXaI4D83Fd/x6zbnGPv1+yX1HmF2K9RpEywkzrcnkdIhg+9 //qQFg90LTn4+Qd8eNQjQEpWqmnTBXwc3a+cGRTD1qoYOJiJCpoThgyI7bMd2qdNh0h/KdLlYrqO aoDz2x4XpBJm5aXA+VjUyEjz3s6uIjnW+OjkVhrcqOIhFvaG0GwJ1mVxy/YHdIWaK5jVmShpSgP3 vG8KNKUyfvXRyQPZDat4MOr1RfuwBNJxspdVSUbDXLGwTfbTN9IvqoNTE7ja7oIypdDb8P/2rk12 BCAp218c7SwrT1GwHCJeZKxhYWhH8U/039rplJZRe1ZKVQwfsvXR0g7ySZqm6a+NwDheo+jSlxvb OJPnLR62j0UIRy9d3dzvGDv8+EDEPcVoLWUVYIvaHBQOxv8kBZD//aIs0Mojx4MA8mMPWNilvQTy OdHEqVaDw6Z+TMX5LtnogY7V0L34rhmoATnVplwFvKgreNB7viqf3IjJPth2WQ3H0fZjieVKQVZQ /zaZ9iqTjQYUt6ysuT7p4PGpYCr3NzZw3pvnSSuret5+sndViNFrLwmRC4L7tAynIpw8fS+dIo6y ZH9Thp0jOE9nbOqIQnkJya/PTtA3cVMB/MdnSm6i6INasr1d1WzcVrNzSSNf7H6kEC5CFyz2gtPj EDDZIHm37To4mEbu83/q3UjZjoQ4GPWyXlez8dyExMKyOpUIgsmECeLR+v7E+nNBPIMQWW5pN6RG DvhK/BG74pjYsmNJJaiKvd8agS8/+yMBc597nzpFb+Hbuhw/UEzRTSypEvDk2sn1nttoFz2knsdW sKt4xSd93jrPz4YmErahB5R5Zt5rQ7snrOiojrMJOHXz02+Lzqc2mQjcVuNvQSu8fIzgjH5x0SsF 45u3qLBz4mFFiHt9V+Mg0uj4+E363GWTpKx0Wbz/8fKQpmxmZPfbt0GfId0kJ6wgwU9YJEMDaoYI cf9OxYaNRFbs0C/g7SxtkzJMyOV9fR6qG/OkcKPJLeWxtvwatPAcHiw0T5y8FC5H9bs4Cud4mSUh O+1ma2dZmtWb3cLTpMg573xN86Ps4OydJVnS60Q75yjOhlKCivpTLYVtqZddeAgLeXGSGhlrds2p OEUXAq37E2BWFTKlNLPY1ITNKdxZaxMZD4kgYJ/zA2gyje1Zhdv2IkPiW5j69UIZwbL97eOePTGZ iRw8NH6KsehgiyACDZ881yCv1ODVbnWaTYXxnMrZbrpae9SlC5G1kuBvQTM0OQaMSaj/YmdW8vtz AkOljzvXwJsx8dnWPmeJOijI0oBpn0auwyj/W1YYI5MWeLRIc+Jn5S0U+NLVG4ablUtnbxNUBuyN uVTiY42UxpVVam4KZinPr8301Mjjk4KkkUgsKyJk6m0lkskdPMC9XapgqKx9b8iAcwIZdCE9b7jA 7QT6Xp1oUtVSrZC9JcLrdoL6i08Y5rO5rGxz5Y63Ol1aPj5BeYoICopx8sPBtsKv+gOGpVEoT2Lo u+sFmuCHToowuroazET0TjIjkcXGppQtVqz8gJ3Hk/s/xE6Au57mwRhveyoaTvwJLTPCRuQXI7jG S4knB4xEwXJA/2SDjKyz554pdA2Hm/RPf5fF8pGOyBgWQQ9Ilhe5PkRNzQMOHTzYltmPr31gE0cg sD1UOMtHer5jec1I2rfBcgGRXLEq6R+b19CdIArslAwi2w6FjBrwj8RqoGmIMdEEGd78WsQnhGoU cP/1BbwEM96W2wxAl1spflm2DX4vTHqgAiN5R7WfhycLqWHJKhgBPdEuK6g8cCBe5Y0QHieVEnBY TGP86kv2mSkaRA3ReBnqdqzMez7Wz/lQi/QxFmKX/Dpm7zUa5lJ4EDS3fS3RUHl0ELH4jr0xPQ0M kSrg5cBV4gdj5sQpKlVAdFplI/AC/hVKBehkR2tWbnodvJroFJ8PzoTkGEofdXgEPMbKFjn+BB5b iN6jSVt4pmy/HaCqWURbCkrxpWWITyCUefnOMX/4PNY3lzN01VEoE6797TJhPPc6nTmdAqbuW5Kc lWufmxfHn5rMxABf3X2oiJ4faj9rqfmEVBZeb91gNF3QXDFKB3VTV2W0CtgSIX50yPmSOFz1GHhm OBq4ffTkbHqbAe90rnoiuI5Q/Kp5ZIR2Pc/mc7HHpSqSLe8NvVqCObP2hvx1aFb7R5ubTCLQqYz7 /JnS5osTLu3zpt3rLOOy+Gppb4mwATsrZUbZTVIFd+gCNoKzzIz3mp6JD1ZPbBJnPIbILpTPm+yY d5nO4cd+sT/EAmNnQug2qRjEVIUwJvJLoFT7tSgwI9mc6wSm4Ph/1q84mvNB3CHZeDTraYs4TN8n qDGWiKPD6awrF82YJDCsBHIzTPCLF6fYW5qsGx9dW0UgjhXwkKK+OXA6vhKXqTIuTFqGJxwYfotg tsFkWKfmsk1CA0mI/BI2Zl9YTn+dVfFDeTsz9acaWLhsZgpm00Z757r/nhO4pOGKEaUSBE4DyefH JRbKpzZ3LxdQDT1EfReYPtfPUSxTzV/ZfSCXIDUKKhawWGKsi/QYSvU2wNZ+EP+rjF3gT3Q+VzU2 pXHMQHPxQYFJlGfMNOoj/1vg7qhhdfG3MgnSXF2caexjF3JwtVnO+wmP477AjMO1sYrWpi1139iX SDIgegmz5elMs0/e9FrQMquDyXuDiiX0ax+4LgVEfhTuDVCprlNx2eMKNK+YPuVmQHEI+Yl5c4v5 mlo6MWMMW7piqCiZUbKYTexvxnsBokxXdEM3GRoEkqmA2kF5tQV19AVOCjBLcf1i8WPFQTTMWd09 salEdI+a1K9EFQbPduVNFH58zO51zVu/IeIn7P71Z2BihjdityqSXs1yFGdYjgAdGuxYDDjU861g Y0XsqYClKZPhQy+NFP4Z2mhrM2ImJvYsGE42kdgDIOMfkvOFq3+D4EC1j4vrLpsJK2kyHEqqMBRf /xDc6cG7zYwuYgaPaPe22x7GCqvSyxOzyAnS6Px9hyG62h9gDdNJJWjjHnl+E+WT8hu/gDWJwz6i Vklnx1KOp8wIXEraFwsO2DvbEpEf6DzcNEFGvu5Q9QalmaUFzEol7WH7Uo8B7t1ctBNx6t4hVgK8 RwLuLdmlO/QBPpmHTRFcu7K74ULi9qg9ZYOhY6RGzt67yq9HvratCWGqlGBelnIDmaHrOkmfikoc XKsTyqOqtkloXlxb1labigkfR0357VcLmNElaaQhAiiqF1QzcMRTPUomeK0pv8KQq6eojQqiiYjo Lono1PmQKuRJe1UCSraeC3r9pUqgoVHGL7mdrLYMLxkjmx1OtTbuZp4viQa2oEC2HNzns3LsRQNv 58C2mMFeaN/uQ2y7A6qPTGrF2W1HbTzuSp8NOygPLKT6p1bEjaQ1U093Lk6fixCYDNLDG8zNS98D jFWjc7DrVNKceHzsnV4SMRgaemafQK9Vjhas/3Ls37QSqI1rAygHNndCCgg+TXyc3+gNw3saQsg3 nff7a8Kbq/QaIqxEZdsc33WidrmLmnlHLah6YGs36xHi9qnu3HRxmaXPZHxEyrxULdlWEf096i5+ 6TfsJIR0Rhs/6cUYcRn6BN10Lt0ABjRfbiy1+f5tQuYisDpL6+KywkvX5/xkpk9lPDIEPP3F2Y6D mfVlBjhlotZjLtixlMtOzjmS8hc3z2Dl4jIxSOFscszwvg6HutRDCA8EeB7zNFS3CU/AhYsOq44s oNVEkdhXpreXpOrKi7BcPsMsw7cgkz/RPVtvNoGO5CkS3S3+fSo3POEqCXESYBRInchReDfuFaQC 7mm3wGLhdYBKZ0QbyxnXrbeR6+3hsiljOOYpV1811e5Ze2201HbINju2GMPVscDpg74rSJnaD4C6 DrAOvnaF88BZ4QrHkEoPNykJ15d08enAmFFcLrldIV8SSJ0vevnhol9CRP26g2Ts3rh9807rRUVV 8k38gSktDR2llrdvYb282DaOEWU08bqm1CjDfN2+rgPeezy+Wbyx5dh9diKpSx/obURP6/lhvFq1 L+0TVNLUUrlBpM99dFJgXCtiNO9NhD4acGIwVhRSNtLQuMbM961k4OMdG5zZdBAfvZECkCQbLqr5 Pl2hkHilfAI2k92hjc2AYUCrJby9PAxEO0cPzeqFCBsJFD7m4uFne0JsNLx/WSRji9uZaOXCFrWX vZHde863taLvrKXIpDfdt1G6RdwaGKvTaHFGcQxULUfcCFZMygYd0F65WIofBQ6QltqZXndYGIlG 3uWPiR4ge6/wpoZmriBxWlBNh2y5tfsYv4fvY1jl2v24sPYABz1LtHbfuTFM8udy+t2Q7BuTtCff 8wmeUcHnDMUPNuALrkTdhWUeJbrgkUuOaCMPRyVIf0laCLf4WnZae8fq8ohi1qRvwKfybjs8U+SZ 8VgdDjP+16PytVO11SU+CIoKHVd6HRow2XTrU1yPZtWVc+w4UWMaSBgpdjFUYbNq9UOQCNvY5p4O jBcw3yxqEz2UGOSlfESHU5h3zgGshsg18u6Z9qOH0jFzU9qSIq4BUESLTKj3kypB+1CGXjRvJwbB iC9w1ws3dHxmZxEBWPf+7SFzyrEYRVYMVXAX48vvPQRlq0HFp8hfh4GIfLWzNcoagH6l3CsvI5IG +A/SkXQXdGfPGyKMDn5IR5wHVe9YFUbDjSzNCH5DqSIQquOVfcUNWFzenPUXehF7GvomdU9idnAY I5Noy+JAWWX2iB+I53iCHIVVCDKhBH14pASfIH9P66feMSvu2gKOn8LCbjuEQK92fYWlK4wLr+nB hhquLwEvRW+vvF3lrflgMBBJH1H37Fn/Kagj3fMbDmHHymGloUTI+aoTM4LHZjbhmPUb4XN1pl9k 1dH7zUUpTBP2B5XJlDW+PWELCGzac0maZRqNLQtaPTRJKSNjbYWq6qgIGxwy1eMC269Wz1T/mBZJ 91H7y5jgcYisD4SE9VmIMsx8HmBNZpcLqwFC3VuMhl3MTDID1tZqmQ3oA6vXUDuKfWCd19zlXo77 zEcpGBzwS5//qsBPMa0XLq65OriN58CqXWPC4pNECAkTOUJN+Ci/fETUHD+uO7YcvGYek8YoyTOb Z1hDikapfUNacBkaprXN2TcoGeEpBxfTSRRyh+OQQTxr7Ey8zgOJomTEC9x3MLRBgPGtcYnU6kzq Z6x8M4oMssbNv2rHNy0Mj+qxTTBdLV9UyZqvSIajNGC/T0msf6NN1hcdY/09rY2t2Cq39Y0N0Eic ZZg/UCkOXNgWLgsU7aTp36Xf4e+SYQq3NfXfA/M7KiXNCxPk/aC4KiF7QNajSO5sFVM62rFPEFLM ccDP4vxasbb60gMW1Jcw46pdA+MAawqRgpbATq44thQ6YMaVzxB05O17dVwczXyqUYks2EzvY/VJ x4BQroBjWySyvTh7DRZr347cpoJffO5tbRIbwFweUJC0d/tDaXVF0dAPt8du2n3A7yHROzq8ILld 9hlqIJxOTzS3bZUKyFyVubqhHjQscNwSzYew9+lDmhEZxyKYRmMqF8XjYvOwHsFONTIT64Xc8Gvs RrdObf1Ejgv6IeCnl/asvuLxluvq0at/HsSzAWxrkqJdo8dnXIOy3WOSsKhOLJdgfwpiuSkCV0tf aqd/64B6BthL/bWGxMZI3A5V7vbGp/tTFkSjky/3i0+8c/uHduiD/Gyl9uZ/CuAFq9Vq7Llxpf8w arqiJfiXLUNseL2y8uKYN3QvA16dEZC2xPP9ZlFTKf5LuD3H5jaU/PnjNejUzkrc4+8bo/s6I8NC PBipxhJqDeQg9tEP4om2MMSPgnB4KlvzALGKEfDBDdBcY/PmrTOjZejblS20NGxaEo35+NAh6bU4 uO4I1xbDBXHBL2NppcDe/P1RkV1bckEF9R3An4J1gBGN//osFe3Bcii9jE4H3p2CoK2mwUfXb6e8 YSQVlRN1Hl8rdEW3z9gxfS6Q2DZX7EU7ZZ4jmUpWgE80sHhFf8vu22361sEpNM6J9PgWflJCKt1x uxYFwO3jR26OxyDOADjJuUVhrlLpcrU88iUpPsUmlabCuZD00yd3bsl2YLLYZ32FPeYJrQv96nsW 1acEKiRQf6ChFmN4JV8bg3HhSvuhupEwFK9g6GMpxCMbK45y6FSJCd3bsNADBk1yx16P95WMxOaK pwXllwf6t7LChSFjTq5mO0SPNGYvwg0LNvkyWZzSNE541qT0z6eqxQQvzjjXl+SmUaGeonvwkD27 PqPO3B1v2Amo57FCng4oQzQTz3rUcTbtBsqoFDRGG//6+V6+RFxta3Q0kO8gBFqmYBhMxxzS35nD KlztnV44UdF2Xy/bs2/1vy3gKjijnJXdgiQEUnH8taiS3Vs2O5iIsv9D7LJkmQWvFHUJbyTTmn55 iQfvt8gXTn0ZZOyjqXcvCm2RyFDGL1tkPp4zyaLENJ06mJ1jbKt6ZQgwOVY5lTgcNJpemR//lN/3 4m+8iA4czTmI4e5Vwt1GTRxzf1XhdsepR/DLIQCgbNgtKrm61IAnCUcvo0XeV8yW9fKL6/vmltjQ 1X/iF3CDVwYeQSLj1pyRfeJ0/KQkz2eewtwqJHbFVPaozglPjQryVIRJBzVRAhwSxoyumVbZbYo/ ppNcOhexz/jOw4EDs+cn7ukyRF3FK2JJE9hu6odW5Xg4N8W3jg3jAn4ozjdevlGNoybQJCiMN59e JgnRehdiuuSFHdK9LlQbUvLehWN4JQKWgXXexR4lAMJi1da1FZUZAkHm3zGKsadXS4PMx24p6r1z VyrDKtcqcHzioSYBwgkbUIBXMbqoJhPvaADzxZ6fgOqS5imFQaCeGgyUuvePJWLzROvfHoxkXPQz Q6oQU2Ijoe2P5EZLmMbf6GNueH2t5ZEY4pqqw+Zm9z+zBZOEc4O7F2u8tPgo0GlBBXIYu+Y4TIIK l9sxaIwX3Y2ubtWmjWL1UmXkKoZd4CZdQB/B51yWnWo3VwIVBBAO8oA0FWuJ/xjFm8pfMpmUnIW3 kzSrXeMTuRbOOsIKWfJVE2fhD/5mdfj4WZMgQW9QUfMi8arJlCMjA14K8uaj0zPb9y2hkGP1gEog QrY/NyG20ql1U2xotSuEbA5tyvoS24b1ql+m/V3n3SfycmUa7QlhoWXW7gUAHJWQHeiUfLqNAwKX AaTCUFu1FUx9W39AnFCLPocg91msROE0VHOfk4yi/En39jNNlI9T5a/j6tAyJ9QOwgPHhhGNGhk6 uqKCUaXjQovCBt9wuyD+1HYy55Ck7sIOS2BhHThWJ5P15FPC8MhMomBnyeNbGsjBHCQYXpA5ZvZB qRnNwFzH/fVnHf10HUjku2SWhZg3I1OXoFRvohCbMObMG+k2m4KxB4KIY3K23DyTT0MuvDBcTuyH 553oAR/LUKlh/7igLYwfnIj6kbZOsIUOr3wYoqm3WD5KtqewetdHTZM1pUyWJ65mSPR8QQAiszXX 967dhgi3jnBC0L/21YMD257fq9HEYn14fuIOt4GCFXABW+LYP+WQgTNleEjnjNYmR1JDAGjXLec0 WcIdHN0w51Pcs4zKsffiR+ucgH/Tj1CswP84oo+01Uc1/bQHJAeGEFbrNpnh73joBZ/prC5eUuaG ufbFfavFL1fuqXQCmPgdTXHVRhYtP+iQGqIA8Owsus5SFDoV+fMKhXHYNT4uR4Gdo2HgHrltbsL5 cSU6BNHaJ5GYyoBbnmXDpbmPwnFPWO7P+qD2pOYxBuCxDvSVTZ43bHuDm3ne7DAt1y1h4OfQogfN gWAL9P7Ib+zWvqp+tZpKX4AvHcul65ElUllz+XiKd1tsTkQTQAjR55Uw5jLKIubgPB8Ic9UaJKSS AXhnwAYFIeScrA8Y9ZYwgIKpzzrrmQYIu1CSsJqkh0i75HfSY2JcyDk+WbitzRYwg3ez4d+2bAT3 mF7CDpxDGKLbs7rbyExSxDbNDnnOjgzmzgeI1UIjf8yxCI9sCHpUvynmltTNqvhG+M8E21DNRzt9 XS8RsSU50kkVXg0rcZwKQsI02ZIwHmaOrWP7GgJHqQY/BBOrFUGkT7cQ2xeLiZFsZcuNOr3Jq8yI fJFUltLWmiogh5j40MEfN/vKIY/+0J2/BhsyIlymsJ0tGH79BvJeg4AS6x8JiMGrOcb8Egj/ZwWC 2pinRD830jt9TI08XrxVAF4rVtuc6RxMOs5U5snBMdZ8XwWM5+ce5aQgf+s9fCNnlyjJE9EPm5MI LzK61YIcd2A2Y8CKVVGVapEfTbFl3hT9mbL9ptgnfwSo9txnG/XFi/vzoD9J+E+fDHEGtMxGeSXf 4eUeDpMEYJIRALxAZg1JVwXp4eHRq/HukeJZ7Hmh/zokFi1J0gTn7WI2cHXZ8o2CQOPE8h/iuH/s Z+TVkwNfSIKgVidGYf4YH/WVm949jgFhADPhCHAUrIChagjlZlzJkzg0bYYrGAFcjKMgMia1K4yu 0R33KVL6W9X3lYJCxO9Fg2CCnVov2kcvX9MYK34nLH1Mmt4hJk+eW5k+sJqPNsTGgY2AvvEMpuyT xXWHSV7c6DaNEdHbkxlMpKJVzNIrSCOjKxe+bV+qPn3C0DPXoQS1TpUmBUf5YAT/0iCRxSOgOBhJ gR1xIPAkM0B6GgruZcxsC/EhhlIOrGjH01NNbMMpd96UHXAsYE4Tw2U7y5CFyvYD+tFR8qci129v FAxF9BeJZddOMxMwXmAMz23MPArf1Sswcq0c8Caj9+BgOk0hjGQ7z+UHAEUVxras5nUDFvydmc47 SFwNnrgR0/e5tv/d6ZlvGRS32AK5u/L0VH8DwTa+Ctr6gFUWsILtgTff7fbRV7IVd5jvKmUFNdu6 Xd5URZhzZbBYfuz6X6frv441BMTjzDj8UEBFk37LCaKSHCEdn8haD/5iE48cgaPwn2oU+HkRrLsu CyIjbT2uvTmwWc5UXnrtFNyZxp5/Af0z9BNhvKborivCeU1VWLztrFcxwu/sddj1yqrGz+56cJI4 psDodBH6yRaJ+/21iQ8Ami8sgmQWDoSWOKv7CJI3+BZ34bHMI4IRdbwoBGxhyoH2q/+1sHUnCn5y dCV1Jzr9A12Od1S5w2eJs3M6M0lnBteoy1Uc59Zqdo2XDianKONPTA2bdsASh8Oq5MHAeKsl856L UBmDhtxSzokZoeV1acdNcF0rXuX1pES3syN0JdCOuyc96uEhOsugduTBYiJIz3aszMBNcSXp1/uT 5eJxkcNt5kWrl+v4Sh1O3KcCQJom9gADqb88JzZKwibWS0EboaSQMgXfri5jXP0zPZeelneaH+zg aGl5wrHAcM2PrBjfCAuIgYNC8eiTTwHUZChYe72GiP2wgjSF+jcVNw+53X5jkoz/P1BSdxl+KRht CKttv7cS37qrflCn/lcHrDbw2Niej/ip7spW07WsyLNdidj037wIEO4ozzqbLaMQT3hPfQjd5+e+ HqKb0SdS2ESRj4Vc/kSQa6TwRR8XZrXpD8sI2YFU7wlNclmXFvtluEBNF61wLKWsvySnr47YSHWZ 7yyKrYsDnWz/14QVaMWQC4J1clXdztpU6yjt9FVRTMAKdqLFXQ+N4wQagnN3OW106nIcwanNJ5HV wQAqZewh8SbAIMNPW7GQk2iGhAqivfaCt+r6+vYNzKnKg3XeiKWiYViPzuO1AyJToztBdqN94eXA ogtvzE7F5ahANnUrCVrqLAxFpWJ8gHVlG4vdl55ayFJlFsl534qwcLjIDP4SwPY0NIR33H+fokEA QLl2Z/qViauhkDKtVw+xuBm1TLoCt9fCAq1Q+McHvdYEIazdFnomPYuBpuDAtaWm2TGVJzxzw/Jl C0HW1GO0QbFXnizbKjDwFXE1hZx50OF/GlBgZ9+HrGz8lN8qm/XEoYJGfGMsUI3p4Ba9m7oV8Gl6 /e+RkMqnrGoQX/5P3gThpP7G3vJT4jrr7u1MPdYj/Iu6qC1eeOvPz4auY/tZ3lO3Kh+XD2APX/XT DmZ4PiwMXCGdzPSiyucS8XDT62jHrSNHC9CsKlmNq7//oWgJBl/3SOq/T8crmhV6LVirKoCnwzwM Yf42oRAWDaHAEjQtdJ9JyaeX0lravLEVVxvkEsZUXept7T2gOD0QrxEKZe3UAD0195A+A1rHuBOU YcqNFoIs/eS665LtKcqRQtctSR5I3kGvkfb7uXuH1PG+oX8OStWhBdgoAAoyc0hBlur20ZV/G+VM lTDYxanlxptAr8DQXz/MU+ZAXzi73JviZy3ZRaum2T9bRzaxIhy9A7maJNJZpKrABR4bN3rotv2Z grCu8PzexEkhd5VVza3jkSvcWv4q1XxU1TtKbr4E/HwY9cY6xpjIy7JplfEgISUvDaiKbSkHna0V PT3sFnONBthY+CWPEHZHioalyXqFPm8EuY/4ozcADW9VqUbh3ntkt57/mRZ1/BSdzsLRxAO2PE9L 6d2PALuojnteOrjvSbXU2UGNkQrkDz64MgXvhNqHBYDeAQW4CqsjHKICuDurPdPTCOVPeahkZ6nO OT3CrTJJYxhsipbZMgybtQQJ+k6M5QWjxrGxkkhyXr1LhKf24g7xtMrx8PxWejHuNkE8XzXbfz/9 akGx6OIpEYiI4EWLT3zXPKIsiqiBEpdYNgyh3e3cNk0Qg611cq8vn7ITI+YoA72OyVEXW9SHspxb CAKgEGHz7n6Pqr0T86Y1J2x8793wDFsctqkVY/2YJ4vdPVcusZIOz3N0XvKvvkFmvKvqMXjKBfO2 YY+RDIkO+H6rYlCzIcJtcknDAo0T25vc2qXSXjqJ22P0bnPtjDF/e6Qd9LsnU5rnz4c/QQMN9IOW OZUJ3lHK8XrMCsaJ6PmONusnE1rANqWhbQaT4X8mKVe1sY4N1NmrXO7uAhUsCHkD/rqG16WcipjV /1VFFYipGBPTfnZ84+bPstrU0vGiOEHh1Kz9f1HPDkZ44KFqhcQZsX9mladaXAFpZG2RyiJqxuaG a9QTg1KV6Uec4JsOI22XZJlXY0tIOAfujOCS0CwICAVoO+m6yf1H4wPuu1a7YpDqkZczwrEWXnt9 kswjCiGpcSl8FtRVF8jVscuKkjKUKldMTcUA8KWRgBk9aDm/vr6wXGp/xIBS6zid5sxABXTeIjH1 gv0hVu5YXRTHWrRFg2MEiA9L6HyGjmX1wQvY8kLOnh8p+tJ5rkrUkmVMHMBPx9gfOPiNLNer2JTo gLsAZMD+Sp9DSAeYh0mOEGnXCIjyd+NTa0FS4VZgSnAmUn5Pdc82W/yVqyA9HqDw/cxwsk9lMgWp gB20lDeq6Z5bPty5UfdXy+vrjZ+WX/0UA8VebFJqInKi85sHSHzh6hiAYpQH8jnHDhAB6KLFSSfR vlplALj6qgfPPI5MS85FtZemRFlFpZuIzUayovrwiPwz9SljpPwkx1oq84VqC3l8wRIwPW/x+Qkh HNaqayFYxsPd/XDrSb7tZ3K33tjEEfD2F7lycb9X71FEpQd7oOLyYkdujNA6f9nlI9gz6UHWFUgB Bzn6ZKYLzZ7zruZhYEEi+GBbH+QzMHwVR2CidZW1m9GDELV7my1h2F0IwZBBKOvX5M9UiVgJsXd3 RZB+h0zwRIPWXrXYseHSqUYUVyqi+48pUigNkoGOfwT8HV0Ax0u4JnbKcUWL0I7+kIVV0yLeQ4er KL4CgbWU0uUSn4SZmX0PR0ml+NEnuUzWJw8/kZGSfhJJxkje+58Z8SizoedDdgdyAsnio0m1fzxo baB/cHzPL/51S/4HRt5/lgnjNuNkdwUGvSg4jskVeeDvFcuFSc7xSWvbNYVyrlJOXlIGT8DHHcBo tyuICeohSSJyqg6KUeNzuvnieEInjib1xfou/rvKamB4Ycu0izJJovwBDGqO2Iq5ubTZk3Bqw48f GXhnUOz82CKWR7zhLljcjiKhDVyQw6hf1/JOxeB5WgW8u74bZwvxFF9NFk5ZHzhwkXSHz6gVbm/L FHJrD5laPNgxiOUDoM1b6Qxb56T39O/UuDl+GPOMgJj6jvYSiE0Ur2oCgsWWAJYqeo5d1VDhPp0p 8l9NsDhrZkq74fGJy86PMqi+yO4i1UDEJFW99ktkfhCPFiAk+uhwfqqXQUH1Jb9Yv0cPkyjgKUt1 Z+/fXxwqKLz1L7IHnPQ15HXXJgOhEGJ5ZxlZCVfeaSTMEOxx0zLsIWst2R5byNImoX5K/Kv3Cnk+ gTjADzId+sM6E0hSfkxEvqRUmOQWvmt9QahtSoqnCOHjBCmw27dAbP6fpHuqnxcoRbU4az49OgsL lzm4RYFhqtreREUahMspIRTpS9pwciC8objGbwmeMMuQGzOGfF5jcVH2tGw5n2EeuHDvSTJ0lgW2 3E3UBHczIdNxFVE0/SGLGeOLd1U/rXXOhx/H8MMzi/b62MhxhstUkTW9LN3ROS4DjV+iVo7oo8Jo 4knCWTwcS4gyUkTJckxx/fkYwcW2qxMGsj+3UQl4dLl7OSkw3bQzf4gPzrdNQDN49T+Wcz3VxyvZ 6ZjfrvjG8nbm5VrqqcGQV9uNYpaCtkrPqXaflQ/UYul+lIG+m4nE/AVE0OrdtiiElfs+PcgQBjPu xDyPn2O8Xoycp0XeUbSTCm0viAOX15BFrNnCIMPkGleeVebbTfAFAwJJhMO/evV+nrvQMQwPYRv1 cjy/bkXwc5GNrpDuqTLyfHgIXGRKM+srSoaO+unTJTJMPqOR1gv/vc+1c+4vB7HioG1wnUPdQ7NR sB67fB2cmV19VDQyHQKgjt9DdO1mKlgHU+Ke3rlAvlrjmsPT0rsg0hi0Ny8vFfegMOY5ht83fg+t EYbM4ERJ6tZ64SUTunMgf2VZ5dsOvK6cvZCzoHut55TJsvbY2G+dhXVzy/8n/QHd9p6kMpAqbbO0 PpnB/+GMEY9QMWptwTIkjtPhTLw8uljrKGG19mefP5PmZN/jIZ7kyoWo1JeuYBXqqfnUIM+wYKBE aNbcWKfwxYzE44JTvWDqwmviUbhU4/A1S6PkB21ypbmrqWFds/2y73n7mxvoETsQA5STjNIBNTAW mZFK3ISv9+j4j6oLlRzFNP38AvXLHyzPjsTQp1AE/KSPImWMY/v5rv7rmS0IOzAkjNJEIUY/YpCa MhKvbXs3GOCYvCgx0sM3Xka+CAYSqEHWBTRl3+6wJCSONUxmDbTOQ9PnEZTV4qN8l7syXk7UXJHE c6xvbx1S17vqlh4fNXwu5qCU6e4WedsgygxQMDct0CULUMhJiWLsevTn1Gr7w8W7lsOLP/coMjMm PqnbQ+FRATV0CSwNmk0P4s2xVIs2dEABi/BgResYsnoaRWWUny9VFSr/dcYuAlToepbFC2n3Qdlv zm/NNrBvfmdogo0g9LQfwnTCMJJGUS4A7DkwKy/hDpCYW6jfb5gT2xFag6x/pQ6aMWHl6dXR+gdL E8WVSS+C4It+5/qN+lRdCCkGxT4o4z203hmtnJuiBCqFbo/EzCzh154FxJYE2BgrE5ulnPss4+va 7F6geuSjrowllvBHOTmJLozj3/DJKMoWf3jLLTfikjGOJoUTycyCMhLWQ137Yo7DpVV4s7uzHuyn /eUziW/BQ0Cs0zLz+gDtlSJ0s2B/xAPSxXG5NNHvQO8GPa/8wLX+OAivEWAfwkyWRyjLsobgKX54 kwHPpLkOMjM4Q0T3V8SkXepDERGXosN0DMtet53gfMPcNfC2zEfyqoIitOn/qPEkuwHcOtAN1dz6 vDb+Qpmc3xVP6pjlPVmYRZaWus9YKXK5ADE4KLRHu8KMchff0G4JwDse1kwegFsedVyuPoUC1Cau 2g2mZakYpPqh61c+4OQrVWSSafc/L1/7e3luGWbvSWcsU9K3wTQLlM1SjZ9BaIJksXq8mB/nJDky ycxXqqga5w4Ragxtzaqi93OfO05HbE/aGnWuGxt91y7WKbZptBgohK59p3nAaGxBWNU4/XyjWmnn fIsXaz6OB/r0geu9yAJyVzpUOXv7zqZV2QuL4wHtWmArnVP2dWzKersgh8TysNRAth5D8CtmGtSd yO4Nwtd864DliWpTdMWW3OE08U39PhtiCaA+Fdq9npRD4cD3E0jVJC/33UrsDs8il9MZVY0G/7RN 5EVoDzk84WgnS8bt2Km0dhOdBJWkBJDhxwU/hR1SR+kwnYL6NCdkXoyX578/tWFA2MyYRzk6QlUm lMqV/vYjr49NuNC7lIZ3nWnLJq5ctFUQXnhKTsizrjou/sPCraXWAbnaYqzAJ5LDDdRl3gR2weiy FEoFVe3YvAgmnoyNFtFEakoNkUtlw/Cgk2Z1bpBhibvhrxM/ErInJsulPnj7sL1WVHFAaL6WH/AP 31XMiJCnrv55BnKF69U0IfAO31yer54W3KdGnrTHAvhjoWfRn3OJHIF3hpjvCg5xkp9KSToUSwH3 umEKhxc6a60b6hPe2uccEmX+qcOAUdFRk+RCKGZNAuynMvN4SECbhILzykzpObpxdCOpMXcQj8n6 AUFIzd/o/vKz5iVu737DfYALjxDs1kgdanGws6lJpFo535UFhAIUu4zrRBmkx3oAung3sNE3qv1a 3fLH/dEeyzW9gS+8Nj0NqkrnBiBnLlIPOKF2Vgct9OoxIAjw6uVZeqO4TvL50UPKnoQue/YlDQqB n0v4WFDUB5shwf1F8S7xbLFfEPM+RZ04fH/vDOidyH8Bx7bcn1suEQZTvqsFXSGcWzNCS0+EeonG flHJJscy1MqlnguGhuVMc4o3mr4ARtAMPDqqeD/fT3/ACZ0HK92Rgw8AtOrQzEWp/W9WMX86b7tc ucXfi4SnO95k7dBKBptUqMdwgBwpRpe1SpWU3maD6JQLPkwW9Go5tmrA8QGax7/0WhstLcH1r5lj d5fmwRMupMfOy+tzAGNhkzdYxLWRL38XqQhLcZWRD1Qxb1Bgc5QIrYajBnKDYLVIKHMtnarLuoYp 4ODoQBEv9eqQu2SOFtpGb3qm0/nwxHOOFAK6fdJvrv1ai3dJ8WPUkf0P+pEmHEW94Q0tuKulN7M+ MBokmRk3OtxqEgehRsxLWD7ufNcAyJo95Od0wQflKAZoxwJHo9QpYJYE2xM1IP7E8XyUF7vwR/st Wk3zXplqWK/H/9ewD8ZqN3jZ2oWENtQhbkVob4sXFD5b1gX6RZIGoIUGzsk2yKtB9tSs642Rd6N/ Aef23LcoR7blUBxRGOzf9sbOS4158PSZZpGAIVvcPCl9x4fKEnmdnMX3nGUYeF4EuBDbn5i4paL1 5/AW9seJ3JsZNZshvQA7dsTBBOawfo7I/d6DpF0T13nc8QNO1YlVc68QwRKTNZ0Ks80XC/SgIh8v p5T4mU3v3S+qFlTdU2wDV4aZvpNXK9/nr6joQh5wAZ5voDUtfxTqAYdz0cCRBd+/CzYs8fkDzgtM giV2/MW/MEFjD2gg7eI8VCnCBpul9yJ2lShneV4rL5gTRiMHBIsFacapmFL0Sh6Dt7swb131kJoz 2keynTuInoD7nbkvOhVhlpswywtD1uJMS4qOAybKjlSTJezFdmPulLY4ZqcMeDlley4r0uiu/FYT TREXsDfLPPsu89Wxjc5tjMNyeKharAye1RyMkUyyWQBR91rY0e4OJ9u4ikySVNXQmvQdMRNE02w7 aioSpMZCLDqlOdoRtbdeH5d2SrjNss/rrsgvGKtOcAdl/VXstvk4w+zPQxGwA4RG0LWM3N5sDYdB y4xDW/OJg9D/oYhks8wRI/ZIttYWOwvzphA2YdxGCvWsO5IKqIsAdW42Hy+tq2WBLy82kvTdNt5w AWGPFK3gZLbQlp6I/iAKj2vbpCsQOkSUvKpJUXSexldIvWm9AMhstGmNoNqL5quPyQwT+gg9iGW+ 0DXcvpGxd+Zw+JRh1wJZ34LDnePyVhboMTkkULtEyKg+DyXUDUuOxW7dm5rNG/18P02/fnfduosa O4IKcgS1pFO0S+OfiUAbnFqADsyqgVo14qMu5kfHchHept8R8a2ETz13c9ZxjuvS8qi1DbgrrNRP Q0ejGxgfzu2+Hkj871/jOfMkPSBfmvmYuO4z/Sa/d0Jm9nvIq716yhbI0p88EJ0yYVdEaYhuDr/R rPGIT7hfgHykn7EJjr6PbYGhu/uqRzJcISn3kVFc9Q6ZZaqm4xzj0gKui/ofQYb5RmBbuo1HoCkc TULeCaB2p1VitjgsRwKOoYVS3sjUKjj+ZEmgMAos0mwwnoEKFMEWfAYv3OCKmNjhnhO+akSOmsyM xsl67Dn/O0QXHGVV6UsS280s5HD6t4NSUUKDjODtdeINy3Khq/brTw0lsQGv1eyClT1GoDSgt4Gh Oz4rfBo2aHDZmlDVv6KQGHH71jIDcci7/088I9KEosvEvTq7fW8FACg6LR3EFH3Tr2kna4tame9z +vThBIbA31L5UbD/ioaqmZkVEdXayVKRpu40TxG8bUzVfghPiIfiXBQv029io6N7e2Q74Kf5aNJr yT5fzmoAyI24n5IP5M0hrq6fDgoexkB6jxJfDA4g/DsJTufIaX1d5iFKJ5S4LVEBU1AGOOFy3QOv EDVYsq0T22t32QhLZ+tl5C570yxMgaUWaq4GOws2hYyUOdO/j0y0CdkxPJtRqIhqX6xbkG2ymNlV T0oSExZ10Q1RPYQ/Aaro3ukmaoFcZ9AxNbgvTnXfTPMXmYyNT8stP+uHE6w2wW0IYLD6dvvz2lfo Am00xavhZUhVCBOs/dlfbhFZ1ximyWRY0J3UWcACaBfMsmo5UNUk/C6I/0NkEm0genmcJBpgkP4T XdK0JDaXg1nS8PpHsUQc4j5fi35cwfek54g3oZmg3J0hYx6S9JawgpS1HyzdmVfJRx4UVs8XjM5Z Xi0aGFuh+QzqsSnWrrv/C3a8YW/RV3Kz3oFm/Ob6/SFba1BYCpn7iMu+asF1IBP8CEuVwac/N/aJ eDIzR2Vv7t+GnsrjOxqz5Iya320ho9iG4bwzJwRGStH3+NnB6g9lwqbKNl182aCsJ6IDJJq8BjGI 0Cfx6NkvDDXuDgSRLqKZ6e/BJEiOu83wqkcA3oOAqMM4XSWn9kpaX5vRM3NTBp4XSqtg7fq0ZYTB DLwnvVhCD/iLTGdk0njGykpgLJh4uGbrsvLSapARkwrGjqhtN7ZJ+L6BUAfZ7j68F09X4/qPFUrt m5cK+N0SWAaBdMwyOGTXrAz6ttrFczd2atNQ5j45BlIihgsZdEKpnUEMRDHejvVY9d7D5sA1m3/H IBbaRgm7lmTz193cCfFKUZzYnvL3GWdX0yYCj3jdihZnF61tcpkTTBp7W/ifpTe/up/oSksHwLJP jCXStMd7pX82/qrR2ok0pf1u7+X7If1tsBJAK3toIXs7EjwiRryGi5zv66nqOpQuXjL1cgej8P4T NGSZtCj0d3GAPd5HrQNoV1QmJTlhO3/Ohpj8y/ZcTHk4BtMGanzI/ncLlKY1co3N+t38d51iqip7 tdw6kRmihQ8FKhtmaq+aTIhTOnOW8hL45YqBabJLr2wWZCOn1dKoEVzYrJOSqrteJOzZz8Ni8/ti RQqmF0FLbYxZwMCweQ4EplvvBbBYFeYEhT5ido5eFP575Yg8YZJCLINQNJTXKZQO+kTbQoJ5wAnk 0/bO/q/QChY4nce6iXmQfJax1ZRmZhoUnHBS4JfJci5v6eDdWQX1J3l2gedckiD1lLxuC1jR89U4 cvHakWEXHGZlM9/SHoYoIb+hIc670ek2/qUhPCftgCh8M+LvZcyEpdDUtrjND/poeA18oVSUfXiZ k5sW0HXYF3sOxBJZddlDLM2cVY03CQnSahqk5SkAklNSas+QqqIjytykEnRKew5Kt+4OwlrV5jpc QJy2QD+PWf8lMCBXOW6xqawirVUsIzNzaQixyrvq2ltaISJiJrBjfxHv4mKuKCgRYGBZ6q1/ntcj szCH52ZHPJ6/CKkYSXx3KH3BQxl8bW90g8dTMowJg25h6xNwv4onuxKc7Zc3hjxq6fA0yLnLUgPE Bv4F52KH00SGvFaQzEz+61X9AV90G6/NrG96vPxgB/uEE37xQPVzN5Z1ND6jaGD4DjHbl+FA21Pf +fYGyYeKmOkWn32975dyLEjqSRegVHSbFe8p3U3LeFxI00jXDtc2Zv04GdEqTkQB+lrwCeXu2gwj HUG4QGmJ7d6VKhYKJIDf5aq+0iCR1dBSwOT1jko17OQ46o/eUCAgk7TMfHpfEIVBo2airX9kELGN CBvUPWOn7u08a4J/gUZF0VNqFgErwZVwwCobSvH2R74wgVcxC8W2N2tP6p2nsQQSJudnfL9PNmnB 1zkoIpRRDqmeesVtGkxWKIUpqJ4DJUDZzNJ8Bslaw1cyK0q5qj0cg547uE5MAQ0RcQ4DNdLBQwTE Ga0uzfvffS4gCcNdjcE0sRuopo8k4SR73ZT1DFHbS7edWu45MQufMpgek55M1tQ6URjrtk2g/oqb 8JUg3pWXoOSpR8XqmWG6jpMnNo7KO61Wrb/VsDrLAuIKV7kNZUOgbw8cAKE1ocjk/pxsnBrbdUJU wO4qmJyIpZRhve/HnC/KH6pvYmiPKpWG8debY/xy6SX20K77Af3JdtqqmO0fPxRofqXTa88GTJMC dd3iKZ+btUEXzK+l4pW51xpFZuVmsjWe7jZZ0VxUU+czAe49ADRIiYfLxdP0OWx7/s1Wbb1T1ns6 h0Weq2O+PsqBk/qlippB4YQC8jBQjotEJjLym1MN0vpxxj2/crIhzUVNqDBsZ2IBm3cnokb8YTUE eL3V8UdJ24JKKGRkErfdsto1fwFmc7Aiu9DlXssnzPXuobHPVQrFO0bHGHqWnJY8GuveznAgcrMO 0p/ot39I++Ytlr10wAicHg4DI0FngLG7HumAOkF58JNxeSJ+3aagomUPGE6GiLAA0WrDNOHOI4iZ FN5L1gvgjkU0mbyTDfiqy92Ual5zKpckoPBUx5+/Xy43NteJySX1c0/TXGHll4W5u2t/6htvJKLd 3b1EojjgCtP9U5oMnlMYnxS5gM+j5+0pBuVlgYxfWmy4hJQkoRGA1uslz2CGUtsV4ifuhm99ie+f dDQwyqOSNmXaWb2bp9mwmRQOn2ivxUr4ufgL+FcDE1vN4aaEeNbJpkDR6mwGMXMTXgYr1CTTg2u9 /y0wNrFjPDIW0yvxavSaDHQmMlE5NePdImM6vpNvmrCEN16vhzWGNRBAYt/K7D8M1BZDahTISpmK fUOzWcgyF0Q1NuBQXgFAbkT4lzkPZVeEiqOtzEWwCz0hT9c33g7H3A5+8zRWL0DkR1mOTZdYinHS vh3ouSq/Aw3qzpyYWoaF7YZlRRAzxa1d8AjRTq1+OGFBdhORuouUSqNoMKEvcPcJUhQ6mD/spXoN hIoc1pgz4c7vx8pFFK8/ZXBJteMiobz1JsNvxRGswNdaUZEpj53ICO1pMpsSIeXrlpgGnuFMMZ0w rCtQS9/ViKrOjk1Kgu3GHa5WW4JQ3ymWDjqA+UrUXn421nnBy3Jh+k9Y7q7p4J/5bDmltzp7NP0/ XJ5+gyAhJ1BHipyJkeiHxfjzgZry/79i2p8rwPXbyYo7YXlbrmVTDVBlSI9dElIJNnsIoWKrnbRU PuIdYtayNqA9hmCTUyouncWS9qco1+sta5ttMss5w/TYkaGiljabN1PPXB1lJu4Nf8/T3yB7yZgV 8bO9hncxyqyD0kfL3+RewyPksMNR1LC24Ny6E8/71nNfwGKZ59cPGSjgcK8lUReXA8a+xXg+FYOG YpuHY9JcfAfURFzOokWK1skYWfpQGf7a4cVWdUBAboJCOD0GZIFYFoINu2cV3G0yjDcaZZoz+JWT 0X+brG/LVwEyKd3Z/EJmWJ8khYLIVAxFAwiqDnuJRGvKcD2a1nN+VFqJUCO+hiqZkwUykiqyNFVz BPAlW3ehQK+gO5ghULXu9S6Tleo7TDxVI9uVtGAmFmziiFEsnKscoRD5rPcS0YXZHgudZ4qma1UF X3jtDKfmsBgwgJwFJy4RjH/kbsEIa+TXvThfQBymzFkfclgZTW1KRa2Vxof5O3izmj00Yn6pO3l6 N+3Z3S6Vygo1e1gZUvtjMsYdnrxq115/KMgz2p0KAPoRordgSlwYJBdgGGugyyduBOouotXDfbRN osw3QYkSX0NMGI8HGFm6rgPnABl0gByqT7yGOcVOaMDaESYZpEyJQaiccwo9/9+hIxFy1SeNPieD o0VE/4o8exIB/IeZCN9qCDb4SXRmgUAP6wf7kO6mvBDrlKLivsKfR2gxVB2US0VCbIewL5Lmdw98 ZzWi3doWp4TftxGckakx+yK2fsTZd/DClBn9zYkCHFghWawg0ptl4qKipVR6TsA0IAGw7Pi0EYfC wh3qbCJxmiFeyYKdyx2nwXkIljCMghtbwQLsjUEHIZ7iYa9psYJD6m9MxCmPf571QFFV0T4dG1YU OXGLk7pJ2TMVFvZu8R+U/Jy832z+4Kg0RCNf/SzBcU3+bWY7iNrOkBr6l976dSLC4b4gffgpwtq0 bVRyZRSn7h2bcgzzYbqYBgegOsTv/WdK+dhRk2mViQxiaUrpn5qEzs9NR96K5HUksGmQhVvhEWxh jhyNB33ZQ+KF5pJ7LUv3DJaIy63DjF3a1j8Kq3UFeioXq8/sGB0Mn0nZmNoNSjAWko+f60X3ytyp ssvht3whx11nUfmI8incKAYnipjUgsUH3q0ZpQgDfMjoRn6izI7FEOOTBLvJoGNoDdSNqr+yAFnU VbGEFANQoHcvakHC2FQkfC5M/LHtko0b7PnNsaHtm7FO0vOOrPQhq7lz5q7X7s89VWN32ZK+Z9dx UKG5wKS8RHplFx4EOEfuNtqMHfXEShcScwl/W1pf54noXlvSUWhziJrzeOKPMdwcCPga09etCu5L 9qM44an+z6js2bJyJnQDWGYgbT8iXHeQ9wCoamA6peWuXJecAwVm8SqOO/hMRk6cDVndd+woors2 5T8zownmO5ppz1hl3C5L4AR0mcTLXVkcXeR2zII7siD+kWavV7B8dnMrcJohLeUUf7LZLC4340AS aA6mvTVPDd/83hFmWEUUrEk1MWG/SwZv9wN2a2JBf98nT+ybxrwylJZlj0qtVqfWC3zh1fOYegTn 39SMcMV9SnhIyFHNgA8QiXQc3T0MQXpEH62yw2ZVUBcy4V1JIS2Q3TO7r11uB8QkpsRk0RHKLhnf 4nd6qbXodTzLxA/gro5HlHO9Jq6ltkz/LBoMn6H/xWTA1WOx4i5Z+ZtGQDD+URT7REFFXysT+hjh 88e7S8wcgcflix0Hp0t2CQjaSUjwgfAGc1WQZzbk9S9HlcZDQRHslD1ciwMLEE62MrUJL4WDTQsR +XC8I19ya4+0P0LKbADswB+nMX7fRgEIs3nuKr7dbyxuNUjov4QjNulEh/b00XJSZKYLbYSDBPaG e6WIHb9zv+43O3FRg/0itgRR/HCPl7S/X5haO+jfdQPYHdGeW0Idy6i/ju0oEJOh7HRSO070gXRj a57q+qH7ql5DtDta4FTQPSpwtRsH22+/rH59mHGtzxDkfjeD/gs9T5y5b14fbl9rFbohlNRh0l25 Uzw9YJS3SmFjaM4OZVpx8HOVW3LS7+4rzH5/Q4nTWa9uG8XSKrrOps1LKg2kIZBW7+yz9Mc9Q/kN sa9PWMwq0wgzg3Nv3X02Pgt85ZMdF3vaDfHQDo2gn184Jyz+d8g+3XFNNx0BlHZolU3Le9dLBdk3 rRQ9lTVu5yPSAE2t0brRdgh0xAeKfbr6+BKPCMepAa2piG7hWFSUGBNQBuwRNS6mgUlkpbB2PzkW m3RUu/BPgw/NPYSei73NJiG8ify9CLHg8ObUlCLIZg//L2LRWbMoYxXbRyXSFhYKaDAZRR5Z54Iz 9BL2OhM30XA29nDSM5oYJBtKDLUzwbk421TBPSAKG9akTOFjHKWqQAunBCje39aAN3yEw8C1KhnO im170k60/xnusb7E06rt7pc1Fjwqj54DEtwI7W3SIpJXXOoEq91ysp4p6/ti3PVW5kn7xBnR04TZ qdToms7kYDmzS27kqSeeEwoXdyHHT7qvTZlSIwFXbtBWs6WlrTNUqfggOP0SNX+aRh3Pu5sMQoyE z8lUm5o06FN7KliJ2SU5IMFTmWvGPzOSVZklHP3ih82/UhjxRvyRTGbyiC4ddLgh/nkwFgGkxPxR xUgAfWw3VhQ8Eg3E0DaCM53Z6N/kospNkVdMBJ3b8BCVrkDJZLqWnWgA2V4V45VGy9ynEJp+sVsU pgNNxaAYW6Q+Z8fhVrXdtdQ37yXj2mEAH3fsfOlolVP6MR7mFifUBpvnC0oH3q9/yO54j24Re6Ed 7muEH0Ex94YeyUviw67nynhU+hQ1IFNYMBSmvmwDrOIv9fkqNeuPVX7JhYlVFN4vDp3AaTFzgyqT SGd1bxZ0FBhSF5UFRBChnvAPKhl7slCEczzfG2HYm/BLDgogKG9IyHyo59ddwGlI3vlXpZj+n6uC ZXXxRPS4oRJzeptpbz+m5gnlWb0f+58Vr5KxFGCilSdn2emJD4pyHjdk4bj0x/CVNeBbeM/FHC9f kLuxPCfYKVFW+jQw/UGw7JBZ2pgxxiCBuaIMIx9o9HlKYrOph3xEUCK3O+19ADZQmgC4EN44yNVx z+a/OtiZ7tBVp6p2XN4KJU2G92p0zIIePPOmY0ez6RGkyj4Pi+dpLr2VTjDIhm6RSEg2vkAlCXO8 sgTd0Qz4QGZQRZfdGZmClf+/CglD7TxPEvhDkR5Tzerev5A6fhyAMUBdObmSIF1qOR+rTVoLPhJ7 BsrldFKau9DWj9kzmaC1VLwklYYeU7VtZTjtBZ8JDgiNm38s5muomCcNKMBPAIXqRbxnPUrw2ceL 9cB2UN7Yv1tYRIcdYTUuipqQ+jyx/E1iih3KyWKZWbd7pWI3A0X9/k3IkLi/CwFuGDCLwSexH1XB Kd6Prjy2I6+ScloGQexRKSma3qxqJkk44q9kUu/MK+ZRzo8WXHC2oOgMC0vLWbbx6F8cpC0AtRTp 1j7cs4+DCfzKbd9hDmykIAoDUOddck0ef2QgwAjglzyiXD/6AORWwVCb5e8Q2Ug9/M+RN62NnufN R2rEuR+JIbgn1F1uh7B9QBB54qbV9Lo+DOVXrQ7TMBtVhbAMPCEXM6/XAB/2cqDUfhBHVGrBaXM0 wEQxbzlzyLKyTqy6aKRIfzTPdSEdHwqUrtACcQNVAJRnTGJfZNm3eyAvRSOU3ah7i1yYHF8cfVUX 1iP/3x1uJPnE/pHvM/7b3MGAqKPcJORiPS5kqmo4M2AmJ5GwH3RNhaSBZRKpLCBRjZwoiqEs7C+x J5+eNyE5yyMKw0bjQvnmb9ZNMfrNRNTYJ8iVVid3wRrdGxxKYX79eF7dSmABAIjJ+bmUZUbpSG+A Dsv0nsyKyPzk5S1vbCGUujwM1aLbbn3kQTkMKvhr4ni8urEPRSCFYN7WfoGGuG2e8RsknIHLuf7a 6TEWleMWV1HgBQBfzFmyf7bS1RlxPnCO4UQMI4XAVD5gSSHdUrZ9i5npu/oh0CzuXl/TNbNcdSFk nbiU5bxCL6U4W/zzQ75Ktaj9XzdaAa4IFuKfr3krEKILh7HVxUG6/ZS6CPRCrlcfRL34rpOQbEqh Eq0/G5d4pQYNNQTXqqI0tZaGevOvtESieknaORLYdl1+qHr4SYDoXoH/QBacJo5iywpzsts/s5zr F+SZVuahFnA8JcfWoufLJCb/uz94XcNqFVVwenfqYgczKa9mSAXelcUEJokZiM+hDwNoQy6+I/cL ubRP0MzGBq+8A2vLLsdT2r2jC7UJre+UGD2EyDb0mvNj2KWk2YtO/BF4UQZJg38sSQzdbeRWDbbr BHGGv3iRDcT/DW1RwS23CW1wdnl/GOpdOB6VVz5r3U9WVIlI5XBgyismllg4gTEOAph+CgQWRZpa BekF3wAdUtDQNp2QoB892gtT4FA94jy6DK62AFDZxAWftfAeayKqn6qoIUi/wdKi6Y6cd7ncTfOS B7aW0xfVwD8OlobXdUlmqWaX15mpVUhr9luRslGvsMFMbOlRK79ERDd/Y83dOsboDXBThJi2QV2T rRdA6M8CLNIY/6+LYQkdYz9mQ/7iOyp0s2jmjUU3YbE4ev7xih/zuneUVfVMRmMLHxElK5PCpsUq TKZBnTdE747b0lNQdy5E+dKJcBGTD/vABupMOGVrGwjjyFbZaozhENMtU7QL2N4QeZT+JHVzTyTq 4Luq6HMnt+ahz9+Of/Bwvry9xGJfHQ9UgB5N7v/DW/Ls7vq9NZx8EJLr0EJTBWzGE/nhvmIA6zNy 6+6sE/qiW3CuYMYD6BCs5PFAkqIHSexxP0sCOZ8ARMzlhzwvRcP+JvJE4YOVAEF0uMDXG6G1OyXG dC737kCoRZyCdM0FazMHVKz42djdVaMiW/I5JMRbHttsjhxNebOwPU3Z959FmtOAb8kdlEwMgwjw skDmPVfJqnk+7R22mw4CY59Y1l/lOEWN2gNyGegPfdigcC39yjxTMNochypoWA9pmPhzW/nKKJSl QS205Pe81yBPw5DSc+ELCLOfVXPDHRuhp/MHNo03Mf6jS5jrmmIR0slDO8fMR7hLkaR6tDk86ghQ Dkvs2w+Ysg2DiCqS9WJNxcy5Trtzt1ti8oo46A+wOoPU79+TBdlr7D94XwmihOWncGzNK+sT5VhR U2WgtyDCPJtLM1ijgaHCIv6HPtU8TbDFwKHgFZYht32voSHXI5jiZA0+admfDlzHiEMoDXjvKvW2 dqPAoycxZ1e6pywDEvTXS2zPgvYjn1eLEhWY9mB5siC01n9N5vX+LrJmkYmkWxqOwqScpiXVQJLp huPLnYhVy0csce9cgEEwWS+ubwc1cNuXnFFq4CT10UqUAp32mjo4zZPYaLiD5Jw7QOZWL4p+l0L1 iyaYabVcMn80A9b+3l0J8XZxd8B7Fla7gnn21xVPFEEzzsDLNT0xV7yndXNZ4OhEdP4mfO5FIr6F 5BEqlv/bQGSYBRjeiOiBl8/JGolcc1vKjZ1DXY/X476imMzArCsoRVKYEUB70D4MRTX7mI3xBgew 5Pa+zhI4w78TO8syVmuXqn1TXbA00YcKPxpZST6xe4g0O93+jPH+f2wCa2NpBLCDfeEGhNTa+WJy nJKa3GkCLRJnQoWPfY+z3rC/DCWOu2ejiQcOXCaGw0aRoykUMhrR/G4zefnVkaszmyHVveT/FoPo qBOIw0sIGwwaYvzChFKIeVUCvGFmr97qyttDh2unfoVr3WBwqojx5WS83hvNL0MB6nDShU5C7lxA zwKXgNq+oStwTd95eDhc5qAumnJx+2gcFXEEMtSqEnMj6taVL/3gKaabq+NLLqKVIp5uFfhidzj9 yTX3u43CM0p+KaYqQugB5fohtI1L3dzY0EEca7sqBsfqeuJhLnanoU6ORRHZs0ri+K+3HZ5UpxA4 Wn43gLPE13J/mxj2TqAZ8x0VR1q9g+TkPT82c3i0rNJEor/OQ2gfTD0BoJ34dq5EWdbxtZb42lNv 27nyYQ+VOfMYzxXhuRm5EEtcIspY+7AZ0OnMSPm7DwV/svpZFDz4tpiywwI1eyjQrYqE9sW055OI YpLQxmAtxnfQjv2CqirKar7MaZ/SCmsvDl6/u7/yTk0b8MTPaX+0bS53RW9Ut3yiZ3COpXsVsICG 8xxX3ZeRDHbLDnZvCx7kAhLqvWWkIfR7CGcaWA9MvhPo/7nGnupmnw38jhY0gPdp8fz0ss8ipln0 0Q1Wg0gFECNeMDwNkgjClZaOXAs1i/UIG2UVcQwB8bhVJvN5dO/jpASy1FC1Ama0oovHYI81CsZ8 yWaSq0rBhIke/vSNv/d872eLqcEx32V8Ka9/hPPT7CtsWorO21do6T8MN9wm5ArTqytRG/h85YyZ onDQoooIF6iUNR6AZt4N/QiWUJWnZ7+qTM7kOJCIyRrNu29CKacLC2uM+x/dujXLmQF3FPIb3wpK yK6Ep4qroxCSkQJfectcWC99bu0Ov6PGzNqRVA1RC+oab33PEsyXi9IJd7domp19QSD8LtB1SQOo 3M1LpHNzqQ9f+Rb0uSmGL+51EzKk20H8BbnmJH0s2dCEbV9ZOzDoniNHdOY+ydV+NwxJZZpSUrol IwuD0o9Jd97iCyBWJbudCF5Gzq3Y6BxCMFnlkY2VUhMdQ3PNusNXmEbS6XLBnXiYkXBxfOLY/Rka pL4zyMb1APQncyvYyrseM5iraPsgQn5ninP5jURhXVdr/vK16gGkFEkYyhQZ3+1RgJ4UyYg8T5S7 2c3gRCINesonHRybfRmEA650i67zjkfxVSFvXzUE9+JutorHe4/YrIsDZetL98jNfj85w85cr1ew wFSfCS6CCAhusXbgKHipqutIZsGYsNOgorG6/DEHPEzrAwf3L/GXK+/9dr1bHsIv1zyUgIIDS7Rs k494M0JUJh/JGwvwOXB64ea11mYUFogC1C66YVKuhtoxZ9sBgk0aYJWVmwvt7JW9Sc91ygjmwMpo priysc4J0X1jtPT+zSoGLi/XTK4VYLt/Ts3Zw5pzWhcyOvMdQDjMgFMHCpHfI7sE7eFPZGiSVoQQ vuroC1W5bRypm3cpsyiZgbXwzbpGDfHaue4VURBj6BBXulf7M9TkNqQW4g430sKfHdPPWDpQTcF9 sdvfzQj74N/8/7Yqhj4rob17vHYYAfFscZ9Nsv2bHrMNJZ+nZNFogGTa8uwUbzwIf3mfamZB8Zxo 1PzMvNs5cnvUbXdz9HUNhGDNEyrufvrTILbvFIJR/i0uZgtVp+D/XGSe1YE3k/GW2hZBP6vHGGxc 7m6BcqbTplVQTBl8yFEie6gukckEfpXMJLMQhGjSe4IPlfOIMUDuD3JurW3aVczvXfwr5x4oZ/k/ iMjVScKs1GXYkmy0cec8ROKSSn04Nqj51Q6KBbKP6GDMzhuhWWTDshxwa4oyXEa7zie4mLHemJv7 xZGYdXYbPpo6Cw2GX3Hin5ViOGnkx11CRHSydaRAlOhOuqGEIF5ih1UCovZMk1Skwg5/hAyzUwsP 0PDL9CAV+hWQuPiy2pyYKEdZ9SxGzwBc6ziXiyuKMvAx8HoeNgQ9DEZBFUCtT0FhR/m5DfuQ6GmV kmDCUyFLzRAEZJDgKywh4Benk2VTXzWA0f4kxVu1KhOb/YOm7Yh9bcQOZlQri9vsrmW6xHFMobv3 MVWI0WPRqWb8FF/zYNeqMorE3jDFZnsY/vyyiRE4NlKP2piMa5hRckyxZ8G/MDdo2jc1Lxm+VZzB YS5iEIDZSB93Rw0G0kw+fo3tBmQ08LBQaqC0wkXR8q6LTBBxHWyRMMr3MtGKboYrTBKTGnD1ZEEn 6NKN+olp4050gThwHaJXXmRO3qzoUhrq3546enmfiGl0wVFYowmSv7usIIPpcitx48nOWgWPocl8 i4jisaacVZ+Tsx8xI08ykz8HN+6AVJrkyJe2KyqAZtqWt4fDbXzrOMx+HX80e6oURYZr5n5B6X3z xYPQ5j2i9UElNcIV7GayZqZT6doq8d2NixUjFIB31sD/SHYbSspcHjD+hdtpIsCej65ooIpmkzK+ KtdHGttxpm5Yhk9A+nm78z3wI+AkDSbK4INGPvUff3zJceBRkvnu+6O+MUAUG1eeJx1/qzU6Q9K2 kJ5hCoZ5+dFlQ07ZdVGmyTXtUtEL5t51XrtMt3O2+IQIgkV/CrAHHbwwnFiAJU9txcUgvn0q5RHU 5/cP/NIqYPTudyGRNkZBnShr/pMcjydzBSKlBYt9EMA2ZUDTjRgbMcZhyoorVj9sExkphmqvgf+w ukx40Ohq0ggJGwwlwLP17dID7O00Czrb6fDXh1XUxsUO/1xqbgLgePS3fDqt2iM9J+PtbWGGeFCQ s7kbH8iigIY+Tipz56oki3YAdoaq/8Kf/7bOWqBe8MQANnU7/Jiipr7bfTRgs1LPc+Mpr7jnrXIz J4xvyI3foC1qhVP1v/J3JSAqTrh/ZNVFBIUvmVVSH8kOHa2DUAn4isf9bbYL9kP3k8H9bKxFXlwq Sv+slX39Hw1YDE+Rj0El8rVL5SL4XdFTxIYiZWOP9npZIHscbB6H6UaTQCAvkqvoWVAp6U3qGKFw aKB8a4Eurt0c1EZ7NY/mY8yl3PzK1Q8gCR6BGFHHASnXTimHWlQzeM/aiDKUFlMuwpOXbjda0JHo Y7cFirN6KRFIjVH39TPCU1qClsQ0LfhrYRSFpHxbJnmab8/Llwgd2ANJWDxjC8CWlAUFnI2FJbbW bEcn3vWUadeerRs9iYXXognTmjlX/CGO8QLoza0OG8sDyzJpRIE7vA5nGiv0d5BKY58D2Wni4zBy DunqcXMwddqRfky+IzwRLPQb/zECGl1eNQOlb3IkjFcz0N61cAsxB84EUQRyV4iL4LGrro4C2Iy3 BQeKSlo6wV8dMF+oGcXPdf4H1bWJq1YQYeHfhkq2jXvwrlHXmx03VMgwuGj3wB+hZXHYyTAQsK6c Zj5s4+CbVWtEHlR0Hl/mnPc3eoPDzZJkuQdfCAJiTtiNW6fOG1qzUi0qS6UAGlch7rSWbznJKv+m K/nDEz7ud/2wD1c0s+hkdvBzeke2leaQesRKb6FCKAdMgxgPxpqdx6fKTaT5tFOeIlS6/yOe7Rvv +j5dqOHSfjAWdbQiCPmNTclBAQZLmC10MosGe8zpJ7ce4/op+7apXUch44CLorBp9Vus8+DTTd4J WmRaWZ6z3vCVf5LHf1R6arVIuXPyoJIedMRfkqetDB3GNsHsRXoX2X1iECxoXArypLYBS0K7493s qYM7dgrLi4y4Ie0aAG03cDTPnkveNjmYxuYCYOtpPgu9c22UwXqvCDE4Fo5azD5iZKAb4UZAMVq4 loTWIyrxC9MD5sx15KcVL2u6CZm/sc+TGRAzDUZmmvX8PgRlLRNHaEv4NZqBR9br5r8OG+j+Ag0x WFeH2/E5Njae+MbCTsa4dtTbfSwYtJguJwgEqmmUErSAhavr+dHIyTHy47MsQ0zvoHnyeg3UZVtP 85391gnZvdTrMWiZZI8X3aJ5YpwiKWFz7zWj0VGhCdV8n94QULztnNqnrA9D6rPR1ecmMiymbm1C xaJJc79V+y20J/qaW+UDFH5eSRKEi5KPiOteJAmCoCADfy94B2IUM6IJepNI4MT9NmZtepsazdS+ 4VW5gfOWSeGARL6ty9IwwzspiO1jvo9c0TKIIkT73MzF2wOdcP/BKumPmdHD5/1Ck6Sz4I16Vnfr g5nbsEZZWX10nB3zCH7+YOJqI8a74a06r2YSEr1qAn9Z+scEsjPLUgQ5NKf6ux1nfZgXYHRh7mkX 79ueW5kna35FiKY8QImmiSW3OZGU4uymBvDeM4fhExOJnmmnp2gJQYlMTmrYu5BwF/PQkUISjER5 MT3JtQQnzokbp9r1k+j8jkXS7gf8TCZV9OX7wCXUxM9Z+fGM5h87bsdAYf2Yj+gmD4b8O/kT5VsL obya3oe6TYE13mq/Ec3FoG1QS41MRT1dyvDKcQwbjVE541UPzKb0Mc0C0ZjMc64RwK8j7a23Jr1W ZamjXPNcBChuB+Qu03OIVsDgTyDfO/4bGqDrjWKK9KMd0i/i4PvEn/o59HLtY5PM05GaKhMwKThI XDRVnH/F3D/oVkcmuni+4D3bySHez7C+WulZ8PupyvJ6RAlevX0J2cHS1MDD5buuaf9+S1Tp+Vi7 rL57DlBVj/J2vUtbXCye0K5UUCdh2Z4lFRD/P7B0N+5ogfW8IHjVyjKBh1HcSCtiXc/21y2tMZWN YQPScS/bdUQspksYu2/YYdstaFDJjH+dm1x5+LFJNPmnHdCPpDUhrXWaALPZHAradrtd2/5DCiK4 wk5c27vifsmrIfznZFYS951wYlN3nx/ZNqu8qce80q8FV7tM4TWuu21U3h5gI7bBkey694Y2pIg9 eMoDQd7irg85hV3Nw4b4+gavd4HW7aYlSmWGh+R631fz70MhK3NtJJbgGajovlBNN2lOGmZ1jaJ4 j/ZYpA0CM6TACX2rR5gGXqJxIYtlqLCaLKkTBPHP38dr6ZttHTHIDh+e/xbAwko5L1RWrpqvM11L vgMrue+spxXWeTQwoOnWR3XHIo3FnCnYnzlIwxhhF4BIM1fqCDGaF3TNinO0J6SvPvXT5kkAhNAA jfQEuue7+hBpjvgwFkp9MxB0IuV32BRSE+Rt8XCvjDcTPDW+DSRFhQzttJedq3GND4SXgk0VYGLd kj0WS6pd4WuojuOsBsdFqly3b0WL6oMIZClJ8R9+8dkVE9sG/FkSzd7HvV3HZhQni3387o4Ygx0y sDpSwU8WaLACLZ46spuf0H46wyVMLq0iw1dxyvwfqTYLOBEPm59GN5q3PlEwFdCVRXT1rTQ7cm2R odOAuHw7mvX1jPQ4NdnP5CQz0EKwUFS8Il4MuXnj4qu19gctUXiYUuHk/kWJ3odtmsEzA0QIbLu8 ZojVtXoy9xbNpIpB0zIvaqRU3UhRaAq56733+VWIBPAv/vgKrmvrkl+87d+og9bHv3+XKqqugZGE s1rKhYVXovMbEU3fhaU4022jIIiYF3RlvVQVeAmqvCKUiXd4ihyRZ2skt5nAawdlkVMe06RL6oMq /72tJhCuwGe1mjLxgCcvElDSEuSuHYeQnHZPPNsDAbxY/7u1JX9lq2nmL0NP86BdCSisZYNLu3OL /bQveqc7GcVlqf3y3ga+ilesWgMTnnjdFzHb3QTwFLVlUW/shzSi40F7kd7QVQ7nuiQYYAEI6Jok zgIUJGL1TNRFAxHpn1AMtetFAYlvGC+T4lDUW6EuwmX5WRDY26iy/20ep933LEKzUD6w4wkdMbCO LnEMWKhCXiRBggzPZwOjQh0lAtg+8/69YVWlx0HfNHR3D/rl4KAALo1TprfTKOolKmg6q4jdNXnX /TPQ0XDf86VTxvWqxMgpV8xpLTbQ+WUNMcGMVHK9N+fKTebhilAWGXGKs1g168zo1vfGN35X574N GF6Mu53VCw7VH/0JKh1hacrudbY+3MkIuUEUrKW9HEcu7wEjOD2R5LNC47HZj/k4w0ngyJFEisRE 0+U4dbJYrdTNjggKP70AfoQgWp3gtqYaCKCzxNOsoEvMYLV5Ou9OAigFCwVOtxIemVrGUSVwhPmn LenztRyJNYr8ljTO+f49nSZGAudyv+dRJ4Sd7J55mI3gZ4EwS1jc6TIA7KTy3d/dCcIHjiaMQqHB qToevYq6InmlZFfMuM88dJ2w7rujgUC3Mqdf5/W7n94dXVs1IiuGCRvdtQu29fSd7KdtfZ4s8kS7 J6QDI9rpxFayi6zepSetH6Dn/HExwMkmHgOtjASZc++rYF+calHTbrPHSf/hKys2UiWrm08ERuCd SQBoySQkBdxgUpVgQ6Ng2WQe7zuguKud2RmZke3sFMzuTRSjAvihoT5xrXItYC1g7UUWzRhau+Eo WnfrTVJho06SVbmr77BqNaMnhfDASbbELyCppfKTfmOVWN+6g3M/vCHVYrkxINrIGoQUxOyzZOI8 rQiRKcau5NoObjMdxbN4xL56UpDAkpe39zjwYfTldUB2t+T0JxOS4rB9NKW1ZIDVrMXJt0j1a6Ep MINT7F/x1KhPuztnO5BS5FgXJSBjbM7H3QI8/yGNsl9PyjxXc4UsAA3TxNgsAvbR/w8Tsio+YmV/ CWa0Fhpzj99XS3OvBchsSZffkZN0XmLji+T8FCByBcTNS2j1JQT5Pvb/7CWico/D1X4wEwjGpYd4 sEk23fLmQOOWvnFuikR6hXbFZ+u9a1hkALt22jUec9pD/F4lH/TlvdDiTr9YAlEJ9GTCEt13kiWW znFeowY01tiCaEDOJko9cDq74fCIkn4eZ3FEr1dOQvLeSI6keqkayUQhi38KR5ogCPe4jY4ZQZxo 3rbeDw2VM9UFbpvg6aNq9KeLuu3uo9nfkgJb3w/hPZmWAie3KHyWi4SRm2b3DDIepPYQs+hcMWI4 bC9JViSVIZ8UbZ8owvVJ2h/p3wTH33iwnUOXPEhV7Mxhujla8iueOInsPRVXQ91YFRayYZQgMnyu 5b84XhhQBpeTdBWBB3Yt2hjsbV3RWeNt9AO2GH0fc5QPKCcXJQWfsx/mzEB0QPO6uZojHPovXAb2 pF9B/m+NSxqy9C462ePN/g2fV2CrFofj21HGFcd/e+YkJBZiCm3NyuEoMXosYuK9TdoIZnMzjHhi DBe7VzmvvwOYUIJqNUCQKbezNPDqYhln9lxekLgtbyKFExoHm3hST2SfWJbutyor7HcfvTnmAKVT RPPlKwCBAFSYwbBSKX7Rxs4Mng9lQiWDDNBBjAtIYrqs1bOANRNopMThMPJQTbBZ+uXQtjxtkRl8 5OrMchBdhynyqypz2r4Td7n6RgKW4djGqHqmmhFMVyiwfkxPqTqLLion4xcJKt1hdg4hkQ1iomBu JQfarP4s1Dk7Gm8ibNqc6x9b8d3Ph3VelodEgvbbrt3Sq67dLGGb8AOdnmVIOKd/Czfy7jw0iAW3 81+ylo/NvNqMkfrH+WU+gWyqGoFOJ74HwZAiqN+RoAKuV9bfJyvTgJnac+Alljdqrcg0VJlZ/cBg gNuJ4TmUxyTql+mjABl0EQLXT02hLlkEZ8IU/Abd8+H2tqvIQB9rjQTTNRRZCHnwNxrSj0h6UxMi MFmqm5XMMcfcqWIhuzwY4rZdVUzt8IG5wTZgQbvkTdMnrlxZ1CFbvbDt69ukTP9VYb3Z8wvnuLrV xg3Cv8GelVAnTZwG1K1SJ+eAR6QEH7EdSkL30nhaGTVRYkKkwesgPnCOTrysa64AK2NXJK3sMDlI AYzsPHe6tTVFuzMyCR6cXxKP95613KjptUHZIDFkUNs8fVvEp80RgpbgytfdxtkTiSKDLfjQURG7 nDs4HxzM4SpBCq1sQiDfbhNiaeo3GQg+7UDNGUvnD9l1KSgiJ09+elgncVdDzUJY95D9YIKrgnln 5pAlSKvzluVPo0X4T9mg8DpfLdO7XLC203fzVXgM4ZtvnGOQK+Er0a84YkiEZJDA1bp2Mp18Z6XM yZUHRWTAQT6zudUFvAh0e5wTPLATKpt4z0fiXKTGI/QSDcCdFb/UYa+nM7G8jDTDhSIbsx/mvmwH 1Ibq8NQOjxM/Sgd4LZtxkXXGUNi+L6PXDGEShs2kTNb+0Qlet+xW2MqcCWi3r1E2CCpXzHEjyQ5i mdLeE7XmOHapwgF93xpWnOOIdmWHl8SBuRvTrr4FMTrj1Jo+/XfRDwP4fTUp60VcmXUzR0qZK8KG 5S8Xa6g2dwvK6RBN3nzDlWnSCzZKpst4t8WfiBgMEQ+v/bVtTEAURXbqXmiXFzi6TRsZsES7w2wH gyftLAjX6eGUDG8TBerHN3WSEtq+l3S+l/erxYVMdPTbrVwlyfQytT1Y8KIiVTPKW+QOWkL4JxlS DGKZPxowlnPV1JqdLClH8gZNY9aCFZZjV7VSyVXbYpm7jymEfvhlAWq2TW50s4C8p6eOSrdnoQrS 6KjPuk6mu61TFcoQPOaE9Xm03f1k/tZK9UXk1UvpHFRDJri46eczuyV3bHZPtcg4q8OjipLe04oD 4ZK3ZiF7v0tYOnd5yrbQse67Cc1BMY87lt8WBKhmKj2y/hNYJyClnrAhLd8s6bKv6TRwO8Fd/NXN CJiZ2h8Wy5kWkuEcFboZtVACQCDUbYuHNlhH8ua7JUTIudwNUM8dRbOkvtxUsNCNzciSdxu1uKgR vXGQP7toCcmc2cpJ9ED0bruHL2NtImTvfoMka592y92c7TrGPluZZJV79jV1GHq5tVOldpImuMWE xCLlrA2W8kihbGa7rMDldSSwGdKC6QmnyfTAELLIRfld8ANJE+JN1CNO9/0JaLxreklofzMncn/u d0dZQFc6l3COj+oYcIds4h5H53Vd86EwwqJcABCucJSXPUOo+OCDfHaM3O2IFQX/ES4xnD6ly8UH w68g4YxuT5S3qFR46JelhqDXOXZPZaTVIAQUB4B/W2UDFPfD9XWxO2x9Bv4USgvHxponwKiOMy7A sHxJPzqM9SUumWKG1cvOVmUx19dNRZQr/GIHdt8dsFODPMQNb/VWo5ZMy7nr9OPZ+o60OKvd6LqI odPOFV3luQ02k7NYmHE8jeOh2zEBM/AEmm7rijDZyigYuR3/NgTxp3Hp+B4+TPdJYwo84M2DS2Dd vtZG0JX0i33h9vC5e6YknoRQjXY/OxRpbMc/oe+23bAWhfQ2wY0csNQSwBq7IVvVmaGAyvEhgzgJ fv/JdhgkItk+ulOU6YBewxy5zld4RLGU2CheWFusd6Q2H4xWV5PeJPOe6LK/RjL0FPoEq0Ec9Z8X pc8Mazw6hlpNc3JkesloC8vrrDxuQKksBxU48268yfrbys+rqzI/ECEeQhuwf3tDKey0e3TohXDC B60TBKOxPJtPUiZoZ23FH1/MVLjayz60SlDVLbb09udnefaGT66hlYsgjwlR+YEo0lM82MS1oTaG k8+mUHwUxfyOk/Y1FjWksLIIR2nGdB7z38zzqlVPrgE8MGg/MhhIcP5ckqMIA08TtL6Ln6dnHp+b b5uu6r0E2kO/vl5S07ldwH9Szgb8R67hs9sHCkDIrPbPtnUSpI0gPwY6+kCmQTqMzwKwhRNNrEEw 3mT+VXWwuqt5TgkETTpvjBQGF+GIHciDEr8A4enJEzpKXY610vTi2mCk8VF3nD6ntm/EFjowvg8N GWDydnfXuukxd1VAAZt0L7n6VDO987cg83lEjXybASNqX+GzEvYU4TT3w0fRAqn4f2P9igSniT4I CKCtnPCMgHf4VQtZJaj0FIN96KUtv5QNVjByZeIXJRu/cZXeBlEYSogzh6y82jBdhI6Xo68nSMto m6v64+7cMg1/W7bXfPiRuaSpNqBglL6IkL9mbKsrrCkEfKEfBF5yyo1hKnScrGH54nRIJ084dsNw YW3sF837/yrihiR07fEf6jAaZ1A7OEocxauKNxj9RyoxvUPjL6+cnDJB11VBTP21DoRexGdfC5Hx sz0cU2f/IVBUSKGjKp2ZphvRHKW8MlCwwnQQJq35Fmp1Kdo1M1XgyOIvByae91pQdK9aljPCzmI2 Wks3h3rw/CAl4u769SDcbsaU6mZcFsqBPoI1SZxG6RBw1Jnf2wpEevjDWymg6zYxEM3xGeIvy/m2 A/PEBfejkbHQU9Q11CKWD85OgInAqV8Jq0ngqJ/Wyqyy6ae61D+Ibse17n7YwUuXoG2WmyAqU8Ef JyxU9N6XSUAtVa4JzFASVVMZVaG1peHcM0Sb5UsRUU9F55ZL4tH3jVn26LssYtp7qysp1flpM7rP 6Ym2ayiOyMw1nN+ONKl24J5tRUQkPBp+LXf9hm0/oVqs1Weu7LB4rZBtp2AfbARWZYOrQw5FNScm OtmP+So9pqzTo3Y+CzAiAD0CC35lvjZzc9mqvg/DOD72Kd3TsygKXZwZX6VibLZEvJpvyPBggwCK XVh/4F89b6C2ljZJSzBffza1ugLt0aIuH5J1CZZIzjQ322FWfeuMNG5vP7Utvv6AkGIcAO4GRXKh M++UrU9i//w+I+bar9mVJpwWxXMwzi6q5Fwu2tMhuHMnDT7q+dzMP4rl1pWpbCBLAyhHwuZEj8wb EhJoHgeaoW6NWnuOdZmOGCedoFlaIwRmwE8R5gtPJ0FHV9/zVZ7T28dBE/KbYWJ6borhOkglLL98 3eqnJCVZuiiK0G5ip8GfKN/CDDtKo+eEXVR/dNfvc/P8g6Lnwep6Ht+7wc4OFqXsMD8OfaNI65Gf 40lPriH0rTcGypuuUXsLxNtCIQwvb68kxj3tz4HMf1VrPmDIrIWg+zcGLNvI56w6eRorRFU7yAUI 0Jrs9cKNRY/2coU0RvNv6hSbRvnWyX8lV5cXFVXUjC5psruVZkkIqUkzUfRYwKJuL6wnjagVwU6d AtD8JAnyu/A7nK4Qr0RZ3E33cucFaa0JUFw8vxiiy6eQJAR2pvmFWqaxEweVwgzFLpaZbk4i9VaG UPeSL+otR3wSHLcq4um0ikvE+eFFzwiYkkTatt3Dqklv11fB07kYDr8nnnBFJS3A76u2aDRdFenr 6PqhAx2WPPrl8tpN4GX3WXJyJZh49pCSHTt41CVUlzf2E0fuLuUu35JIHBQ4OlIzee2wWQEXQ4mK MkzwdaivmrO/YB7dtjjtM7m7XVLeVkeGMbu9+GBZTrbZKrHuXctKlVgHUc6+eP5xvWeYg27IcSuD v9YIWgwjhCPBpuIHhJsx/56B11p499zXn3PEFHawaTQu1qmmUrMTd8AXiDqe5YfoXRvGvR+EmDk3 cmQxm3ftsFoI3ha5a/vuLqqQtsK7yfykQxPTpkb0YZMrRGaUU9mD9wRwCgqhjAEnLXifAlZRbd1T FQbG7q+NG6U2XpDzuNe/8N9XF+b97uEE7g6k5LVG7127JiG7zwsAQnxoVItFI9dxG02Iz1RUi4PH yBpUH8eXW2hyZQgDUlvfZkVyKiLT4e4VQNE/kpXrMiw1ze+y0wmyzqkjvlw+xGVePLdFQsFvGjtk Y7znEzaYCSIZtOS5s1J0r+JUuNl2hLZhOCAam85bfXgkOxjWkYdgrBJooWVqEw2JlOIAjreuvkQU mVBPKh9t37bc5SHzbku6uCEN+wbwDbdPRzgXHp5RoSjslcp8D2ov21/UTQCupfIvx96g6cuL6MK/ EDbQvMxNYm1xIR6wmlCEtfdjjhXioyBbD3Rou5HDHJJt1QB+czLxBABy/ZaCFzLyXbAif6Uo1GET gKbq9m+3utyJ6gAc/4LanSjmI61qjL5glvYxGNc2y6WXcPQTUpLPd565fdbjHOWM+7pKqHkvZJih QWi2ElrkkVtz4pnRP9z1xJJ+T8Z9qFX4F7j96/dH5NsrXgiz9k1uwvwJ/09v7I53Cnh6+zCcDWDP fSg5i+DN+uEOLOKJ9AtaGZtkfzVvnHbhb25VZ2ayqZ2ANMDnSsvdjzTg1Ty68NyE/CsxCu1t4IEr e/aMbS+8FR0I25T5nGc+W5+IciwOGi76eyc1ihuwT1gCProrXdKjo3pQUi+pQYKiRZ3ZKn859Uuy 7HJeo23GeVYJfZa74871y0wtNpbSPYl+kfmtZ4grjhFVqgSvyRqUg3VwoDC///uQJmXkgimWxgo4 vMuepycAxZOQmC5ttEFk0NZtaDKpTs/Xh3a/Umye7qg84WsKbUZU/be6ullT0/TtKtb9ZmFVso5G znhrvdoUz2n4tB5REIXgdkgJEYvkxwC4l9njYytAVmF9PkRy28NoJvBvHVltYu/q45Q6Hp1lezAW h7YmDhG6x9oHbiU2lJbt9FMXxnPi2BbSpY8UYQTALqdHTkroJCaRG9sU9OnVmpj9I7dxvH8a67lB gHlBTKAE4AKzN6NtGhOJNUL2L+I5duu9OPpQG3Br/yr4jvwNr+Zb2E+SmATHl5fseeqhVJiYu6XY dREa/GX8L84lgaKCAOWXD1rkJEP0TrmTgO/92wTugWwjtGKuL+Vt/XOriJZP5v7+jFsyJSW94dHo +09HqwNrTV3aJ0havvSNpYc+r77TGhZ0EnYm1eRTcf1hZmy4oZozbvHsKzd7x+gs2wBGTJQ1kg0P EykAZ6GeFXC861sTWTRe6icxTEQHrU13uKCFgSr3Qk5S2KGRy+3eRiU0e5GJEh11IOwKz1NMAcT3 McpBTdDVo0nLNv+d8nzrHB5skgynCA45pbGncdJQ1nmiCaY6NzZD5DOwdOxPspdYlRGHcMfztRFq oP4eqoCJUCmtZp9EygjkUYSVCVup9fiYtP07ZL+Vo868tQdGvYuQbo/82gn+xh184ZbJs44auXVx u29fGebmB99+++McDVabGj+PlkytJBeiWzH06U+Ca7LzXJGotC7RwBaIuDZTAwDZYNNi8QKMvSLU 1b2Ah+/zAEEZKkWf+hSNIGGxGgLyB0iIAT6x1XCHvXQyfzIs4DpeHbWmCOMZv1bS1JaoOcyxvxc3 Clr7xrmfqLmv+99FXx2YGbBwvLDHdz9YloTImwnJXGxRLv4x/PQNteYdxY5Y45baTjfF/SYM787P KHP0N/OTc+mCkA0PRXfYcw/BqRe3kTpiBCrTE3MsNIVL0+hs9jeAEpBCSUGhdmwanLbWlKzItL7u cIvgDgLXZi5DpvYhrBXcGc3GEuSEfuXGsYjbjuBDdFzG1pdr35eHGioUcDZrgPyxvqXV3/xsmS52 Zay1B9NTn1UUBNQVSOwdG6ZpgUIprZJ+w2owschsCDabsT3+SKGULSexvfx3Hln4tsPidbgvXQ/P sn3qpAxnSedhvfovIgyWIWRyHF7i8GhVPEDoQ8LxLctHyA/KNYc1vMALumAXQipufHUpzAHWKxx+ fRj0im0pladSx679+XiDJQKwTzdCugLCMX2EZtrCkZAFf6pRgP1HHaRBKb9HwPeLF3LM8peZEsfI ars3kaxTtGXDabE+xWM+oTv6cf6mVXMy3M7Ti6abL5UzSv56lPz+HHpg6FGDk2TZHvCBDWIPqtTU TjwKPUeq0G+S4BdV/dkzgi7JeDtaGDzr4zeyUyIgZyudPiZLHMKv0jKjMu7auP2MLTJYyDHoBelC nqkWa1Vhl16mdeJGxPkDdr8qHpJ946wlQ6OTyhF+uQlaTgAfbLa5aNjNGb5ymIEFYntwUh0nhfrt 0cMz21qw1YSO6k049k6tuWTMj39C3s6nNKgGJP5OtpxMOMJa5QjW8xLELUwAYSEFVe8dRzewJlDa NqwpzBo+Z+jRmhiosB+SrC0oA6J4cABLbTKXePUnbTfm7Cr1SQFUORRSUuIf9ht1vbvM2O54f9rv x9GDsXVSPY7AWd04jaj3O2nHsH9yFHhkW5/xDjHWIVw5tJ+qjt4L52wTO+MoaB3zs5cg951/sjk+ rSULd0+mQ6MK5VXfZwcmd65kXMYffK0C0STrK8b9/QFut5HEzA5xXixoYjMYoKHblrlrywhvAVt5 dr7o0TqkUbSzn8tkcczA/GLHfmgyhDqxaDmK1joYsWTNO/FgPdZz8gTIBhEd2QyR63fCeC1i/IAD sZl2txK849WwDyJnfzK3wmEv2dzBbRJmQlL0rMoZgey0qP+oW+QiiFeE8zmL3j45f3YcziliLKcY MLS2O906ZstV7ussx3mZ5hneaKWwuBEnx5LByw9pxEflh+nIDKG3kyXrKdVkGO5N34cFyf2P6SKF kfMe3eVDihjeDGi09rejUON2KmLb/WVYfkzim5KrGhheFgkUZUSUdI4asVRFrYXIoyLXZ6Xx9Yqy cIT4hOgRGcY+BBv0Id1j0xVfNyXLvZxwH+u52j7pfO0s4tAqs7u2qOVu+CNvDOFY0NKSK0mW6RpP GcSjkbYSUcUWbKPZqaYi3wuM/4pFgSjW+5OlaF4q10P/GKPa954qQo3+iptpJMbJ6hHzXrAZHBIw dF9LlLJUe/pXpXEUG7fFj8QK/BS1wnDncg6YbIr0htZqdlQ8ECrXjYei7WiklosIjDBmmBoFIE2n 7FGQfCjI/8/YrCYEwQGlqgGviYTbTlbccPT2iZj1R08d5EtF8R2AM3Mi0l/NveB5ltkz21D9BJ2f tMGigUOxR1jfWpoJ+1uXA9hObeTjTBAQavim2ryad8iRt/fcjFWdWT8Zy+nVTjATVK5UOdB1vyRv /T7fH2FYreDE5yZ5v6g3TK5MmVtDNwb87wJ/rqryRoXfv/CvKo8wctfUv49gDgzOsMcbicZ/ZtJv rN3cDnHMTHmT8ZVp1Eknj+GVipUgzs/e8L22qbRQ26ZledStMVZ4W8Rg48oICx6YVJrSpwTX3GCr KBJicP1fPkbeaPB++CGg5LNIYaIMsGx94htn6R2/xr2fYlL77BwbW17vPXl8eOCGz+p7MtVa7lJT uZ4E+kzSt4VMpxdXcIGUfmagnnr4/cysFrXh0dnhPDYNSqRwtWv29QflVQluGMiwVEA7aAhvs0Ep phLrFmkLAcAdgiVX9JAZ3taBaXU/PwcE0h9ZzqgaxwLT3VNITINXBYQgRXN63c84TM010cqo7YNN EPEHK9SBkDVQ1BjjFWrq3RFRtaSN2IOrpX2HRaXZmpyIOBuW5dzt41SdtOOUpNFe4UuCSuFcokvK IExZhR6GkTsgBjATMQgFvRcpWBY6MdxQZL6wlYTAkktd2zpZH9JgEaf4sSGgUhlO4GBpQd8iQmyl TSzPPK17AQnuLE0DDao/TsQHXjUicRxMuuUCv3k7mdignd5Z+6loAHowt91QBFtE92OfVC3wPlSo /WpKUDN2bVLayxAlB4zSdHr6rVEL+YPn8C3TEipi95Vk63QETrMISD1zY6jJci1v6tscKJY4TWov LluVMc24IaB+7W6GvdGOu2SFsl5fW1qxg/cyW1Q29TaOzqss1DAw2TnUoY7dx38jq5libibjmUg7 bcdSCk+wDkBWy3XZsfTVx3z9VlMdT+8hlA7cwWDf1QyOkfeFRZWIDUrJGGJMGvblb33rqG/irp6h 52M45TornwZ5CkOKYuMQ+oRg3hCvsj73XN2ZEWn6o1H5hgX/cBkoy58WFeoBwzmGT9Bw7GNYNwPf TEnn/wWOBDYoi8GbZvIMohDwqVtMMVUIZcXT/9gJsaZMQa53jWbm7W+vztGVOeK39FOmQmEw6bRb LIguDfIGBStlrtip7E7evfuXdf66jOa6++DSK2dvy61Plx4kg1Ri3nwQkUxSUG6uc+ztYxGnG8+s dpkejD9lhgKQxIncZwXmYuMlYpRr2f18b6gDVlgR/orD2QOj+FaSxg6BNFgvNYz7DVLxo5I0sSk+ 1PdpxuB3Lz5Ax+0N/6ysoJyPG71cGXy/F8bSDV7+Bv3E6q1LFJCwYk4JzHU+lFAB56HCBqDTvLfj YUPQXvd/CwYzymlNrGvANsVp6HcP716bgGH21WWo9IGYTLJIBGaYBO8jMZii7bFfM7ITUcCyns2j eN3AXLz6iBq09nV9A6oAZWHL5PrBDuM05zpoUUNIHzHdLt1OTRCcKON8mz0LPYzaGYJSh7QEXlWu 9ZC4xaAr2LsVoZzYyvWmu3tMLqZiNzM971zwzCdGGPTNggeJ31Raxeq9jrj2/nCB9eaEV+N5mAEi Xpgs17N6+dkSOkNb75wFue0DEe2LM1lKKvVQL5G8vjdCmhyqKYx7tvqur9WeQHnzClv6PxHS1b6t YpF3w7yAQCvbdyTQ/RlSF6QhUyvzBaHgPOoM3R1T91GQG3HXkt7nEpep/X3Rx6bOmgNP7vO2h7yB GxAyywf5XpCB96oS9b4IshaLD0zK+qhIcxOo3errWAasj+8b/mrDWFfshOqvQgudIH9w7oXaccLW mctqXXzhNP4v1PSZcMLmTZpRgDZSBkKtNzUIxNYHSfNWJHWuX0F+WUnN5wLegiLZ+Im7SuR+w/dG VYUImsE7q6wtSU/ECVDVCBAdM9b+KBg+7pKEAN60VL/Nf7c3fchVgKapWXCashUFbz0wEKfYgvpI XUFP4EuZuryA4rbB4TJDozjNOrddLGrNna02XIpPtvR2hdcWF+2m4obM+tSL0ih1qpt8h4il56Jr CCvx10Nfv6/jftGpiiyhdMBBPTR6ib6wSpxiQVgiAgYveAJABjEO29xYLCDCVDQG88jpWSSouMNW UWrPHUohj0MStMZYH9+K0WA8tbtegkv98wxIRcYU24P6lDxZJJQkYdJVE50RNQGOLQ976hiW2ZpG abtl3qdb8BW0GFGdAC4vpEBYha+Ip6pr2kTLjHng3plN6qyMlWFL9mUjrlbU7n6R9vfqNV+pDZF6 Y7mkespVwFSfaw0kGHDGWRGWRqWQGTLTbpBUfmuRiIUuRanAXPpoUwBPr0P+9j2J6Gkwk01WbF24 nq2dLZ89hts7LsqSgGmrbC7JpsHzNrV0Q9wYmNocSOv1MMIArYiFZK1Vas+hexsbk0NAufXRntYo 0isBijTr7VPP3tp2p41oBOaMYF2b4/9jl25rq/nRuiLxdpldQ3+0h5lIHMt91RTVmEoCgMRHdQzP CyrNfW4RR+FD4w/yWeximLGBFidNc6VLEIV3TPux5XllNynJ59M6AGDvBq2om1Th7pB9DIifD2T2 1IuItUfnhacTsr05X7ToQt4ANv40itzVy9MDSYYMusCO2vfXWP+OrLwsqo5t+n8QtN8XXNc5zwD7 u4gkQplyFIa83tXonnjaO7lCpY9wTVxELyQ0ULZUmqiGVm3iiQZcgcP93KUB7yOrJdwbvHvdyI2U 5vabjdyc9Tjt9xE++OLG6mLPb3dnz6iXqKUA5O2qhLiZS3JpSGnHLp5w+fTYCz+gTQy/zKcnGoZr njT2CEPAT9Nlu+aM8YS7Lu66+XZsGyOZSB6U8q3aGNx6Mg0TKjAucpRsVAc6aYd1BJLa4FfnjUeR 8PfpuFg5VpS72bK6v7ilJM+dhO5Epj+6Z9QJ3vrtv9vHZls3oxITb+MDvI0S5Y6OCQmJjGQoH0BD Ofyxv3z+7z3kZSVTYoz4LMoh2On+VKd6PQfV2+7WeCkXmcSvyaFTiATsyARCZefZYbSG5x8WAi7e DqHFe9x6rDdeOFta0ndAyU4TK7PShiRflhSTx60vlexxCFwsiDG5CMj/i85CWWXsXID2BBKPt3eE uabakP/pIXMWSXeb8CjUgBwczsDEN7ScJRAAUY4P0DewROkj0irUPkjIDnJ6vr1UaJBsxv7YFTCl VMlaNMuiV+4zSRX9jt+UIZgVHaJl1zU5Jp8N0XQjr9Qg/k8TVnpKQjVlIgKrZgi9YIul9ueBL6T4 VU9qfJJvamilhclqv4jT71rEqU3M+D3dxARRkbCz7MrJYxXTWP+A69RyMG97FYF1N76RG33Q/sAO e0dxNreq8RDkmU50taNNMPKF/2b62fXxWAvAKO9d8rA9axYFKAB/97IaFlhiElo/aS555f5enWL6 zzH1VvkhWDHOohZHF64/D9MVEG2jA2EEOGf4BXoLJ3R1XIzWNc9GxQxEb7b7MNVtPMmaNBNhLEU4 bCellg5QqmHU/RaSCuUMNaiudFagF962N3HaUZAeXTUq70tMAAmjzKvWiO1uRfISmTsAAedgJ/4f whxz0rQ/LG8QKvJx4c6ljPaR/u2M80AnnMw+rjjyMj6TqMtTPAoA2Jh3hX/bgO+E7/e7oITYbhMB 0/Nv5YOT+y5FMdeeAon/94KIy0o0laGJ1LZxcnYhspBgqcgzJmzdr1hCX/131s9AKcSTRD6GwKOp 2+zVind81DWtYtNQnXZX3FnPKOu+b7qSSSpVR68zigE+4w4zIPJkkRwJOUeNeYIUUjBTCNiMCKkw ryy0B8GujgvQgoDmZI4/olW1HHRqyh6d56THtk+39qHoG/J2hy4Z0HX0NukpR9BIbqiPZaz6DrGW Bo79mD1LHqktDh4fmF+7fr2jSC7FYRcf53k4DJlIYpwwc1+2JFmQnlvOf7hcKVLqBMrokj96NTly IcAfKODA4B+dF/bimoHcy6QJoHxHJhpZAJlHEzqIYZj9AxZspV1GIstjUhlwQ0jcz2asd7cJE5D2 i8ZX1pPUbTw35eNJjE8PLlN5UMk4f/3d66hG9G07iVjtfOYc1Zf0G+zWPX9mzhznsffhEMIlLrX2 nAsnfTpWp2e190/cfkSmfr+IlsEA7yLbo3wNNvAKBFK7hdoRaz2NrOhFEe9WhZ7ZFKWDT3f6A21L s3CoxZBRbIh5F0LppEOYhXJv7myJuEAKw8yPzFbz6Fb0Heyo4qDL3RbCIPTt5j9kb34fYMA5AzcJ ygOx3n1bRxANe9a+zJZpKHXiEh00477DP3a3sX79JCIgyaKxiTopkNY4oA6s/gU8MvD7FeWOvasl JLyTfDMNsprKK9A5tCA0aJCbsYWvTeQkmoRg+I1rlFY+MYCy+dmsB4T5aJ7wxBetn9QodQLnfOI9 JBJ3APZcgktujgoUChbd6itv0uA3QPYX9m8wU5QX35sq3C1UYtzjCpO9l/EP+6IfRODazN2BX7SX LAs7QJNegdB5T/xGTvi7dqmDpSpRc+22A+TNZpJbWq4gwz3YPnBT7zy4dAB2aAWX9hTswC8AprGt GYbhl7/H2yRVitCyuzNhwStXke4QPmmcf3B56/tl+E9nukcN7FHr1WxIl1zOS1WrNymi1RYOE7Lm lBRM0U+vQAFCzMiVcWfygNsrsQQgelQ5SF9urIz51eHlxW8qcEKamlpTpDuyHQ8USzj2E9/8c0R0 nVsOsV2UfFfDPMh9pst0F7N9hqf9r+idHSYvuu6BCrtT2vdsYQNhvg1Rs4PixOF/hAc/LNqhFsJ6 aJyTS74Dp0uXlIs5ahFOcHPsY+v8oWJlMqxGsz0gdm/jlA8yXOCTuRVTrCyg4CQXqLB5Ur/A6kNB yfONyoa7/NmQ2NR6MWgXuWre7QqeX07ZiwivMqCqhs2uyWPysOTAfhUsa+gRbTqNm2hFwv/qIfgf LG3cvLl5cI4tQ/xsoGxZzoygEiRjnAMphD63ig1Me6Ww1VHjMmCLCiTpAa8R4Dhkwa+nfRUx+J1k sawMDJyuP/HszVWoN2SUtB882gOAqHls3Mkd381NimnwuYbUQDuol9S/SAiXbwBRKkySReqvb6gQ lfqTqN7adHDRAofC7ZvuYbAPGmVOeWoIAKB8doXuAiHUY7xdpHeAQKI+yRVtfUL6ekrkUM5tD7dB DZA59rICZ9cIgh65cKA7RkWUcTrg0imx3vFNlw56bZu9u97Yf3KPLXxqpheSZeq8m7Jj8dCQBqxX pPrwczzVTw05dxdFASZ9HEKfzAwDLDQqLIK+JJw6184hx3x2rywO69YDNOcshIidvQtOBqrwdv5a 5yxP7wL7k6HBCwmOiyI2dlsZGpK2zEQ949tFHfX9Jet+jRLSizHdkIxKlOL9RXTYN/S64/SqdVcH 3KXGe/nIQjuQePhU/RAYe48U51OtEXZvVzzGLCW/IEK37yBioeVsNo1QbCh3zm3SDg3klLXzlA1n pP7/gaBIg8zHCelpwlTJH9cPoaJt2kyffAyGiHXAKstG2udDzDX81FN0uaElIo2kn8ECJ2u1BkzD Smy24zUMqzyyYKzUbcTRceStA7RBb5XZlmxvFoEAnFjAj89EByqjD8KrLGK25vpNtipEFmHs/Ba4 0sHagWrdAPdKoJbz8zGGx3zp1cMhWt/JO5CLPC92UxCYgy1RtBD1KujofI8N8+BCxNwHCWuPkQQ0 xcTcjbHI/wK2n16rbjx5bMKn+9Jgkejz6HhL77GE0Ss7OyeujPSmXO/NELDQqq2ARMyIRqgCGSSf 7LYggSGjgB+mzl3bhzk8cBFXkcH/kFAHYXOQoFONydG0Zk7fnIcm/D5CR02E//h/Mp5cLGKvyWHb wmfbog/pBpSsP+CyOpvcWYCrQ5imx/3up8wA7PYIB5Q7fvKyQ+L1Y/lYNmYKMcqpJNiAjK2ck4D2 /hhBiJMZjmL+9f1pUuEQlcWw4PzqpJCO7i1hXq7mSd8y9A3Rk5LGNte29mtM7Pkf22TcpOBcOsEx 4j1+AazAw557tC2s1A/zoHVyUote7w7A7+//AouaaP/9ZHEz/Pwo6mema9yFeeXRolq9eZ8XdlhD teTBJbwGX5Gd8PyubwDFm/wEBlW7n1HCvansgnRKJAOdQlmfs12kjxfB5mkg6r4/FdCCMTJXGQ0t BnGi8JERvnP66OY06aNsmd4coR/OoQBpCAyvO+pFdP8HUKvxPY7v2vajc9nS9Lt3QrQsBZ+Mff1n IG3rWOS7vTvC4Y/DdT+laLkDTVcnfRctakfsuZcZg79t8itCe7H404PkHkRLSG//XzJqsvu5oshR 45ynqPv+uI2eG8B3WIip9HFv6KPSUFMdeElrPfOoWVl2thg0f2p9qyvJACuZpuLSdaOZAQmhCh4V aiT1MBEZLTWtmMEcQG+a0XLRcDw7jc+SSCnH2JakwL1qVedt1xbfueZQCMs4jeFN+76u+XVfJ7c5 7PzV/4o6twLurhh1VfwE/kbFbMFNymnzF/qy97PM1xWAdh7wFZ1hYiXQnTKYMyvUm//y3frGp21I C9MEKDPxLL2qzPTdz/nE43NTjreGV84YIORqFKaYwWV+0uJCL61E2LFfcYzDohgs3YHJlJcMcfAS WhTH0BmJRQ0mLXFbyETzWtUIPwHXR+p/ZtGiHRhBYSPIkW39QhoEy7nrDUmb1ZRJS1VMiuSiBwiG QLZxGL8LzvXdiqcvLl9i5lTpWHB7fIRtxcaojUEewYO0re0+9+zDIc7t7Uda9rCEVP8bufuxmO1W sJZXG21Gn4HG4CrGHz4IUWxj0zCMLhH9D2kjBQZP8C9iD5DCF6glKm61ao5lCoUB+NO9/FwqbgXI 70FMEI2b1gi2i03VK6GlrczAXpxlBEbGuSoyFxDOQrDpk2aenYgGjduA8GzH7OmT+oSjyoBZcngd Ztwkt/aZQsod62HPrEiR+GVyPebKjyAFnC/FVLFtJVpADEkldy2ompxqfNbnmF0zV6cFamvd5n7D jjX3v0gNKPZeIt4xdSuJGvDNMk+OmKBuIQPpTrM9qjlMgQb2CFNHo10tARfEzdI7LD4LRfQIo4R/ yKNRG4yAjkDKqtctiOOudYQFhNADu55ouysRWq5Sv1ifSLn0VrDNW0Yu5mO4c7jGKJrHCHbJxK26 JgwFdQz01lG4HfBXjp1l+ypP1Z5hUTX3UBZs57fgViKIUOCwvQYglfXbK6sBKMZRLyNDqY4teHVE SWKgu2aXOilAFFhA5x9L68tVtJgn/18Zj+LfVQX88yMcD0kHjJLa/tgOiR8g49RJkxyuRVBOJJfK wTHY2LOLk9GJvr1J4GwT9cCK64fjqmBZ5UOvXWR2h0rujr6+2S25ZnSEZAAickg5woV3+rmyOpc6 0xMIqs6Y9kUT/0FhguaGze5TXhmlaZQEl0/kYR3zfCL30MNQWDEBA0NFnEBi/alalxBXkTdqNuSW Uv55w1W6EcheWpoYNzUf1xWKOd34S+3ihRWjdurBVZFx+/k3brmX8JSzU3CoG3oDuJQKMEJE7zFB GYqaK3m3xRohfBQxD8i/dUSnkButICEkx/fvfrWiTZ/O+YgUXoQU+V7X7PVpahwoClBD93TxMD92 MCR+iBdBzzrZ8miySG4nfqsfXeh1YBpfju8CHIhHxhmVy/Uv/5Wytfp16E4eQ5QhRQsIaxXJ8Q/P AZASFDFA57tAmyISEvpW6Z8irtK/YAnh8zc4BcL7AcxCVPdZoiK6QCkYYXQaYXQdA4ut6NE4k2q4 JsZPagfFCJ9AQdbAh0yhozTT21tamF4t6ZX3dYbuesQ/3ITlBu6mA85JqNVxIslVMiGI3ob/ti9E JssXhozDXMSeyV+0ARDfK9nGEWpowEWyQTh01zdoQsSXOnhEYy0CyUgCQGIOwzT+60L0K3MlZtD6 RIl6iGpFjJSls1hPOsATKl6jAn3MTFrxVyjsAnpXhJ66fsX9CuGL2shslmixhefXsqRCNhqpZXoE 6UHG8+edjm7JK5pIh2BzimxoHr+IxIobfj/255OAKytAEb3C+EYomYBgx/axaHXiO5f3Ik4YNdGD F8N76nUz0jYU281RgVWw1Qcq1RJUNVFoUKfjmrvQZK9vdgSTGeHwHSOLCpKugUFTg2rOU6RNddRU e5CHpupnBKthXLHwfrLQpbH5nPsKkj/uIZeObY97amxf3skeydsOGZa3a1ZGKdsvlrAzPZ1IsYWg 3khQ3RGPQbJs3dDf8GSVrxn6tAqb1hZ0/ogpAJE4BDpL6A/OK06FrBl31E9Qvc7V0W6Xc1PSFYq5 KhmzoqOD9DJpFryjSC64BEhBguWHLaIuwApsRrgiRvMpR7yUB9IprmRoUj2j0BVr1iO4GpXcMCAx LajsrcgP3uKoFJCD1j76BVENRXqN8GY5UDX/hbmVLBhQgGAHPevdxBxJjxDR7FIfchGRDqZOVQit Sv6TZb1Qh410/t2BphaOcFDrUI0xWMwUijUNQK8ICs5KbIDS7l+aLDcVmBz13zohrc4RaZTBNvjv ZNnPIqTepSMGFb1EOcQTfNyp/s4kYZcKn5w9p7NySoymzDbO1Hrv0xPVUvoq4rUpiML5HsvXCidC 2rF2VIHfR2wnF96bnTdjY7t2pKUMj+GYJ81hDR4obVGT8ZHF2rgCSDLJD76nGScjTEWGE0jz+fTO lE51OBf3eDnos6V8AJDAAJUVKE4lCRoq13KTyQSS3jmDEU+Y0lHwT5Fg3T6DFsta7GuMInwfn+dx ETKNlLNaQTpPlqLXwwQLDO7fJoFQiKbL3Lx8hycuoE4mstgWbzQNjVNAHUoDYFblk9TEhR7jBn38 q2BqwIcJeVUNWr8l53kiGsSkuKFZ4sYNmf8fxYVJszn98ROmQFNCY6PZPu4SpZwfwikaAc/aPfwu bq2rIXdutcRUsSvSvuM0zkoNm1y/bmkZ3t1M0s6Y50hQ/kJn+cMx0DI0ZfWRiIKBmajxxw0a3BgE Cuz1fTDWfkCuA/sY+icowd0C4OvSrepHgHlaO9I6Zcbtzi0KX+gAshA/rAmpRLXc3gsNhIW56wpf TpaeqKQcWzVf82rNqA8pTolW/ajfb9hCH33QItqg664hNAU3JaG/p6awPH7oZnxTrevNFe1ey9Mq 7eeduQWNBVDXIiRC8SgBaNVib4oPNlNrbk7vFz4E7oltC4cREMbqJD2svMhzONTt3cN8Dedr3O9w HD9IlWhdoLthg3o1woMt2z/2EPfMn5Y4hZbpOb/eZr3jU+t++I0sYWIk7R5qZ0+2fprAul5Edvvc HzmuIOUMR4fRyAkcVjunnsOcVSnOOKU8RlYkCYYSMLnb8VaeqHKyJ29eQ/0MDrqO26c1+jqnoKDx NxaJgoYtxTB6ih5Q3P1bVVuwKC704AtFJ/9EQYscMPqL4yTc19ICjKMjGEO5GP4dpdaXBGphczK0 oNLazr/lb7yDWVBs3adJ8plXRsLee3j4k8aLeYRxZhm1w4ah1tesE3bo/U12aQpeJEdpLt63wppN 3d4mdddXRN8qYDaXxWn/BDbHCwSjK5BWMEFwPBzHcOuj+43ClmU3L2LckdABWgRxS37HHPFKWN5+ xvZPJbtVLhmDZnAKJRLRin6jZACe51ga/+f0Qpruo/kFkyhQnA7YcEGPjQNXvK3SPYZT9FrVn7FV k6e7mUGXuZuqXYtl3aZtGdDtkTHycQY6nMP0187B/ZWxcxyYr8MqrsGRQPNqwBipxqYFbmVbPRg7 7aO6wlGbv/ZG/PsvORvtHTZhbSXkkKwoaoBxlEq4VoFU8zV0lDEcRkd8UFiEyCZkpqX+9HOOWHzZ U2hVCxfvBB7bCsHkho74+bBoqUn9Ej/XC+7TnZj9ytUBgdDIAmfuJj3l1YfxNhT/PqDdAcNiLYab ILTXtdln4hr1n4+ZJzolJ+q8V5nV7FTlU1LksWHtRWXGJ5GzmPzMPrsh32DVbHApofduTqW+2O75 wpuT5fWV3Fjosy/kBkUGC8z8hxqpYDznxBksIkMf4WMuFK4N3r+Omwmz1LKg2eT1ETkiPQ5Vc7Gn 04gMQCcwZokmXN08TFphI1tGShHM/bytOevoFGfQ/JAHLqpxHR1ZCswAdRo/cZqULZUz6Y/f4arh L2n+L9VARbYpYSGB5vfWinHrTwCxq1KAhOs18Jo1MPuxeWUokaKIRR+ylML8MXZZyEhqHhOe0Cln bVxl3fa2lftxZJ2N84S3m7kLDbNjo1m75y0F74/QAB7rRtztwGw4YM/Uy1F4qtNnKcKaSc9AhdIb 1kE6bLF14z2t4Co7J3rlJ11yAZ31Pm5fRXLp7dVJ7EcrThgeHq1QLy3LZdxr8XN3q2X9R54t3T7D O28LpBufhsFUQqK0NEaffuuAXPt3/qObeCxd07TkE73jWMSIfIzNdW26w5+CnxwAQXqA3CbJQQhQ cwkLsZRM6OXRjAHgAE/tGjKDau1nnDv5d4ID2ay8V5b3zpn4IVm+DcUScEeRRTkkf48EOTk+XtQi EE2OVFoSgImFUR5Jie9A20gm52Hj3KCi/12VaBqRlME4JQKHN5f6i73eCKzW2BthSc+byYJTr83l /3wk+pMWCfuz9GqTNltJlSaV1kocxuq3jfQB0Kx8DsczHzNvyyadcxkEOnFF46TNXhM0deZ8efr2 vPFwAPr71Rpu5ksmWRThkZNYgbzGUjcRqaetNZsjI6A1moH4HlxmoEXc0ZA+///hHhp4bcFE8Ofe uxcV9ZJ2Zin4I7jeOMqMZVDOTx9WHRwmBz2QwVBydNJu0JxZFDJs4mPN/e3LXhsD5oZ0Y5HUUI8B awlObjU6/GQaGHSbUHkT1cJXir0q02SAYqtf7S7O1GAdQlN/2rLFGsotT7tYXCyGWKsEpvSDG2e0 eEDFSSqcPYqVC1sniT9IaemmE9Uti/ZDr3p7A3EVFQ65pqiUk8x46uteELv8/Mz2GqdZt4/P4xc/ VhrceUm2LrRSCwxEjzpysCq3mZ6zdutuL8fbPSTlRxfFqvtvoWHojc1W6JjuHvGo3x9aAjztCEYE QgWPAvvwCW2XiZR00LtbeNVXuiae/66sYVAJj/HxdyWv6OjRGmKYWmKmFsjMqSdqOSqujYYtQmBo TZCDVXm2yb9FWRlWCmQC6kp0zg0x1iKn+oa6c6R4rc6M3zYIqob3/JKOGWTQ+xRylzvcYPDVgoaM s56eqcZzMMIX3iv7ydQZijLTzA778Z2IhAy49SYsKcoRwrFBM4fW3FmWAMq80Tc9tS4ur7rvSKf8 HR/beGLXxziFrsuslkAbRsPGRqKoo+RLOjtenAFW0HDjOq4NUoofnkRSjY6jZQZM6xsheERuuHV9 9iD7BnMRMMXJpjRJPjHkBMmGlItDHCdYvNz2/0oyB/EJfySCXm2LiIavDlYsjqD3Xlq7SM9WX/o/ 7c+kl/WqnmZK0fU5XHZtqprpi1BJzy9z9a9gTjyPoyY3l4i1H75r6gzN8rWwWWJdJaTA1ezurLzv UYdTkOjbMvbdyGB3f/dJEMyRZl69r4WNZLUM733tM2h1zFq5GFDrqHDwD/UTfmnpiz6ZdWpjtoIj q22xM0d2WxUC8YxVzUKXghN7gxcdVQBz8rwtgmc8D2MGGpY+APJzV9CmLms4e9xDEqvFem8pZwa2 X+B5u5yRiQ4EFmPJ3LEwEcZ/HNC+LDVN03QPVm+a7nak4qPOGLlf+z2e8kJKzxiOSpixa+Nj/mnh WGhbwFDE0RcW4ycG7mWwUrq3/kVYbS2i1RgVuN3ls3v4aeAgv6+I4xkIVaMXIF6Kac7f/0NWH1sq 3AxlPub3boCaCVqwiXczMH68M6gBilQj9q4kMLPfOSD3OTupomZksEHhWmvGFMiYD9PvK/SvfEbi ynNPp/N6Z3UombiJdvLYqDpjEU3qqqLh4Y/eDy0hKWGL3oGR8YzwcAZ8DTYp+WKV/Y6JmCIlakb4 aUHVMbl1A9y1O6gEVGBNyZVvZ91PNAoPhBER3p86D3PDBYNedDOIvDUaF/KhdNd+iUytIuKJM0yx Zou0tEAELlHN41RJEP2hqGy4xSbuiQOhk4VrnLqUBqLsuhC0Dp6UqXlPecr6RJ2hdZPSGNavlIz3 NLYdE7yyHyPPowu1i9pc+/5TkkW08LHNxc9rDF89RFHjlY/wfLZwMCv4cmWZGQG5410bA7sHfNwY Jmt1ULapRdfMK1+Z6Kg20INuqq+RPgBNrfah9UrbYzTqJCW0XuW20+wsKg6JDiOgZUwGt3Dg+Us1 vAWGfavxXyDpN7QqD4jlu6P46v8a13un+W68hNO6gBJlH+dXCGeI593OAxemcQDlm+2UqtZDaUJF Npx4dEPBSQaOwcQDD1zHZ1N1IYnq2PnlIcZ06Wtegh1jRy7yQJ3DbUfSSM9fu483rNcIjdr6oWZP qc93ys9JUr+xZyPaa7tuRqp3FyTsbj+qVrkSRU83819j8CxR3nzWr2+D8z+gqZ800zpoBNbeKpb5 BFLgO7DJHi0HfPqdyHhdeBJ4PvFH5t8YpfHF2gUvj76ug6jEcPIQgDyM+GM7nwUlPbVxkzmXXN98 9uLRALeMaMvDnPr34YlKXd0dLgWxQ8153ckwW47G2y3fJDHLTALzrSb/3ZHzXa/NBOUyc4zSAd/j 7cqcF5poGO9popz/BR8kQFdHovwepua5/txOTpWXp9xR3DoG+8RTL3bQrUflnq7w/I61fvbthRRw Uwit5WWELP/nJ4KFAbuJgWzcxXelD2Swu//cw2Qx3sT/ZbMiP8K3MeXWbFk7jd1Y6GuC8jiUbyDY Bs5D7PaZL98+RjkFJ0dz9MFfEq88/G8nP9IRA/I5bLHMrfXP/OeRCidGWCg5z6k3ctaPfU3y9nrm EM3gt8EBKuKG/drcpNX7AddAl2f40tBhZWtTN0uILsW2BEIk8fURVRHbxIFLXFaUOczH0tiAwgh8 wmSggOGPshpuHlL6lDf6LCkPr93sJS5UaTIzc7hDTUspMrNUy9t6Xpyc86q689G0yG1oaS51Lfbk HBsMOWz4GhRHqV2GUdcdkXDmG71JtYh4oJSxz9KvyC9D8h2iprYbifLcI0+ExzYx69AlNQ+cxJ6l PASDPw0aFnO3N/bSIamqTdR7O5WtXWiYBnhYH0gqdC5qnDyRlEEstGokx5yXB3x6o5KSIX909XpK WTtwiI6juKuVU6+h2+QWqwmV6Kjz8dzCzu+NeFxeaihIMk/Mu9V6e5wDJgWk54kGerIwSCSQ/Q04 Ag4eZ/nh6cRCIcNdV4O6xnghUfSLpRueskSgreLD6WExn/cUOkhwachHr3Vq0pMjyxTooH5NA4yQ A6lydPmoD17qFp6gxng9S0o3dvbWJC6jOGZKraGuoIhQH4tnzR7KL4TxTGO9JFvg8jrSLFrbGrRx kxI0shnb3inDC7ct5amCx6fE5pJVcaUAPKaHk3y1w/9soGyyg7vxA7WIg3b8kwTNMYivivH1FKDu pyvH3z8HfJ0REw/pmxvmhSy4/waqEqGpbInN6/WtPiWoASh9HbwqiXE1cOhEvYevak5i03yC362n 1orDBH9OEdxRe5Vo7wt7a/yKbn71oVxzu8DloxtfPutyfyMfBqNvnmadogas2u/yiWk///+pjFLU KS31M1Fv+qYAvvsFpxDkHtVLzxzoZtqSa63qC48wussFAjwHIybIPebXDb3tbJENRVZdZb46/xVY x6Oy0VfH/80XwsEv6BrH8PGy04JrkDROZKzVzChe4I1QYUZuoosuwnJ3Y0fZdwODq1MvvVLueKU9 4+Muz7f1QX6D914y6m4Uw4nGigtqM6d++LSaxrZczisUANtfpXn1FMskQ88hO3yt60mAXfFM6q7M XrSUfAeOYesfseqwiljG7ZADG+v6VNxdXACok4osuBwhJoGV5wR9ur/QVoAwVCB0sFuRlYuuUaNi t7PRD1goDIAlv5JD6IuzlmzMKXWiWvfqqfngi+9YfeJl3aQkD25DKoWqDbncRhWzskYNIGP5lpuT 2IokdUIgsE01ETpIhfOAM/jLO9TRUU42X84ysG2XGiLJhtsR8b6C27rbpjo2TxFt+xqOghjj6l9w Sti9HeCVbKt852Spzvcydh7o5q6wGX0M0bBWjKZvQZFL7gXnvt82vjZMtyn/s0bxNTFtGakzGDsN ItsHIyF88TORtYiQjdjx+ogOatfPdIk7lx9I7l45H1Y/RoKwA9KaA4rKAb3hPedmQ2b3Sa40e0GM UMhLqxEgG4fElY5kY3cAh8FSSQoj8KxIOHBJuY1xgJdFsALp3qEF3/oO4fF3VGGn//Go/pG2egmX /F2JaJOEOnxI0GBIEjPtHN9SYKpwdMeK0m2sOhnBjcUWKhcApkTWvMp63BD4sfiF+5W3gX/rkgf+ jCoBONIvfbSU3Z8wKlwB26nL9+Ab2XJ8hEPWIf6fywrElHVXr9WMWtEYqUTVJTjtu2dw7KKTXqc7 dio63xEpguDc66azb2no34i7t9rHUcTChGeS8qN953c/1WXX4FDbYBjXE20qi6Dd8uYOLGq9zFLj b5mFl1XJO8F/97ChExZPh3PonE5e/mizdeOyZR7HcLroDiVplErxtgkffOpEponhQNZjilNHS5N9 qN3ZWD+42Eh0sC//EV9E4DJzKESU6SFXRS82ot+aHdmPVRY0Xd+VRFYAUlSYM+YnhU4fXaaX83CZ 0y3eGMcWOrhPLAgRFdZoeWT9BGZj9kr/kkPsEZM82SiKrrowPe1LJDAz9AIGaMB0SFPByHM828sr ZiEJIYhFxHR5yLTt7x0m8zCokXIdb+2piKLqklpW6uzhWvW2JwOXGNOxBlw0//5Jqfyh7ZbAKPa7 WJi/CJDNE4O1AmtKX2O5Pzj9PHtS7AsBDiwa3fJBALWHuQa62fJGKZAZf1W2a+Zriyk1N0cYB5dc OSisurNZwtAGOof/HJDxtHr0nbDSxzUyHIziYDjtdXzI7QplqHMeRcDh5rU98gzlHnHWJn1TtRpG aCpeaH08eyDYwpRHky+3oD8/2j1jIWo7E3zyekmDMYW3F9GyGYCIc6SrIrFUVIKlMcXoPED+D1Jz L4sHw/pDy/+fR/eIp0S/ulkYlysQ5I0c6XrXn3stoWS19lPJDUHK4DDh+Ixjk6dOU3P4xR0wW10W CIFHiAGq89FM2y7eTKEmpOQ9/7rJos3bhfoU2Idtf3uDljRDxV5xkpeloU86DrCRu/U6eCYhd83D Wuv+BbHKev2KlDCiSCh441S5BXmuWShSfcRhPv+Z98JUBny1NaECFgywJAJg0V4GP+GxrI3QIlU2 Ybc6IXogAhnpprbS832I1LC4TW1vyi2+PPLZbNfUGAUUqAA3sdqGhg9CV15bSB3BCUc+OHJlZhpS 4Nnvdstp86afYxZB4S3kYcS+xS8tpIZT+6Y+Yfn06m0Fcgpgev2XDbf/UQIVfRZ8U2SYgymbkzv1 Ym5g8cq3VnCf8RbfmhrJKrYQ2M5j6ry7WDHPPCOadFd11lwZ8w2VLYKGM6GDbGHGv4Zw1Gu7Bgil jKa15NRtW1TY4QiPvkVPL/UL4XyH6Wk4MiGH22LK3E3ncPHaSHydPp2RNhDPQWY+b9O9U+7ZsYLx /zruPugnWTCVPP98f+qZ1IYe28iXDZpg0wfAmaHZSkO3PTLGM6Y+NP8/rfJt56M8epwvZHKZ6SEa Kz2LgRO5SBLrBqjFkI3umWenyN3BEc3rww8LcXcLZtsIufLsbfFECHTfa8Ayec7WORsWRd6x/Nzm QpKmmr0Te7F0v+8Xt0fAQr+lQXhl6RYORD7oWzic36RA0PKsBIhttkFEo4fQXfXTUWxFr6E5XFNc Y3lfggiW7Xuot96e5axkiu6HKWVxVoPkqztwJLFSSf+MPZ9Wtu9mBgl7KJgjUK/mp5G+mQe2B3OG QcUF+JkjGWiwLLQhQHM5BGZQjCdbFaztZvxUsomcRRZp2x3llIKP5GvYCS295qYZdMD+pD+9XJtW 4frBtoZ125A/cpMcMnPUjQCJybPcZpitWHZn5wOKx56g8KB1w6kRbBgUcNgzg27rp/YUmyc8M1rV rjtx5suxrllpB0jh6/hc66+6eGVXqpodj18yJsh49WyeNrr7dAHxieXwiGpaXg2Ua2w5co0Xmk/i cGrL/zkZYLr4A54Oc8HeGzLgaI1RZoeMT3PBBOP4G1hkZtjRGSa9BuZRqfXSBaQLIpRjiIgAPbte lMXO+fHwK3/n87E7dpQ1qIPwq0CBe6My0BtwHizQEcYCS0/x3FiREwx8DQpVt+kxHwnTM5DF6N6q Q2i+fqxu638Vlssg7Bqmz4gIEO82gj0xauxtqZUlWfJn67Z16yBvEDQ/vCD7033kXyF5SWSzDzkG 0k9aW53R9lUYRAMZkeVGAIqRI3mwGoEkJ02C+K3e5GfQ37R7e1073AnsNEnri/Bw6auF5ufmcf7A RDwdHbQGfkDChCUHnk4NX/Qv6B9VC6HDIV+Wmk3d/8LdANmg0cAXw2fXsbaVOcYBhwl+hGvtW620 VW2avE/2y6TmXTXHG216PFaXtYlrNl3LngXQYqyeaCHh76Di9Q3W6mvoDnpccR5xy2LHeuz9ixRp vVvFZraTEIOYrZyRtaH67MM2DmohhP6HQryPntld8qKR4OGvxZII3y3UfpM7LlWd675SQQygHtR1 0In2ZJ4lpyjrgFx2yLnEVHGlgTazB7Us2CBmwif/1e7kVkV2sVlEkwZOW7dTk7W3m4lSN+nP9YdQ F21dk90EYAlkRNraSgYcWhVxAL0CG1ZWGmxL77Efl4XjOknPyHx6vjnKlCwcjek1Y+QvMSUSdYi4 ZcTKTXPU6xkhjg09rygdNJrLRiWWnc3CNisXA6n/cqGWGwHq6DdW6qH8Ciahjqd2q14JAquLVyc1 rJB7bVXyqQCVLL0C16AxD+fTKDsT5OGXKYwxyeKtbvirEt59XVI4KO2A/D4w/2pIpme2oP4SI81S of3fizxN4WgJT4ELsy6g6CAQPnqFmWvdvAIao2HG0fw4tuwy5wDj9LvNgcxqqZh6QTb0DZAqNtmq loYwIi45+XcBv1LHakNP82kSiVyAdZQ13GAMzXCilXAv8qPuMuwfGzBbLd1Q5VDuUCU/QeNkC30U smgCZN5Gzo/PuNqFoyKLcq+3iGf/1ec2/PvhVUpAW8H22wj+BkJ5JPV1UYBftD1GtXvcW8swdSDX 5f9kXeiiEtX+GIK9w1n/eAZDj4HO2gbdXrsh/3FBQLcuWt7M8rfZbbkJjYWi7QIFo3vzWUXDfAWf cUsAMm3pGdBkFgqEXIuAABnBQfvGlx+ax8diDbYSAKQfV57hZm+tPN74unU2rF7MBMthQDQHEM7b ebekOrTjjrsskx2XdaXPVl08k5ai3S2kZscawRVbf3rO1hYhuQ+9cZg0y9zbJLnjb0lMyUpQO5S0 K5HOPYbBplmfWCPWQWBfenDlQ7ZTzVWqLwk1gPtIbMTSWW1fpbijcWYfNCFFYBjRz8PvxcuzDH+4 UtPV7ytv7S7RM0StA5KMcO9zKn/DOtkuNQ5lvnLZqYoGPXgjDIbfhL8qTpCJNQTcVjik8Y0ShnUY /K5B6m+oulWTUaSeqlvs5Iqr1iVZ3Yvg+uGossFlkJsnWmdWHrB7NP8qY2ZRDlIponJgqNu8z2kw ers60ag3Je4Z+78GQd3+Ydf3avezMrLXxhJruoBdg6gwuMSLEYxqowc8jtOcTwWPDZodK/7nQgeG RR8etGUDzPRAES6exRzTIm6NiPW5LWUHEyU1/uSKT0pJglA8NiZfgmlbeVdp7pAeKPbU7yh9xune bVR70tK/ysznCq6iIBMdliEFEy26qCuo2yu+PjUL7WqrRrhE/eGE0C+X3Fj30hSz3Pw8Id/mlN9M JVfVrEUSySv5doQNE5YN19w4oMnIpjBEsT+jqNkgervv1LsIgTVKPXtlb9kkZk+8ixZunRkyNb/O 5DgQyu4wTyAC3wnGdvA5cSFfH47vegJlh5GzN1ta6sJigD+C43D/ikzFc8sJkaDDNXyb5QH04UyZ V0LQ3indybphS751Lv54uuqr/dKqOWmJ2Y7iB6cyXrFrjMPaOtqvVBzcPZck8gbX7QapDC8NpMKI 35a6IRHpMfbs3rNFZxlrrDmgYIsz9HioGjdI0PhhZkEgKwpSEsdXh4DuqI6HmbncAx01QtfPhfHj 6sPW+UVr3LnPGeTG9X200vUMeVJepr9QNSYE8RKykRhvGTvA+RuBhmufEuICSYhMvwimSfCPEZJB QL4mnVUg8o3EGxmagQv5Rct9qaIp4M7cCCRZJ/JrMnv+DvNJ3ndbVQmLkgQvzPVoy3Kd3DOgB0lI Kni0xCE3nEFfxBnh/5WtP5bg77EHQre8u+yuheRl6Y7VygN5SyLYrr7B4e+xC0fVael5v0iDsw4U 8Ug6fIcpxX95LTDNvFFIpgEzS7I3JQOaH7eVaokUp/FIOSWxg1FafwOQcgiBLSZxYb5pZMSz8g0Q DPlaUPo6JHDdTrYksf1DmH0ti31efm3idEssv+2tH4XNVrtnnqGEx5y3DJAr76HSgl9kEkXWLABn o+uw16YO8C9cyIJM/mh/Ju9kMJd/cKuem8XK7WWAYhtb7uci+v/ZjeVrkpmHJniO3fkij0guJE3J y++oiTrb9/MXC/ncfKHjl04eW0IzE89s3ek1+BegSF82SzvJQpe8KskXwaqxg8CmZdSZuZUWjgMA 0ypOjk4hyKAqrmwzYjm2Ca/qxTBNvq8xm61Cm63QOxBdTrH/w8fHbSdgOknpFYLD+vaMZjtLXuQi KlXPDLUVekqOkpF9aI6Lov1S3aP2SwkuD1LIq7Ikp7M1NA0qIrB96qeXTZjkAGDW4Y3UYd8hVL9n AAlxACW+3uvOUi+8KJIWmlCivXUczOC9UmFqqhUfI7N8tG00nGKFGF0QoH+a4aTKvW+imv5Wx9vZ Afskiu0znVyljGSBnSbopIJeaMHri0qalEfHJdRuE+nMaz8Zwee9RO57iPrdHIk2xcxtx4lMDNZt N+i2S3jt2E26laGI4bgmYdGVTK7oLH6MEf1WtUqfcb/7hmdbhPaEHf7jORtPAgKmyaDj8w0wl/Ym iFzD2/WsXgfQ9WtEREo20rFAdUPk5XtMScRbNUP98QNph5T32RCmuH92HfR58GcTVwLnprMu6LnD KAD/hpuVHwOj512Ar0g5xKv2KlIYcbk23GjmyEKFckukwtohyyUYzdWvM+2lJVV0M6gzzF6JJB4Y 8CktJnoWwPMtYtr+m8/bT4500pascUp4gxTUuxtn3QwehGdXpdCII9P4drWMPEridSLcNitARtV3 stUIDCXQ63LI/XseglJs73RBRqcjyJFCkdrT3pA+7GdL8bkA8eGylfuOwsIhuOsb6soYcoB6lOuQ /Wc5zb78Vyy/nrlfiVG00jE5FmX4Y80oVqKfYUdL53AVgfOmp+4vWXbzaWx4VH7u8RFGr0l2gHBt sk3oHg/QlCYGIAE2Qndh0cZv7kSotsfwsO/acXeS2M0Vvx51QzSynOnVg0t+XVyrhoeVRZWSdBoa kCFBFoUULEd0vSpLweTwS1mg986CLaXSEtwhaOeGGarHZb11mRNqHSh3K2gi33W4DXxaKZn/d2kS QEEnEH/UAhrxs60J45AqdvHruHD4I1Iv0xkXqnfnlQB9Hp1f46RCNj/S94HrsQ+Rtps+HqajMwTz 29aLDmXlV3AIxoeN5QVfXUZ+Sp72yM33/tlyvwFvtoptUcqQkq3FqKWowbxaWAS4F8DiQlP7ZMCY ZzmsFEt44KxHn2OJozXmespzhoi9bgFVS1zmqqzItQa0Np/+rm9f0Q0Y6b3pqQ3jBV4LN+iheKC9 ssIj05YlPVLsGjbrRo8w9QkWq0LmeAy9ztWRKPksGLRQROsY8k2O4JiTNWZzjzgfEBU1nO606Zaw Pz3v+7fFMXa8lSgcIpC464mgcz9Pc6oNyKE+whqxmhfVllNUmO0bQED4DTIJbKcwr9T3FRC6QtAB 0CsbdC5gg67s5tPPmD7jmQ+x358Zoi0yH575rQL0LJF/XB3m+ERvPAi6TDv4BS5GaemvOPR2oKOo yCUNEZPjicR1P6Dqn1O7Su2z+ba4WHwS8DOSg4rWmFmib7cO1TKEn0fT9/PvhAWYoMiJgSKZrJAA 7uH4Q1n7opKs+z1fggrO1LF8dmk2rwE0mjx1En3TO5gyebFkQ6psDyMauKguYf/Y3h5eBt038EB4 bsLVXI2EFQouTj7NoCNF5cGg5KjclSbCFM63HbwmeoRezw2P3o8Nsnu7NS0XQjyFj/OzRt3aMjNB 1VbMNKf/AMIqTwGAKE9VS02PAs9sF+43ZJphlzNTW9IwsGLtowz/46VFk8PFKy3L3CS7Irk6lTPh DbLUh+zYoM291u8Q9h1If9ob3LN/WcmRM2H8Y5Jn2FJU804P2JN3Bu6gJQHyb/V7Yd+gvDEgZpjD C2Kxbdnp4sAl0Eua6DyZCsy7p3dRj4pmZRQI/kT9PrtTF+NQ3fMGflLWX4gy54VWjoB/9NxxG+L0 DNAVtAR7LUohWArVCGQ7ULxqTk5YfLpSu9j8ALd22lj9zqNr/c40cmrUWCRYZRbT23CQbmFMmJbo 1l8dmNMowWJHtCjDA1wsByRf33arnqft5HkxmfrsFqGmOsECXpSPAi2H5gaECZiHupmtQIc5LlRg s7F9zyDc/xUxKxO/LmKdgWJ3WvuBi3ajJSDm0nOKcdVfqXjou7N9siIMs+X7/c82RgedbEoUscCs dK6aapj/mHerytgxV19UetvQ/ucn83/L89fDo5HWf39gsFhmY1REdUqx0cmyMgcQD5gLxXGBFkDn 5FBBM3u+LJurcGhwQReCCb1dtrLj0XTTZpkWwshvWldnS5XmEjkITSgW1CeK0+sDxX5ODKMG+ryc 2XJXC1+ygq90jU9CtCnfTBilo8CxuIKsKQurrEEmvu6GMuIXyAbm7LacWhzX8noZCB7s7JwU4E4Q rnwKWE3A7Laqxq4jCjmkajbKP7aMFgAJUuyrjRXXK/3HuOjv/rQjR7NGmabrUDOshNMMZemptK8d JhJTspE6Yh3wQaYe+K+yi7N4WchYKlU4qDK/SF4+yBVFsqftQWTussMeGBLyI6sYhdLlxv0lvNCw r8QE2rtyBh86ejOtkdkToKEdB56/cqNMvwKaFatAFGi8b48kWTpdaCz9XfXjRl1soeh7TDQR8Cch 4bpDCzWzzcMYDXxhmaXwwdwC4/CKy+3XMWKKOaT2MMj8EF63Q3pOa0TmKKWGdPfs2c4P8HisXO9Y ZZ5CQQGeeng7sXEUm1Fu4i05QXE3Mj+5LIGcUSe9o852sEeVWkVOeo6mKVpjf4CiDV/ga1HEueaT h83s9cxO1QOenaFujat2ocFIBA7FxNNy6a9jy5PgVVmBuymUe9NcadvqcGgenWs9QrPscj7cxfw4 boCTpaQ4QInhFM1BW3BMy2tCcK0xGuUuiI5OdQI4aNy86tOHR/rzD0obZfAyXSf0L8XOoi8naeIr RII0Rl9Yfx9r3EoKBTWavq8kjNNsjxOcDfi6mkGvvC3JIGDCJ63gvtmblDmvI88Lc2zDPd79w/h0 CKQ6y1mE1fxt/B+JUSh8EELxnQv6yICi5u9aojroU8V2niJ00pM1V4N75zzXAglrrIIBuwczxAHQ fnhmE5SlU9J+QlVkVqlleHd241C0irjiovXGZH/BGPovF0R7XTMbZk2cNOB+1/yh/lGSYK+LDYVX DDq2CLoemwa6sEj4p+uZzMWnBLPi7Of3kyqGLFZbgLPLsaFrJHVx+JaekhzTyIvB5HWBY8Nla3Gi 6wpZzCm/drKrqXOEot5wdTw39OaSsR4fOxGxRrkQ7d3+J4CTckhtmOLakM5YS/wtPB8Uz2OHpnII otSiFwlp7c0Cz7yyjcYnHrrDsYd1A3h2Z+AL55c+S3xvKTqO7hjo6d+jHk8AyEp1X8r2t0+2S8Xo FyBG/k23wz4PE7qtxaritl9n5I2dMx1UvmXlWnYlQicHu54pyzi/4ebuDMUjn/9FG4l19vpxS2xv zFCPwKYBrD0H0U7B4S94g6TPlbtCsySRKM8xjDtz6dapNo0RQRfwd+NTRPgtFVwhmtT9TfX+3erh Jl4sMl3cSnjsEe33xYdCOyBajMcdWPgsehtOaRA4vi98Vmyc3Jxwpavsqi7VI/ghZFESDm+NR2G3 oHsku0iAvqkuQqkOR1bdFs+R+uytTVn7FH1zeVBEV6Jut6tW9IlIuaYbqDk+C2EHCahVOw0NKi13 EB3zmN5Z/J/9z4cg2POOEyt7yNXHrwcnMJGnWvTL1rFDjiUo+LuqeoUyzmnQkm05M7v1MQcyQsID oAAJ0ZzkQw/TzlSeP7i1eZX64vDlnbnggKhPyY7vIFWDy165vK0ZL2qWJKIe/6+8WNXLFodx3Mxp +kUvISEDArAs15/jETFwFdIsomOnaufXZS4dxkPK+++XpQxM2NTyytVIEMZdjtLkx8bhNSweVzLo lhAgjgfdkWoLhuJ9EE4hD9CHTMZVnKOhZmzU5q8IM9sfEO9kNuX9jYFEmF6lWcODzz7UKD7bIsQ+ 9t3w3v/sOBDnpa0TNLBo/88piy3P+DjXVDT5Jt2ylxFpTwBuFimaILjBBVL3hZ7qU9/ovzrovB7Z fNxIDSjkRN2ZDw5zlQUnL0d/rgmfZ1EZUbGOFCqQ6T4DKwXTafX4rSlcVHDN4tIm3OGwNiYGSUkS LjWV0P00pPYxjq7HoGHFWAQpRwfNmgfaBItaxremAtV9hoj843J7c0EZAoCQhW/W+ui+cF+YmFvv YMSwfsRZKX2yr2rz9t998KfDyt9lDWic2JCNfCSxWdqv7DXnCk+KzRx1LjKEe0xSl1/HhQqmSsyk AuxObJJLDsnMDgtr7lO+BeH0DKgCZua4l2RVg5Gm5ln/B9mkG/EZboIg+3WlHavX/SmVj8LSGztK WJ3jIKfWfiA7w+gwJtIEDdQgB0T/zG0ypapeNmI6Cb/BMXOki3yYJ+9hFnN74deiiGk0hTRumV6P Ddz6JUwfZCOkch+NO05Yma5JBAbP2lLGAsd7Ir/eEHhYVqqHIPtNaB+u58wJhcKToc5kpQ/SX7Tr s54rwbz/gORVlg5vsif/kA6g8kMM1Q2c8/Tq5BBM1KQr/+RqZe7FYm1ZDOVsbi7sfaGwosfFG+P/ vDj3uqTqHy29smlMLyCydI7/j5BE2puhDsDrwRFsveHmvdJ8u/+VI3EB1OnYqS/5TMHw/Mm/Lbhk UpCjVMXmttds0YWkpBvVAq+XCLEZ9gmExVsahiArqxbZb/Is0whWwOqGIrxu6Be++OBubTgYX/RQ vi8OY7nFIbzXdTTuAaH6tJgjnXbjEdyisdkeGbnRQZoexrTRVIbC/QYdBj0LUL2iWZgqRF9cR/pW i6W7N1Jgo25uDmuqeNpim4j0Q9TfM9xMZnK64qn3E4pgCFmvjtthEEnkEd0Si5J/PaubGSTg7H+u R38N2NqLFDgkUR/A110N3O2IWqzMybSBFH+9RhqDcIA94YG5LXifePW4ASOKbf7sKayoLFwnWbnQ y8rjAHPn98PpX5HKmnHd7L68tGy4P0oRe5B9bK1w0zFFo3HvJYWemUfM6SOeww3YtO5/34wrF4mN gvWIj5JL1V5yrwxXZyYqzdIUtZsV76FxAM90wFXQ/dpuxQ+nUuXQpQmWQrK4RyrZYEuhJA7i8Ce+ kRDZRRVfi9T597P5NTWQFpsvH/CirPq2B7QGaf82wCCW2Cida+rnTHQM80nUnuNqt/Bhqvm+czqg FiX5ls6nYbbMqAXpo4m22ZekOZPVVivb66IJyIQvp6D9pMJwqDXgcLxfYfKXYQ8yxkhCbAop7RHN HTXZsxT3BSdAxFL+4NBZMFnJ3L+DrEs054pjpM4/9xwZZkPwiBWc5KWjN8lx3qNGtm/N4CxQ+7rs MJ7EeDHC2CDpoORwxSN19n3Y/YZasqLq5UhY2WEINScsreaSf2YO8yxkCAq2T5pTRdxF9gQN2XS3 EubsKPgAxEXSw2NgzylQhRsb5dNJHXfnz8z7zUBJ6Y8C8SVWpMsgRm7aRoBgwvbHPIj7SvMwLcv+ L59QbUXNT5buPYWCFtAEh++bDaWsd1EnfFFY9+9pdq+BRm+j77anQuSvj/2R1rqMV6O9laL+so2A 2ReCW07s2mnh9ah3/J+d6Z8uLW44wlaJQuMtOIBI0l/5IJPfNLo7m2vEf30g8KxHqj60SqasyNg8 fRh0XuY2NXGTqeamaw2jAa0EEThaGtv/bv2FlZ8tnjpRooDnzb4nF9+1YWzLbHT/UXILO0PAAGR7 o9BZ1uUNhJoSRGbRpJ5y8i6ep9AZR/SXanmlh2is5qfLws/mmgYgpWWwks/OjTOMX3XX+vbQPPLq Q7BruJFgP4hgsiG2JVN+RjH7et75yhsklGGx+n2VOy6u9sYXfMo2HFHLxxNWpZIAhCNtUZNGHNym 7/mvV/rzkDKJgTjpqrgujRYnU9815SbBPnoOlWRnlanSCdtASCnI3Mh9r7Uui3nGtnVldK0NBjVZ RwjBAHWyYsLX5MvWUvJBpKxoVlqci3ruIeiE7o3SXnlSivT8Q3Ve9aVDoIXe42CkIaqdPIbPMZTY 7iFyJo4aKv1p35rjMGawaADwtlMvcwaaMOtTeFqOl4D8nfibvRZ6wwA0jRL0F7ZBS9mXCnGp/Qxd c0FWpfQrpvAu9O7snCYdnYJjLcrhjtG4RfzP7VFwO5P/dpuH862Nr6wiFxeq2oAXFF0gsx3dCti8 si93PvXwCl8WCc/AsrhN9P0aBc4vS0xOjFQWA17FjPhp0qquY19GIjo6xxUhYPnThpID8i9+bDVx 7tRVxmM8gBfkUVkTb7fnLfUb/AQyyPEhAW5cdNjqRByr0Vq7o0/HuSpWNcuJABXxSNiGX4HWk2AH T9GQEMxaQXlYnwfVj/dMWa80cWHWdN1BbfbQXJAehEKpeN9j15wPJJBwNu4xUD0o21YrVYa++FcO n8ovVZ6UVSl5+7Oj9vAdprrwNd/T0c2ORCI12S1MeJHkrrE71pfBfS6GXmWB5Q/jIoqDxhURPyOf 9K06ogiUhnaNDcZO0M2Upd/35KzL+RjJnAJsi07mZdB70+lHkIFQK3tSRDMJeW/9QAtYNmkuykmi QCvGoAafbYn9Ql8D1pTN9OmXEF0W9CDmxBb1cdFeTm5UOK1Vzw8xLUWHMrapeB3cE2H+PvN9NC1+ /5ZyCeSQ9W2rFTMzUrGkD1ATkOy3PonGWBTyxXrx2orMVSPRxXvescY3o2dF1lbRCysrgLe1N2xF PWtZQnMvHiuTFANQA7CFyh3ViROFXhJIHLnVYuyGdkCcBp+n2GtRr5/tbuYJs0/Zv30GPuEwvLiI jrmxgbzvCNfwi9BkD84PN/i+evicfoKZRS/s0VeT9LkwAfvriFzAGibQ4lijjcJNgnh4/MIb50QB U/GRhWR0sw0wYWXwDA6DNGxI7EdRoISgiaclmZUDLWPIxydPRNfiwXIketUxIOghpEWbRAUv+GC1 PQ3JS99zG5CSXcrmC+s2U7k1AfM02gWVcOhPxPNSVZiQNd8FMxpT4XCB/7Un28zpnrKOPq+xHu5i dw7NDg4eBt2SWO/2i0hYrkBcH5UNzdaMwyR4FNySPTsY3ULk2ZogwQXcCYns2K4wynFLMkWi0jao BOyfZ7TD8tlXpifd6u0uv4TPkBJQSYnrBYkdk/CtLzkHa9poUFpARkOYH9ZoRtDQ8vb4qB0Wnt35 VQsIOkr6+pXD4nuZPUWuQuPJ8cAo3YrBJpkjCh90ug1H2Dm1oE06imYRPr+s9PY4gFuaZztqlHQ8 Ecnd6Oh44+8DgZlFRMfSwD9yCjZYzAKx20ndt08jXDDqn/kOhjPnoLqdYis8jKi2aoDKnXcJlPmk yT0EBhDdd+jFPJGH2WPI/vWRVc6rGlEnzsnR4k2QVO40+569SGERI6FZ6eAvU+8McNQEeiTjIWZS XvMIN3qO1Jk9mPQ4xeAaB0Y0ilAJyeM/wo3hLQnQfb+s/Zc/iwMH+lTPaBngm1wRmalvRWUteDj+ 88Lz2pKuiYaTWjAnyivx8uIeSca0ULJjpgaj8G+U+fMOqgbEX+NaQc5M/q4x9fAbdhsOoNB3MIUQ XAgvpUGpwGDMwBDl3oHt+LIWGUNU/mAjmOSpsdAeRBuXnWVzxQp74+rhazad03mYMx7srupVCqwT intM8lR5e78udXbcWfIO577wupv0+EN1JZAJO6drZZwj538D/3s6xQLzr9tU1bfQCpqY4L0oEn4U 5S0Lg69m3p8c/rTnRk8qQaK0kHW8SilHx67gBjFjdiscoJZJqw51qM8bpH/LGj8gcVp3DKWXk0Os 1W0QC7uwdDV7F6i92tmbtmEdlzmOAhNRHSBua0XE2RAAVL0vbwYLW0SF4cVIX83rjeAGV47h7mNT W+P3+dJTYdZ291R3LDIu77FQboVHjbk0spEPexxCvdHJQU9iR1k5isCexnBTRVY7a5MkeUITc9mT JtcWla4GUObgqxjtG3LK2odsMJDRsBZzyJJr63dMxdRYgZPp1v5JXomntRY51zgJxHxsNOvUr7W8 GVctNxJhMuvvWsOgHPywIH7GMDbmNx/aRvMqq+SjeBE5lCgQaRxwxajium8xuyJKBdiKKhmgUtTw S1vchkseYMzbsuTxvrAYsI4YJE2gIVIoN7ne6fU/IadLiQ0fHzCSI9LNRzwzRz/WTqAc2LAcchmG WK6DOarLzFKs98F9zxl7AnIKlSuZG1GcLRA+Fn0gnAY5jqszsZTptOClAQodKPs+MH3WE8uuJ2as OsnF0QYtudQlvhDz9dLmkF4OgJomoYfjFlQTaGeKcGnJg5+4Z3YS+yB+GfIzUz1VZbBOsKbNlspI WpHjvBs74RHBF6ayMzb6v+rc8OhTaRBejMuAh9PaTynXWzr9m4fCE2SxtBAr0cu3Pow4Z1kEnvAf AcJoa4q9SDbWRGh9X/Zl7MVoTwOUfOkgq40eZQWubmq07r36YmstSWktUGqaMZSnv300wbp/EntW 1OGhNpnxV7FZTp4VvKwKZbs+5g69hE+NSi/fGzGdAlTuekMK9TyQgPzEmfjAK4LqXPpk/Q8qBVz0 Eerl1aaDaSLAqY2JbUuvHWVfNK9qO00Oy4MzGgMQ8YILF8PSsXxXihY4akC3rfOrbjuCPGHx49qx tWfe+b/LQX6Z0C/mU2f5qgpbY6Pwlj4aZrZG+HiyUDJvsDbhtGXYwiufwiDlXYT9uOKm1hEp7Jti ABZ5HmJ9q+XgXxRGignnUxFQwCxMvtKeA7/EfpGY2HB8G9Ak8vh3gkgrOqI/5G5V3838QSkJLFAE N3/cQ8rzeAff3IJOihq9Bzw1yP7eF/Tb0QWvDRdr9nRiWf9h81Ud8sFUFKK9jetQIFTCLATyUMnW fz54x+x9qL4vr4gNNiQAPbCVvC1k1+lZtOraGhcgCG0E2wtAYkUFKTTeporiEF3HKheIy2Ia2m07 cTPINliC5bntlTirerkl4CkBYNDRaNmQtVWaoMpiyZoPiulq62qFMTp2L+wWOMpzkB9Y9TbJY4PA LTLgTyhr3fHccj6L19MyQlULp7rl9aPoE432e11+H7XubBIe2Xkaa5Qig/3I375PDR8TYErOZM5p LAQfiDW6xzULRu1POrMdpBxgOh2XfJCE/iY6BNRQbrs1bKUByeYvD0vVhd8psLBz0/424xmGbWbU Wu2gj+oTrOdqjgsplmHIBSm+LT+Wt+Q4DIhZm7ry9QD4n2ZKOnCAje3gL+ArjMZbA7NJIx07teVp y0dv93u3zG834ybt+vMv5TIGt+wHt55F2B8vY/cqyXfidCvnMA4QzDRW7DNnIv6pfBw8huZR+Vdg o4Zhjsd5plSDGaWkq0POdNy0CJ+xyEUpLDCIFaBShx+MLxRELIqX7I1VvculcPAxnjh0X5jg9m4k kDOKVBYUf3c3s8ujOYlM3oRySHb13MPb5RZjBmWApFghPOvxES/aWSnG2J9h8pyVAnVl75Q8CHiv V6gGq0C7lRSSWVs/HaWVSJstEdf6Njvh6dmhYq8lqL+qeta9HToZ0YtAzJMaYuMwk+mKAE7J5LXc o577LaMoIijayVg32ZMQUPQyBlQMp2ZYla7PsbB0HzhgMWnvRf71qFtW0aOpqHYOTp5CUOBkAV4d 7f+pkVnml47n2PrCscqqZLdRUtK2VF7hg9cohx+34T+7ILCbXv9RSLISNh8odr0XWkI/mzOS7LBC xuwME9o9YQlN/XZHhSc2eGNzTpS4DiVeJ9In4mx2RRuXNUi7ogwmjjDCsebt+jkI+wlgDUHtFzwG /yYzr5rLgt03sPeWs42IiaGaR5LTY/G/FYSL6HELls5k9uyVTOY12PwKTRc1/CGqKrebP2VHpPdK BbYumgeNYU1IInD2l8mS7pjvAmrAfFX9WN9GUag3ZpNdy2LA/TkwhO+8C/dXu7LoeVn/vTuAb6mX OX4GLy5d+FOoCzVa0Qz8fe0B+QHoSSHaaW2I1a42qN5nH+E056Fjqx39RZhcg1l1wBcUa2Cj2vjs HAcEnIhk5hnzvKUqdt3HA6nESt+rOj/CGpGXpDEX9AnlwGlfyYFbdqjPipyUi9r7fclPyH4ynhf6 rS2pSUFldLgWLWFP8OK38VtPKR39c1DjTveMVS8xpTF9mjhxohB8eKERjBZpUjwGCLfvbPlRgm9y HDXpXMdZTXjGj2LQeZ3ntmhxcRBDBtKYuAcXknqikoUmd4s7tTw9/S0LAW7NkvTezyxmfK5xk326 U4o+5i4CjofMtVvexizZ2gjSeF912RPmSq4pShy4E5avvPchnN3UmWev5EPOdxcN3y1jICZXYxnd MsDWhFFQ8OJfdoHsgoR2g3nCCvIuIHflD0Q82MfYynYddThkyy0hi40VAVBEdEO6ma1VEJrMD8Ji Il0W/8wQ0E9+XKXHUPw2WeuXHMnslnJoMim6f9TR9INBnuV2D4h01tvPK3doBzoG3CJt+tvK7pqd VRPoAABv220Sob4WxYqarT13M1mCvqgFSoasu8gDGqqluYApbOifuhtr9yBSRuG7nKCDiKewYsYV DmjB+mbjll3niTnSOnJGQIa2z6EwYQwEi/IOnCUOuGpaxaALWbGipLHK1l6r6znrZ1RNZ0ccvhsi O3wKAPqnx70MeSP9Wkg4JJPR5pretyV5MLFGIWzltC94JvWHJ6bNun8x6E6BHDAj6+7jDCIy6jMz OOWp9GeGA9NrAihZRSKQXMAKlWFLL9QR6VJFpCjhlwFyJcL1Ne8fA6Yzq/5Fud48/nCwzKWLHgaz xZUU2HTju9OPvvwolffrz+KeQ9b7MiZjzwAoOoOTl0nzfHDYEsQJB2D7WRuZHf5XcoSYI1IaSVhV G2DjWoSh9s6vL2gEE2ZbmUMHZhAVheK6FD1qJCoLAYa4H63lUu1N9WAyJCStCmACB3Ihdg6WIERS R7pH8Z3gSlx7w3OffTfVgttkl6t56NL4n9/hL5UejUqLXEPMEvwXhsyT2P7B9g/6BARwoH5/7jtp ZJdGDEOGLw2Vn6vQNsOmhdRZGAM2yGxV1P/zSQvuM1ckzJfdNtMGZtYOxOp9QZxNn4myFw5eK/57 dGMgcjAcznbTFIalWwHArwPmzui9042uWGvDNmlpIDMW5q54JckB8GRVhi/YKc8zISVm140sA+54 YRsSTXgwkv3HOYcjBfuvKG6UuHjfR2drb5WQN0+xC3AsbHere9Pr3Ox36xhOBhCAZ1aekfGfa2bS 3iRqaEDkkbrFKKZtWPQ5NIKXRTZx4AwCwFECshyGIw1BIXolqfO1HtCDlJTP+pXVdz5wInSCcZrR c1h4ePakVrb9r8H9g2aLYL+RJtsKNZjAkq8STqn2QcmzkVGmxGieX4GoR/vGCz5EcQDu+54rjiUD 4ch+t8hUbgUxRt0HSSIJaFHo/ep6FZ4XHBK4VuQNXuKwN7dQKvJn6sPlvVPy/1JwbsXSrIDqDZ73 2zFpQOXoOLHLBCI3H4pcmHlGBH0GZWnCnYfEJIVhB/sjvMxzd31KgRnFiN8jrrzNrMLxNsBt4dtt FgYP9BlWsO6ftg1Ap31irxJMr7SD5hYncdFra6ntHzYK7BKDR4ZkdDa+HgMk94NATT0BL1iatS5G yiEoJpBeYiQJRTo7X7rTqaieIvROzw+DHn6eEfVijejo7IkLRR887xv49pMVzoTJhXNeWb2N+slt BvUGQCccpDS54iojPCWNgiVCWxvbGy0Y74eA8qTjFLXZqbMFWU3vBQJ7mslRbQ9I3tq7LIZt5TPn jvvy7LpuxqMGowk05KA3tyNNQNljIFHXaMOLVVVERtsKmGjnPu2Y0lhbkVAuq5BoDekOcj/egaFE PEZGdetQdamFQKRBhDKkyHGC0VldRUKW3k8umpdkoMYmaAyMeI621gKIbv1s7mbZs/wMMUIBSj/N tOum94ObZRm4N0EjUKDW3AseIlEFMxcaSeidgmypRrfvn2hk+UYEw1jmroEcYNucuaNEG6kyov5B iggm7sCz6Io7qsGPd4I28mhbsyg5cdT2RzrJ4NvIH+/O/xFsjIEKOyG1DtVn5L84s8+wwqzC9YU+ SJ4I2k04la4+n6V8LTWN9ppEx5bx7e487C6a+GP6PgnSFl0dS21cCU/2LNTBOg+7FG+/e9Y0caBH yumCmKbmgPldR3v8EG3RCYfPb4T2zL7Si7OeNnCktpQe7pesZN7frFzYdOxR7QnPwixZOAta4/vX z8C0HEzWQKTN13KAyLme1W9gm3u1gDiCCoOM6v9CisCKvmmNa98kqZtgBi7n5EsYXRdAskrbNIpr mQD+rmISL/7xWtKd5Ig22qIrhmNznUaUyqo0O7oe1ZiaKxygi9csZwXkekEgk6vHFGfHIK2OMqM3 StdSEC8qIe+XU2FWFJx9v5j23sYbwEFGnjd/b5Df+nuRdrm+/HalBjXoKzaBecl1+JKbNGVwSIY4 tbcGCKYIIe8MJ7Q5lDr1GRITsKYwDGDrCNu/QeOA8Sq3fV5WiKGf8ehZ/MQXJQd8ji/TUl/PqM9o m+Q/LoVjL2Ki4HYEZCtIbCrsAL2M1sFWRe5RZIrHuDXQuEJB4tLKZPOMTPA3Vc1F3qEOmEEs3mOi Rk/ZCRuJ3ubuDiLDikbhwmxKpz+IGWCk/hP68PjO6cWBI+JFdwKtBmjxtD2fn9HsS50YO76waITC PZvam9uurxuCb93UehVPd5sjpxlRnYK9Hnzc/q3nnJ49JpuFDD5k8uhHZkuscBLa3IQ5lMZJq0rP vdyh5jKfcf1pZeHxrJ1ugWmY33jTqbMoPRafAbPoTFPR80wP9gFm3Wr3VYh8Whp8czTUswUdU3t6 /a9yb3sZ/cmrqoLWaZLry+/UwApTk20wpQrNh46sjZweVH16xXLwP4HJRK90zht9mUHYeLBsSxm3 0qmwgSbQe2x8MOI7km9CwCn94A8X8TTL9lCrjhMTxAEVncmqWsUxqC5iPhiHOhnEVPleGjbwNtme GbdynH2+NRDoXQ4eh1dMKcW0k/qGOIMki5gDEX3Jxy0nA8lnlx2svQr0umT2HP2z/Lo30PIQky8Y WZ531I4XBnUT1i/nE0pyvpG4nP2KD3Vx/v/8dKDls30NEF6ykKwCRFHTjRN/nDr6mSacgc6AJ2cq OfpL0J71LzvkfoEazIyhi8JBYHv4ieLEycVGwP+v0O1qWMLd9Y/dY+TUWwIwVB1xAHWoPNi4dacK bxJnCO98EXU7UHJSQX5J+ScmrNZ7j46KlsxJwbjQP7okQVU4R3pqPftYLlDtH6fgXjDP8InFhWgJ Am20YtzIOvdHMsTu7zaSXpEKdvp70ejjhfIkSpzna8XhzSEGB8Y7AOSx2OnN6XwFS+oleKoTmMbp 4YRGyGkaWW8zbA2qh73NYT91ue2hm4LzzQ2ZuWCETShm2NfnUWYHNHo4QifcBGTU3MsXdhlqNJFW teique8njoV8fCZRbErBp5FqpjM/jaWq4sDJ4wBTz02U3B9EPWa3ox3qboA2Cx5YEgqZkJhce2Uv NDux5zE4rpDCPsSAcXOarhsRrwdRS7zWVOV/rZBUkXdS0P5faN8uWvQl0v5G/xG390wSiJ2v3Ywo e3du1sAN00RFWKV2tT++MBeovucYyxtFTJRi16Jdvk1PYo3m5RrejT8fH+iYSTWTBhae4jQvl0Vb VQPopNzrbIYNNoZQnLuqlRlok/u/XqGu9vn9DPP7UzXfMpLvIVRuLm4NbhsHg7W3ECXvI4i7/1KM zKmyaM0wRE8omaZDIKS+QRmjvAGfO7lPMHeKEXan63yPWi1io6wTg4P2TeDutAjc2WLpKiCseCm7 hDuCQn+FH+ZMwN+ISbUG4ZP0Hht77iDFaPd8NHM9Ah50qdCO5yl4zMhUB27AsnD2V7yrovwH8rxH H375A1xsHJKWJycNEZFru/3mCpBX8MfYyrKExrEcMjw8nhjyTrcvJxsvyXvlaVwP457n210NZqJi zO5vDxy55s59zRbroJGf7/KcZTpD1XZZUfmBlCNVgAbC3M8vDj6cp5FbeUyK/lUHB3T/n7VNz5Rz GCt2QRrvnXptsplC3LuDlU+XRJua/wjksFf9Dj7DgauvclfY0/D4WAAR2orpulFJMpljcrJhUFIt Qk0qsXCJc2oIBBAP9LnXMfT8wl6p7B+B2ZcGd/T5AGVrJ2COCv/GzI0hBseSlDn4+DrvWUXwd1yw JH0G6ISZW/b+w53/54s4TepzYp2PuV9XDQeRGoRa1Cbff//Vj39ke2p0TgkMuyQmzfMlwzqHN0lA cbXVS5NcfnaC9eXqR1Y2cx31ZxgVmhGxBMEbIFLXs89ttLK3wufSjXzv+bNUS2kCdAwB7HkzcAa/ 1UjCICxUIA/qqGY5OBW33yiMU3kkVXkISGCu6uJF95PmYvFLgl1EqCOAO/3gbPKSrwhvRL9R2p7S S//zCE3BzqK9DYsAypVBfUjsx+SlX670MV00vPiGvYkEB1jsjGfcB7os57G0ZuKXGualr3mhuCfR 3iJt30ZfZ9lYs8oHQXFPp1LizAxny4fuWyqKD2FQMIa01ipXBZ7o62U/pBLg0f4Q0OGU7mzSkao1 3yEbvW+i7q0GJqwPvX8h3LtqN6ygwXY9t8OG/1q7Q4fQvNsOq/f5WvZwnNysyti1jJzPmGI5xOIw uAfr/ZiaENL9Y50DfmilIlher4fCc/UsqQAY7G2ZwsIrSBYn5cPA8tzj2k1IAMTkJL2PP17LbHmc U9RImO59XOZl356WOD1ztV1Df9K7baifsqxJ5orUopXn+J38y1VZ+TJr9t75AvFyg3g0ctpUpy1A +mRPDfOotrREw3ZlChL10a/Gh+3GijjygWrLETu0765PXASfoE3zMuUhig0J93uuHSAPS1YjIAYT 2sxttKQh6q5Xu7fHaus1/Jeg1NuyBKNi7Su8C2AQCwkxGQ+/d3+RexBCVCZn9P4dnP1NK79G9Ukx OxMsKUbNJYfYzSNCVhpneUr/a6thrDtG8f/P5PxZAvdi4Uge8lUt1B+XHjMk3bm+r5rk2L62Ascl /i0DZXNkHc0U1dpFBCJgkWZjd+yDXYEESZX9PYpuNdfywRp3JoDYGCF8gGpGzGJnAipfRpRXPFpM CnUUsAm8m3GMGib8C9Z+DblC8QBEynNUlHNqGCoWv3UpSPA2LeKCNC0Z2/bsW0qLXhzM82142Ce8 bZ1FlYAoQfsk9HtkYGevlW4+oNdGvcsgmKGmAPjLraDmSBuCHjsxH1DvZFSrsjPG3GwqhwnPE4Kd tmwEACVk3CyFa4hibBQ2yGthYG1YTJNtPYTthlVqguUQsaT4k2lFCoJEedUJ1/lamCQlHEsCxPN0 SfTn74WFMGQUC9Ik6X4uUMnqwBnJnBGegyXnfSCu0Ran+XmP6HUa2ztt1vpZdoZBYprTxENJ/E/O kSTZpZc6ArY2TcxrRCTD+BE/wV/MRiMUDkQVTm6NjZ3rHf68jyHY/b34nJILRMYq6KWjhC5SV62G daD8iATFqimBLKrx39Ij0uTabsMLUxBXRSEiaevvRiizM7gnqiNSgLYvNa1Ne+bQRlwEpWhi+XPE 8W3xPW2k/9e8PzHrY1smcnzssDvxdVGk4L+1Q79a95sqOVT1eyrUwdH52f7YYjjqUE7lO4QX7HAn 0quw/MrrCowcSgjTyOq+jnDLGynlvsLif+shIJLCzo6F6GePp3RQO8ngJJ/zWf4mgX+slWhrbktE zgnIfVWLM8Yk09GwUwWrpsoJ9dNFyrvxFEFazjlb+b3BUbNjz5KDq4ejmDZl7QOMVf0BYZ0RS3FW uco0iEqrJk2r/xE6i5zDdsF6UvXqhJYvnq1s8FsN4gMphlJti/MNwLrP51nsk15akLjjgmULQF+H ME3yFf9U+zT2++32J2hrc4siF078SzZFzkyCwSF7xfcK4OiGGuh6+0Q1YzrWOKJoc/SMjxOxm58C +Ti36JF6mzQWKQyvRfibFRNfX9vQlBEkzO/0/jGOIbr7UF9JfgbxyjrLUG4QxaAVlxm2m2kLKwDP R9EPbqPlCMSg5c1uphfsCrmDHG7cm8bbOaADjtGt+YtqyCjdZzgxIKmFFLYA/rLfNmDL/aXwQbgb CLD1Or3wuYwiKaMpVzq1jBxzIYR4DE8gVU9ue04FCzXM2GXSEpJKcLOPPnptgUXqlNNt5s1O7rTT OrY2wzaPXMpx/kobph9kjLdEwQPnLDJ/Lvu+Hf6qpol9CHsM04CtHx4UozeEeHCizOALBGNHMJOe jnetPaL5wRiSRTlHrGruKHUPbPjKFAOaQER6r8OkJtRLv1EA1V5VvH2m+JWwQZExgAz61eiQDq82 t6YAfPxorszLVRwOSzpMAdmuorAd3vfOFh5J67Q9W7Bt5PwBWYIYVyc111GJ8FiDnAPcdANlse4i qaXqUIZOws87+pCd4G5PuJs8b8DE4rHk16n0mWjYSyxux6TGHIN5oWut6qTzeKKJylc3cHl4SiRr 7V77pYVBek3DX9jbXigCAZUVzPIY780Tq91RCcBixxgr/yrs2kcy6zW71y0fkPGgVj6CcM050i/t N9g9hkw3z/k2hj59gUePfl/X5StKo0mPBuBzrdNyWAg1yo5hI9F48wx/SAMdOSFwGe4FT6rXGeV9 XNx5Ev1OVD3rGtPOpsJ77bAJRWOfHEKtFbSHuNyw+Q11pJmd/DG4yBQbNHg2xZQEH9TrQzIRDRC1 +CAE16rhNPaxAM0wzlsSisZ7ImfNOrS5cBrLrbOg+MyiOVMI4XKWZ5hyiK0xKivky+v9BQcaS4tE Us329qzFaXmnGb7Iffb6YOzoq7AxP5qES8S/UgtLUiKBC2JJC8mb/6o5p8cftoO9zp7FsM61NWWE 1/laZSNQntZHsw3ASewdRFcQTCy+BSJPKp/y7S10+y6lk24u4GRk1hc1lVpiRnSDJUCMjKmIDXm5 CVywLKcn6uWdItxXqn+9svIkOPDUzSnEmXlc+j0DTDKMZVISkDeK3Dha1RVNgsZZUlfsRhy0mZv8 80Rns5AzGDWpYGX0LPV32Esl7GoT5OBiNlKL94BTRFdzN4kVPZt3wuHsG9U8JjJH3NdeZ4t2h5PL jEU54rswn105kieIilqiVI/0Aj4YdOL6x7E5KnP9QxSrQYgzadkScDBjpQiqOObIOwVn65SQ+Wsg 6OXMla+Ubandk1atQe2VAa2DZjET5PaMKveucz+4QxtroF0HspSlXdAnuzknIEGpZAXQ0duO2eqo Dj/hTvZG5k/LW708tiMlkjQO7ec9Bo5q4HRjqMsV8KHFLUVlF4Xf8jv6dgAuaty/KRqUY20tD6fJ bWZBqae/sWD/lwG2cm1FROXSBljeDBPQ2nJ/dSvTzm3Y8LUMXbOGugYWB9KYdyTvTcxjXPzTBA64 F6aeW+A7h+OC4rpcntu3xF5yfsUBWhKc6th4PZPQ1t1zoiftllI3EMu7fx/vtH/q+SQZRB4Otifs bQJteKk4KqJCXO6gZB8fUNHIcXtsyrlDivYc1FssLcCCXccYkBlzZUVCXpcppJw9ke51ANIJ9NST FeAoHS0by1W2aeDt7auKITyGlHcPQ1hmFyBo+0FAgxnT9BDY6toMzlOLa1REKiAHdQDfX7WpMxGz 2mZuSS3X4tSWrKgmqy6MTSzAh2Xdey6oyYv+/lk90RLS65wkZmjNIn5XkIor52rIc53G7RB9o1xj 9wB3MCcYFgVnjeDNAmGaH3uRBbjD/f0w2ialVH/jxlqXhsbHn4kMZlLBp3St3+3LepLT2yTPyyl7 G20aZNOmMGUTCQiq8Cv0rOxcQrps+Ot+FHiCK2b/3uljvNVJSqemkVBtD6Q04i3rLiGnJWjtsO5u 2GDBUrcdbek/Lp7uGxf/68+4mcNxQjx7VQWkQgicUlXl2AJlPrMpZSRty90jCAlN+z3drefmRPw5 IxPgWEb7kJ5utWioudyOHnj1AJrYNZmNCzTXO+h06O2nIfs0RFYgl0NnDifPHbl0TGdwxJpHti3B hC68mMWL6/BogIxmUZe//5isus0XYc0j9ykw2yOwn/zgaeFuoEnzZ2pQgIzAXSjCIZfRFMdZqSsP zuiJsZR/Im4u2OCLrJntQ19zEqqRU62cVYeaQrHZerTdl/cR7x2UunQZKIzK/8EWjTRh0SpXH5GA 1FOSc99L9/UDb3BOfz8flZ01KScafuku+ultdPTwpPVAw6PVgLuZOV4rvnP2I8Sy3Pnl30FuZLNc +aLaNkE9EsSrAEMNDS0CKptI2W9SYcax3WgdX0RnYPV2W4owIOCGubgJDRwl20P/rAO9eBuN0Y6S wsbpDTh79ZrMfadCDAhRKallCREld29UWkmuaZOfDd2cqVEphBCSOhZUP2J1WLukm+fg6PoQnadc vTLk4UecKZbaAPiqa2vnazxrno4xocDV4xwiscNUBMJURzVH4nlIA4zf88ABt3u4iqOUZZlxoMst BPwI0d3SYgtTdkyqaGUY7vWrP0VNm5IqxcVJlxZzcG8XYdZzjGov3chDrT7eiUoFlG9zFj97TUs3 0eTkj3b5rUs6TF4RhcaofDvuf7CTI1fE6PFgAHDN4BQ704QjzYFjCqyD2L5TTGUR0o24QIsXnTtE m8qtCJZUoVsa5pcX1PnAlXxrYVS94S5s0k6+HsQhBwrc3PN93x7OM0qS7aUdMy5s1yC5zhZ/yY8C MSnHfxEHr1MITVs1brt9MKiYX+HrVDTKAoFLvNrLLEKqHjv1Rc0KDjJR9dRpWh4zeyZGGaTNhBWr IRKJHTNBbzYUagmLEjdzd0r4D5p/lRDlH9b/n2j3evD4IY7yfdQCHVzVOEXgUFntZXcz54q5F12c xgoBRWBMEPKzEfxa5t6SbGyXm40KjobemPWIzLOAS5VB91rgJvWZPzefTCCRRYbb11KKfyLlZBYC mZLFa+8VZUoBLsUaV0syUvx2iBHBPHbSZ01XPcB0tLcLJ3MNXvqmwGyjOKo9WLUX61C7pF42k76n 2S95M5AjEg9wk2c47X40DOcFzXyGUsuX6imzStZlWwDa296QObXEvPQs8aZsrt/wmEeTBOYo7KrL NT85zggNekTeCElnasDcFq4BWN5iz0Tw+ebVx7xJp89WFBfGbePlv7AUTzrqW0WTPR98AvZE6xl5 5oGjMAGi9k9oSz9rQPE/pW8c70kWIvy6ZRckJEkmoox1/IPu6DEm1U4vTYKYmP1eSPwVITQuVv6N hQnEZqcIvCncdtVG2xgsoc2uoB0j8hAVTlIKfILoU/usXFUIEkteDP2fZcv5Y7Gj1NJFLpMe33e5 xYfBowNC0YL8erv7F2XfGZxIl17SlDiaheQvaM4qc4Bber41h8qXy4QsovspYHVKMq1Nix++RoIY mkgef+KjyhAVztbpbGcdGGOUX/8LRmlkXXogTA3JwP47Y68mxKhkvBf6LbAnyTFxlnI63zo5uJwL F0+NAkERapzdXs/dkTfxm4JAIB9VVTSLKMGVHkgN5wq/0HXS9ofhR+M99MxQm6mkK3O53g3htLQe igjCQft9zuETlRtq1laA+15z3bV0kWwe/qaFQSCSuIUVk7fuZL1gRR03tqc802nT6xZ4FPotLfDY tlbwJOaIGVuTfuouANwT79z2cjJPJShs3VvP4AeDQ0OtlKlbDiY0fTMki48PothQLVfT5SjwvLJh N16Qku3fFxVDiVeOt5TpMKrpRquhjA0AR5lGxsL7TrRZP2kIa9Kb5HYEXLFmOk8FvT0KXIx2O8Hf lqKjl8k/tm7kxek7chMhoP6ADai7uf+lj5CLcot3us+ryt5MGiJb/svgqwHLD1h7+/mBhDQc4Kly R/joM+rg392jZnnp01mGeaSPD3Qm5lkfCz0SlZrKB0nYsjhobTrn5P7vUhsqRDVbyIXfVnH+uQde hOW/Vf0aYLuv3lps1nOgd0nDW3RMXJPVoJYab5VTdN/8VHqC9hLl8aSy1+/sWrsAIockPakhffWl 0LU99mNc7O9aMQ+RDjqfBlI6Htb7KYuwnq/DI/zXPk83KW0H/Q83YLwad2ut5ZgataySLVG06HbX PaGZ/9BLp7pDqGPxpvc1NuU8Zt7HNE+eoPqY4YB43kjnBcmE/jWagWvKlSRG19tZAi7+4PN8Wsnb 3Dtqx5hL82aUqun87WTDirJB4NQGid2c6DCvJtsuu5VsC4rojeG8nlOrK2V5CIlCpXrBcYU5sYuB bbaBYH5nEUK6jbOS+e6RVRmGzMCO+L6oaS6jTnJZwTZ7UkACbbmsILnh75+eUgHy8Ff80VAU4L+3 +P/YioKf7BG6oo06vWCPMUwYZQVsf46x4vBcXor+v8reYTUxGOnuXPftaACqisK9hEkd+j/cEhk9 WaL+UVvOqbNNXK2Ampsk/vkyZzlq02YrCqOhc32B9CDj+Ntks8CVRKLnuOmTi3eGAOzh5ioMKCdi Xm687BOV2QcgSe7cNwWMRuQxQ1DCNZUmoVqRnF0GZTUuPaRvFQzQtYDkm0AX4rq0v0sdFRikaUzt oe3KC4nK0CSEPRnoEebfarXESJk2DSDOR+O07toR/uc0hGxvhr3+ecvoK28Jh7FFdiOAM24u38ze C9Km1RNB0rv+qnzDscU8jJmZjvzdKr+ABb5HbeY7UtIZGrgg/MzOzLGRNLyva3JSp3Lkfve0jTq5 tAO6TggVCvT6pgRh/U/iRoL1fO8l5do5g8GAUUzTda36QejV4wBrk3S+iuUq5ImjRmUFtJf/Yvvw tcyrw3eHmbSQ+fVI9S6YQtKPB3fpsgw8lwDNWM3qm/BotsHtwcgDDskE6sxLpmMZ/4HssY3DIzjF 54RveVbsxutZ8eVhXseBPfYcfqm0lqnLkp4imRqYTOIPU+Nxac+xquqRI744ADcrNzf7WA0fiB+p cpa96kcQ1xNFXr5rWB8s2QrNHSiBbeSIYe8WrOc9GgobTdxi12cdGiYrvfvyA4ffLv0lOi2XosTr j3Chl+m+0mPCIILL7SifhGJJTK8sPnbe0KLDJ2+G/kW/AWkJMMFtd0nGRxpwe8QwxI6Io6UowK+z tw7MKscXxDkbSFUqWppXsydoIMLhGhy2w3FEL63ryoex4U19SE+104pcGev/d95taJmcUd5RUgI0 O+KfonwEj0GjIh5oTpcu9y4ngtAI3wvlEq7ZIBFMRNqgLlqNMOfYUOKUnhnMtdKfiMmkUWKn3dPf TIBTpnarTe42sLs+0LxL2FnoO5xdPYdQt2KYetfB0HZIlpuu/0NvFOub0TaUQBbeM4sjlDDczK3v 7wHAON63cF4NzxaQ8SOfVoxJc1WICcZbj67SdB5W1uxWLo9C1hd/+/qYpBxyhyR75Iouf8Eyx/LP AtNyOvnqxbutp34XC48AYTct5oPOPoDRmAJ+w040J+BnFO7Vhb0RuODRE5IndXVXgCiSuCjx/j3X TQ8zhYxAvvgcdtGOsEpV6byc8qjF095zqn93cElEHp+rR+fR3g0h7Wdvco+rPfqFA01WcNrjvgYE OPbVViskgoq0cDmpWbSfwFQNzu09CWUns02ODXErLuGrsT1lbRMY03fjeNgYbOfJtH8Wnm+M1/EJ Wsq1dwP3exaGQuCAOtwc5roqP+9J954HW74bmwONhhaAm0JEKV1pzYFCOBDom7dSuEnwmxxWyIG7 pEWDb73sVjDl4SZh1KX7ksFAmZYGUGhvV3Rt37INdd9GEMYZlxCmIzsmqMXrL+ZVIHSXU4l7kHfY nrMmVuztxu1V1vbap0Mriex27bXKbzxnPQwImBpvP8SaAPnW1tSuHIrXhCPkG5tVcpoUmGrWsNhg jQliYiEKJD+CwSOziQXPemTLPaZ0zL2/0vrNWpkx/C6AHr4mH397GqxzAGXjqp0/8G5vQEW9yrGm c5QuPVzjbwjNGxcAWzZB09fU8hZvTI86WTyKgky/1L5LQq303agHVQa+eXE22Lj0hrJld4VH/jgc g4E+c47ZcbQbtALkOUUuVdvdIQDFcnJH0PbhBHLrcBZdpuAerDA3mjGfIlOsfcpRX3XkAZR6YP2m Oxbc9zTZ9mZP8r9+enVXrUEO96NqKlp6IesyxHzunEdj5vfFGQ37sV6HlbSR1zw+h22+kquHyBPB hArl/EDBh3ar04unV4rJc2avgxI/Y/nacrHGCoj3l6b49t0a7TY2f25B8+dG4CObBcJ2EWbphDK6 3m5eaqrfuTwuAPR6mZTq/xCiJc4Og148QUKaEh+Diz38pfyBd46tMzNYEnJqJRLR1VhmmQfs1MGZ K6priqXCnYq4kpcfIKmx8rA2ZLJBHFcmKSkUHbqJ5J3XqihaH/YfYZcfdOmu9sMWbsgk5WwtSgIi P0QVlPPhUB1YW5Uearns/3u7S9ZPfF4JsZO/lWuKdtzFEv+SnWGxDvIACMGK9lD5TopoDW9YI/Dx PKRvhBS9kK1OWnbJItGUhXnSA9vhyRNixBjAhhsLP8eDCAwu1ixIUsKXMYWB7PufeEi5kjlyuLdB wa1qDU5YjXxkMbnXubQfYZKqI6BODUZnU9VcXg3G9tH3UlDPOR1pxwbwtsyZXRHdsTxPfBTDiVpb H9AtKfOgmYXfvIVxVTukpxL+AV8LvzBxvZxm5JUJZaZXDUVnx9FlZpxUiimmPr0+XdFiXVDvb56r iClXhdydWzr9SZQ+jrFIPujnBbwVmFQAMrZiKideqVd7U9Y5EP80MxL/KyHNYbTUVPD3eVQixARu g3DOX0LjxagRA547/IKQnepq6waXBQJl37+HEmnaaqqHu0M/mUSdf/QTToHHjH6tkpctG0TZlz8R J6BdYraFavseJtuSTcntL1q81GsCruOu0Q5466M3K8eckUFJFA0KYDK8avIleG6sn1vfN3pUh4T4 QrlVugRggKkFdJrEP0k44PLFUOhW14cxjZ6bR5rGPEI36+nspcFiEeBJeg8squZHFf7bj2vzkrdk y3dl8iy5Dmz4R6xTK1fcG4wZiolXdAeGUyx8zCTm7dV+USgFUOuBR/aeLrRX5UsmylNOHAiI/JQW cXZIuST6hcRNvc4KrLvKLI6nCaIab5yun3DFKshsJXy68lmOO09Wi45VlAJmGDdOjJdoEukoY+i1 tARxzblv0FYGDvrJd2sI7tTrh1adR+5sQK6F+O8tEFnRLnO2GzSuQxj3O3njyL71h+h3XYV7xh05 iDZ/NiIZiheLKZbK6GMoCueTcyJs1kMIjEYR7usptSiyEnkZOtDRwSe1YO4gxzS/rR2psH2ckoRf cPtStcbN7L5VCucJyVUPcCT8R/EByK7K3ZAvEAgqmcAW5wh9QpR4h0bloJcKJfqXC7WArP1H9C63 9BVZ8kSBizVUCSZsrO1qW0Suxhtnuzejct6c5fSoKCDHL8BM64pVQHgE80QuWzsqFsfA6li5gEAP I0ipzfXshCHjrA9vfZ1PgffHwzCpiB5id7BV/oelQlU99LXc6WLwspScE11gn4uXrZSf/SKc994Z vZdYbdUaTQoht7cLROKG8yOFULzoSSfoRy8Rf3ftjU3MnDryybzsHvq2vR1coxjp+AluQvtlFCaR pk/NCT6rR3Qyz8VUOGpr/BxaggaQp/HAGgjzBRIAUHpjkQ/hpmoHeIIHeL5bKD5NWvUn+pjHcMy5 yc8G6m6gyDlITSlTIvJEWLGvXQF2QN2UyTIta5tkEDFQqwJHTXkw6g0x+9AG+hMj/aJ0/WOsNNW9 iVlbeAOkDCEKwPhk1OeUfHrvfjG3YkgjzBnt3jCJmWu0wg5fn/qtH+6JgOdskhnZVdeXZhEUtRpj pzvggj3iUZq86W8j7ur4BF+3xHnTNQ99MO9yl6gxtiFKd8SVeIWcqz4WCl7Di41K91bIgQ/W3Kum ZdZLZM9v8TV+p1UlEOQX+VBvDT5qPd+qWh5r1HaWHpipkuWk3zx2PxNsMWKNNjZsiDGEN/4WLIVU 0Osg4RNViCnrHe08Qps0tNV1/XzsN0SZRTzWoRKTAaQpZ1mm5yS4+Z2xowFGB7y7Pv4rh6shyY/b KyVj5y2tZ/n7HFPd0hzIGwxHqWoKT49t7rolWnsHLu197THcI30AVw/GzISmpQ6GeEp+IR7HOXpa Vkmm4ofJS3ZBHXE30tdX2YEO8fyVQItBpYzhKs+c9BQtWLSTJJpTnmIO2v/kbo0aot6SaGC4qVsc 8GtvkrPzrIUyzu+uvt4+14fV4/+kS2YuU7y99o2YppWqwV7V+ZHlZKia4UUZmD2GJPZ69ZG9PLuR jfRY6OWnU7zgoxcUOwvKP3/+Tzf7fvpgHAv/GJ/VjlcgthkKvDYJxHjNYQUMEl523F2zy6M5dI+i 2hGWMzCRFdhiNYsfinnGZX1gl1G/vYGPI98lkS2juWWvwLQDDMIfFQ6DcDxRefrNdnm9C1y409nT t3oyyXeJXw/pw3I9Qn/4o9DZphHhwD/795IF5EiBiKKhfYcw2zTQE6ukBl1jgmzmp5GGZtC6osQ8 YRIQoMfiBB+z+OlT1iqW9n7RukiZdMhSm6Sl9qaKO1Nnty2K1A12Ea/6tOB1uN4DDsndGv7atbP8 8Piw0huEeRfKiYJ3DsfHpQFrf7MwUtvAa6sVv8CgYIAYXBXQaTm9VncK2XxtFSmS773apGDBHtbW AWbWRNmJUq3NMJptyS0M7sq6Vw3YqAjI3JqvmXeMxQGsTIlVmK24yBdC1WlObFYu9h34uBwkIrfU J2OkHf/LfH1QGgO51zqqY3mgXpKLyKGGDKwioWYknaUq8CcQfXMI9ij80ZvDLJaGn63xj7buUtlA GBiMo2CORdPQWHICcqRFntoVFYc0XcHGMLCp75sW0/wWC0Jo0Vc10t20qEVoMj8Hu8wrM02/MDhW 5K4P6CE+Iyn1YKxA1HfS78YUlgBZK3IZMFF6K596hLf09ilPvpM0+CiYmetWVV2UvGMnWBCcT1v9 Zq2wQN3UYXVWoZOKf495DSCb4fFStSCp0Pe3I2snYL47TRAGkXKs3lpg3tA0wsqmgCeouXd/L+tg ++AH43iW2edy40F64+jUQL6hTJLnAownoSzSHXsvdMMW3wkzLOYmxfcAw3rLjKYZmz/Pn5+txzYd G8k9iT4TMZRNQKn/JhgAfnFq3S+cWxFiK8jeqRUYQn7oEZtL0CQCSZOn5g1d0Df8uAQtCNb4ylcy scjPn6TyBgJhfJOasL8vCG9KtA7afS/19L6XS3s5DRVZw8XsTB0vOhuODnKBXJtGuCMdulLqIbEB eW5rBCeTA41t1DX+80/LJyctb0cXjkzMSTfSyLNd0KL+guKvo+F0lFMHG2xErNB1HAKiClDwCrQE b4KJTMpnnNX3awHW3u6H0W7oDxsQQFxVITXBY8VGTwXv+PfMTdGaZ63Pjwv0X4im3DxVwq4fjY2x eZDYIj9si0yAcGPRryVyngwA3aGivKLyzOyjgnno9/idT8G1Le1QyJjxtW0idNPdwyr5IneJXhLL zQT1z2bL+jEL3/UCQ6Z4r3nfP+TjV+zIjIgjOo3iaaRDvdw6Fw+B3KcU/WZ/vklty9aySBkD6DTf U2ZDk9xSjrk2jGafR4w73jvwhIGdd4w97Oj99scO4ter9O6OnyRAK5jH5ZAO4f9+b1zDiSsyoAiD KJZNeQTJCmBUucFSWVFM/gigjjiTU5hlEQsJSlVUhDvQWu32wPQRLAH8qINV18luRzpcpxSSuLTi L4AMdKk3ChqAcwxCUOneyFyj8BgjtxXyDPTxYJqq5DnhkOatghFIELBozWUKtDxp7PPkcoJiF3W+ sZa0mzIv3JPEWha2SoGGcY5Y7J6T9jLO1QOWrYKBfwkdM0WqyeMfFnVQoG2LRlOBfigZCaXz35Lk IXP2n7DYcn7iAhOccsZwB6maBrssa/3Y88UBOjAGHOoc/gz/g2vbztgI/m/pA2ohzzG/7zi0kvRP Zakc39gaP+ZpOlue5qh+Y8o4c0XKJ8hWzRgaW5jSWsDg8ZcJnHONgvCjHJn1lrJUYI7iloV3r8sy TpKuPFyFbMn9isw1w59KQCAfYk3WDcBKg2bNObny5KxDUX59tMef0oa/3lOF2fd67CvdNsv3Vthd dTAAUO+lI9kbae/F+yUmCt684Q7pvUBI0gwq6Avg2HMe4qXwtkBjEWRp2eVHlUi0P2OaqEp6MfyL 3VLp8DneLBAvKA9WbfmH0W/h+khI6Eh50Tcf3hz7OYhmYqs+sw46EsTaRbuJLKVYzJ7IgudgASqH 3cjEQIyakhbbVTRMkt2y2QBHzf6xm+cl6nUL71k5vhVK9rcF8ejmNFGbTTfJRUuTFR1KzbXVtUlf MKAv7QRE74Y9jFkmpvs0CSeNdGVisgL604JiAojbWbtoLt+yWfe2sqNEzoTYe3hTiyjXD35m4R4c o4ReotvdX9csDgjAm3v887/mNb9jdQp4z3GC1NIFJFl1hybJm44SbS/DQ/QQjL3FjG+yaasCb5rw +jEdjuWqsGd9d1DabJxLcsDNKqVZygfvjUiKhlOl/2PrXvqs0lrIT1MRHu/LaWNLz1+cMxPTvid2 FVhOjaC9jSw495A1zcvFc+2qiH7oSP3M3bvdWLzmpZXuT1z+D8CtchGROk8krPydnKSsg04JKmwg kv+j15pAz3JOB9ROqPwEBV44ZTaiM5vCZotFqYrQHd94c2nDjuWGxSIPpbmarl0/3Jou+nKPDBi6 us9KXWgXowu0aloKSDcmAznM7/QthFMmmZtGbsV9vtUuiub3f/IT/uwp88TMSQhgW67zRU2pf2QQ uvZZ7kbfNIEmZLwpyqnFC+1ypxnurOYPqHCvpsTXhQ/l9LT6EGks33qjpBEVZkFNzha3qWIFWKfO GbWzZeLS5p8bXSsYVPP61/170CIKobWbX5xoV2xBvQ0K5O3HJTxG4v2qGzM2kITY5uFKYhgpnLqL zSxJdxPMnhqsXjWWkx6v52CePhDt3Mpjn/Ozgssoqynnubj9/Vib9l5iGBJSKF5//7IVMzYDJmIn TS1ZODMB3h0V+cl69FVLN7LGRIjj+V/7pLwKAOPWAOVbkqQ82GI1QAwWRDYS4v1efkgNGz5UFOx7 f+wjq0zRKFbyZ8YPii4fg+clNtF5m9X4dHiugo9HIt42NVYogNdVCyhnAbxJMTePHxmpHGrCJrr9 C1kuzWKxfsUI90wLhj963n+PdrrTJMrqIgC+/Aye65NOPDzXoIN33f/Q/GXVRBpGDCd25ZBQnQZD GxnTI/Ejfd6o8Jn2gzcnpZpgP+oUJpJTildgN3jgH/T2zrzJ8pliI6KpWOkyRggdgMNqN8iqbUIp gf3/pVWmsPm/apkgI3/bypVRApWfXmA2upH8MuoV7gHJpTaiPz5l2cUnaQNtbLmqKRncGUju4kQW Ggrz/QpnZqvmaS098zya4afjjmW92oY0a05q92cRy/rKsx5FhZ3ahR3ZHhOFBfG28V02/S5JsmtK PenriKiVaDz+57bkCMeL/zTx9OgSstq9A+2WaJdVXkMR78JxwuXIprKkUY/nItCx24DmzYGzdKVY oFd5UDFViK+xYtvbq0OqYkzsvup+1nMOXVOhpwd5GcxnMfEsXSqHytKZQ+/kRLvURv+PbveI6I8G 0YCUMl/IfCc+59Q99R6Sf+rDAEUebGUdPOA5DRSZ8y05OV3wO9IR+GO/aWTBQZ08A/DUjCFuahzz A29IAFQl39eeY9p754WQJo95ysz8RguhlARH3A7NsHNUxu/ZRPwAaCgxg1YITewJXlb7c2TYqzis YI/o0uGaulqM+Fv0+Jbiwjga8EkQ9Oz289E9g4gbn3zUhQ6zBZKvcrFLf8rCqpt7WYVwHFfx4UHZ qJCEoJGLen5J64ZN75Zo/D91+7cj8M+BUmA7GtnwHYrfRN+eg9Bee7y76hbqd7CBS9o7ad2QwNdN 8mb42ExqFewuOoa9Qcu7uiPW03Dma+8a/ciE0MwFjyjI4BNIR0x3lWKEr38WLz8jrVczLGxKEhEj wm7Vj3iEKVLmTlLlruU60F6GcqPDJbsOhdRuPxALGQ6jqFgdtMcKmsnQxh8S7hyURbHYspyA7AiQ iJolTNrrSdQdeOJ++1YHq8loVVU0Z0ijNPiQygbN4bG98RtXfs0YyAyHm8++0yFgMHHecVkgvuZx 7fsvkbTOtrfczJHl1IODFhZqXg8GYflqPr3ZQVMW9Aczb/s9kJuG3s/MO7EW+8MVk8aEls2h0tP9 ZJ9htksa11/bu8/91EctRwyKWgjYG99IlWSMkhBWh45FFDSlIscc2ImmhXXXVCDW5kpP/wyJvZR8 CR32KaCPR2/1TIrHuwPqb5c5o95hn/FrKZOxBqJxGG7v9wUnAw4LwaGTEdLfHUReU79TKDQ+FdOs 7qwuwNtuba1CQsqBDvyZtCWzSRBYiM5VA5/FneXvfxMJf0prv5JW8nG8PsXx+du3H6yAkNscXBJa 68GfaUA+Tz4lK0Uy6cXIWVmVja51QUe6aiZaF8EPuxo5hk5CtVEmqtchM7q3djR5uYBBmvfd/nCZ NU8C3eU6BHWaPQf5SWeMZ6WXSWvL3Qs6oZAtE5QVPqYexMq2TjEahVJQsxCmea0xpjZkV0c5BUEq vrN26T424ZlsqzXs1D74oaqrVhngtGlfqbnwZO35r21KiYpYCqxjZjwfRRYQq70PdVngvjpI2pTe Y5aik4QD3czcJOgz4IxR2/5fPQyHt3nj3GJbxT6Qht5Jxiu1k5yK79b92+2gyoMgXa+lBdRZkN6d N+mt8lhZqoJiwa9VUCznWIhf7fDxdJdqOy1wF/ghZuxKunWpW/mahGoCp4dz0jqFQWX21Ew9lHO5 UQ70HqRFd++RFTgTzbJ/oT+shaRF5wi3JG8ckhdYj4TR+rw3k+k8aoylvEnW08zosEOZkqu2ewj3 fFdoQdIz9XOntFHJpuphmvuItUi6RBKGrNc6dC5b6ZiF8nvJkjq4uCuNzxDIesrVZwPZnJZ59OZz ojfx53pe1kYQwbSMoBLz9dv7TgotuexpWfwmiJA/E+RztG2poTIW0snJg0AkIewCB7UEytJTLCCZ xq2dJhmzzpAXoyX46+/8Bst9Ljei5Y8+6TM6lth76nQ17XDB2Yr4+ZwVkQyRW8wtCJzRnUmFmRIo fAsUO9iIzXXXlTBney3yCuA4gC+36gXviYHjOPU5CLlejRVneQkYo8nv8jS1DmhbfaNRhk02jgYg ZSqcYaytHzIf2YMSVjJoct5GS5kIjhEe6Y5neFQqMxsl9MwpLExFBH78upw+a6k06ID0/CElpdcx gKdJF2Sh439ng+afDnooo53285/ynsMu7Je4P0RDuO20go4orDmo8Tb9d3bQSpZKuBLlWQsgVPFk JAC68nA2HzA9JfQlTkV5boLJ29mV2bytPNBMyfiN1mzj7TEZXwhz0OhMEimAH+HBGiUjzqct4HGL h8fuyfT8lgZl49YzyE8foXyoDjJzrLBU7nRyDSqeVx1VnaLJzEiC/RHiOpdpuwCtzJkUw6uemJ/L bfy2Xls0F5RNRBtynQE6xXv7s08JbzOgAA3i3F9U0WMKM6q0sqHvvRvV3bKD3EuqaWjdwvjBPFiz LC8soj7KPkOWerIkkBfegrTD0baZPcd+CtYBRwI6Q5Iuu++0XKzo5NnNAJAEngFdeufjI8LY+if0 qbcpvzq+Dat3kB1ImTjprMDw/qKwqHY/7mc1qZ970BgWSex+4jMcWVoZIh+QZE0KtVhpbJdM2QMN 18OBVBtc2ei2gEUXMfYitZo4EyMm7QVZarxuBaCSZC/hbGqpKwvhxeJ78mOQGveSJKUneqMGAg9p BF9WzDRgykQQ9v94dNnKoil/VuZAfZYnqF5Ql41fvxmC4oUifjUMtgS47fxeUeFQ72Zp+Ow83ZD6 LKNf6Z5JRrIfprs+LhChULsjdpqNVtFV+08JWQdnh0ozGhZHuGbuZBMIJOyLxPrJksIHzLqSWXBb D5+hTO/iZ2Cc4cjTVwER5efny9BQQS4Pbez6pdnnFvvKPLQh7e7KKJnyRAgxIAFIcTRF3p5G6Oiy BE/nKgo9RwDr+ZkMNks3w1d3GlVfbqC9hXJgZRGM1KbRw2716QFKOw03f3sq5jhUEMsBjTfDY9o6 43mHw14/Xx0B80u5/5t3PnvXoksSTnp6oZY1XAoNh8bBqqZmL3ZJ8LLBAbrtInKx8/vqSDW9BkTF tpwJOYQBosB2clFCgaLkvHbZeI3IfDwLmEjBjZF1JMRzCoCb1RlhJiO8zBUdsRQx6HjL0pJeRA6l XrIRExxkTiN4D8tTcKSbwOhTLq622ly4zgOz9UtQfV64lOK880xXY+NRG9Cfuj/B5oQnMmaRBgSM spj3n5/+fdVFcW2niHs5oGNYXbgVx7UMG51zWVL2mwCPfULIufbkqo4D7MWsWXakBiKQys3hDX1C vHOjHdnRwSPi8MQVwQg26tyewReLjDa5fnLSDOwFavHX8wKK7N9O1eesISfQsu30dPLLqKO4rzus 9xsN0TMeVelo6WWsKoFidQFdEHR5j/04DLM+I1aLJsap6cjvkuuobyqr6NdLwD7cRgapL78pcvBH MtKTeOaJIm81vaGYn8h3+XLLIcSupQ7pEL5h9bWzvHBUizoK49EUKmBLVvHxtm92pZzUmXEGCW6G 6Ugoqao9ESW0klDyicW7172wJtqQ4ak66KB7ie7pITSN97nhP+rDgUIS25l/t4GVuS6YNDL7Tnl0 t6xS+tc19taS0QkQdsEOAqaB2Kip7LxJ1PtoVKVwROHfNJFGrcu7Ln00xKhuoIs9qaK7MaimfNhb MAhDiahU8xL1uQXLu9RBAxwpN+ftl8Y7ejeUrwRpn0lCP2a6MJ1I1wZZy57CfhpiZRtqjCuGelOS Eueis4CY3kePDvVnipfsJnusnqg4/xus0EmHqisTJqStC2iaGAR7y/CxF9g0NdkPX8E27lt3psJA seSFp+4ySauHku3Hhnjg/V0/TSVBbiJ9fDW386IbZ0IlS6ohsPRKSPpLy67ls1gQUg2n0gdktgCr myE2XS4JITGde8CWJhr8sA9C0DIEEYW2hKVZEK6E6ZaHc9zMYjG+hFMag/shpXieXxrC50neRL6X J7Zt4j0PZ3RGYp13ZoFZAlzMGzYKYegRYa33LrrwiK/o2i35JMFwjU/6W2w5at3VxzCdXv0B6xhi 0DpAuYXV47HeGQZPKUgN81QqvjMFfqXPgYWBWc6FpwnY1wI2o0sFvyERc6YRsmd2fZVs2ZBQaybP HVQa1IrbINFGBPSIhwGnvwBzDGU3Yf1p4ha0/k9jgaYl+E2DvWzs+m/KKnfr7AN6bWM2ZlxGPuTk e68OQc2teg95p/rrcdBuvQJ+2EZdzcsr9oxFReNYzGzYGsYP7VfAqd9wczgdG8Pvhtany+wxdzuV NLJxB+jZezY4tY+++ZaqLveQtdJiwFTbp3Z9wy0n5rkyjYqZh8mE7/SQL2o3xVfdojgyKZskJFVF zU+f+xTSRc9DOV8ErUw0atfN+5I17SRmk+5NcRS97Jznf3sOlKqU4VWtXJW/R6m8RCOjTZ5k47xG sQGbQEnN95JtKpbEN+enIOmFmCyofUSMyks7v12Wh2phBrX+jJ1+LSyfhMMNa08e7ucJs8YxI1En PJZguc22388ULt48U7lTM/EYHs7Oh/qcNlBzCSrUCbx4C5D/BRAJ5FTlvGTckYQ/IxOAkoSw4G8/ /c4aLsfiCLi75oajf5NwHiOnLGGoKVVO/MnJ2o2VewHqk/cwsDqCEBLqBMbyAdVi3BKaOf1uMiEU fevmeZyvKP29EklcgU8K4H8L+e5L25Y2DO16IP2TFJavwLzR1E9+jkY0wnScYzq/Tykl0HzAFkFH b7m8h4YTtooOpIzXLVoY7y5MtUiAMPv9FjiqoSXJrYM9qSEaLkNDqF/tHH7JaPbd4Od4TXTDlZc0 D5Lz0sLW7mJwahf5AORVFSJJy9dk2iuPNyXBsCn0Mk/YChuUsVi+Tcdz5N+vR8kxKtBCuBMbazML McbWA1gSaft8hwQ+sU5wzEw2lLJVPCfQagUERZMA3myFV5GJ5QQFvJhDIA+T+UdwTQqdjgQywaDl nfM4IlzUr66vk/15avuP1Ery9GbbKS+ML7mVXKw1f2KBv287lhl1HAvYyH2ZvDcBZqoHYLUQ/spA 9UCo6NvZaAYOy6AezyzP+eoMiCz5VJJwiTCPJVP97bDMKrOV48nToNXAyW92e9ttHaLpCTA6wNqC CDLix2hCTfkVaXdvkp/GyRiA07M/GVVkOmmpS/5fSiTJhqJuy3En0V6WBF8SLibjxLB+DmqbVneD spYJ/yk/ObbjoDNZjvrDxnyl3xp1APur/D/jF16j0PQ2q0CvtrRhwMHV4Sqdt83bmLAfXGS9QlSo l6B1Y8fzlHCFgVwslR9Z8feoEoiBBOuMOH8L9PWOdXQ7GhXux7MUyxE8OcvvkaRvVIavn2tFcULn DaLtBXsrnR69pHy6embgFvkB0729NbuWxsl9mKt+I0fiTAQKNk78kk2InlkT7W9UZQn8MQQ+As/F 6y26ha1H8YwA9Ag0uoIxyAfiTncfgmlChdpED3IJZaakIGgEv8TUPpt49vdmr25M/Dgkk7UVxatl kE4gghwdFnreZJXJUlgZMDV4duWhZc3VylS2oIsUBbC0O98cGNiER43J1FVdw/ZhTAowZPFLxnK5 1439HeTKgNZGFIvXQAMLgARr3B2pvosJ25H39YZS53JzDpEFk5voiyJSVRyT4lFtR5jvceeZEBg8 FcZcbTSQxBQiuh4SujbNwxyLN0y4TrA9uUoSAletpEd8AK5kEeRuQWGHeDh/sRnnOUIH64H/2iFi S7zdvWNJKqU3Z8JqztroJd70vsvuymf+w4sHfIM3TTyKaJBzaQVgj4MeUCysgDY1Lq/5VjrlBDoY wrPk0BND1mRtfrtTtmeBPLD5PLFTAf7JAmPEtHW2/RPyyafAl+6Gkc9geJMH4AJIUPRN9JYyHlo7 fvMz3pqd/AAaAvJhfIKIlqXwOeGFozMDYBju5XRAa/zOxNtM5E6af/1gmCChHaAynO1PmA1lH/ZS 83hCz8QRLtBeU9fwBNipMeBG/ERhtBJbMVmXeHFpeIqbSf/lIZbDj7exxt82fmWmdksy3OBWGa82 mgI7/N6pcdKL76jMQDdekDOjdIlcq3ahOHSuYTVjfxrFrzEeorUaDYJuj94A6Z3p1GfGGEV20A7k zpOZqzenz+hBPic33JLvkKwV4r1YYijdsA1xQlO0g2e6PdWYcj7wa1N5lnKU7xzwqDy7BqjYS8Cf AJdbY1rdauBLj7bKdRcpASmiC9rwrroAeW8vUJVLzhFqVQybawWAI9gGEKnsOG5vs2dwnbhpFbdH QfU625BQt7diBc8D8R9M70R2N/u5l5bfDVI2mX0RvvCUQYr2SRPOHorK6/ejIECf1N5tG09l875t 7G3R/Oa7/MisRJME1VgQ4cyRwbxzdHscgP+sdkHtWUFbUJsAMAU74uwm5nw0OsVMMvAJyGdV8HTv ZU7sGMIq+kAQo87rU9WYAwxiZy/OIJERw39whqv+IECMhn9tZaLaMlvpUv7UTsIfmNsJWhkd9SM9 gFNmW6H5QKZzC5UjkT54RgJlAKHf8nyoxqPAkwNVBbbRMo4Y8VeVOH26VKwg8U23VdLRVdhqtGE3 iuvscvgGHhojdGxpYajAok29BjWGQDeM+TACYdzbdQ9ohIAQQbm6Abd8/b4JU87TdAD8C+DKkn2G /HqmIogslDB0SuH7qIeT+Bce5fjwo95kLFnlZOQe4QZAOqSzPF73Xqiq5mMQV9c/hUNOhSPM3Byw BLWU2ZzQShTER6pV1ib7uSdvOECkz4BPW765t5xNIYpN6exflEz0Wz80iHv0ZZ/3pnXpBVSsH7D4 gR2OGnNsrlBqbmLyoz+MYt2Lzp+mM0gPIF7r7D5mQ++uGKmc8CpxCGeYc/AictoR3Sr8gVaulCRa ePBbpJoBEV8CgmfosETY5a6URcKqG2HTuo/VioeRS4mfjnBqYYKikuYv4ezIZRdOv4f8FBYGUbHM qlIkSmucFbGiMAWtsSnIq9T0LnzdpkAMU+JQFAhXLmW6NkAiYuWNzQw7Qz+HSH9hr7p/kU7XQXlq 56IE3E7DaTb4yBP1KSy2zNJGW211D4W2OClQRevJEstPAGjWdKgaJlS1JLtO+By3zUTinjf+un1C fwYG/Hb3Jr6M7uWtKznKHf2yJ80Pze9h7U6tX4OpglG3LrPFVlSL2IktB+8DXPLpOo42dVeHOYk9 gaqBMKvH55SvPodv2v1Wig0Kbi2PQZppPDU31OKTaK0UaDXBg2ysG7wU+rXssdkgI8l2+g1VXuWR oLqwwmGlCba3VEvuWzEugUZ9H5sRCL5cvsoWa0xyUKEGcgjNqxiGoVXbHcBM1uHQbMQewD/EqKwF e78Mh8NZwCe6xvy1MzdJi/jlVcOSXbDLqoBL5n5NYTerzKUHvIhDxcA5hAoOFBH4t/v8RFqJEygD eEanWI736NiDNcVqzbPRzpgEMb5G6hycN5PKvgmJ5XY0kSm5oVV2xelaQYVZ6cLlm9uKIBMWeJsz o816qtDqnhU+R/YD3pWHbUMAgdZpBVaR/nVDTuTzT/Hh1jrD1YwThFEPm+yhIYuBXVtSH/2UmUlz HJyE8+mafRJFY/YCfZL3zb69ANg6aKxhyNfar1XWgivfalXMiadJY6ZhZM7l5pORf13tkTqc4F+T 9dVZETWkSxtoSn+cnyBAomA/HEXhZ35eKGzKM00ZBV6nDcbvnj3I1t93F02053T5gyjvn9rLKXP6 x5nbJTsFgZkpIuiUhmnnsULDQJEkftpwlGcieZTtk0OZpP1cbbeY8Dcsxo78GrlIzDER8izPYvH4 SkEQiVnWb6XrxOeKTz6ZBE+jUWGNb5CUTE+aMBQql5nN8fUP1iLzisn1GOI++y7oljyY5+Qbouaj UR49uvtl2XmSBSUF7P7PpaF4XBckEpoXvyqwMQpLvoiy2y8CRht3o2KhkeT+YgTM5GuMNLOdH0DT 5FT70S6L385e9cL5VBKmTNrWKL1LSOqpbPGm5EHDW8xLG+uUYKo2QmIOoxTfqN6xXJWph+7Q4H2K 98kKVAf1d+gUH6nGfVUkQcTzadmsXmpYh2pUZ5hHrsRvh1KMzAwgU/SGxqMFPExRweiROCTCeiIr GW9+JRiHcoL+q6lhYOVf1EjrMcwj9pShqPcQiFgMt+kJZ6p3vVR1ss3SFGWNR/l0pRgnoKRQtzHA uzuSFkD0ZOsOO6Gr9gIQez9kWvnXaKOr5q+raDV7nbjWq2gZm2I8Sr2OELpErs+zHPiXJGreGCmV iuERpL/3ZEG/8z0pFMQ3uHcXa2Eh31iTy0mUJG0lt1OWEx9JRyZ29WW/ilbh0S28HE46qcbxyF+z w+VYlwP0WCSzkqI2jhVHGyBEpARO+QIyI3Fo89mbdJ3hRvP/0pgOM2eAufE9+mncx7voslWb2sKI ZyzgJkWwX1mAfEetQavGePRBP7B7Z4uAB1dbTXheh6KG6YqTUtK76zs+FnQOWefoZ4d/MQIvOXY7 l/CHcv2AoyU7ajJX2d/GNDG0+e2Xg4v0x47RAX3xooqxQ1AR7EFJPjQN4yl80R/tt1iUA/TmqMos pahpAThjKVKkVYwzsYTxcZIcUNS6+oL5OVf/28B8cy7njnlgf0f9GyfT26SuZIShayjE+Mow9How 2F6qVpAwH4Za/wDqlGa1GH5BLoczXVTBBtWZmCHnke6CeK2IHaYuJ93V1VudoT64kkgoyf4TJAYY gf1pv96LAfv7TY/yLTg+/ws1nVEUbXWL++dwkio8OgB2Nbzn0Tdp3LIkiz/USg0ZK7ZOvhnZSFr3 qf8XNOGs4srycB3rJwaP13s/ym1lBcSVKLOWPgaQGO5wi3xDVI369WEbDGUiqHGQjRnThUnLiQ3L 5US5YaFYeABC9nwDnDwpXnYDdPFEEWK6NSS4NaoI+CcMmsquTrWwbOQayyAe1ceU+lFnsrBxPBR/ iF/OtL7me3USfDnDo09ueenpIiUKgxMmqoWrlR0JZNasb0OPduOoFwHRBotoqZPx760XOxyXH42Y IGcOe/GuinPzWnkxmEXTwyqevyfzjFAE4baI7mBei/rulEam7oYJA2SCM3OYBNFmLv2hnsWIaLwC fYC/nxuTY5xbnrFVoEikvEcveaKQdYiu7oCQMG+FJbY3aIYqXTt/ymufEleBPEc0DwgvgLUUmjw+ OWi/M6OISLd1zQuBEYIT7k0cSAm633YH/Zi22HDtM1Ty1XJdMi19oc21hYA38V+xDs0bOkdgcOzI pKPdMAV685YqjqwDJNF8u8x0TL9ox6ZXt/pofjXQDRTUV9gjTn1Cfj7TlAlicvxB5t+hfOb5LVFr lv++bPRslPVsgr2/7LGUcc12dgsc8weNgKB93c7IvXF8LIKjvIfODCSngapRRDS3hOV+V24ImttK zIIDQnZnOp1Nw5HFUA7VEyUYKZSQ+oNPdt/aFnfmPkSW7f/jkv8kjgBbUoDl7dYWI+FvYwVnRvcF Tiz0weZ93Ajopk8iK5EOLlw0/tEhB3C7bYhRC/tUaf/Szw8T0n8XYIVGFjfITJbLzkR0Z5hv4A2m GIEs/m28TE7rXpDmV+qBDaPBpECnOi3c70rM2UOVJGft8rExhXezmUvJ1XUZRvWTXX4adomy7+An oT6c/rf6L01IrnTuhF7r7VbW/LaAQeb7xgevNqbUECAqkpfKRRfooUoHbsIjz91DAUT5rZCXLW1Y mHsaXP7OtiBBFjfNd81j8IvOAbVXzwpbebXivSR7zrXoLn86PJjy167MKPtd/gWdCHchhfGF/DWl SXTmrYTQA02IqJPsEYvoOpedoDWQ4Qiqez8+/xP4Y0v5fBgWd+yYC/h8XSQJRLibFGwWu5uqWAi3 iu0J260NbzImq3iqeGb22oj/fiX4Rqe3LP9qSKo2QussEILbY53xP6xtfQZCoHe7uWAOuVZxnho6 TA01YvXJGWSF0qPhP/qoxAHBkePXLpJKeJ1bjVXhR5CvFnhQXa9dHfhWcXAwwYNSSWXVxQlvH2XA hg8r88TO8FY/ZRbAT39NnxjNxOoRzvb6Chm4q4awDk/Eevq52qnC1MgEORyyZHlSW/GNat2WUquX PRfdD9T4oU7wtLmWd+jqvkM985yU9GI70F2X/9xRsKxUGUTXX2xHjs54KQED90zF5a7XBfaVda1J NgBGJWuTzPyxO4GoZmEox1gQutQtZuEmD8G3Yi3++Dl2jdN+3n+Z7yXVebF2UnaAKtFwVyHyox3c cOP0yMLQe2AFlMcTt4E/KUPRuh9Sz01esjWxEnmWsjG0j4UsZSV9YMB1hOugBQ3kQTDTED2clnNo /FqQvzuoO7v5H+1nlip8QFuDFUYQ3aT0Khmi4kALwJD3jqXTmjqv7qCSn0RDRVgZZOWQmB1/NyFC Yz6r3YaY8qd2B3FFSxTcqlSm2JP35GMAhKZKjtPveF0eOwTXEn9yu2r0ystVDOJwhnAV38jBbSwS qz10FJ2yUymPT23YUVUA3cbTg2PllDYnv886TJ/MRM8xBl9j9yhND+TmB/0A7pgWx+kNOQ5RvFr7 zUDL1t7+qrTEce0RC4ykKHlbm9yQdNJ23QTzVBCUpJhKoXfovg1kYM7KQv5C3f/csDgRzMTDTMxt 99cYGyl0O58+j6GCrN+X0iKquFvCAOOWx+3JLKpadOG6mvqPZ1xmmkAk54LKFIT6+UyCfA9Ik7px cnZNly+V6+COiLOyCkeMYRUIpkirSIyp4ei8EAS3cngqMRXInZqUOGfLfo5viu/4fb03w7t0tE2W SBAPb4CXBK6ifWcMgxGylOIswgCdf4D3Qxo2hEQtCqGNPZAZoC9AC/MTw5iVPlEdjjKl14KW1Dc+ WjligWpztYNpZClk3rR5ufbLlRXYnSVWgQSnQD971KOAYZ5HGg15eC6x88gb0cOqeyNp3e2cd/O4 2Mn3pi+qWAC0SgF2/dPwW54DO4i1oe1SphEX2QV5aRV+lbCWX08qWt2WYdqwULllFH0jGN4pb3z/ 4LIVnz5bMeDQuiJxZ2KeMi57QwMD7DDO6gfGc9Np7A2Yer7MrU0FKuMcpoOQfEuKDeHrCMN2UQTY urrAttaEi+ixmX52xEqGh2uSHnEgfaxsfCPdkJzRnheIimBzR+fCHFnJY6/7iAaUZGrkUKDkYsf0 Iz74+ORZHDozrtwjiuBAim1BWXfECN6m9sdeCqWPbqjRe1BoBHDjOp5QiEpIxZifOVhIDS3NHaZA yEw4Ed/xDy6i9dhZLKfp1eqrzNndqBgOrfci8hvXVxBCE2jGB/jlTxCJlrk9PrIQQBhULI9nln6V TF3/KytB79oI0dX2SeA1YDlihdkf7/62g5FBE1WWrsPVEsE9x93CxK3fgk2Ge1OJSzn9fJ9MUlKu K4QUoqURzecuuNjHzy/TIbAGkPwlhIFbYYP1DwbsdiVxlyu8ePREDhYqD2kBTTR8VHzmqXj5mesY F/S1NLsf6Zp3MUcEGY6XGZz+YFqWkASaPsfPx8c4I2fDD6V155cYjZRPztEsQ0FixpFFyAnevMKg cKdThQJ+GV15FaEO8UW38dOQ4obvdzgFneRLXvVuzyWwviLIEsUpu/erHsi6TQasqHa278/+EsIh rH/8JHdaKTFkctjjLMLAFpjRl2+A9QhOqvRC55qacfhp6ZmkVImrv6+WFm8eiqAslNl0L0BCzgGJ MvdPqyg+5V6vMU0CGW7Jzl4jYDDZQUdVPEjKYQkst2x4Jv+rk8dafoKnYqCklgYOejWzzLSeOoQI hAWxHsiCfNSuuvSpwQAbpm6Ao2NyqMWKeGI032C/dd/sn7vXG9bbFTeiQ/bUlYMcn7viwVVh4Dwt /3MLXy/dVF1FfdG0o2i8l2G0YmqZfCrCZ9j/lVfabHRmJFM1hSkZUjLPRBoqKvC1LhmrnDzq9kPy fx6H4MDZDxjNHdICHu9LLBf6mJmdJzPgliahPqX9JdjDEgsSvORxqmu9EBjJKRfL9vtIEk2pBMl/ H4f5+rHgreVMcicolyXUiWHJDqp+lewN1mW1aKMoRdnmp/hrqr6pshNcir/wlyXHHTzdcu6yuxtl rwiDjGwvlUwG3W3bCf9lF7/F1V/a97sI2D2PXm67zicMnIVjL3qbnZkwHGDGZ2pOzmoB6/lVQfnB bF8EHvZmmMNP3k2BSzfqqfa+Eod4ojN8RFuiHxSgWkQqW0aN4bDJqlIuiOIYZe5r1ngaYouERggp VeIwVzXoyrFvKmWYYPhPW1mtveo9bUC1q3FcS5J8M0BOMEKeCL3+3jivZsGcanwBo/2Ol9inrPtf 5xQgRUgvUhxWAXYX++1Cwepc+omAAndLjGv1Xik3NJxu6Qui8qsI2Vl362+61t1SruQyUNI2BtpW wy6JLojgEHwYtHawip1Suku8Jc5F8nhtN5XIdTsKwOl7KLD7kV/5o2bHrar/oJ8+7OlPwkC7ig5W ok+srLLgyMgmXe/lugY+A5fmbSXVp9z5xHCOufkSgqvfT27YY1YtihKSjp9L+pvRTLopCYZD/QeP yscGcPdDUWR0d1w3y+GtsasZVTIQP0QXtc05xVvHm+VctBncQv7r2ZN79eoK/Us47eBg6K1Ye2Gk LF1h3fMd105Qyy3DFK/oWV3Y62LAHrVQs/8j311bpAqcjaQKNnCc4V5CF/LUzXv8OAdLuzH+dyd9 gNXirrewBP3iIK+mHGPuKgpyQamsOo/iWclY4upWUkT+1WYW8x2J4CFKb8O/yG9qyxb4/mIgF4ue PerdOjoa2nN/+3ZOg4SubftEPR6QlPFEKuD50WVuRj5uhx0JaIiVjOU+1Kb3q7e0cOK295CFdiQh 7RsBtyC8sdoaWX+J33ejEo9523PylMt6YPSGztPcEaogOLNCh9Xjsip8oiNp+9Q/fMl0yh26AJRk ll+/JzV90dzSWNkabO0afrx0YZbO5MXtO1ZBnN39mbz0Ac8gEK0Gk5xzAOgVidJf0mCWssRuwLPV XbY6qb4IVeTXSlZAdqI9JHfFkViIjOhX68RxpfXiLEadRU0SXlBStIb1eH9q/4h5Ob6lT1n0IVH/ jQu36kBSZlp16Ep237z5GXrgQ7amYfshjoi7GzgCkjGd09Q04ca7npEK91tCtW57YUPm/qTJNPTO HOhg75UF2q5dOUpO+R8apuuF4y6pc3blzDcf6sZT37mxAtBFq/Sq1kzB+TNpTD6MAKFY17ewkSzU /DzxOV8dozC0BwS+flxokhOqjh5bNr3Pwbr8IXW4JbB8Z+OAAha307ShcLxB5dQerawcmjpAEroO tQbka23fEd/k1FGsLJlOSTTnA6sisGkAzt8CgbX2jvOJaeX/4XJ7tS1U/FWh2NZ8r5KnvLiHHJjS 6jOjewiJvLDQvISecgzlWgl2XQzpivpgQFjZDU1oUfKSfQ2Ycm2Xxz3YhQH3XwodIHSueNWObwPS FqvmlpWgAJz4qgxcaHg6KmDaAZgDgg6SFhzVCRcsA5FMiPOtvlmhakUTiQTMTgb0T5Sj02D+aS30 67JzwMYKoOrkXY2e98+Ez2a+VTjxj+mX/etc1WPa+/CYK3DAQSVCsnfyY2ItBz7BFCEdNi6zBiVM Bc50myyYaECwDxLImqdL9p/+53GXOCY8lGxIuqidkDCFpd6q5a6qhutgyDZJMJHxYx73EUaKFtNQ ZBCzQxSrRKM5Q2EdMgjTJc/ewdL/TXA93YcbPl6CU7MGLxHJSBi9ct3ZORcl1NByoyaWLS5KAGNQ M0JxVkjm7DYt2KEE0MbPzsquvgZ/OwTJMjt24aEYVxUsqkwXjAilJwWAGIs6Km/9szSDBqBrh7Oq w7nCX7VVpb8UFGyf8d4AX5q7JxkrXfUf+fBwyKwNgm8Hrt8KSWvnLKChOQMpwhm4oDnIv+zRaX6W MdDKEocNtZCg/NyYzADnyznCZ/cyuxZsu2nVQ75v4yQEr6qhsLHltrQW2yMRYTGht1KHj/5Qhq1e 0c+fonMMda4Qz+w5gq6xfgqSUtk8MjockafTNMIXTe1RnCSroSV/3ElQGAI4hkmc2YiyzLQpN6qA funPG5FtTeoBrtEA1dXYhviaPYQAhdKSpCC+OR8FNo1gnx1E7wsS88S3Wa7XSBJO8XtoXrV5HRlZ pp0VO4KO5SS0ywIrh+6jT807FqJkxvex3LqeobIhHRGpPGP1jisaNwGJUjgv0iAgs2RnAIgLzwcP EHWmBrTDEkWE8Shf0hpYPL+e5tl7pJyBCtWzWXID2ydTdcP7skg4oRuDeQlkCe7L/dpzdVP+NQ9Q WSkPNsYY8zejhaMKQmFNLTbdxMW+7tlSJdgSecqYnrU7UnWEPc/r6N+AUKBrD23VzF05ejvEzW3P xLWnOGo2PIIcwRdcX8Hv0qYV3YCDO+k0xCR0peT6OLCLgBJmTsxhlT3/eUf4OwKb8oj9VTVXViCp 2QkJ8kAY6kpBIsNFvn2nv0vhZWADbvosw3aewbNVoQrQhFTYMzOBJg5Br7yRyovxm9+pr88kyNu3 N4P+wc29UUTb/sPLmGfXVvcHDN8WYz/F441HqtCbXCzwdDSgN0ByUrtNir6T+6C9jg7tbG7iaV5l 0S19dxjSg+OoKu9a/ZaKh21ItLc2XhnsxZD6Xl3rW8FE8faoA4+s4KuBpE0bro3hAGMAwgYSnIuK Di0oXI/9nyfNnJNB9Y6nYzv8yBd+VGiCKgX0AzuB3cRc8naqwTAvwr2OyJ1ZKZ2VA2ScCJTxhGj0 ywGWaz7G+ASiTDtES0THLPbJO/uNPS9s4qMOmScBn7SKGZZtVNyxxb9X4hHbQDF4sLXrfVlCWjn0 R+h3pznZXyr8UFCGTlndzwAxk791Zw5lHEs8wYSQYF/kKukLw02EqQ010E9KNLlg0143Cw8Jwdsl Cs95c44lDeX8NCXe68rc2RXOIfHrPxZmFoEFIgQfFV/84HZCgSOZl79dRySdF1vyd2RaXTzES+/x KjV56rBk1sD6mlZRfXch6twmo+ORP/FUy0xqJegRjw0vRVJNVMAp4ByedEpaaz4aopXi2yJb2+yj 0P6FzZvO44o4TRD0OpNWKBL1OzRB8w/83fpuMG058Mwh6IoxnsgC91BGePZ8W0SkjshMysS6F5Sx Wm97I9oE9JcCWbyL+CQl0bo+xd4PBe53dC8FNoJ9jDlSrySj6Eu1yghG65gU++yZjGyGXFi+o/Hp ATOyJRYGTz9EeILb7YK6CavMBjqxTeX+JLphGohCqyU9IkcyQubjY6VHcFCKMQ4WLyO5LLabQ8N1 541MDe0qqDFcRfl5gUbAM9B4IvNnkN6sM2SBASEBmRBHM1TTI0ZhnWY9iug4dBJlXWqbQs/nhhVk 6ehYhBLx2F0PqKpLy1sIDmOAY3PeJ4ATqczWhPmYKN3mTDx3x3pWMFi9bss46agPvN383qHPcwci mJKVRMBi7E2cpaKQkIkkP6uRJ4Mlczin3LHubC745TS5uUGLNoX8zd3i4gs/x1CimmyOfZgjoiAc zDkNkrYWG2czIAkPOwIpz0ipTR3VuXYb6IiBvN2877brtiSMeJ/9TTCZ6Yki95vBihYVfooXMTfW mam5cSEERdYjK/Ogp+ySbAXwPlFHQEspra1HMUOYfOrALzDxNILZCbVmnOEpWkXCDVco7ov5Lk1U 6AkXaFdyBz6wrh8LArEQeBjvqYw3XBqxFc+ExZ5QNV3JyEmNdKiUDc9b7GnJe3CODkgjobIfgDDN eu5/L4MxhPQSzWwgCgRWKMfXY+6IyHoWBVw6zu/51MNjkZD4tPksbkLI+pSxdnR3GVeFb2hjEAs9 mBG5Q28hifK9DS4c1Tg6JikMXkYphVuM+v9DS76WHV+lms7xknV90cLLHCPOaBjVuhqw5VexFK6L HVDo2T3pDXkY4+CklraXaL4DNYw4zd+laNz8QPb3luBG7/KM5V/DfYHDJ6Y4HQSC4TGdRi7qufgK gftGLnnDMQi4PEL0+FjBiwwtvr1uyZsbIZk1482TTIaqOWUoqzJRK/Nvkol1N2WdIFgrnGUKJtCM s9jNpftdJcf1SqbBOIa4xjOQnIDFkU4ExvG9RyFTPT02QTTWy9S67vV/RhqVJN2CjVcjLOXZkuy/ i/kN78BY4Av24Y3SXPntrG6mkEwLFGZbLhr+Ge39E3Moosdy28fFB/OlMZXOXY4H9JoeYDuRbrhZ /DhCVPGgjY25mLMCTSLeFXy43L8O29/Ot2FNVo+f+QkyK1vFaQhc+LJClsrAJXACpK5gWLFYMiwd 0ZGhi+qjUMv5HZey+Am0sfIsA5k/4GALznDGDE5+lK2FDJKx5Z68+HwGpTkZWCwr771t8UEa/uzN NDB7cqQ/a+mfrudKTqxxWUhko4l0t3r5BI9uaIafXEHkiH9rqa11aU20yOLsZVhQSiBMe/VJittd SxgDxNT5il4yioSqSyV/Tjqoe8NslYV/izgzpKiTtek0/2bbLtDqSQUPNmPRVpnLbVXliPOhOHnc Gxo0xuMegurRxnhvtvQvCDuHUaH26mKMcmwmyBDegfrpsByiqp79+tNrUEIACCU3kzUFJ76wMtNV d9d8g3H2XMUtj6opEgHwtv07/SgnKjkqxLXc/0fJi+76vZVtSNlzCMbHusYv5BHtP/PRZlGgZwTh RYgGWZA1g13hE6F6Ca/TjwNbB9gZB6EI/ZUVSf0EX1cxQvQHZJCcYmgeegYhy5g9NqRp3ZBULmHq yxQa5++uBTgiljYVa4ZE+2yHPtObKp19cCGrr5QFS33yH3fLBuWH+h3QxJCRr/KoVB7CUq5VnUir YZLRr+pap3TyPY22tCiDisIf54B2CZKAdAIxJ1BgSTBFxng2MmXlgKjDrf3Eb3Z8ezvH812HwWeJ HmO5qqXF2NpHYCYq3/ZjxhKHSVDxgm1+rZQeqOksM2v7sYfGCQGjDdMRkFtWP1q3ixIH2r/9RiON K1wD3qikgOBO9r202+SSAr7V2x7k3UlhF+JdVszkBUyf4iCZ5A8Oq7W3oQ/lErlo96g0QKR8eILT URiPmEmw5V9vKhVl9tgQQvXOQ9XK1lzjM420BU3NANPWRQ5QLJT7MeEJ10GJL7xnHLm0fBuMY367 /e8rJ1KUN4u4f7jUvPiXbP5clZF6X2nAwpaYe0Fb7HYFyG+TZQ8HG4nYx1JaDyjlHksHRNYioqiv GvyUAc+XQZXxBtJ2Ps1ctsDaoSVGRYKqgElZPJreF7T5+hXTQCqqHOH7XckU62Q+KPDqbtR/1kHD lMa8l6YaM+31yViLojknz56ZeMlxGLB88Vz0ebX+l2eGF28a6VpNQhQ5auYlGfOUI2+LmGWAqyM/ Rc/aZFS9uolG+V6pn4XDZ8CfQJQxUnxibmptvCm579A+7Ft0AJDKwzhLltOhQ1oetqaxwk2mQ/2K LfpOpq7aWtVOwBknTXCt6Cnx8wpZcHEP21JubAfsHakymWRosAvu/4IhP9uaG5ybpIJ5653a7SZP l5GNxv7u7zGWRAuprvMJB/hPfJl+nOEBzGTkK/BRDdbFP3N0FQ7ndAgkW8bW3vUD5wirJvigzZwj SgEWBkO+f5PPy6pNwBUOEsRA/SeNnpdhkaqIgBpOFUqMBSg1mqOmK+D6L2JMAd/wGuyfw/fUwbES wvHEOM1mZtLHipi7atL5jzFT2/8vC31pGJDZu6DKzN0bZfcXGv7h17T7ahrm01dOEvEEN4hrLhqX wdvMQmmfvBQhrJvrfN94YLbqb0kfS35WqxbDb04md7LPeAKhaSMbcC1/RJPe+U5xppvJujOz7I6o JhNKpCKFM6f5KFcQhO88XR1jKVtlEb0WG4NTTL5NWbxK6HzB4brvKiOVLnH2ZOqfcW10i8N1BiyB vj1zFSKi54FgXyR+m1UWktm2eZGoc+3N+VejGQ6ZltArr7ulqnImhqazvzdl9Q08vDLK41mHhjiJ p1DFrofnTaEhn9B0cgFaxgmD2rO1ddXrZgrk3pJzEfR29k7V3/t8UKzhaoZ7vWUd5qUGUCSfM3te ToWnPpb5coc7b28nUSBT68BRUMjA4WaVyDRGHEik/7UFGVBb9p6tgc+151ARGEWH0f4kNKnsteRY VDByxH6DoJLJOqHAMzttzDjM2VsTHxkt6Ebi/at9W1r/odpIBfuU97b11MOe707RaND/TyaIEAQZ d+RNsAWxrROE9xYwBlnQRQA90a/ElWLJZudl2dAm3TetRfvmEvjIqHwkteItQNZLMSo1Dh1jQ//l F0Sr5V3l5+ecvzVxFv3HBjMdjZsJxZmnV5pWLa0F5fZkJvalPzFr2NWQUksS22iC4Y1OsVyOjvMQ y4VL1GYxRiNu/mQPDs0Y7UiUz1PxiUjD4evFjP2h9Xv2cat8GvFbuCPqN2nxrcy8iNcqxEgDybZe xrPy4N8rR+aj0tb26dV6vUCTd4p/ptrXa93HWjjAYkE0Dw43WOETXUEMBQO18RaN9nyoEWbh/7Ft lckdY3h4LlD15syCoweyzK3r4MHhARcpl6l7DHiXMcanbpsCQlBYbmhgxaTmJsiVfuasfO629NKp IRngfszqvM5TmLCR8s0LiPfH8z6aQsDPtaXjaCfrmFK8AsbaY89v50vSDqZuT3D3cvdz8Wb1qnE1 C2fyRUlPenxI6Nuy3lSjNyVcGCB1Gddqfe1H/999Te0xI9NrarRoAS5woPXA/1qaFUfS0Fw/7NlB kcU4Wg0CAUCGjjO57YhDeNmw9ViImgDL37u/oHB+FM4TgYckjzQ995UwBmw7vzOTq3pn4uOYz6V6 1fMUJi2jrmn+UlHL43f5AJ4fbMnCQMfO3pOHmNjEw5M0G5Tzognnc1mjxTiNP65K194QUFB73vWM M7wdv1iW3DYDecYTQ8UOgbQ+/BPXyIFDunVW2o6/eT32zdMJh7Z+CY4fMP/cjip4F0nQskKOEOXt qMNTYnEnIAwokeiKoGrERKqpsh4cbgxSkPfZMxy6PbxQAQVF/qyyhJZXxHU1WVcimmS3PpYWLAPY TqdJMZ+uiUp7bh1AE1GUY7dXO/yFROZaPTJCGkBTh4m0iyN+o9RY/m19/thRUgmGQu1Qw9l3lwW3 bmF+SOYoxOsFO4c3lFu/a5AG7K1weTF5vGfw1JD2e1c81yY+WrLb8Nn3yvXI69PIt0JUtHSxvAgP C82XJbFmXyTZYDkPqZjIgTjgXvZ1nwZVgLbbGHZIct8ZT0QWv5KxyDr4bVIbvJzmD8e3Adp5sm8o gUGRHv3Ya+FugQRhOdg+DLvHB687SgjawjUarjtbwO1cR5dEpSmG0cZP1itUorqQhHRVfGJQHcMP JeSVe68DexhWKfnNNVRps89RvDKW+6LS/PuklIvTW+Qt6VWw7TAsgussGbVALwQZzhX1JiDIecRM hIVkyGmhqN0TQnCqoix3r+zQoBNVrBVSGCM9JL2UKGWUAk8U3mIXJ0WIRMTCIHAkUgfvrvIrEOsk sFmcumlrsCk6oSgrHVKV+QEcktKa6A+z/CXS+qCD8KOE5eqT7SirJ3kx8t0y+AuuZc0ZiAcRZV17 t2XU3azv1bRxiNcdIagaifksvIY8zDA0oJZv/1SsW5485l7MBFiK3apf+PZw2/8JP8EPJVSueQ2s dzvk3aeet2QVgfDtJ0k6KXtXtoR6GZE1K0JxjjM8NzifCcnKI/o0ZgA5kCGAXBelBdCF1/e2lRKq oR5GIiPoTrqAH6iUCSMjwrPxT33DiBKHWOHvpv8hvmLYhupIcGlJMZ2fXfRa1afcH4Gf3eUmDCfC wZqd9d87KmFbLqG6VgIMSr+ETUeNA7ctqYnW0ODibz3D1vuWwKm38JTWsEAoidRiUHAsqy1dOri9 OqbWxuMCoA7O7+Wd5Lqaxuf4+O+8P0Lj7UFAQ+uuq2qORYnGAv/tR+zapAXVXnr77KfOszXsvy/3 Rc0C0OwD1ZYlc7EZ1UJ3rdDfDgBRiqYUR2ik5fAT+8joNFiAxu/IieRVgE039n3QJf8zQV9XB2MS tQuhQ0rvfFxpoRC4lCyn0daH08BnBfq/kKBslt+G+MgkLnYxM24daG2tmTQ8zz15zLn/6tZ8miyh kAMGhsvSs6/0Y4vswlLyK5fTwZ+pC4Bv/BnSiCIDlbcbhwGgNkfnbxIy2WOr/+hcyH4c7SnR8HsV avzf6YT2CiGaEMK4K89xG7L6LfkDAydWIQXZwWzCioKXJ644h0afFo/uDXgT3UyLcpBRzYaLXNzT jAsSUOLzzBydxNMTHDNAIkWVQl1l5CcakUFy5eD/4fU1tX556s7/jR6F9gKCcJMuQrrc08PNQfQ/ RnrfOVVo+fgVO7MsF2ppcpu5QGdzoShSLEbKHBEqiZ0/Dv9Pj97CqAvOpzJZz62TGi8gfN3qlRIT wx3qh7q9rXbe6uAQTfroCaNrGGVEOpY+Y9ioQ3JfOERRTu32LsjkQ5uEJbClFm8hHj6fvmP462pu 0bWRAQAOTW68hCHzeJI4QVBx/+HmidkJEF1r1ZmvrueR/+c4vrFwm7bGUk/0Z6XwJCHTv83TpovM 2r3quH3z7F5nB8rjHDhPmKvoIVVRWv7ctSgtuJkqYIftpQOoeqI/nkLsovfXzHqD0ATCdme+TLH+ 5gZDC/PGHF8Or99GWl/YwA1+H3Z/mWLjBoD9lrrb3fnwFziJJbGF9VxSPfps5GRkAkdGqlSGjqu4 e9mOE4NHe6mAHRWW2MpEw079nwMc0U7tMoHdRDeXKeTy9z7MtYrfbmnWyy+JvUoBFpM/8MwsJVCP Vl5M33vEYoTlmRHZDLSkq3lBUyPqKieaTjXuU++CG4jNP9LDbd9oheNq8QeHVzIR78P4drVsqrpr vA6gfUQ9IziSA/exQ8i7zBe9/EFcaenuOxyu5JufPbkblF3qxgOeqPxASepNFbIXI/XktO5q/WAw QUx34ddk0LxG3cWoovaFoGC8eBjjX+EgZJ3Up3AGonywWGr2QkLHgaEzzpmqei6Y/TnpPI4k/Zqg a8LGV7AnOWxcKWkRQgNufpkds/lIvIEI9q8zW5lC8KSbmlxgsMo42+wAyjMa94qeN0F5rgG8bQXV YYtJpE4sD8USIT+38GzoPfv7N3FpqGEnVMbznAxACLUh+8/Ywfa9K8td4UbKjHmYnSbLft9EYf37 Xi9pvTJvO3RTnAgLslKmfC+8bG6hZyhJ3LKhV7wc62KH4sSgdThZaoScBKD13Bij59hMASfs9LoM 8F1xabdWQHIYsfy1pOT8ffOne9t9htNOfqo1TLsCWMEoykHUbIyFqquiaNdMy8iiTp37hzHqZJK9 7MBkFYz4Um+fVZ7Ydhhk8llZd9yjhB3EQeTtduKdc6XzTUKjqiBvySOdURHIRvPuAU/c2QMCRkaE pq0lFr0mIdGc3OHliUkzWiUvGHxKuf6Wt1wS3T9fHL+b6xTOg98tjSvCVaWlwfV7oqQ26yt7DJz4 v/ZlYI4SANuldUkJ4iyuFKDnvtTnQK5+//Ar0J/GpXDYCsUvhM+AeXxcL59ol7D0ov/uwMh4Jt1X jSMopGcZc2hsIZ4yM0Y0ZwzhbA16zIrpBd6mO4oPcma/q6P2k2R/BoPfdEIR7cPJJlnqg7HIRv6M 5iMm7WN0wpg6N5GUVcmch4xX0y1mZfxfUesvNEnMzNbJcC9vMz12DZSBl886qiyL3webD6cRCNWA 0b2o1/vdGjksJYM2TxAhPD3tX1GebYgeeVb37JdWOh0kQiU4fQgi/9ggaIcBczklB7eym2tWkuwo B6MHlLZrcUPVeQq6gecSRUhE2OMm/OLI/Ir4Xm7okCc5MpR3PdFmfjT+nUSKM7wwoF0ioDcSJWd3 nC7SR4qzJrmqDpUjFOx5KfUNHgR7HSLU/y2KaOc4eRFG2Dp8KD+zIuSX3bnOcZsIrZfq6xTbm3zz rLhkMNtx+6aQGroRVdBnMcM20AvkTcJ32XcBu0QC+xqQINam8vD4zuGRDxQx5cE/vsbvg0Y/VEl2 W4AeUUh4KyEvt2cBlx8tOoU/U2umXG9EXFbE0WURMs10omhHLIcUegkCdF4Z0znYJRXHawV+pslm 5bpnJbgZRh6+WagrHDzY7BY2Dr5y8rpXNmNmlgdbmjnOJjpJUIAzbK+SMbSCD++uSBlzt3qYGYTh tjKLqj5i8oU97d0TgIYtmFC/rx59LS1BNK4XVgJZszcyi6PvmjBn04xmGuPfRoOfO3I/5MIryAaB sTSpb8oo8V522usNKMOPnOG26vpziEQgN5ITf4wXbKbXHa1IlPemUMz8WcwW9zFI+O6XsuBlDljU n7eScORTEMiuYr7dt+C2onehXhSqDulB5G50/Nd7l/UisqZFoXOKp3zt9F1DCB4aTIa7aK5aHNOU qbzL0IQrElh4NLi5hu2eFQ9CNomuZGNV4yz9dJEv8ELmPWHPcYf75Kv3eZPgrx8z1YqeJU0F1jzy IEc6YKxJ1cIIoR6sujojfAsYHYfeV+cY+0JYiP5gysl0egsFYTNwltolp9w5MARxDc1on8H7TLG0 kt2vODHzgXTvsu6PGzIZW9bEcFnJgds5yL40Jb/Xd39M8s4uRXPfX+iplUbAi/zaIngWgj5B4/yX 8RPd/K3AGomasaifLfux60ojb5diWtjqtH9L/34d+BQT6X98a1ZrmqxJ7i+n2bKgoiL41hwEGXBM SIf/pT+L+cs0ktDHlTJ4vHBDjEzt/wEEI9Yv0TwAHcb55A9o0H5HgcyQfT466SbWKEv//HGfOE9k hDLWGzIXItpsZaAvpbx75t6MLAz0OEcO+FfwRpxFlUlO+Q9zoQdF4S1zve2p0g1Qv2UwkHG1TgzF 7z/ecDwvVK3JiRRxWsBJ678Pcm7ICoYrgpqDgOGMyonijZxQTqNbMUmyceomUpIndEP+ay+qtwwJ oF1oUV0Uj9VHZ2dEIwBP1cGaRbHD7vt4AC2lzvL/BLZy+Udldf9TO9/vlSCFM9IZB4T6Voi2OlDf lRnykSY5Ii07NhxtL1dibj+LiAeFJfwoPrtR2x7/jXrvknxQSSx2mDY+ppf9zroH+FzRScbbOOFg mRSAOz5BUL1PMzJImXIf9w9aCzn5iAlYZ2YUzYjU7KsHBthjXVvbV1Ryi63bu3ki5VzNb3+FEJ3C eIpFKUodQXxmKb6VxPeG6vqmubFfloGecc6Rj1TedgKW2nzceK9MtzghNMPcuoJ7k8dprjkPJw4l thcp/Mv5cmRD6FBHiKIw3KTqkvsZTxTn8p6//HVzhTTJPCWIFWOqXgEbEt5DNEQbXp0cQMzBXBy0 eLveh4rq5eXG2AykDgg9JCLEKQn+Hpv1jAiPRXVqnd1hiksyUhvfV0EaTeXPF/Ljti/N1HD0vTyh PNIsGY7wGHeGln8weqokl7oVphittn18lv0lBFfUdQdhZYjv9Les59IyjRlqou5T/oTV+xoRUNNK P9/YLf9QPCkJwFFcvPWWTpNOHAqLtH5TLaOk9LoKPcK1sp4L5pmqnftmzM6rNKERgZGEyW1UQzvT 9H14+N7fSNqTpNB47ZYTu6WRST8BvsKHsJQrUSt0hNfVyPkJvL2d8h2Cm3/pk8v72SB8Q+Yy5KcQ 2afCgealxRFU18+KlNNmLJwIpGsFOWgiHtJrE3bJA2oogdgufv8vmyDusgI8c7b0kZiy7d2fWgSY 21tMwItFxIDMbvdW6DCODtnV/6nS99tIPr+0/UgnD4axZYI/NHG4E7ZGN6dXkN1oOn2bkQzocp4B Uu1vdI1/GNHW2LbtSS1HIob91h6x1EZElTpv6Oix+O6Xw1C9n7lePDzxpM6jqt/pj3oJKSpbMLhG j+LAxYCgLXXHLJ1tt+mqH3lgHGz1yP9cqa4JVZRXqnksMkY2JfN7nQxGfAUEzveqJv0RUytGNPTI BFlQP3L5K8vAa437GUD8bwPH8td81TkULc+bk1Y5konPYyb3bsiPyStK4CWf7eY31+1KxWScFltT gm0P/FD45ciUwqw1iFE0ltAar40oEf6B5Gfq3rxIrjFEOs0lbOlejiChUWL1VW60zhXau5cQOTeE 2IPt0gOefjbfiFaJc4SeHJQqTTsVk7YFYNBSnK3vPbGYyaKWNjGhbL1iDDs2hO9vX0eSU9y1nDkR vfwiyvHL4fxj3OxW6slaYoXlQIkapRjR5d4YL9IcAidPL4UTWCL41FW+/F1+MeLdcL1Vk0AbXtZ0 eMBZEisiBNmbRFIMdb2mv2r345DVkQaz8rGXkDvEss1lL7v/f92O1suV8DT+y0UIDrksPuxkQ/1j RiauL/TBsZT+DZNqE3840VaJbuL3Hupx75zM0hphZ3evJObU0vXGUdA4AOI+tDI1PqRD8v0KPtgc vD4YXDlAQeQJL+0qpzWwFngR2T2rWyqB1MOmnBV9uaBL8hTKd3SjKu3nSuUXcpe4TG59AegXJNMz 17EkF+nEdxnrUK1zn6QnX7O1EBaTAnZOhFYfFB1jesj5uzNuSS7yv5Pu+f1hQUCkGVtjayQETCbc r6fzytPMlL3v0IQMWv+nE2X+dkievxHN15EseEgNqApBilpOZsudvLIv2LQ+V1BnzYbT4r1cnRh/ bes42mzetaJIDzY8gNFnfyNoVqYPSsD4VtSsVduB2ip4TeIDp50lbQmRh7ie8EW5Qq2R3TydaE+I Z20wv3kkYGafUALDaY8IpEdKOsxkJAbAiYfsGgQdgtADjVCmCwM1psGEltHc68PRCOHxcdlqGJk0 oxtvVcqz/S39CicBunMrJ+m6jdVBVhoEMkFVI/sdHiDeZeUH0Pkg+u8Acac/oP1J1k5koSxBRRNj AhJV/jmkA24uhHsBs7yIdeQgzNei+6KoWDYnfv2jn6NGxF5Gz3G63lgpivxWKZcyxrgnqg6UlAPb m5vW0T+8oy0wUVZq5U7FSQVlkZXPW1mP1fW3CuJd6k0g5aOp9qyPUeHJQPFgGbXc3dklBIhqdHF0 TMJwczWmM0HG7LSMj57X4o2T9kA3wLURAo0jTwgkKq+daKfLu44K5h7excHFH+IgFPeS11MecEuc OMHqovMSkTAE81QOXmwi3T1x6+AG5tTh7SfpGEYjUsyUMJB5vy1OW9zZHItUbSZw6TbRx1S6vSlW idfGeQkVWGAViRpJo/9SWrbB+rimzGmbOC0Ez7L0do0+wO6ZBhspscq9YQvnQ2ahyFMfkEZDYCmL Dm7U0rEuPRipVnSMy8OWEadjMPfMFn6CKsrzZe9hpDHYY07ertGaE9V5ZCgVuMzoxCWN2dmCPrrQ KmcRpom54Cw0COyt1Emq2Yc+AtZP5e2fipTndkXETBU3DfljcMZexpzuNc6F+VSAV5IUd0E3P6VR x6BRjA7gFJCumEXvSaaiIPEPGfGfJK/rtgNmTInlAZ1hFe7pnqYbPD7lrVK8BmRLYu00/EVRuifs hqX3i7aheno3bZRgYok2G5fXb7H+atQeo7nCOwLlK3SnLBsAkuyaS8eRuONTBEuMVCcRJKNXOfK1 r8/LHO9c5XWZGzOuCr5VmVObbVThSa4SGfG8y9+VCkV4ngJ8Pq0Pv3IhhXs+gG3PFgsoRSJXpKUx 1gQT/mgLTJhXXRTE5v/w1HMuyYSwGWRsFIG9q+tVLJoXsIO9jTYFRevihyekf92SI6R+P3jTugij hL+W0Fw2hQUtck+N3sjxZYhK6jJoisTK/9sIqZeT0Pmah57SCg6z12/MOhPxBczDZyGgyWJPzlkM 9JaQl2ZgO5IFopzX9vQDRAqqFGVUYXSvknGw/3QOfpiGPevkR32LkWx2fAg+8Pb2wmqV/+aVQdmL kcELUK7v7qRlFD04uzBvkWUgv6Bd7vxsOaRGFV8DEGYIn4sdWARvzvlanXrnBxoADA9Azi69sOpK bQv7XFgalqoHBUFHEde27o+TtxsbsOphw2/o4mVsHSLHdW3ag7ZQDiktJ1/GeUGT6PUanJMTn++v u70cHQPOAYsl/gKWIrcKfZ4Uo8pOfgP8zLKz9f75qnp614l0T8vvZIe8Da2lKrD/b3VbpuDa2vPX /N4wfAIQvaTwACT5G3YzBakLMCWnToBEf5rg7oVYyMECqUkaNUSpPNVExBNyBNaLCOdAW3sjuuS7 T+Tnc5v51phxc5CwjQ9DVzXl23L1wH+A+ZpEFIxwtfOKCDXrbLeP1aNmKVoRL1ppLs7PvL4iaUbm 1I1HEUmE6qxa8cQObqfJswv8r9y1+Gkyz0I+5zk5XGIQEdDNjllBqGU1G28afqbSrrp91E8YG5SO /zS5PxbCT7R61NYFGnQAj1cilOBBAU2dha/o3hwLGP6styEkcny8pmQ/jcJ5qgpw0ZE7Qun0/OUS 0rmKok2J9KbwbBm1HGdAjJnSsZG1k5yKJbM5Uz6idimpSFkcMgTfpeOEDjH9eYqA7PW53wb0ljpt U9v+iHQnW9AU8ujL/WJVryTlI0mkKEKa3lqK6DoNj77NT0+niifEDp4vUVx0sn6LLkfI5ZI4dVRh AimbvddOhD9U4z/7JpbTOa4pHVoe6M3qaEY/rhfkUSg9k3WuOhn1KqaaLIeQvvu/zbraMe63GHMr JLKZqBl4FvCiAjWPOF4L+v/LMXqrCIDuoJgFuUqKrXoOfg61fvRXHgZcIei/9hmSp/pVffIEahEy f8TB7cc4QqXl3UmgAI06r+kYqXp4VNlZzyACa/xnKKJZ2d85zJ4dw2JF/tzUwxLTCWYIIWWgIivp MIym7eot/SKcGUe5yHcdrbojsuiGRqKp9ytT6gPNYgoUzpvBa7i6wZQVl74WjYsn0rcIVLK8VKWb awHqmBjQo2RLU5YynW2duZwZMZK5TLWr9/TfyWv4ya/BHl7u+ewgEAofMO/Dtw9Yi38pA+Y3symZ JsaNL4lrDxOluorOgN54AmUc5ARdPxz+Igty/c4oNvX/gakHMeOOUKCEYFtAMzm98rUZbL97wfNo FAt7GprafgyBo/yfZx0h+bZlp/v+h2hZlJyyI++U9XsELmmVTLzBemcxve3wClrbCxjKgtxSRIi7 esJ5LkaYR0rfExMdBYkGIHPkyD+fKNd843PCcvlBd5wP7gI83zXXO/amUkRMlxCbR3czUNNeAdZV HfDaZNfZ50RhUlkOObHTrYN0UQARQx5dag66Z9k4+179vHQHb4SlgviJEzWspncTXEsqSPyHDVLG TrVbnSpOjk8sEMtXdJQCRwyCuTHqYnl7GuL22ifaIPFE7FrK4ck8j/hv6eVjuMDtEysM8G0sTTNC bhDu46dLccHs0ofipyduMcBWRAnSX1h5GJSBOh88zIzJTxFDbyGZat+OPNoGw+xSTxGFDUgnqAdO 1uPGn84SYq0qjmIDjnX3tEGto5rUy0J3Nkn7cTWn/kCa9BxXM4rk3QuLlblLAdVrdN7D9ya6Oi7R cAe+9AQ2Of9AdPtmECnd23qmnpb9CM/ug3YdGQbwSKxjtjvM75QfyAzwGWELzUuyV5hX/8z7edEP o3fVB0bYRjwpMEuLvrRYRe4AE4zRXW1cLktopRdU1RIzzwwvMnhvB6l+YQzde0VLgwhJ112U/ghM qN2/RXceyTfOblmCKXnTMRNNgriDNjQTJ7NhKeXqE4WoMjwYx8p9Z3lPxqMGF1qV1xS1QevH70q+ oysHettUAoRhWa71aauSMgwHn+d6aAjmTcKErO/deref4FZ8FJySBpO1kiBOZ/pPVO88ZrGPaLcf ETTfJUD9dZnub8XYD+oxeQ8yZX9KMh7vjqqU1g9+Jo7W1TPnXIp6ESsd+oz5j39+1AT1iXeu+kLg HDwwPLof/SOCD6fc/pSviQwk5OvZuDdxd1Bl37/ryaciNViVccQw2rA5xsq2Tddt0NhMpLL3J+gt NO5UbQxkqI3RcAERKt37V8SKTk5jAbwXt+YuVlWv710815t2OcD9CrDbqnCYjBNhy7UboRJPGf+/ A6gQuBuTxxZkDFI3U96LERXYsjkeJCmCyNIRADJ599x3fRZB5CpwGL1O/+4R2v+V/FQnm9VGY9tt AkGtpIr+ky67i6MU7akM/jaoN4M5nxW+GnAXHVvSYVJ9qFezsQvKcaHnxa7RN3uoe3+FFLoYlxvO gxPeySZSJSw+UPJsok6sAWxbws7bKWCsH/BVevD/3o6IvyFMNnxt/8rNu5D/VZYjIYXtTxemxQXF nbunmR0ISG/IOfPAYFjAfBIFIKM+m1G6FwZgQEHazGEewLvEJsE0/iHvk6Anyarg+x/EVQdQ5iEw bxxBKS7/4jFbdl85PDrP8qG5jvcXdUSetPjndgBubxGIAjBp3k18qkKCLyDO04KvTbm+i1IUqtcS dGqgEYf2LFs/HV8kIHlI38LcL19rSBh0krqe+u6fA2isduJHG4ugNuUa7x7XmPW7a5g7XlKyrsHZ tX2fk905wiURunNtqvJupfJ3vJrQWgtv15iBNi1fjSXV0ZtGcu92T5w+stuwNsR8G9oAw81y1gIr cNH6JGZS1zxd2DTBNZ5pwmX2Umj3Ne6n5UzVIY6bZGKLyfDuIEg8yPviPrwbm5JI8h9s4U1i1KVK jtTpXCwDT4e22LAyNPeTkMWYcu6h5liUplOyDNtoeYxJhSRASTGGnh7ONhG6k3SjKeb0bfBnOD7o YQ2pQdxYdR6+XskzRIzk1U74iYfquaKBPXhex7ayiv7ehIzf3TaxbeUlYlTeCqIKqMYkaxS1a0Sg Z66dm7NaqYzIj0twJMqqIdcz7EzfN5hmSvxz/wZV1v9NswJKPYPM1Usd0hCwzGUaM1rCmClqwuV2 VVTPGu+fvvZWO3jneBZMrkAZzlJ41yCBVdo67pwC7hkyfQohruF8iMCAuuDcc5zLg4KmsqueU/lh j5ULADmB+8TX0JhxOqPHHza85s98+CpbchWF4iLnNd1SyO7I1ZlpAtaBVhr9xYcchOeAzJol2Ogc D+eH34roYhA06c6ZlZ6hiBZQX6zeAQjcVgDlI/Hkz8XBEZmuwbOdpzeFXgjQeqvhh8C3QLT9nQR4 6HS1SwisM6nGJJuEDfp5JB4tQWqon6EeLi3I4S1bfHDgDKcEp4VHL7Iq3qBf6pNR2qK0Hx0J4WX1 f+pQ92nV9U0B2MvyRQgfaeoGfWKOwWUgxMXiplStauuvJ0VuJdIFfObUu9ZdvijDADwSTbP15Jg4 C0paRO0B1TRRhBkunlRJJn2QcCgrOp8lKhD9vEwQoffUbxAEBolKBaGM56OKCBWUUFSAWUQXxuj0 PbPaYnGOHr8PTAmiaq6NXqQL/Uk7UwEV1zu+xQcF5zy1ZPHlhcV57IQC06mtz0nXyt8nvkdDg8c/ wUjRP3DI52mYOLdedoFyqbddLtkpfhe2/FmuAcO37+VRVXqT67mpTjrynTYDbxrzJZW3Rb6wH5dI hoEoZitqHqm7TvEo+x3Z5Rxdlz+lOjy2Fz8CJUtFgjQO0V56M3jYj1NWlBqhNsSSmM0szXg1sZsh Zr3bh0S5q2Gw8/pVyWgXmxD2cHO8MigSxPWhL4Zh3Le4DnyPLrJEiDRffzTA9Fb+EWfWo++spTsV eHXwSg5lZ0ORl/ePqyHV3AaR15M2/licCwbwf91SZ6cm2035IMVEJZCxJZcTk5dhCfFa9BC8yVhn i8ccc32psbocIbdcNYF1zSvtSIP4tjqyK+wABI6+QmqdEsx8B1Gssv8sV3NqWLYEjCE/UraJ5sR4 J5XwT9L/LylCfWoWbk8lLStDAGruwQmRc6OA9gC/6X9EwcMyaPP02bXUsiyQEPKmjyrYr3qDQIte wD/5EGF82jnt+d202zaFVIAoKoQ5I0GfbijG2FaIccdoSanHyN940t6Wqi/vpAnVYfjFgWfEMceK LL17FKoYzGgw91YkuuR2849NyTzdyKZw6+jmfgvxtSa2W7AhpzxzV5YbkSSUXu1lV+4pvnEb+32e bTA72Io7XxE47LNPuWC5/yyDWXeMWisNfOAbWOx6pf4hV+FQo5nZug/m2Kwj7tU23OqTRf7mKzTu 7R6q3FBI+RfLINGppwTM0gR/nZY68M/tv8gRUJ7/iDTAvvIPgmDYarR+G9kb7s1zCHxAaWnM4dtU jz67VYAUxn+Q34AoQLHl6V/Z3zShwlapevIoCqKi4HWxVgSRoogAPE91hP7hz1kI47cbx3EbpB90 eKGLd2rpB/Lnlu+OZLa3aXsCHJdg024zVB319hTfFkMjKFxxu3OczBu7xDZPo4EAItG2DWXidSSV xQ9rKVyoXZ75rufAzxQI7p9RgPMALVvGbiRSgi+MUZrkmt7ClfwszlFyX53ZKQAzUCjsGuTYL12u 2eaN2AQ8CV/V65k0Tt8m9r2lan782czpTCwTrGdPGQxFScWjR/0/phH61S3KghjC4iap8E+pR03O ItH869AtRkx+vNKsuz6bXEFoxc2/mjOs5rIMyPmrUysBDyln12QsPJ30Lh1ukjI2oI8TVNstVuQZ a4H86WMu4cWCyyQRaLCj+IsdLJPiWLBIRsD/qXJICV5JoJLNE4fw7jkfu/HKB+jwqxQc0lCYAguF xlrWZSjPpajV4RT9ab1DKsk5/u+4wtvypQhTuJJy9ZNbWQJTs1ngL2fHHahbaoYY9Y5pFbN+zx7/ nX3Pd+xvtivzbr4PMtCWb3U0ORwqMeNWHZK1BU/wr3rKVVnQcRVZTzgpMtTEskD2hE8yX+YcH+dB ZonCr3ZBMz3VK5kp+vnLMrGTCdB9ik8kqeyGMxCxk1Nesw5OR0t+XVDHTDobMjWe9OD8DzQYV+oj 7OcVqgNQer+F+xaeRHlmkqSupp5Z+8aEnDM6kUpOo4EUPWJXUKlGc9QPEkq29PJo26Jz9NvWxI/B VfWg6Gyjw6F2+SiNXmf1lvAktl8mnfxCxj+YN1DWQOMzxSYmO2EgtUC3AevC86CD8kUIVjWwgtCz 0cfgT9fl/5aVmaUu822TiwgwKN2z/NyN203qaCp43Y0kXZpRH9k0kwJ33yqqN0cMzl5dfTcjL60F Yw0HW0MemDjYe5Mljarvkln7RrftBK5FZlcvnYs9u+DEssrN3u+otq45yDhr0ox2yU401CNT8pg0 8eVfUuWkIxpzgWKnbWZz+cRdU+Vw8kNYK0UuhGr8vIuMbAiiQzQxh0z43dDm18NCBGeItv8l5BaU VQgDpugCF43UsvgZScT8wCQ6DLzaAaFk9QF71PpxjGTeY7Q5IL9U9OTMS1yp7uAx/ll2G+ARGhym VqgJgStE36DZeBbT4BIVUU5qHnsll5AL64hcWn3NO5LP5bak0bnFPKs9gtFpczX+Bxdm69F3rqg/ 2GPsY05c0Ed/XW6vLj5ZaVoY9UN6IKPR0+Oksoni+YleZ3YwehiCZifQTeov/F+o9Dm+8PcKESvi sH6yx3oE9GZjkoSAtuSn/SqyXePnWDgWwXseY/Qv5BwHCkvIHU3UytHmr3yjGWL8fedVS+QXTG5g D54FzMJPVjYt+aIcUUceT41ozBMviTVJ+VlXbALE6FhMCkKN56n78g8iro708SesthrAlduRIYWH 3BaHHlFZn78ek72f1RdFPQHGltNg5YwLgnlAyRK7m+V3PL2iDQSIuSAIYu/lM+jIzgqXtlFbIpp1 h7J3PsrF+SyLfsXmBmWThreQL/xatmhmx4SFQxJq5jpcGr2miB/JKaR79xM8oQ8fLaGvZtbixP7y vFX+Abqua1+yzqgh6fu3wqJPF5CeFe/z8L9hnDhQhTUlkJQXAVXFH+1KDFTVJTyCGvcZJiRzd/LL B+aYz+j5oIFu5XlAZY/gC9UhFyub1E/TqiGQ893pUcGniQZ5NZQqU2S5d8oZudJiMf5Ba6Qck4/K D+93buy2YtBeJc011pyetU8065CXCmLP2bWnw5GtZuuv+XDltbP5Q6/q1uJ6Dfv20LO9lE127PNv 4d40IBo5kZIpH9XWF2e6v/i4mXAE55d8WLq3pjh8Vji7ZQs2aKB0PKG17WJ7zeVDs+MXIoS8l+jI rqtS3pyIsozfmPVQHR+Heeth6DOBkQbNPzLyOf16taqkK2p4/YO9GmnmBClk0qQzU4t4pwrzmEs6 x7oVHC5/5/8aAUKeK2SYFj7NKRFDGHQTgrSB7Nj1bbfl2a5dvQd+rFMi8ba0XGw8r+0HOzQYZVXT 3clZQVGAgJFx/TJqJLLpG5k4bp/U671O+6/MOqMSh21aewKZAKDFMH8fbTRUuYGKQAstejFch06H s2cfAMNM5tbQQ0WqkmTpPALv3PR3B+60tV62QxdqJYcZHUxDbh7ZY9H9UQIxHFnLuaJe8o1C3K88 rqf5+WMEU0EY16XBt03OKRI2JCZyrmdu8CV+i+5k6FEes88r52xazKEBSN97zzevc9R9lm8C8fvN 65pTeewuYSn493mty4bhE3Pe9Hc0d4YTifItTnWN365EQUIG5P4j0/czU8zmIDmPqmRNRR0zC8CF LkK0qTKcv32chO82dkz0Vno+AZqBZGBGknh8nPLGdnGXUevjqk3qrYOgh+u2N6lyVcpmQroFqEjG zX3TfFjo0E5hJ45fLPn19nxqTay9y2E8apk/QgseC7D7EQHxZfM2q7KAuMsYjC1YZGBwJT5FJAoY lHs8Mr8AHoP0X2CZLA5cGAfcjlSaMaMNhubAY7NRAz4qVFQeKF5TKIm7WWS1uIfvzvAujcIi7svl 4aMpW8f3MXCyGDgDJlWW/HSmt9jyO/dOUUHgZPWY6RWL+UpBfbU5T52pE29fbcW96qux5JBvhPU0 X72M8RwliASjPnjUOVdcynG4u1lnG0tZXTSiTOmXD3kmnyKqzNFIr1xKYDB8F31N53q+b05c7Vn4 9NkIY3qzSIebhWq0oH5CWD2b0ixvLPTaJLBZGwOTAjFE+VehX+cXFP89UZr9seGCpwxiOPh6OhWr TODZ+cmWTLGSUOHwjCYSkT1lWS/m86Z+1okk1zM2k1yvZj+97/5+XmGx9rUEQ8z6c3GgISZF68yz 0DF/AQSAahLaSMSLF4X4GjDfFWulIupdlmsYl2EwVKlVK8/hVy78pq6JOAPW3Az1DDzT2fphDm5D k0ipZjdBHIdRoE/BTH5phZv58oK3cmYJA4ZCNwLXQa/B7svewECN4xYIvTOOnIlhlPSfH+QKTHMf EXKWhlKcB3tR8eV58HCLuPJxAqncmaTo7uS5Grgk7IBpjTe4mvyfRzFPaH/0PySyV5GGCuGLLOh9 nn6ETegIMuQEgZbUdpLKrTuxgt5HhLqZy4cb/zQvCS45vcpT+8h6A9UUP7nZRXM3cYioiWWfPWOE +n+OdmGQIiQaNlTT/Q7HevEhq4K8tL5YzGlR1GJuriaeyAgQOxXh2xJ8mzFcn2fhRCiVX648pmKO IjNAiiwFZQExVGxK8M2qE8mgl4XxwtUcRUkLPMq83KOUIUpVYs9MMqTcS9My6yhBkZXGkYO+kl5d Hxx2KAP3lQbFuHsVq0iFmt+11zCVtOLWdmKNwK/a7am1ewNFRNyCu2kYozDJtLjHiGY0SnBrj/Cr LZLUdMsiGJ86iw8g9Ftvsw+hGsK5vFsGOvVO3y42BUQPH7A/+pwOGApcEdJ5G9AFr4jp6jOqRU7n 9X7gV0+LLb7f0VAE3k8uqxImD2ETEW+tDun4ESBIcLW+qyDutObF6ye3DqLsBQRvTv5artjzqcmQ /M3HRtcSNuRmjzNMAO/j3RtGcQjQCWDBYG9IHwn3b8+UmW+B8qn8rCxYjsM8ErOH3sJWOS0PTWSJ HR6TIaoDcH6VmsYCdCguKjjaEeVjzquPTA+bU7zRH1F5KqHEMGt5IyKAZgtS7eFlTNrmnqn+1KSC jqAP3mx4XlINclXklkYUNBoyiPHr4L3U2iMZfz9TQnF8bjW4899Im1OcYQer/tdXLiJnJbtOICQV erIiAGIMtVe91yJP32CBJ8N9ilz5Ldr4EcAzogY2W++SOw/SB2y0m7Lht2VnFoenT61rcJUOH+Wv hxZRT0fFm+49n/HEoDomrljcm+5MD6Z/KV0a36b+vV21n7zQMqEI+zXxHR2rz/9iPWEm3IBAdUd6 InuuXEjnxeEBpUpBShM3abeuyzisNkcHl7xwZYtDiSaAblblBebMGkftzSZW7RTFQwU991nnIafW NhNBeQdUnWefQfdtR9MaT2yjGBPFCE1xy3A32gNHfIC2YFAWzN+Q79PBODF2qq3Yl3AxTh8vXR0j UIDS7hxecfMq1wy02wer2A9nh4ReuHrSWWBTtqIs93LWNniEaldhDq9irKtb2SSWsKzH79oMOtlO CAC+UNecnv1ryVJqinjrfcvW/109M1I+Xk59+SOzsWq9/9Ix5Mt6BRgPZcr+NTvB/QlctqVW8Dey kedRnF3HYLoIeFFW0n5HTNS4pvhq3kExMGz19qtYiZGD3Bt9bMjF1x3YSAOGjxBG9crBj3QXFw1U U+0N03v7s/X8ctiCXOzMUMXmZvbZ/lwCLF39Xbn6iRNpejhTzwtHLzUBmL7ElTo9qnIa7LykBjZj 1p/WrPgH0xRrnrzwTIHPJnRz/8ULhuESforOUC48yJ54nGM9P6Xu3E//BVXfTxN68zdupsm18NUU PkoE1PkiByymh3Crz0+313VTPLlWNq1Wqtfv+qL+2tudauGD4U2s+Fpz5TJz62RshGCiorKcwDEy Ewr8fk8EuzN3Oi+WvcqD4n0SSJh3QGMPM6k0ow6+sbgiUFhcOuSUZjfyeb/FHvjQnX39echhauRc d15vsC4X2sg/SLK4fjx+7nn2XtcUDWq7FYure5EXE6TW+dXsUZPnbQn21ro6ayqcNMvQldr1cNOY dYJotcXZE5+gszb6l/0i0jVTuQgAzNOTB99ARIlgSQuCExCd2WskrtRdQENcQjgwKmi/381ioRkP LeoBmIHheKwK4umpLuQ3ZhamZGhx5dpn0XCVIZS6gY+kMvuYf20Wr7srJrVM88cfnVpiqTQiYL64 Ipvnh1+6NxIIyzITCZgEy/50QQhe0NVcz4jiwXBxgoe9upcQQ5Lrxh2B4kBUTT+0No8Bt7GWbXDm i77d0ipcD/xiJtb5yVlbz1EHjcwrtv2FaaJQCXpEASvijPyQCeDH9TU3dkeNK8IrJUhObzefip7W 6WYFokwjNDyBzMT1svaf73ool9JwieQpVeP8Iq70xVM/RBKUE2GhUkANh2/syMRKEnKkTNjUoLFC MOZMUOlv/jq96/OpDJIPSFoJ5bnM4TpMtw+XZdggbekJdbG5FVgEh87+lxmHgShyjwZt2GJkCI+9 ayhP9pOor0fXc2yqWWlpY0plkFJ3KK/0UGcC0bGLJ3rvSC6k7zNe2SRnlAdvcjj9QvNcLaZ1m3Hh P8/L1Aru7Ecr+1ilKn2SxtN1bfh9gqoanyl/Pix4VSMq+7fruAcrB96E+veSVVLG/2Ov3Ln38dGs +tdV/M3eDsq2QNhQX7Qa2hyWCrjXPRVDa32txKuy2TRz0sMZVMd5PcLELIy4MTykc5IzWmAxoMeJ ZCzUDr6cXzAzajza8kH9EF+2cbB/7WH2xRn23YhWJfvPIn4FUgZGSHB/AtgR5nJruPk9FQoGOKxi 1c3s8BjKN0qqVzrbo0r0XRr1lSjRF0kki1c9907Px1+GXXNFlw9w3sA1LfZSVkDQbiaFse8C7Dmq NejXIqfjorcgrzBuo2w4McfsKe3dmIFDKdPDPFOhuLS8o+pzqz1lcqe2+vI8ePqLMLBLD/vdRLxz T14osSz5Sg6PEaL7Kwm9jMAp7HWM0mybMdhkXfTOLrSD9/iHF6UXl7UEe+Z0Zi1Mofw0FZHqsiae ON+N6OgsXzGJ5c+cLleeA9yjlY+vSfu7OsN0/5LwewKvER+D4ZpMLU9AkNfHy5qsxrrGH8hVe4FR PbJWPiGY5rLHka3Bc+Rl5MH+T214C4Q9ZBunkIpUdaTHzZTNlbNj6v3vMdjw+4UqhUz9NZT3Zp5g lGhlcFaLiycmboG2hNOjIospcF1a5kQN4p2h+4Pc7e2RcnvrQ9n2kFsitnlwx2ISPs/RzC8emMyZ ErWIguujQ+sXe7a2GI7EjA4Wn8GR7B4PFw7pgTTbP/ir9ZQgHmfGzmANpVR159o4VPUodebuykOW DUtrPMH6BeQpYYta1rmTwV6Ms3nKWtDCo4e7pDLGnUXWHI9YMKn+Aq2jNpthg+AZx+CwTdOAFCfO U4KgpGig7pGSmlWiS+TjNqfAPgmr0gtkcSJIGDBQ+z1hBKtfCAgEhlaAgtE8///meJkTLp+DYf4/ bg9uZkfxYUrEChR5s4+ZbXwBGvNes9mFiRNbLmsS9vOX1pPH0F+IIj8bbnOcRobONBn0GdTVnJzC bbe9WE9zkTJ2E0E/6U3OcdOdAVqwE/MIKirTM0g42lqaQIuZKQsoO/ohYTZjwmXU9iQhNYq4/wnK 7J808YE7oEroOlTmW5MYDqpBkmw5sS5yKysrYUGNBltoksPSjbWvlITE+gAKSmLJosfqC9V35T90 1/Q2E1osOJOgOrbR8aD4CRHSgoKyjBw6hEd8/xCUKY/BwNqlRFxbkmOJgyOUfVzHE8MbM4gREUjy cHESE3vls4nwSyGQK0rmqBoBLC8Q1ODueSjRyZSYnCqQF8GUDuDqKUEymVHkxQeroaAWaFbfD/dk 2j8pP4SXBKl9qt1exwEVy4JXnapHfZVcfaHZRpkdNPgiGuc6/rlrRdWzpeLNMuYsPcER5JRzW4NQ RwZe/vu0t+LTV20f5Sx2Xp2tbU5CoWLlA/11a6ASgyXOkPUdupvnLeEjYWfaXkTDQSMiSEmhbYq/ qnddps75jTvF00z56X/X6dh1LZ1VGrOLvPtZS4++pUbYhQmPBgbqgGcP302omXn7P1RCVfHrEcyv vtuenG8k+lhWiJYVtjXwuE6BDBUb810tJ9a21dbLq8ckGOgt4SDfbACRTsUAWacgUvb9uOkfWMyi YdN2RS4n7crnHpGyagxTJHb0i7i8fNltF9CW1f4E1F/BONbaZWGEMvrDJkjXguV+yCsQSNH7HuUw IBlOsELL7qHdCvnZq/ZUuQlbuJNIbuPP+Lzxy6svodTP9YJkCsAD8hiwFcpG8paNKNoiL9zyENzl gjeBfcX2v1e3eBx47K/OkuFI179tcmGR6/LnrJ1nU3pfbk5Meu7KMP/M82B/w/y3ErvlP0Cq0D8V EjZcE6WQURQz0kVxT+ncqYuQzqA4PSRNabcQjU4p1Yl5y7gvISHMz971bbE0Jp1WwQqXQWgxz4Fr 237Ol9/ZIoezkx1uzzMbR2+LzUoT/rX5GzGjaNVZrbJ09lkhjo8IuoQ4gA4I1aHNKFhRCw0nw2ol hAtdIwrGZIzZQx9ZPKz5LV884GhURGO+27MA688g2JJtCbILYCL6OebDhLFnnhUT6rnLnfL+I9lh /tAD1+l3/pXJZ6mwZwFmAUA96sps6xNu5ch/nCjf0vNywlxN2p2Ty833N6QVcWZ+bTivIzMCooz3 WoXIOhmZG4/carae8l4ig5VlGD5i7O/nz7ubdmIeFeeV19k8y/hEWaJ+ZAiKRkWkplj6/rKbGC/r KQhxjMJTKzpHMmQFcNfaW04o6RK5GxKsU0sh1bANZloRBYIaJF9chZ5TPMlTZmcizU277kU0LBIb z1Dqw6U9jfzNLfPbT3Y808r5FXa6MIkfY5er0c72nFrFlnAegOahhgqXDT0OfireLf9AmU9OzYx+ m+Ne5pMBaiLQQcjNz1HL+v38sLSOL08lRoB3qTbj904sFLVEm4UdLDeQUaSnFYwBl4rjK8+39v6q cZxKNN0/eWuRjwH2JaEJHTZbKWKjKX0iGxv/RlzfvCDX0wca0YmmzcjilMor/htWk6tHZcjJwpe1 dio4FOq9cIRF+EylaoHOx6DeK4yGIc9yDlRxLQMjEJPNy+HorSlSCCBvEGNtuaOEIVcKTtDTUd6f b1tFE21VxxXdhWjypdOruS8sWMluP9X1i6dFuHalpmW27OcDpL7KnDJ8+51HRYmHfo2O787EGTM+ Pj0eEAKhIM1h3u4qUpeorp4IycOa/DTCPvLoCgMDYB/GnrlcRqfpMou7b0lhU+o73ZnmewS7me0t GgZvrlLtic/ijT0KuK3SdqMYvblvbAp7Xzr5AF2pAY4sBwCzsC3VsMPBgsUYO0XrE9l+H0HbDJpB Nkh/t6YKroPBwJSOAAM+BEvzCMzb/kfLYxqt/45lPdxQWkrJ+6e59U+wxXw+iIpddBf6M5BAcHuh HW6Y32KxzGRyV5v917xa+7siZQ2p1DlJEETdXaQlJXzzCL1Y2Zc81Jaf1CW4Y/XLbL7328NWh5ke IUCmy0CH79Mt9jDPXsMxX2P1fsbWvkOtVB3Wjupxy3ERfaHJsaORXfaLQKC5rkCEDgtU4lppUNO/ oMdfra5IsOHipnNYKd+4rs26fyMK1Owbjt6SpeXwwZ5w78q3DHG1DNKNywSuzEhsrVJpyT7OhHnZ 1G4KqTZM7mOmzFOGCEhs7Hx53yGm8MBpm0yWsIiNDcy5AHUeWng+5du0FaEWtmFrdN3z9kMYKNvr umu/YceKNPFLDlgImGV05Xtsj4r/ltrKYXJT5ae46l4EFu5IwMfmDNGuOBl3E1u5Ltb2nD7lgCfX hNcmdIv6KdPxRVzBC88teLZ9r4L5eaSXO+BMFl37Wasbiw6j5/RFUMBX/Sucw0oBmQnthKXCG8zp kpPg7mkFyd+4VPMde2SqMXIkOcPVwmvbFUJx8I1yZ563PIfs2rpjPD9s9EPOkCJXWycsicC+crSY fkHJ3Tv+k9iiU4VJG7faZuaEVzolYjR9P85oWaOFhm07NmRZdirjMB2dtbrlXlHl65lrdqbtMTKP 63sN5puM0nr0NptUsfkGIjLiaGf97nUBAW0ElRcWMzKY17jHU1L9wchkA7hzXVU1H+h9foLbc29K UE2z3yae/Y0mh4IxaLqx14/1iJnkaf6/5nwthv9w3ARX6C9vU1l4L3LKjDTsTg5xKHbuLM9UF6X/ LECO3TcY61Zh4JrWqfqOZuLJ9ShDN9Waitzoq19wLQFwp0lwYs7zpWXziiSKtL917xNJqobx6BRZ qgP0VW9YdojnIUdh+3v9d5nUjb6VIJGrcLuOwht7dq4fNOTMSj/ATvSpjyl9MRwN/76vKHs/oc2Z BzKF4NLEhVl5P9TffbXy1NYIN6QbKAoXwREwjI+Ez0ygCR08kVBFcSqkQAio3HYJRl0WSccmk0JR 8hYjI3X1Hdiyum/gytcpzkhgZZCwi+3F8W1z/ICFu8BKIvsgK1J00/B20ksK8Rockw+5URx1mnqs Hhw7V2UwoQnquo5rEe+U7sqLFpDXLg8P/VK4HSFjGgztugXZV1BLZZ0bztmNPnTqucELdtb++6g6 hm1WNVIXjmDtLlhsrWjFWNswjwV7mYSjJtAlwM89c803RupRLae1xuZkvW3r6f9RUrJ0/y4uaRQ2 9WYQ/fX6Eeh2e+YImdoz2Iv4M4pgwlY1QDwKL6Y0HwqWtPbKJnpUae0AiI8U0LQP817CvQrNimoL o3RzKA+7vTxupfbFTlNWwrDutuOhhFUKK8O4zb/oC+BM5sFLtqHKEL8zmUcsO9vqzrKZIn8lvCFR OBrecrr8PNpWjJ7e3EvZo2iFGKSePkJbpVxkNnIhkb2URJ64hly+KYI/i7Zc4x+08/YsTkYZQUOT M9CMcrN6J91ZDWERpVcco9pgyjdFewpvP27OWYrRL3tWSDDGKvKWq6p1E0XeQqwBKcfctdDbcVR5 iuiPSF7gNHnAkMZs1Z+2j8bKbIVPG1/G42S041P10SBoAnSFXkjcrImZ7onMzIqNvOQhtFFg9Hqv iq4ujq4KIg+Q96wg2XJu4xUnhH04PbGxBmHR5r/xTL3ZQDrnvnz+QfrLDqcaMrSrQI4T1K1xIFh8 YdT0W8DXCf/A7idqXXvEMQcuDy4YwjqYY/4TwEEQTG6YKT1BJ9eSj7fJjkc00VLgCenPGqMcYIUW aNzeLWipIHW8tRcDlXI192Fn1yHMjluOYEnDV7U5VDdu7YwDAR8jIH9LXobjP1J8qNbU9203wye4 cbMygDuVWCeFuOSB2Y+AcDsWdzjijvnpw+TqOs4q2wqNWz3aU0mceutWbwg08mMUjOFVg12U5T8B gFwCuwgagFERvS33TV3QY9QifRFVCy5KUSmv9Y7VylR2oQmccyNjiYT/tMZXFs3peyM2q7tFNfUx bvZKIg6aXp7V/049lW/KPCQswbg7kNkmqxUNWQs9RnxjEJv6zxWVAaIvECcoxLyS7yS2Wog2CduR pMvOVxfLNRzvWXQQ3ArYJZ/cGDhAjuITsWsa+dExo3iaQEuKoGTnTDu6MTAL//KhZrcQri1SckpQ FgcgSEaEp3eS742UyAh5r7z7GVP8E4UykILeIuGRR3VSvvpF/8lr5LZbaA0HPM89hX/M1mhpueej kMRcwJjvEchPzOQYlc5xkSxFnh4ApTXRfGjHsHqS/5F+CXuBqf07oQZ62KdNC9/dU2s6ot0/bUXA INZVm6b1rRQRFVFr3/jfYg3FrMGGenO1COigFLC4wxPasIMwTxgCPe9y1ExCfT8jxzxJutxHhtIi sd6Y7eyMAm0GqhvaX2fAm1kSaUNiuS0sIG71Bt2+CrY+jwLm6Vf9mmBey5ZITmKoDBi2APuutRa7 Z6JLzWbSWJ9tyKI8fFSyof57lnkZcSmvTYtwVifi8SJRM7D28KPf63ZeXcOI8nFTjPLZ8HRgUS2l JzLNCscJSK0d9yZtWp472mR4id/ivMbiiI/Aag49fNz7qB8DnX7/G98goZDpB6FqPwhC2C0laFQC d58p3ZBEk1UZ5RpIHZETWwvsR2n3j2oDAGYcAWzbsEu1rXg4Sc8gXJrte/O48CE5OFJX3I1wk5Fy h5cIJYUZ6YFmtHEo6J+RSL/KS6Kg+wusfONwpF49eQgvi6iNVrTlM+L3XG+P1NUQlrF9dDt4Z/hY /fYtgp/1o5+OdhR3NOo1xNxJCUf0zNSdIvSrMoxLW740K9MBIt0nLrQPInCYM0Z0IImuQ1EWTkjE ssdGYBt5IU+k8qCOvNqBRIs/FMbX63iBLU3EAEy6uoe6k/CrR4dfHqPRm9c8Cwt3+z01sLkVlfe0 zRVeuN0UYg49Lth3kpmvy/QuUbk6IsWGBaP4goPhg/Fl+0oFDB2hHaxRYtSrJeUxPVB8TyilXHBp Ru18kSYYkI7AfSOL3b1utDCYHPeW5uo6TdN2LAQ6uyCp15eGVuDQd8SCcP5MOCyFieRc8nKpIZIJ uJGiylrl5iWEIfHfDKYWMyaF57Djgspt/v4ECfgju0Y1BS+RvL9+svMasNyzAbtM9U0CFdcg2dLq 3yhObQMhRy4PlcY1znTUfOC0g5q3Ox2nHOe8hya7maAzmpq4NFR+08O/HaLE3biEEHXIB/YpXb3z jtiQv3XgoD7F7Fq+xxZgJJGv4UnTG/zwgmDevR/BuSgPigUa1xxTSE42zR1Rt/jn1iUVNHX0vkjr +R5PiXDhEqdTIJCltRj7OV3ygn4nWo1L1btA6wD+eVySKGYISsUlpjz0l7PKyzgrF80pg4pIEDv9 /fktXenQKM1FAb3prEYkdSUmV3qeGmx6U2FZRTinmkpyhXqYCft2Pd9Grsi93yN9LkDjso5r+KUX JnIVu+vRyXbC+25z6i4PxFrK08GJjmVIS77PW/u+t+PEoD0I3oL07KknQYaGlbbsLCwB1dXov+T8 lVR8O7f92pOAfi5Xv5hIGBPyEzPsC10c+z9lhYIwev+AzIDXwyGT2hgRuLmuFFP/oNaFfQRVCLLy PVzlOB1aEW+bep7jCckoD9EGGE+oz1KI/OMtihq6qAS7CC2fOH/WEA/MO26x4zsKQ/PZt7sB2Ir5 lwIKOC65S57vWAa6QInL/4C2wja3YPem4ZOPXspeJP9U8PRVNKz8+MOOvlJUuBNhjWmHQMaVZ2wY PyMsuvBlQ94s8bX1Ti+0a1hNGvHjE9+gy1jV+mgEufh4H8O3UEox2Gy6DRLtoCx7+pBhsU6KCqir 7XE8sxuQgkiopx5sQN4pDLwR++/vkOM+xEe+F7pnJSLu/9VTl+LLFcM+ll528aKw3Qm2uEhJ49gh f52ABpC+kqw/feDs1UabVnm8nwvMi2BTPaY9sBgc9gcBGtmvmj+OBH02SQ2JNyQYviE92A6xoeKe B2g6GGzMRNwL4d5oT564KNzhKo2BF6P/dPN2TXLfAfGdkLKNV6wk3qwYxtsbai6zk3kCPV620Vld lmCFxuh8WBGnOzFVsX+E8SLLuCOziNGY/lrhlUD8eRu6TxmMiIiBloAlPIZ2maURUggVHo3TpTP/ LLtRhfT7xsuI8KlJ6WcxsQ43Mz2lgcW4abcH4Rg5t9iul6UahnC1zeZc7HyD3hZkzVGOpCNGiDt5 2oJy+LCGj/preOwDaILor5Z2SlT1FDq+umivhPeSImJHhgzHfwTQC4wMAzIvd4qF5qHaXavvEl27 erCm+bFCo3LM2SbozV5z7kwJ1bR6BdiZXESUAOMPh3/RWFMGEJKo06eaOxBtmQjgTJd8S0RYWnGA kg48KU/H/SiIk9XbwoEThW0YqeYroVOr8TvpoWJjWx5O7c86ao3Y5DW0FreuuEhao9kL8NbUF0ke NqgIBphhpRRgO8GqeOXzZjwZu49s6YJZVOvNFzQcr3wiwtER/f8AV/xmrIC59+V1MqNf3cV1kX/4 b5w4pJTgiWOUsJAEUqdnoKBAvngivu/wPDae9w7zHnIHeqd+3869QT6+VXezAKeKe+bv7zE7oE36 28MBb6TYNC4uGlnmiXPXMnZyW8pygZ000S4sTmS8HL3LSCOf5X5kh56l10HxGFpCGZBHTJ/jAZu+ clIj0oKzYzIUs4ircpHoOyCMLsSFQLD9UcOGRV97gukKm7WqKs6ytf9n+4ZJye/55+qhHJwsvIfr kUfrlxgEyoel5aXNutPFPbDWlRWLsBORNf8B0aqs7TJOQ7aIuFZ9Ad4b5IQpde2nCA/VBQIfH+wC 9+hN3SluchXchA7EVqXREpFfr7o2A3+usPmRNMCQPP25sRcWCRkbIn7zmGo430AEMIZf5LAQ9UWx LwWmmtP9Ov1JDSYkPBUG5zl2OX4EQpqZlSDouY7CnTzU0COwY7/W+HhKv3FIrmQDG8GuLwfOd2bI X/HuPc6PhEmuvVAs9jNij+LESzx+rqhwmIUlWcwjvY5ylJhAGjg1F9Y5rI1bmmPkJj9Uzb9+/iJN DDrSvbpj4wqCG9OcOd6SJckzDna33Q7LUcOwR+OxyD6qlFwZ08L59AJ/lWsVz1jdcwAGD+ozuYZf qfutfb0JGjKwP5J7QTkyWWVWzeLd2ktUMjrzfiSLmdw8tIRBmpFakLxfCvBX/JlPMvF8LRdlzSg4 7U7tNdPsWaTEDqVtYNGkWCxViebEm/uPifBShM7g103Xe/bCR092UAoJv6SbXUhdH01l/uTxF2sO bD8Rve60PLO8ja8zo1IKSVFDrQ3xD4Iiybq9G8+ELE1Lemayqnv0ID/CjFxlpa4phnzhN1iTA2al FDbTM+Fy01/kzcwuf++HqKA+akN4qCmuAPMRqJ3Sz/94a2zIUciPNx/1kgp3euEX0T44Ns8zsTfZ lxwzJ+hCabSTzE0vScbY47GuYmn5vCgaU52EebRiezB28NTFCaP3mBejsOx7tHOAubGkdYw1vaz7 YrYteeNoHjeewZPetD6zEVr7d7chee0u5ZG0rSgLpjBTNUOiFq88Sehm0ekqY6OMiBalob30qKj3 XNuuny9mjaBQFdZMVcpjyo7K5hZMnaMgs7cQNHZv/SdS92au1y6atSgcyyKkAiMApIH312T0txAk b3aRaHH2NqUgFRSD0O6JRZmOok5DK+jjuNILwk62aBneAyehWkKIpbwM/gtlj3piud2k4VFt5d+O c9ONkbNxgif7XVyp+ZGhFuLf8/8QQRF3ZsELZ+PUpDyycjgygb4ApjvHK8298MbamA8PZvqOFhcT 1WYaVAQ54Z2/g3afElSRJGGXMHDdFKFzRRH+kLr059/YaC0kCLklj2x10fXKce4fsct7K6Uu27DT mLI1BiSwYhGN9cLDMK6WaBG/vQnNUKXX8nYbORDrOblZEpbmh7kCuj8MhLqO+mLgU7FTuM8ugVaT /5hnl6+hmi7iGtugSxMswNhvITrAFj2YwoSHklW1HpZCy+QFSa+YTZcqG7JHZg8KGca9UYW/ulLw whZdTWjEnm+8MciS+vOBhzsuntS4JcEWsmUDq51B1cG39k/RD56KIlBbsIYezBF97hvZgl/C71aA cSw58udOG0JvN9ZVz06MrqFO7d0dfbxooseaImVt6HMu0iwQ7jvl99Fqjb+VWinweguRsKBWOkM3 LuBf3u5j4RlWswhug4kmax5r9wdoKglMxbNYsjg5wUfkUC/WfX8XckQSY+KlfKljtn1++uvtR5aB +d+YWsUOmlvm0vCp/nLR9eUWE9FZyMQq+h2OYis9hcZ0RBVt+wN9Fwq9ooIIkElKtRUO0ku4jfd3 /MKRZorEeVFGUOaALmFLCO/fBKrtNmBXEx7QTlv2YK0Kgs899Z8gwE+j4mbk5Y/SatC81IWfxp1j pfueeJu8QcVRXVeAyyb1sNpai0gJ6JubvmQ3pfL+eSHQ0x1unwFu1ph1W/9rn0D5EH70fv9uE7Co KzCKxvAAGWVzNmZNkRguDEYG36+p9SsHVhW13FiNs9ych/YTMY+tsPn8MuEvwwDDU84g1V0KHNEY TAjArBA/n00HZ0Akvy7S+0J3Hs/5E+rtHBdUtQWrTNMlJKTUHbVNMPrLnhxwi66LdkA2SYuF1OQF Dyi4vRt7qT6/EQXncghicGEWU+hY7OurKQRlCeo/WPI2Tu5Mrg4B1k9FcWGA1lfVEjIJesDXiRqR q+hxcLP08AY/CHzWVWW/WmLAivC+Xuq9G3wHE1GJTfPIeM3ndP23FcWyGioY7ut4I1wPrO+sh2QX 0laNgGIdQQwWS7PlOF7RATX+cCwekGS11j3yZAdccLr0hBvWhWy2LLB8l/krxqLvjokwnOXGaGz/ WgoY3Km61iXEH0hhSdylmApojHtWPyCiRL431biUQQtYvRWlEdMyrMCgdbyWJfo6wxcrJSOVsfed DFqn55C3NcJh8TVZ+S59qt2wFud4w+rx0/OrAaciRho6aNrrzbAu4XX/pTfB+NVK4gCgyqJIcfzy nPKs37SlLlSJ7WfDeIxMxPNr1Qtok6i/rLgeEZTSQqazSJipzalHmG4wxj6wAMKH3vUZpNfxglHk bGyU7br6wNN11xCKDL0oftuP9b4t3wZcRTdD2SZyMUDMIZDQA2d45Flzek9bh9zPsY9It2vn62d6 1HBqjmNyUF+edLPHiAb/kPgceE9/lztCsMQT6d0zTitRggnTrJWkBetLrqDk4J6RWRFiczglRpoM bBd/9KxGJwxZXHeUp+NhMSh1si30zC1gbJkNJHYKFGVOV0dFRCTpjQm13UZnyvRk7dn+U4uclDar mcUxesJ/kiIp453yXC1nZMC/I1PWu7NqkoGnvFpORRO9r9V7VVbn+qMCog4EoqJR62mQh/xQ66cD eHaSL1bKkUoVthIgwWgQHIEvNw3iCtl12G2b8UJTl3Ng/NtyFV2HWJM2csUaCyt/1ZrTEtpigSZs 0tbJc7Vc9PxZbE9+fvMIcZYu9WXHccwsodEl9XM8L87ymf/SB53rdbTrEeqOQqrBcW0FHhs0juM3 HXBhhbM+znHMHHvLz9SrkS+9WKKReHtAb0RZpXtPUIRW4AdCWo2Uj52FLU4ZzMOOpiYos0tQRFfj jNmpEbFNi8RkxwSC/Q1vMJyGToGmRpdXkQSzaRTJer79PeDdMd8ai0u7V8K+uWeyRB727BVL7CRw x91EggBWO+AvS3GwoO77s2umyk/f5nb3SCvGhvr7DpIhHZqsPzbaQQ4hVmSUe4D7Sn968guGCFZB HnxuUjE7lLV50cz7w3OAiT9kq4zk/OCyjbUEg3tZqlTlHAOD30uCilzux1pE1eqGbRwI6waR1hdO 55XGsKV2z1FL3tlzHnrNB+BuATIMQkDEdjVt1BAbSQzLTmqgjKW6UFLFMTNd8AU18IcQfQexy7GL yIaHezGD4keeceTfxjLy+qcT6NV9tdzi8fJpHfD60WV1jJA+5QrOXyOy3cI0Nny03OE/gQ912L2S ZSPBuZC7aUAgYM5V06dRmv1snYHggHZKsCBlSiWvXFRezwDRCCsm21v+ogG0VrAKjpEtnJLGzdz0 crh3/cVLzLAk6bQMhwoVW7+fbpEY5M+vLYDLeJ1KdkodVBEGhqjMJTOycVpzr9x1ur3WBjmvHvct PdJYzWwhuaXk747DU+prP8HhDDXK40A30+6vid53SMSgcgW9znqKS2nsgvD7a9UypmFObgGUqe+g KjyWtdmgwjwWhgnBxwVz109metKmRQUq4KAKOBLXMlgzlZ3VCcYrRDn6UISFbUffN+W767PN+kX+ KNjFixDmrFHL+9Eo7kOg+yUcN/1+vil+uppqr7Qtx8KjOq1UOZWj40hbKeUOsMCNz7WFXYuhqptk M/t7dL//agp5a8DbirjXket3ciBFDx31ditjBQsPjd63halbfS+ISEEcldUtxsQWLeeRI/f+2tf2 T6+EfqE8yhsk40zqqcEZVbODjrWXZiu5rtUIcqod53kmafyun+84cHpvWsV699RfXzu0HcxhlgAS 4g2JHFzYXcmSnnq37rjjzWW5H/fe8Usf+clvqqemTjONMehWk8J4qjwhQ1KOkEnd3NgsYTEeZ/po oly/HwX0W9555K8LBBhNVTV3SpqmmQjl3+xCVSgPLP4gnJbnI967fP3DU9EeUY6Ae2GQqEmbM6QI jIHgJQ2Rm/2wNiCr/tuz4+H/91XSNzjtkXsxlCEqpB4EKDuh1uHhnl30AbVZtYKZUwHwL2u7Mv0W uB95321W+JzavchYsI/L4a8UBXJBXwKCzjnFLAt7JKmvwp5kNa8B4ZmGuls6gRhQhRN/gbGMxu/S NsHN2cCdAepbGJdSl0/6zJA5c5atI7+ZRLG/BW7DOwDytxULP8hpPOybgGLpwPZiuQMICPqlI58G SRMu5YWyPBAVcFUvd5RPP7puNISp2vQLR4DH7qR5T9ikhuCEHfZ7MErwDYXb8EYeE6e9Mz7u88wQ z6g0IfJrw164BRaYe2oCEDHvAd5mhddyUPQ8c+B1Rd9lL9PPkL8I7oTFU09ECAPrKHLnyWERgvPU zu41aqpyaK7IOscPIqMkAjVMMXBJCuDToSeIZh5uoPFyyAuIAllybi96p9+I0h/REAYZ06ekR1ZJ T8+QY5+Qt9L3zQGNPKDyQQbbNTh1/kBocS4NgIMuRchTXZgX4MmsoPTJnO+YHE9nb/3j5R5b93qk vqa3xoOv9xcNQ03fRFKltR421AWieJ/sEnibEAVcUJwqJOwLG0BAY1vZestN85s5ECf3PsMBUknm A17Z2FJ6m0q+B2l4cHT0KwmJ5y7RkFSwxmTOIWbePGN4a4HvVWwlKssu/3Aj6fVD8gikez6kR58m clD2S0ZKBt1VP+wENICnHPM+f7HIe2/eFmo07okLBln73HiCFgE2TkIdskB/8fB6+Vv01e2rdyNw AxtVrMeo2XYQnuwJg8kg3H4hzFEYRuP/30tYSfYnjn3ihvFDPHexqi04BAonXeBaKaw7uK+gugKf IoYA+L1GpGgZEnbFYzPUXDLi7621boSiwchbUfxKvNV3Oru+0srzkUVvEgutJnQWm5ZQN5v0DgQC 2OE2Gi1fSbUofV+WJ0gQ6C5KFp5LGgcZ/tUaCRPP4kxNGGbmm68EpIHKMa4HiGCXSq2W3xCFg7V/ CD5ObJc9Le1xbVQaY+BR/uKNuQpddqmhdfLQQcIwbrc6ZAMH0vW4/tbzSFgUzIocpL2luUogtMzh UQM7EdUdtoyZ6uZAfaQ3qKDJjM38zVrKhnyU3rlRQAC55ukPEyLo4sXQ9togx9JmBRBCimehs1Da dwHruySC2leV2/yTuwqbOZg61XNtLMCUBcmWWdgNPCkWIXbn2lUgenxiZtNKB4zp3VzBlykDm0y4 xuX/oCwuFn5C8e8NWuFlv8pJyxRM+BbV39IHW47Elo2Kvp6SsU/CgNsiNtOrMQDxR4Qq4aBrwJ3O TGctWfFdxOJlIiPdk7cxBYfhkZ5ptIHogjgPiNN4VJefD0uisgb6V0UG1pAVes1wwulqQgALxUhm UYanO8zLOmGe8If015WffNhOqbmv0ntJl6893y9UQd5Cs9W080sEP9tah3x9yR4CM8giNaVb/trw bdp15mDA0ouGk/PUTILiw6qoHqAqawBZLrpXeMRVE397XTFkaOFcD+WJ4cw9sBPb/hX0cqL32DaO V99JzqdwKa+WYu7T4iC243WvbaQg8T1aPFaW59Yv27gm3C3ZDISNJLK7FU9vMz4g5Ue3bqxM3YFJ MQZeADmQKYi9bJxxGTFerX3KygYjkvek0vblykUehB/Ru1gBAOmEg3nxchPojXT/llV1MPTa4sx8 sWxLTdHb2ZJ4Qd/eXpVqMwx1uGRzanj4i4WAQs/qWQowcWrqskZrrDlYQtf5P3NWTptYgbuin4hl kSGMiYKHRqE1lllY7BXfMSA95bbkH1v3iCHeoQtgHnRqKZG0R+z2kD6t3/1gTGH1aCfVtXyw0Omy qxRXbHINyry8hAE1w1FN1upY4Zj72l3fPVgKXsfYS42kOKqd+pqahm1qGs6ezojeQF+zgA49/8yT 6ft17M06pufSs/GZ+3ACTZq0Yviiaa3Vy1TQwdT4ALngBf/yBsmu61Z1vE74ZG9RTA6B4lP6cAVL 8LtzyL0fTQAvi5JFzuSO/JwfWjQCTWTr0wz+8GgXaShJPtJcJNGfIggdWMO4N2cJD295TPrhILCp 9TfpW3HPWFwNm0cNHVkTKNb2kcK9ZeyvXZOttLnX/GpXMk6bFDeje+Pc4yWDQhDLKRv2aUPaxWqx 1Gja3PEdGbJCxIrPL6lA0Z2uQ8LxOGkbi8+nafTA4+2xMwoVk2t/5KrWXanCHH5BScMorbxHw52F BahaKTJN+6kZUJeOz8AeXC4C8o1CAUszQGB56DOR3qn/lZcnNw2CZc1tmHZOpaH53luz6epdeAcw g+o0IjdjIijfAj7bT4XX6W3ZBCK6RFt7ZjsWhFOc3yPYyaTmG7BDpqrrMVfNNIGcvslhh2xcj0gY IHXIAxwM9EFjQVsld0x+NK3CgX0zk2hopf3JrkDYv4VlqDX2ou0U/3tdEj6DobqRe03NifiG6EiM LGxpc8Ev+qPrKcI3rVDe+QVO/uWKjCRzMQyAJpHYjNgJ1RFtKRyLpN28kdbR0DnzXINqQmDYwT8v fu1D/DyzKQgy6N1lXIjA+9J5PK4cx5+rmgyUmvM8t8WgH1Vftn1o5TnjWIzaqE6QOoHEK+pMLiYI tBt9ZuY6nRnx2/yZw+IIrDAfdDyryyGM8YbSDen6qO2+yPHXCu7FvgqFROe19EfMeule7azpgwr5 8fUWYChiFDCIKd4Le3ntAsiLmk3RqDq8iiOJmnvzQDlMRXPQCHnoeaP55rm+0YiIcoaxy9c0vQ1K 3ooMXze5P2fkS5MrYDQBSnrVlaX9LNCOSUkkS8kwYASzPRyTvVeV23WIII2zOs7YsnE06qCi2TYR k76svjzII4WntWUutGgGzBqx/SJhPn9uRt8XBvscv3VG70CByW9uYT0F8bcLeeh8qWrY7gbqEwUk 52HOjRl7lghlBDodLMORwBTZoEgArX3IBRnhm4Vqfe91G/eAFd9EBDGFDMyAvjJavLQF9oDP8yzq 1e6akIR0wilXOq7DyTanHO+5Vp/EL7qWAkxw07e2Y17B8Jev8T7Dx0gpY7WwLFc4g+Hq79M7tiw5 L8a8mI1AlgliNQqzyK+JC1Orly99GSTw2zzEx/eYNpuhkllFB/6gI0NdC2bbAYjNuv9mZ1JCfuBz B2ZZgQltksz5/C0oi3YA8GgXxc/noMyWCMu44fENOliWqMH19kJ8CtGBCBRwRNd22OSJHPZHK9SY y0voXPEjaMSF6DQ20/H6LorCzzqnyvcxLL0EGLXQ8BqxLMM8ivPAi2No/o9NUG6GZZXoUAPUg9Eu 9ssMMfQuitWDyBnbj0AZCW5do/M2m0CFJ+Jfx+2igwDFv1C51v/77SRj0YQlCt7rAJoN2fcapurw xjz33+Z4mRG6/5/S3kmZ4vjzMuSWi1oG2cyIUrXTOvr4rUJu9/Qag0svEvsaMQ05re0COkfEpXEa +1re5nseHNZzxzgEPUBBc5coQALyrXA+0YknnWD/iX6abiNUToYUCOVhsrCUGXzCd9JUXiIpf9s1 y4qsQkE+9ubByBh2ENZdxcMz50JSZqsRCIQerfVQpc5mYDZ3nl7h5wr3EsIdOpGDa9FVZK3YjNxM OKDsSYpgo+W2P1PS3pMGURM31EKxfgSh6SDrrKwffLx0Auvej+u4rkBbNWYkuuHzcYtJQJj6T1X3 onuVbe9VR69vpa2hUSQTCRBTyn/c5mSR3WQtqvohxUu8py5ZwDjPwj5rkfYyL66VhF+LzYkGOzEP MzKfpzKjc53upK40H8Ae8IklSjAx1F9cfwusp6WAXpHTQaWS6bBkHbIXnbrop94sIiCbfSKgKZDe E+44Mt4/nl5WXfpv9pBBe9PKO5rxewYSYh3w7x3cvI6pmaiOWLlIc+qm/Ap9gTeV5QV4JeT7zbHN v4AHIgkUfcL31KRCUevSlCkDH55k9egxnhnoOf+IAIiJj6kZDyGp3dtdRn5qofzEE7MzskB02jw2 q6d/HOLJ2ngBe/qU2TFdgWqxjTUMMdnvDQeCQdbkPfl2yqDnA6AgdRbj/7ulKBVlsCirZyl4S9Le fJKamjplvU6RoY1YSpwwR1iGrDtxpIGl/L90/F1Iz6e0Yf+SVt2WSRAZTVY0XWLakmH+CwxX8MJT gPW1N8t18o0UmsL59wucMNwm785axiXMHMQa21Z/8imxetZXvHos2dtExCD2tvp3yRmjvDMK0+lp nUCeowHjNlbMz7/XAmcwSCtXjstXujfJddNxLPkSYbVDhjruZiOdxYvN6tH2FE+4wLesnC/C4pgQ dZJJ+psQOg2wML7WLVfoSddKMBkGAs4owk6bBxrHZyF//XOL4xugwtUsYN6JfwChegOJrKAfOyue 6zmJKq2E1AHNeHb5lIfh0KdYbo6tQe31s+WSOumDvxiVYsmLiVAngcNv8vb3UIkFb1CCJ4d6UoY+ nv3NsbkSHTAXMEGd77FYp1weBpOIQSn9ECDMcW8ybfmQ/utTgRvV/Hl3oBZ7SZcDHHLS8JabCe4n kX5TbjkheCquIsWeZ+5n8X27ODeq0PqCnwvksLEqltU0nw6ar5UTcQcjgenf+IKCeY9TesUbxuu6 LfOEZr40Tv3LfMqw6D4xvGWSKGT4zgsQCGx1RcalLrhWEV2aS7OR8mCLrrTPa5FZl/bucmTkuJpR nDZmGrAxV+Fb8+u/rMdIIUEVRyKD8vPlC+yp3OmwLQOeBBTqlJ6/QXfBgkp2VEtq3UF9tvn7wSmu h2TGJESGwMa1oSCG8xzN8MQ+LACiVCu96ftc8amA5JjI9S+ZS90TVySKO7FSlzJk/nUbYoQg4ltz MAxSpNMiBfkrufGDr6JXbpH45xF+4EQso9J6oJa+HPirOpL20FiYXQci+Gus9Bhab2HtBbckYS85 wmLe1HzHRc1WyiP4Rie8lohpZ3AhR0tUi2y27g3V+V+GLXds0pAaYS2tHHWrF5S/rZ0HlMirH/eu MMfwK1mYN3C2dDBLjovMN82Zf/uOAa5LgWYgp3QJkntmDHJjH+28TKpMfQne6dqmEHDW02Q9Y/Zn zAk0EEBSydSMVYA2xBHG4lqglZopBMHDpq4Ur1+kgEkIWqIH+2RUQQNVdcMrmPxVqPregnG/vqEq cZLl/V9SjL075GOde6fi5eWukLTGuDTzGkrksJbvZc4E69frkU/TA6yoyqiPjCU4HClAbeVHWUnX GRLwTws+ZahWz0l8HwyG1EVpaASpX8mUVhPM/LCYCxm8Akv5Hivz1h/UNnkbmKXfJlq540o6D484 Ljf08bqZQ7LZG6sFRugFkSiwxILA117bP+JwHA7U//rthaC0bBtWciWrCfeD1UuJUW5KeoVRBevX vLJREu1B0Y/iSXt+t1gCAPPKOVyvS2uQILsAhE6ddMezEQiyGsqhCWuufIk/4Tfrj4QxEScwNRFx FPT5HvWQPIS4R+VmqH3VlooHVwBp6LtgLLt1B+KLd4QIikHelueaWAJcIel9tEyXQEWGFeGBRHee JX5h6E6UlJt80//cK8H4GaE2jWB07GaWsFSpkrU1KuBfGKirSoexaRlEQFjY8put/DXK9wwuXmrr 9hZaY5aJLz3StLybCgVZpPc/0hKpP8vgYm6OkZVSLdppq3otPim5e8BndyYeObHZ9C5QJKyBDktL u8732IWbVSa6f9aM+IVzEsZUjIIGF/7S3zIK505DAA9vQPiCrLUWIKUyZerAcJHBaLRPmFCXgQZo tPYWmXMpfSfcKj8kxhf7IkRMKY3b8RMjYeIQJ+07LEHOxW2+s9H0bz9zynWTheyoKmyk+/wqA0lo oeobJdb4ysBXspEdXrZwEKrfcYlrXtW57jrrwuTBfEP4dTsOEl5uemt9q7/zmLBbkjIeHkf+BbIh DCIEZuFqYxBVC+XQ4qcOMSvdRXp1vMUbt5HpTbgluj1TQvy0PTwTWQ+MdsSt7ATQXHDOYQ2+J+lg qf+GAFVJg6Y/3yD6OGpndwuRXa8N/ninl+GDYi1Rov8J3MzzWoWjBRPiuv7rGyc/JTFNKqyWRV5K 0IdWG3MKxK6HBtnzCy5VDxTSNKXVfiByzlZQnNFrwAOmoQNDFFfzZAygBH1S7VGOYFIqDxmEPpus /Ac2bBEOwhkAa+mzPavGBd9vWshPje6gOV0wRTvqZHosovW8c8fcWupRktRQ3j41qAquI0EZ+G7r 2jgrIbpUC3jtBx0v9cc4BB15MBfoC81xRtQyQ3EFFoYN+t/mhdbT5c+0fAkzY7ecGh1x+1eN6/8q U+gt7aeJrl4A32mREHqIStssSgsHlSjBHPoNvWmaO7viJzKkXIOBhfbuV4ygfetWRLHuuqUtVM/M C7YtATMdGReLxWkPEq/XeZgkd4UN15wn6qLS8/SDnxdQzkFqjbaJ60vLgmwN+3cySK1xIrHwz6c2 3eE78nqlKSnU58B+qpcE84B6y2Zip667eacj5jDG4bXX6tvYcnF17PEIImmPuBvoqTBFYz+j1fTz NHSmEE1UHs85D6GiAqeOW2+qVkvvDQB2Kc5R6IJnBqSHgofD6K7FVNZkwa+18Box18P5SWdCWvIb fkq4WTQyzxRS+jaC2h8cRPZ6Oggi6dKwFNVho4gVSRVGbESsVwB57riHahXnRJvEWdKzw2AtZ0f7 yLvF16ttHT9MXCKh818jwOu3M36XaO70EagaL1lW+xNgMjJaur4bszJHvfpTjKUs0rQuLR8EK1pn Pny2xZ4eMB8SF/4sW25PADEUFXy20gotBKuTtrx1UP7jwe+eedJPrcCU+VgFCtCetBLiXHMgHmBF j8Ar8MdiG0kVLGVnIMDjfFrdL8ZuuXrXmeedRy904WIM+TP8kfnN7rb9ddlNy6a63roywFUvCWib KdNWaoF7duf5fOGApYDKiqFxe1888ZpiOvSkTDJla57YIha4hO41p90eTiDy80lPulCL5+RPTWQ0 FtdtbCsauD6xEhiE3Z223qzth8JTpzfbra719vEJSAv/MLa/YLb4wmobQee4R9HKCLhpT2ll/VGM ptmBfZ+qcFX8KHqer6BWeSrdmUtj2ccYY+CND7kxfjV5jKYE4UDZFGybsuLGvhu0thT3jfB2tyn+ ESAhZckQhfGkn1HuYA9GtQah/5qvCFE5VBedVqUCEdqezS+G08Pbm0l0AQDQnIOXYlX4cGbvEc64 rMlvEoZnhohgtRZoBWXIghCLzXWlb9GT5OMjlqkwaiuU8q81FE3eEklaAwfYty53cPe7kQzDmsRi 6dxvn+LpgCBfMX4X3YD5v5ZSzPFWtGmI/AesCveQbFRGZrQjTsIXJE3jyZBprRQpvKdwabRLxuZ6 khGt6+IPmFJBmFg7x4G5qGh69zOOcamIympbAVtGPHz+ILR4gtYTCT2dvOTLUpBTOK7G8SLoPOUq mTWNeDKFW/5fqjPrLnqBsnvbcDTMfF/uAbGeQfa5JS/Q3bB2CFFESogmrrcDBISngutBM9O3nRCr gjD4zLczenoeYuSZpj3hvr84ntCa12HrM/aE3Qf1Xc8fVs9HpEpdn1SJLktQwAnPqyGg4mjh2mMe GXgPAcICQ6LvCRmSZsBQo8XDOkz2I46vw9xDzvesczBjHyQnQMqj1p02q89rBXCRj9BHcougqjG/ v/varh0qSBUCQizYFDUFn+7Y8YozTdPlip6KoBU3IJhrVAX9whpQGwjsPRwPx02PZTnw4j3AFcju PMWkiIzGrEtSW1LbrRh0nem8Y4loQ6/6vbERq4cI4uYgT1/iHPul6jV/Mnbs9whf7DrEF9ClrEcb sUkP3lHTZXP2CqL51GVuPLv2FpFCwMJo9HkXyRVRMeKFKFQ8pNDouH5SMdfLM3QtsvyobmJYNbNm td/e0F5hnz8fm0EILddS2gcqCtAFPkokcyo9n+4xKrvvkoZ3Atj5XVMurFvpX7j754D5eqHX8Yak vYbDOhiP//YA1KRjIaU4xdfbHKCVAec5gmGp7CHPsX4JdHmJj8Q8WCcbswDTpefg4WjhC38B9kyW p0OkN2NlbMrMrvlEhjAtjr85WDBRzd+9kbMoOZTBiXxEqE+MZCW6ygfOXG6Kcx1QMpneNgC39J91 yR2+NQjqpbQF6oJOLZmg5+875KS5+CYUPFlEe0flRSBi1ioTbQcXVCXFMRGV+RE2bzcCN8/gGqrn RdpcqQtcgI4vxL8sz2eMhnSw7M2OZ64BbFPv3yD43MdtbhjVyffmYX+sjzSMhQJ7uhxSFACeRfmT 8JbKB4hC9fihOpN29HlC0ZnugjErIaWAu6pudfGohFCC4g4fTstEwXrLM1xUJFjRWfYl2MgI90IF 5XWXgfE/6HL4+q+AOIG2KEFluB6SQkHWN1zNVKgSNWR/VqIdvRdOwBBRpkSo/XLSu43Zrqby51qD 2L/j/rSmr15+fpmMzLHDzx9o6DtJCq9PZ+wLqtWb2R0ClSFtUguUSlsADLWikIbLq5xcAwpTataQ l5SXpnnZiFxPdEdUjqNQ7hd5E3XfgiLIm//0SxCHcuutjvbDNBvXCsJsYA4xlFOG2S3LGU0BMsj3 f37AEbcmfIp1s342u8yJocoAaiRFUznS14LoFGrAQK7UmWR1O8/fAEKgk0w918Nb6of70eWBYa6s lo1UO4NX00ZQZBZ/06B14FW5ZJT9ChRwvfR1QBhwNj3cXlIqUHbW+GcSYsR2xDswJatRq7IWLDTz OvYOmfbOijIvaxT49DLHx5kJ406S6zTAiK5L83RYSqUw2QFbiYyArydCWoE4XNyGV7xAn34WmmFJ I/QQ/Rraz9NGVtLxfdPlLLqGWK+CPo8Z+KbgjhTZ4yx+t5NO7HkT4rZ0CpOUdh5sdgsDArYbjFba z0Enoy1mbHCPly47Rc6dfDKs66T0/CTiYZOR+uAXsj0r3f8e7fw56HU+Sk4FQU+L+PMXsRrJFt0z lL6eSRa7RAz1orZCjbIgDVFFhAnUSGLGJbMBgVeZIxVvDheXOUicURfpDgbtR58cw0h1+xBMzbHN 7uRjxJa1LypoV4jH9D4Jp9twfTHqqOi6vT63x04FhBpcnZmn2FZTQR3yWxxXzW07XhuyH+MB9yeV Rt2Z6WyZ8xhT5/66VNsmeQSislVDtAULCa92A0fs+3zyhck+9NEcn/JTDUtwjLMlgVvfDNWgZlS0 wxNTk1Ajh1ATA0Hetg5dplozac9+Pd8pHV9W42mlI0yNvic4yfP7DXuCSGDvuW4dKUs7kr/qep6W J3AP3QnJ+reHsiRMQn7wIiMThk5SaY0zCSURTa2EiLGn4IdtUQDAClfUfKX6wz1reG4fu5DLt1vR U+5Yig2OpCucI+b2xHzASgWAQrpgUnHFKe+nYu0f5aw7UF8s8Cg5LFBfbKvne3xmBNgOxm3IQwY5 oGZJYOwCl7yJIpqnuOrh1XwLkfKoi67QZtrOe5B+budo2hsmLE1Ht8s5GqIp8d5d7jROppowQ1Xq 92BMwb0zF/DEXi69XGJh03XCPDXCByaxodZDNYllLDqrTVTSaC2LTJpf5049uYAp/IhFHLnWPqrN urv2YddIXEE6ls7riP0LXjaOj/GcGyTx2EfZo9ih1ccQJvi2U8G551JixE8AJl9+x0DAQMKy7H33 oKYC/pkL4RMfZQrtuWHzHV1oUi6CpDBdZ3sIoZcpsjhIYaY/LK9YijDi6gerNhGtqBUD/yypRBQN R1J4T/Nuz98A/hDPAi+I+aH5dalwDZw65cU0c2i+UliEEucYp2h6ZR0WH18elXnZQEmF7vqY0dg5 xE4ZqlEACWUmDMgKAL/ZuyIPiQr177yE+DXGMKeLNX8m9YD9RJyxjj0XB4qz8imqAcErH51EPTf8 6jqSA7r+BseCHjRwZE9Gn4M279S3BACIxQCPcjxeSmp9PvFCyHK/v/F+uWm64QfajZdIOXUP7oW5 8eWUoBSo7qgTM9LS1kgkgTtwQOViadiYZs493guX7QBGVAQVvLcKNmPuM9g43djJYxYND4gGpDeF gI9GAtxB8G8HDOJ4CD85PdMLRVAFYP8WwvLoCKZuhlNruyIDEt9lSG+tX6wpE9/pKCnKOJbf8EMo BmPjMOBZpnsKfxhGQlx7gMiT5pZP9leieRuI1QcBB7ywdtlvsKXp57+7Rg3g9IVJ9iica6ci8buz 0oe/8HXFcp3eC6RGgT8SwoNLX/5MDAfo6s3Pd3N9Hz1uIWDph7qERMVC+ltAusXd7E3EF2dRQSOO Ogt4BHKxIGvEcGQrLhjIXMvaR0HmdGR2EKLINeJlPOX1jqHzQuoRF0aDEexGHSTeTLGScpx+7a6p asX+JcZmp+v0ItkyJAFughdHd3bF+bkltOsgt8URXQfQaRg2iWpG+WtPp3ygAerka8s7NTRolsTT HJxwfjhD0V2aJpoITKakshjapUn8HD/SS6tQWmHmEerTVAutmDJ1U5X0TcdLugCD6OyRHyskPAi9 CGx1JqvoAa3a4k4Y+YAWtiG0o8ABbhUnBPm2Aio2wLp8LKer8dHMusEGZJSjkYd3D7vb/djQ5N2R 5yUyQlj8TAjlD0x9qZ5jUZYbiVukWZwCckIn9MiLXUJ9ZVXRo0lM2J71aj5HbpT2TwrgHyRfSRnj syr1PJIuEhU70TQMyZT2RTXzDe0qFP1KVn9DSOLxk4oYRmlBLwylMfoZRdVqoHfBIu6yMDmGHERZ 2XjFPdvaplMoN+OyIewagDna41LbMuSOj4ZW5Ru3sHY2eYU/a60q9XJSUdQOi6DEofSayY01EVVY FusWpI3CauVDZJeJTWDy3ugTLTQzA7ebLbY/6ZnmTy3es/MJwmSFH3duP6xU6+es+rVGhssH6M1E MyPCOaKi8kTbEbhAxO0cW7kYOgoE1Be4Lf0xbZwUxZmpRE2YpW3uaIOpPtOcJPLA1hwAO6Y1UQjq l6B2boCvDue0dwRVWs8e+XDNvtUUrLSi3U14z757rUGhXvPr9GELex8h3fvU1S7/u+Vdo2JXySZh X//W4C5DaqQg1tGMM3347O0y6kG05SQIAPq2GyvJNyqL7E8XspZC7P2C6tHm1vgjDtXBPeI+zPeM pCNW2TTqDSAdiZkj0Bo9v2Dxf2uCPTV6V4DzPG+LqTxm6PUKLudfXMF5+vY6gweQ7/3jz/ouC7FF N/14fbyx0zcggFkG3bmH5eOf0Rsioww3c77I06GBMYYTZSiSGBua4wcEDsEZ/k7bgBxI3CTApFH8 Wp089rsho4ofhcs6pzSb7ZXhJK74d8orq/7EI3fXPFLT7RN3C1eoVILAeM2HHaUhOymni5Eu9tUo JqRV3XygMlfXD40N9bKuTAZZVygPvyzRHGCq27GGYiHYa/uArCKGEf8/dahziMU8MP1VDtWKFoU9 lfnG2LyFRVq1GjhzFp16SKbQghYTfPu1QtDUWytvBUXpvgCESlvtHZKIEr39ptObE7Fqb4Kf7y+f Kd7t5EtcqqjK7v4bvXnm1AXbGrbchykMQ9AfaswxpEU5IcgtBhamPKAad1Roi1pvZMO86ZWtkt9V YT/wikbFcPkyN2g/dX39MSs6Jbr6qIE+FfK64//vrvkMK+CDJjaj6QUQiZUZk/by77Qbj6ML0iPE DJz9i4zJ1Iow3y6+lXkghXHjmILYx/8zCi7G2qFrIY4Jw4AwYQAN81TYryv9+yuXyLkI7BNdK67f WcNt70Yhjv8RX+VIPVwIrtXcohD/Cyn71M/J8WuxgedC7MejqQJdhi509pTsD9rWaakCWlvMV4B+ RtynIXX9WrKIn3Fi1/XJdsjSCJB+mS2qTT1wGc7CQ7vJjiy6W4nf+8c/au7ykZ7VEgku2estKEEb 50S3UebGWync2J/cfmKSwkOclLMWBtvtK/ui+BhVXhRlKrN/LjbuZpN80j7x/9nH5MVUkE/bT927 Y1UmRxWA01XZA3Iic2uSULf5PV5raZUgOqp6+ix5SyKig6k7oOcfUa95FrldR0PH3Y++YowaX8ae Gsv6PzmGP8p7VPfPmYTf4A1gaki2NYirSXNgTC/LjLE3OQwzgLmQSJUVFrastnzIOvZd4r3lzzZM c1ryeHTh835/ehiAQ+G3RkIdcDtKJhPPSgqzBWI8tHp/NEqCsvuIyRYwvHfHMYCSFSF9Fq9NYH0I 8P9ZUnattvdznmQbm5ZNTXR1/37uNon3pW/SJoC+ofaniEOr73kxOBu0ih6QmTbhwwTQ/kBrCBMg LYTdytDV1vbJCDudRZ1AjgxsPYRSxSoLIzpPFQdQmm6iylwTeZ7jcWCq1MuOtAPOLZk30+xboSkY 4oNEheHe+kQL0iH10TYpPJJoavhzcsGwUcthD07jGCHqQ44GwE5mPzlXbCvOFSLdDJW8O0eG2UVg 5okN3eRi2L9yBGyO1JeyQbZV/RnFs0heLa9gF7/TrdYJXRWlGS7ZlXnNHZ343M6rvizsP96mx6lD WdolPbLI6BtfK4PyEzG6Nuao9riuYf9HPQxrfECMDEG8HyLClkJUqP2EZzm/DuxuaZlxaHycWD6x RsSa7EJWLwt9KK10+DEOyVnYTr0O49TTjtQkqxWAicUixktijsfWtNuqzB1uFinBTcB/PC3bZBZ3 6KdM9phwnz0w3FG5U4A2lxxKYUQmNScnNH3TGhrDK0pn5rc4yK8xy8GoPgxWKjMrUwaJmay3xEpE Y6A4CTdqIl0sK0rRO2SVJGP3kYQ0flShOdhzukr7PeDW+/clFtfReWRezKpkdkVvr/AR11jfUnpY QAsjq7p+wE32tx+kLAG/kRAX7lTlkhsc7dAum/DmJFwai52/x9zOiXKabtNd6NlF65gO6wmBbF6o om0ehap8EqyyZQcjDz+HR7IHgz9n5ISREqho/JEwMjhF9LDAnUV15oeoCwd6mj3Aa073+/BX4mZ8 two5S8Z7TgrqB3jyUR2pYWJltR98FA0n5q4ci2PHWsuwYrxbb/nqI9TSk2znhIcAHQYID3WMuSeG IvHkAxrzIKfHYne/OfF/VZ9tZnrW5tjOMwHSXvg6AE1BySZDJIWcUlB+2VTzeZ+DzP0lR6vudjDA ER432T/zBEGhhRPq+xNUPSi9/ova0uj1xTlXOz+hQaxoHlbE626eRX8glrYFpFzcSBIvbjx7ilUm QmJDsSIHXk2S6WvBhwBLIp73i4CxhemG7jwlWVc+trPnMb6tlvIsDinkPwnWyhBOrLCp9vy9nj00 Gm/jEMGox005ii2Xj+XqE8d2ZjMydHIsIqah8SmgC9aiLVuvq5nNQ8jPk96JCkWY3U+5wBgdDrL4 BCwGIzDtWCFPhym13sRlLcevZIIrZ7xeRqqNEUYS09rjxOzuWOAO3eo/nPETu1t/kZ0GZ7pA+C8i YCvCp3MtYLj0XdM69h7ZBqnrWSA2834gagLNJ10Nr2bqTZ+TO3cETyx42hznTJUZFE0ohZXWWcxt Q5H6R1Ln8XXNwLVBKYf/rlVZXvcuPM4FklW06C8+4ExHGePYtOcEGMaFL5M4qc1NVPzvrLtzxQKj 8WRGOGkH9t8+7P3t8MrqJdytD6konAr4oEYSXiDSuZgpkuKevF3FnRbNF4LXWjH/wSvBi4dRBFBx z+yo3x7B0SrRA4nvlqSJ4ITrezwYrHanngNCQYoIwu4mUt4t+0SIvb6bPtgl2+IWWJS7pGx8Nubx cL1bWqGxoJc4f8AoA6X5aISYv5ls6oYIBF8eqKvZ+a2zvGMji3NRmG2WzsTLUM4zBxkF5jYES0Jm ZZzXTiIGFfitiYYEvJX0Wqt8cL7cauFR/7XzpjX0JW00uuytRo/al7BmZnUKDQdDoA+artWm27i6 ga9Uo6RsGknyFUFptKCTbAqgGiUH2xqSnxpwt8mSUZGFsKvFqbWw8Lo0UzejhcWsj/9/FWnWqHP8 zOPvBbhDm1Ensb2yLDGKIAda9cnYAsGQpaEr1ySAd3/8TggPiC/wk32z7ojW6iWNxEOBXsyBP7hw fnaXtjA1e7ukISwmmpMDCLN8R2ZSpg1GsjY1M7u3uYYrib8tgbxpaIo8gyrV97PI+6MPe0O2Ie7y Z9oRhF83Ex0CHkeGLruljkS6bJFVtzRrHC5kyMGP8KgZGmk57D5Q35Auxr6C7zjFebkOf9kT8PVG rotULX6dqpVYJcjQXFhz1h02VtNaoaJU6JM1sKkmv0Zb+WRyjhEi3+CFc760Q+kc1YnkhbB1vSnm ce/21axnTUTMSqaEZWfm1UaztXMduTgfTw316Tsg7OTqzQAg6xgpDKBaahjD1Qq2IxvQUOYh7myh pCXVJdJshJeQyjCxV9bZhTzMLhMAnLL0CnpJdFIgpreo5CV4NfU9pR3S7VTDbZGoADOyGBXD3ibU P09YsWMgcoDZKOzpdaUiK2EFAJxBSgGND3NX51kzdoaLr8qQEEYAtY9DTZzONVJHARLV8MUWzCkX ve5bXwnm5J8uxdLnGHhKtVbpgmXRrhTh+vokQTNSezL7/bSXQfVxFpB9Zf7TY+2lXpETLfFpka9I yIjiJWAKqEa5nRKF4mrzioySFV4DinSOlUcW44+s7weaMzNj3AUGmQFYDxXvlvmR1nLXxe+Zlz7t OLlmOW4qYcrSthmjKI21AzIBoZ47n5dM+t+K0zZjwDqJrWrVNKK2XDeMXUrinID771fIpIxoPISs XsvT0DV0035xPga3aOoC463acq8GlIT8qUKS9C0gQtpaUl45rhW+HHFJuqJ2h1JK1qA1DfZsbm3J 7wnjWpX+llys9eTPXbwi0UK8/RXp9hrP7cwamED/dAOmdN6+suBeqG4EaM4kNQBDe60+56lXuynv 1U64RxOCZexEh1TZYaZeJbF5KgmVV1rnZMGx6EjSuCLnUx1tkkHNDKfAJU76YTj7H3qF7TLKunqF 0qMFtcOdicBYr4xYGcWWdAg7j6FDy0xn7CsiN5kSo7dNi9IyTWfy+31fTpAOT/x8DH+oy26pKmEe 8JRqfUIx3CGlIvRXjhDrHWya8xDTdXDczhZH2d9uUNzIHZnkNtMgDFfG8LZJJqlk0VNZScaAZNdu r0updSApsRMNJ+d0q1yh9RFFfTI/UnObvoO7wCczgZxuwpEdniuedkHl426HRDHlFt+btwU4cvoB x4AR84Ns0+uuMVHghwbWMSZHEtKLv3KYoIDSMYUwuuP8MVMatICBligEvRgd49i+HCuzmdeiyt1K NHm7czh01LBstb8TYA5ZS6DX080/JxZ9WV0udwvCICL0t28dgiR0Y0qv0H3nEpyCIZuF+JRZbjwB YLkwnUMT8SO+8gioiRm7MBHw+vJZkY8rKkdZLeKEVJhPssuB04RD/MqWZG1Ibd+KgQZ2OpluTgYl TG/FExPNOyZH8wKYjHGuv8pF+p1q3tJW/wwRQnj1pVzcFyImCdAlaXNial6mk2ABaFXwky5b10LN if6FQ5reK+8lau2Ytw8tKKM2GaSLly06xX6SBlDZUK3sIthKOeF5EPluORjCdInvV72RTxHkwznJ tSJPQb+Fh+T2cG2fDbASQoBg5UazF0lajjQR9DnU2M+uloLSbBoXOsMgI/6BIE2JPYLXD3kgGDJS nJd3oaBkR3pdRWUkAHFadQX+7xavtY6DidFU2j8wxSx8WRcA3m/sFciQuFrU5IzvX4ypqV7oo9U2 6ysD2awYHNdp/zP5ZvszeswmNyihrhpQv80tz0cep7w77BeJoB1ywygIuTwRdQN1if3vb8vH2mvj r43pwwm35OaR/MmrLRfMSrYZVW7i9l7rijlPbuvqnEzVJHyrAmx91QR46bDjmtWRU2ZevDkEQV+N 0iy+mnLiep7HnoP7XQd3uLju1B59Ocum6UoRIvWNl4eexOUNzBvess34TozlYzbwRv4qMsS5O44b x3s3K2HV7B/pMHqPgsyW290aJO+PvFUYWdDGo0sL4fqdIMW0xVcD74FyE0ae91n88lK/J5uw4PUL Lw1MSY0WhkBpdelNtr28hR6ki00+JLprIqY1VUeU3VvF1Gn1IqfQNv51kCWQU5YWdhZ/DGu93rz7 qaXq4psFfvRp/fBY4jhNXUaBqs9/YU0QVoQ3Ly9b9HLV2rA5eJWSbHtqrQe2zV7gmZcs75UyKCB0 yFeU6n0ygTYLnhtjz3Tx2waG34s8hMo1rzwmW8smFaSmyJJ/Jc2K2PPmfpZ3mrwqPy1pcoQaRUUR +ngpLdXZpQo5pBMz2kFNn6CCgj+5erfwtkzHNxyYLo2dbaugFKGIZGR25XztLE7ttVUHEzt1vw+l HfhKQYZIkkjqcKiTcjhwh42R8VpialkNrEZiogk1G6lxx4ecifvGvjpS6py/aH89stLytRRG9/zQ jxxl3dBa2m82gtvLL4AG0ECxNsL2gpFBNYsPI02k56Lcf8FRu/h/t/QBIzvO188jgGnz++FbP+Am kL0bxVjt2/Ix5mL5LaCblUVZNHgUmDSp7RlGgBiHOc4p74UjmmaAiAzRob5x5eePadhqFjYK+buJ Y4b9cnGBO/qhhX7mhxH+VdgnFHBAQZKXS5ArOrA2YMPhCFX0kKLG7jksVP9YC7S+irW1iBOWPod/ fwpilSRV/hi1A04fXzMuIbQpgi8W0y79mKVaPMDBdhMIggm91t57Fcjwv9IV7WfFV/E0KOr2dp+I KdIA4MuLZ4nsrO1CE+4EMcXaPLl8DBq3PYIipLn64gRl2MpA7Rf2pHbiYw6giJXK+RP362hjkNqF 51t1o1FHCOpTyYu7HUXCidPznMQW7ejgYsFsrHRLiaUuBk5Q5e5PnjOWF20R/QFkCZuvjRLPpras Ax9Oh8j23vuSl6PcJEVif6JJ5ALFCCyFR2HbGY+RI2FTR108U5d3ctuNbRUOObWUxWtfgqKZvHIc cBKcSUCsfsFc5BzNPojUcR8mujgdyulWnT/XI0Z3Gsc2iT+aeKGlOdxasZEwVU+FPaT2TkBOmce1 NSVtNcQ664XEMsrNxJoAgBpZHPPyD4RHzfNNc8UeOZH6FflTIAeMRnqMRsGVUveQCRbip/XXgUTW D4jbBRYxSdMD15JnGgaQ5DtSOqBwKv2xiUzHCcIZxrcYfTgFzD2MIhRBjMAlJi+OfuH08s4lhyrR pTGCiZF2fDBlCHK6DnjagDQolbjRARv16wJLOW6KvQXVebaQHt997ec9/7dYQmL/zhlskVU4DvfP tz3aoLJ+6PceePr9BqQLVJ80Dpm/Dvy1L1ihMJnvr+nJ0GnWXY96Jhh8GDc3skZ87iksZ+Qdrem0 VWPWCPTUiNiTTV7HcCq8+pLTd+1+e6VUcu1CJXdgHCPT8lUHy8IJ+2SawzGsIHbGr4FMdaOQJY9Z GruS7YuRr8vhBO80IuigABaUcyoPU+l2Pjx6uX6aK+HZPbIkbH8kIGu0rWBCnkZV6HQgYeduABo3 UmKd0+I8D21KXlH0fdED0IlwF6byxDzR6jmpgyqRWMWrL63cJM+ALI7+ZBEju0g0IacawYxZ0Z+d 1PdYbJwI2OHDPw6Ct+NHv08u6ijcBPOGmO6huRWsqBWqoiSAlxVkIBR2nF9BLeAdtDrpMM5hCJq1 9SqQgXke4uLfEOImQtatqCJcYGVQaKOGx6TWMmMow2L36nIRUQnX+jYL4Xu5JOZvMMDC+yBK1Pd/ SMtVILsjtfCveuWP7AckLroxdiJ4gZQa4XESB40h1ZHA8NsersrrhmUwf+x0q7YVHM+XK1d21eLF XRaRaQir9a04tcqMly7nUkPWiC0eIMMfXsAp7q7dru6uxyNCC4SwDY/Rg1iKzlo7sBy6hB8VYvOY 0k3+7FSrEcteFpYe5+z7oj7YNreHKrGlVHxllFabWjsSG8EaKDIxhkrnN3C/DGHy4Ycj9d3xUtg/ DRLpzpbWVvTySBBrrJ4w7FMO1m2e0tzqzFGcF46SN3S1b+P/abD3Fhdf/9vDR14usEZG3CrhVHWd oGGSp3ZqEWi+KCy023dbU85JiSoS2JL2KIkC91vr3JpvQxGciCUohRqSL1VlRV+tY2cRyslNqJ91 yC9csUpUtg+9aH/3y0cjyKElXoa2Hr0MczSSkzTANBN9X+xU7PAbsklc8Og8Kwz45ubJ0eH7BFcZ c1qLZkaSEg60T2Vxt+dRjF/B3ISAobj7jo+o3VKEyRjYnTAK1GXqMWM/si4Joq8mrwXVsqr7Y1nm 50L6y1niiKFa3STVJxdxoMPtYrWE7muCJsknPOKVI1lydnZ+SIussh/D9sGA2GHZdfm0W3voxo6v RpQkucZSqO5sD2pcEE7JV0yysSIMw5S54WXQH3Us/HvDMjytUObZ2dcW3rtlGuCcwIuBUPDAmFuo u0DymB2C4GgzWXWWlJUtUwA9+it9yHNjzyqRR30tbCwu4la9qdprlaWRf2tHaUvqiJ2MTPdJeYl/ 3BRITITQ39PQNjQtPgovcsXGAdTrxrQL/Aa8f8XsEYWpaaRXDEMFFjIg0m6L6BRklk8PuN8dA6jV 5wQtKtXEHLLrZAbQNuPIzDe3/tj8rBsFKlxaStQV4t9bLROqL7SYXjImZCY/mJmgjsLf2rDEEq0o EwY1gMkDYhFNEokP09YMSmS9J6yQVTrhe2Vpbdf3ZHhgGPz+jGozPUQXlQA37FmbsnV3vkkV9+tl sSyf+a1JFOe9ISM2Ls7boOQYJHO5VY/wvhpzbrk9J58MEB9BBilWvTenalRPdNtWNL5kppN9mEYU yVF825XFqnXYvUnVlUBLtEnnIBz1bXuIKe2epMG6nlbNM0Ct/Y6HpJ7DPg6KQfrCLO7QlceXBg3g 67NRuBUBqnZCVaHBeM7kO7rQiViPDIt1krNYsb1HMg4Fz8kXcI7C68fmoGEcFdKXldlWqXjDNMQH Z7egBQoSujKyqKjolU/dIdsSSwkJ1V/BtGlwOpXbsEjdGUx3aKzDRjs8rm1Akee3yZ7ZQDTUDI6h ItxTgrCpn0WNgDzL6olohskQE+3qKawPkS5hHUYeV7w5Vx5SqqC66vKTZNLUlrv5Fa8r53780TV4 i62vBbD2olqlVuWF0tLXN4MMZuNg1JaDPL8jy4omj1IP1vMf5MkeRYmESp07JS0o/lndb6kitLmO wd6JiX2QucO1ttOJA59YBZCDgRPkbLJWkTT4PL7sIcDOL4wSJrkCDEgV4w5nwXdq/uRCUCmRUQ6m UuGszAJEG5Y0Q5wxrecz158g7Dg8RvdAv6jwhjte+zmu7eOf6jXq53YhcTBqPBsAKss16kGNrTlX 75Ep+if3cuqe4AZliclIbNfsY17iZFlQxd6XQAklb0XWcxyY+6/Vq0elqDORnQr8VnOpJrJKWOuS 2VMz/EyZSvcjPK7su99VR1q2uXtxHTQzKdHXFS4143MwzrdJl99YZeVRSKqKnMP/nWiWEtOQTNEa ePlBoTKsZ6GkgqFViwwmLpbk/LjMRLtiCbTt6d7BBGow7/VdejfX5N0lVGDrZ9Ldt5tLTedRabas gLDqhIg59vUDOcXX+uuRVPsqEUMlUMu876LkzV8132Xghj+EzdKjHnxK21FcFDyqQjvdVgzj6cVv 458Uf1WZ1D0NeNsuVzr7zExtQJtH8V46jbfqgB8jfaJB2Rwus4Cb9VPYOBKV4hB5AYalh30ddOIA 7cz+QNev7+5tjI9MF150oDzTEvLZb+Ttp3mVYxa/mdlD/aZlseFv0FLysueN0A+6mBa6JKJTNBL8 vvxT+e2dXrr3JFNUb3B2sq8TJVz31+/J4619K7PLhD6dQTQ7abNDunt9hDss9iDlpfq2XopyAhXH fEQv9zpRthAifCYIm6BCBwnAlUvKA2GXSwSCuV8XbMpS9oj4TAS37fSrEU/MWPq4/0G6ySodqFZa CUGoBNdZkvzmMmGcxkIt+NSbHGDN03k6D0F1WzaKcst7s5MWXRgU4o1Q6dr4DKhQCaNuRIKZLznH dkdUtVVpq/00Bj1pvTu2fh44CvgoqezqrCpV1AWTPlf9wymSv+likbwSshDlcIz+6BrrN5fX5BtJ YZe8tgNS2rF1Io1wB/SAtFPBexc8HI3/PDDwYqZCl7ecig2+kHb8Bemd3GTbk1ORgnhrbuRRGi/k 5ZBz17eUz8jCmstWByoo01RPeuS9j4TO3haNkznJcrgU+NUvWediLxr7afGX0qg40sy4i7h8VfOn 7zIPI0gNBUJ6xU/nhfDrahbe9fLkzynDJGg2vh4PC/yYzcXLPo6tt0bDxBcxZF0C6kgE6KcAwEpR TmqWfHZP0/nXME3Ft69RGsc0u+ulHLSSaouFNYZwY1mFjXS+ZcJV9t3yTz6PuMM1jOOVWDLYcS23 vGaGgWkgqfT56CnduKxueWy+kPboVlKzDNQCq3GSdB90gyzsETsGx3Gq+0itIHnoT4NvWm31OPli fe4HlzAHYXRvOx0plPCSp9jT1HmUcEwfnsNiHNiz+2c5u2w8mb3s3fvolK3IdsOg0QeW0+Yyj87m PFn0k+rJxHu2mCtjWaYCazmy3WQqfKrDAhSVpMf/AKeGDYbNayBwCgryRtXMWUqNGoYdIRJcae3B +IbErLUOTHeiyax8vRTP7xHQTdIQyO60L8OBG8Eeg7or+lGTIdvr2hlzyMES5KsTlEUz8JV+Hoj3 5KXZLbaTcBWCuPoI/dY9FXr6vXLvz3VokrjSCJf8XbXUP5aEXkSxOw3KCv4OEq0GqKaCSpI1BWt2 XwgNkH6wgtLbbJpgG35FlNZNrn+6/gTazwLJYzSHTab07i5iB8UIfYhZiE2z4m9ohwuSbAeAeIdJ M30iettOx9lL90h3TGq9oLwyrL4IRNHe3LrIjpUi3qoc8nd2uyCG1jfhtzPKp7iKM9qHPqIrrn/Q WZf0CqZDOQOkjxQSXuu/kzIvza0S6u52rrOoWzdpkKOseQTnqapy4TF7bw1F1uCEgIKd3Gca0Ugs vJkmVL8ixEuTR6qWKDbNWMAoNIdHuiOYCdty7+DfBhNX6icdQGeo+d7jb4449NmQJiW7u04kfuz0 PZrdxFmUGonT/NqXIIbh4syFtsxRsnXbeI3H3illPcGhhCXufso9JKN6rRcK3wiyeqTtxUnpyINj +X+1Ir0Ja17sJJlIJpAiYmotcyu5sok+cih9vtSZ3N7x+3eiyexwY6rjHOCr0WnXRRbObSUjirla ixhZcHOUzn7G2NyCaK3bGzuKozHXs0jgADeKII6WRwWn2OnULN2B5Xd24Za3aizKcbA4GEu7YP+Z RFG57ksqQGijevxBvFts70JLJeMPAv+JVv4t+WhCEA/BelPRrvSFqTgLZFduUSGBWipXI5dUZR3t HTGrAyCw5QZf/xc7P+bn+zkcRSxDiaP82BxMFRiyAKVJxRgl9ZeyuFvTwniebyKaTAa+lBnNDHmR vUxOJOvXSkSLzCyn/dZU3IIrfLqZ6NaU9G9swk94v9ASoAl3sS4mj1qN1srD4sV8Tm/JzE2qbP5W EBnULJY+r/iPFgdUlXYm5q4i//vkcfOMxDUA2W9Ob38LlKiX4kRf1UdglNXRGbUzuvCmyAnyglA0 TwWUbDZdl0OSJjVh5ex3vPR8JbbNlzpcyH7a0x4UqDG8BVdQ1+2c95fErUy1R09h5K7khjfYubcq uSGy+WfKxduV88UtHxsL5kFiOsiaT25AeU5g9GW6tRphYVd2mQy4HWtkUsOsr87p2NgPaqCm7d/b j4X+INsIbJCmHWMJix8+0/rm4nfodAMBgOYFO+G+Qa9q3OQalPUS4tBGfS6plmmAIzfIiha0CrFD JiW9kC2B53ik5he55DUAkSj+qvHyM0KvuyBwA9hfkRFtMoo35k/lnJXfzMwSxW/jCebAyTFwby8o sDFYjYl1NPB/SX0BjWAs+EMmdCmKyEbd7NWAzQKCdMyrdjU7ilNc9IuKA25nTOJAaYPhIeBZy0le 7VqXCzKdBGeK5yo4UeVXEwt1d8zeJV6BhmTVKPezG33n4NnRbYhaL0GwPg2lAppPTK0B5Q96rzuI wQR+krqM/PUht89c0v2KMM4Y+Eue3F9It/Oz/YOnW2aWL7a7sd+vIDxBu99+QrkeXiGFr9ed6f4D kFLgr+mE4AEz/ykOR3NiljcJvaOMHEdVB2wtPF5p9GpgCKbhXzN5xSAdoUc5Wk2vuOBmy6gSoLRK uOPYR70mZ5peXI6D/gsLNJBZwbiQVg+1EqdiCuAe2PUSiIxbIBlsQmoVPWjkIFoCyUkBCW5iRk0/ waljY8kkJjonmXkvF+szZNrbFDGTuyKqwKULEORev+oPRGibN7KnNGp4YwwTap1ReVY+1AsxmZgC sj4IGazMRMh2pejw9o5dndXYDFwoGVD9PU11zQXLTEiAqh3ClDPOehakaUfb+rf2aELawpHz25cI ixJTW73owpWHl/BktUqakJiXaEWBSSQY0Y9ky9iS6k4sxrLD+AvObT0ReTomFDvNCjshjXGsRpCJ MD1K7XGzg+uKg+VfceW3Iy5dLYqWEnirGHVMxSuqhsiQqCcjSMTHcvxaoavvOBCLqxZ4gz8COLmR ef8IIyutEObz0Cpxjud2N6mPBtIUl+YPobSXND3Dxh7muS1aJ7YVvJcKG1yP61qNOM7uhSlBgH9B vdWAN0CsFXJuMM4NoPoam30ixUjrdFCw7j8vHQdg8qsWT5EAUDL27C+B8AUwLF+lIQ155lGIJNFE z9z5R7FNqNy2xneWJgH0Um3wEYdtlP+Wfld6zs6by3zpJvG/Ck/Z2V+DnEhnzilKc/QTt4b5G3GO 5JloqmqwCyY6Vj2keeio8Dp+k83DpZEMgYfV9z1WJ7mtE+aMo2ryiW2RaQhvwFpehBhhZPsc2l9S CkzMC4XlpbNrBYaQpNPBanlxuMY+z2c1eMe5yAc4IyCRnzersWO+Y++L6QKD/eNm44LgwPHFBlbE FbCEZadeaA/I2xrRWwhvEoUWyYFAErI7vv7FhtVPY2WdSRWlZoqDmQanAPVBECgavXGxId2T1Vvh MrbhcsbQwqSkSjSR8eGRGhg1X8A1q2jtli+Ozlsdc8tpv24X4RMeJYdSceCniEYCt81jc9i9CkMt 1Vdi9cbTVxxrukuFztRdHorsHBj5kGKlHqX9aUCcZNOt7DTw1helgIqrnEahbjZgqL3X/ZZfiCIL vjUnjVzicurnC+RaylC/fMkMZwgDCTcmXnfGtiZI2TnsiKC8/5A7exGWwzxJavFmhqklymJX2uEM pZEENlHpF31mmnmAap+XVZ51USLGoJz3QbuHLfytmn/rC87AFXAbHTnbxxfSjkA+5uAwecQmEZi+ DS/dNHrfSAgNizByOHW1HcNHz550rZbr/SFfaCBjkP5gcAN8ZSbPPcWSGQ6fhj7WeKeguFtZ4tpG E8hcoIV7cCGmmd0XWZu8+SJ+RGF8j/6M5DpfglZprDmIvht45lAwt8rwpKXZNQ594F+ZKV0Rm9/Q F5A1hmptcfu5lkc0aWTD3Z+l6onyt1VjCjEdxIQL8/4/pbr5/2YqsQwRNNNyfqmw4nIQU9scqfQA cDhGtyhVCr6LCkTPjKJ14gAJ2ByuWrsjZ+ZQbCiX6GRDZzJGFvmJnIi/pdwpI3bkqyvoqRkRAq6I h2XLDbbmJ+uA0aY+rh8Rcq+xbFrp5h0Saq0pWOoCMI4VH0Maj6vA7M7UvlDR5O58NnNaNvNHg2DZ iseHjqP93MQ0btPG+q38voNh5Ei1CfmMN4isCpxZkKIuImX3trFfk3r3tbEEhjxYloXFl1Y6LfHJ sDKSUZc2RkPsK/4jaVnPsG9/ZPifbizXfSGzCqMT2g1Uc29OqwNiFh8oCf/gCYYCtjVyq+Ox+iC8 eDhftp6CeCX0Zo7VuRf5ETFHSdwfGwegIp+bp3y6r/eYIl8afseezwiH44n2Tl0tX7S0d7IFJ9p0 6oTbtoV9w7Fqhs0uzXySkLBJmHB8ACl0+WEYEjRtxHLIWYcpdmy0k7ykGSnbJHoeNQdFiObnshn2 jI9Jn7kQxIOng2ume0QNtxaOIvyZBsnMQq/nJaNlhV+xoUbIkWDnjtcBBbUl9IrWHT/RxDKrMR5U X+qpTYsUh249BNkIaJUw/6LYekOijRGtPl9Q6GLRjliVfqkSNCXfVO3gv+xDUY+mnS+ApNoXN4w6 tyXsDZW2OYC6b3vhnVPrFMXnO93yfVpGenyJq9LporzGBOoZ52wo4oRPyNzAekSGAfgFyS+XTXAN q1oU3lqWP6Gj2DaYEY4WBYhcqfi7PFqe6/0b/tezD7m9hWOA/FJSCcEXmCmH1S8v2FK3eJI/J4ZV TNCWIb4f+pMCqXdc+/gfbCWqNb/s6LxNQdbgHv0RRB343dXc4gKO020yH3a3QYlM++Gk3t1OCutS zjvLMUfEC5M3Csg20hj3Mpt064ncDII8e8TpP5KDxFkOPwFsg8j4IuTJc98PsCujwtgjKB2IWBll +8t0hYb1RkgttcbjQ+tNGBq8Xr9EBItG2ns1YWB2ROuetE3St3wu2RjGLc7WpSjWAYW76SSfSTRy O6M32u12MNkwA8+DwqLV8EuDfKhTvMA4q5AM6QxNG+J1KlGGlKtuGQ656qvtLbDaUDO0oK8TE2Mp ZJgQJ76wGXjVP/ZXfu3EgVWL1lBKUuiWwUEMViAsPdPeoa8K3tg+zUGp6enele7qty6sMSQKh/eT mfAgsv0Gyql28QpPYTDmvrKR9O6VBFcOmqTrAef5B/xB55Rq1x/QfYliFuOdp6Vh9CSsRm1blwOP hod4/XN7Qvkrvk3bgwxqra6tFSFdp5Fwp8ylPEJZHnorNsLUXPeIduXlbkLArdG/RvMGt6SglIax d98nU2cdRfkIWT7k0LWeIWL3DN+Z8kz1vCyXm/FyO4QGD7flLIblcJH5/0zxGvm0WwUrSWHKf6Fi PCOueLwAkSW9nK8Xbw+GWOx7P6tNP0ssbjGU124sjtJ9uH7K6yRTaXZHXSfWC1ojeVMtb4N41M83 7ZMlp+iS5D6vU3qKM+ZqaIz0qBVr2eQTzB9muM3plU5+AuziXPYomXfM5NlRvdkdDLRcOhvHqobO WiTmiokB8BHfSbMiMJ+LvUa3Tg1UetEwybLfKi1/oSmjPqABdQQONbzpL2rv+E/NHozAXRVPya1v NshvcjUgvf2LMlfQIWqIesVpBg9voDg5gHFqcBpoEfNRV2t7hNHo3NsJuJ/KI5jpL6hNLQxZbH2L NmagdAs8RVSxv8Ow0AZqqf5GBKPH0RJWxFBO7NHNylpVoyaBVxfEWd/rudG8cawbI74aJbQaQDgt LqrVTiLmexyrxj/Wxapj+EZsJMD+qxh8n6yLZvAmN47mw6lMcVxOiz07USIHt7uBlbXx1KXFv5Pg HIOlSuumg8pzDATcDiFQqXy+f9cXinMDBLcszR5zbAwSoObq/L4xf/5RNVBBrGgRqbulh3ON3107 BgZahjN+558yVJoZQ8SYs9otSloxoJFARCyamWFYlM88fysfPCdFl7XO1CaVnAHehNHuhIgO5O5+ zfB4t/Z3OMi2lhq1ZnKhuTVeyQBkHKiAJ31NCR+xYBO+sn+aVWd1n3lgb7G3Rp+gh8E0QqPaPWeE jI6BIPECM7mZ1v2GYxaqLrGMS9jupzm68wisun2iuDcxiAFYv2pqVb2nHzlWqFloahnRo2WrRpqQ 3N0OUk/NX7qpW7ZNJ01lXJGiMkDJeCVk5Tnossd67N2T6+Ab2DTrGnNZ4BNNQzZ5nIeM7K/dcNPl Vo9o/y+6EtL6ShkH/IFep48NtPNpgdhVfZY0v+b0Z/fRoXYdE/eYfLv+S48LspluCSZXb33Oi8Q7 KK7hTdep8sZ/Q6ClLq36Le2U0BF4rEBx3hGkQk5GqVc50Hke3vbfKJZXUIbts9bOSChrrANZOdiT zTqcU23fameOTTmIDft8Jl5dAAG5gJOKqljxc2AldClOaSoUSawTD/2hQ4lyTZn3h4CsCh/8cfm3 ocXcX4Tpvep9MTesIhpHIadvoYJf47RAUB3Or8XA1As3ZcCWEdfIQPu/Q48PyhpT6GU0RHFKJhEO a6NkBw3srI/bsSK+Vkow3lexRFOT5c8OKk7KuGkxVU2YVw4DB7YLYC33RaQeb3FBcQMt+V8NJTTU X6szHoMdyOdwEWXPwitJCWQg1eVmlx9RsqDds/vqNSbk+FeBUx643BtsbjtmG87+FczJ8xwRPgcR OttMDEqxDxPWPKOHfxpJ2XtV/KwnmDOl5TxcQjqzaqFZ/YUU6Zn5ZwJCtPf2vRXZ2IUXGzqjfQzx cfQHdR7bomm8O0k/h5amM+D+JCcgTYpkySp3NHWYlLhClZcdySkf2o279NZ2t0o9hZWm2MfSAG9x 5NuVbN5GSnDWMSuynQ/6UHjNHMuZ3Oqf7gqIjEfRNdFY2jwVcUcrANsl8YxJN8vZs0PIFFyAi4PA U69Ota/3hdSgA1KTCJ2gSoattDbnScXIn62arHGZDOvYLrwl9dWgvXhXKrtY1OYjducgpBTOAdJn eLpf01d9gnbr0PWrKWGIZ5CpORQm5DGPVbgMfK1BgtAOkTgA3bP7osJkl3J3PBWje+G9HXxkyPZG HyQ+FODdQmJCSJ8pyU/5HQ1d5HGsr2qtA7BTg3ail6+gZt3SYHNlPZn4V81MLRI1fc6cQFFflYlT qIUvrTElL/q6o3NvenhBXBW6soHl/JY29rhl0UXIXA7b24BgXst+8wwULDXcj45Bvu3E6drIkg+d aKrjQ4lh3Nc5kSm9pgjskVXsnMaMkhdCf+A3BaHvrP4K/ecxp+yIrP47eE/QyFeOvn9Aoa1oKOfD bokh32m9oNm/T1E5OspBY9KsKJyUi81TAU2h2e3/G1Nb6y1dIEXV3zMSzNwsHvLqJY64z/3BTTJL cpbmlF32WYltQ2u7qfmtrv2Hew0xM6LjiOzPiNPqe/cdh4Irb4z0fd4uHnwT37SD2b7nu+aUoY8V n/x2wlRKKAarLWdSwPM8o1KkqL2u1WGr3QOPCIV4K+pi4djQX3zlW02bNEBCAB1OvHkEX5TWeDWD Z5B9teP+WDz6bBPKcibBlX2sOwHrfr7WRPp59ZMnxNbfcFc2DAa8btLHQWM+MPf9X/6BOHxeMIfn hTIAA2CY+KfXVQOaeLmEgInak+XK5zKIFDdvrMeg5nY9pb4YIX/e776F+gnkPAFE4twwTWqPnaNW K0aY4jSN1vZAsmPBDm99YULrX+Ax4/TnBaFoSFZsD7f/IhaP1CCn1bFoVmvFMOGOTWI1H8RsiA+F QDXGbhs7WdnAq9A29qpWJovJaIT9tTFgdbYF5C3kmmqAUSSgDH0SzpnLomKV6YNA7h1IuGmUgeo0 A766aHKe8LwS+62p6bW5ZKFRx39eXlxZwuUxouUI8nB87egn7b9BExZ7xwPhxH5jMhsZ61NWcZy3 LdGLsDsLcpHF03Q8Rb42fX9R/+P+o9d2/HejWdIX1QOfVRJAZET/3yzD8GNlzbaM1J9VhlyrXGCd 1kTKeRgPRlBvIIKEpRtJ19g+gcnaGQ75l7n2ecIjhTEZSWua+/ppReJvxwRsl1maFmYEEfibGT/V zSLi2t6k9s4hgQCN9ddsIPtezzNVMFDmF0KnZJzcuTbJ7PFS9NyzqTWLDsn8+M056qM5L7pfvDzk MCrM4JM+Xb0zZSbREz2C2DZHnHFokWJALtrotMJQagup49fS8PMLofOL3EiTVheecwSrd2uPJl3X Xqw4K2C46Ey8nqmtAgCo1rhw/uvDbOVoowbwKncADbV5MO7dGSbWmOjty2sa2Pm9Aw5kDyGuZIGa HVHXoYvJ3nDn/kys1wrhVxYqDCrYCSye64ChaDIq2OUQRanql+HLLnbDz65yyik6fxfq3tVPftYf QnknFudfC9zui9ucwbF4T5JX88iAP0FbQ4yN7ez06OTW6Q6aIY1k1mFMGY2ovb45EKDA8mSrPDAy hXtS/Kj6QAZtdVsfj/R8I+FzpiD8QXDmGh8SiDQbrsdZ+HvTdXX5rXVmT9Wni4BgJUCoZW0ys63f aiS6qvJcIYceO3qfmFojvAUfD5nNnuvWnbaSbsdX3/hgyGuOqDd9sbJbo1krNa3ygSl6Atvz/oDO MntWKBMsMZQJeb/la/QniaVEKEZGOCcSL7TrBIeVnuZAJJEkTjgLsTTqsn5IiI8SGFJklgMuGsC/ 2fnu1G4G6X7MNnJHwzB1zxnb8x4rIzxxbbzI1R/EPAhCCJdpgxms7RjnHDhn53kCDGTOg2PA5je7 e3i8CLDuWBTktub5Ygf7kKv7OLiZXy1WcaQHECfaykrr3R7WaXp9rSpQNpaE5XNqPAt0yLfjcLeM d1NKgj0K6X2KF001ONQMOBrdTdUEbql5uNga0T8lcUEXd11GrmVDfUZ5mDjEQnc59y5Vi/DGNmeW 1HEyZJ40c9H0W0BtW88RQ6CN3WIzGqaqPOnlUL/lS9JJD1hPvRmOjxoPPtPVzVA77sjlPBG4tw8Z nxSglKfPxj3hAsoVneXyFmgRxTjZ18ALOLXkKI2sU9djxeH4NZgsCBZ/Gwx1tzxz/vBlT0GKVVan lhZCru+Byg0UAV61A2CdxRX5i/48tCFbDvMBIEtSdAPQ9Uwzhm9FWWOjj3QiuPet5H74OVn7OwFH l19j3x8IRlIj/+Q/OfIkE+Yc0FO0IZK7HgUUhYl2k5mP0rjMlE6T/KyypMejaLRY7eBnAl0X7Z2E QvbijcdogVQ33cAM8oROg+746+9j/wWeUI2gBuyc9tInPqYQ/p4lyZCOdkEh/n85n0gX8zBws2nw LgQ05f0XIn8nCRjTKVtIstiBwdoPkLSXK8yxa9qCzn08Gra1NJCw31I7QwIL3wDRSMV7UCrd75VE wL2zM8oHEbr3Bk/LLUG40vivIA0Eg8faWxHKyOIrZgvQO+zoBxNn7m8HSwv9F1WuoWM5R6cmY/5n u/EWKY4BWEywHwhmVco4k5VkD88Pm9X3CCIEC16S8AbdlTgHfHKol4N/RKuA7a8VRhlTRMMnoY9c ecqzpcsyuYKbQFVXAbekKjwuujvR3DcFUirK3NKS0W/oT4dA/S7IqhJGQ+jQhi2Z/Ta/VVeYWI92 JpXq5dFJ56+wmLiNB/aQ/9JivINduY04//lpgq7Y7x81KVxF3M59/cs0lMr8hWly3sjzABYvgP3J +WDlgusQ3ygiTJKY77OAO8LBvdoaO2pWkKlSIh78//ARmvxXR+MUTK7zGNdLhCnwUKPPQa/b2UM0 KbFXv82QNGv29B/OVfcMWKgIJtkVif12275udVIJFjrwYes+wwLZMMtighuahUt5635G3oR6pSYR PrC+vSXZkGEsfCIU35qxOSeaGck2b13EZmMxd91Tc2QvNCi6NlNh5YZ3uOPZ41HNmKDm8WsvvNwx 47187+rRDMksOQBEn+izF70ws5QxziMZzKvA3Ft93ZPWT3mbrdfrKEMDf4I74UhNKh/iKdfQM0o0 FW61pZ3BAt0x/uVy5HlbfhAhTA+XX4UcF4KCbhyOefncFkyY1rwa4cKKEEQRpqli0Qt6dyQ0OmxJ GsJLDRFat44Ek6wmip1brq+8og51rHDxMnLqZc7SVc+5ZNSaDHFeTUWKa8j3AKUdc4v2DQR2opTa 5XdvHT/B21X1Ys3fTJfxeT3Ml9/jw0CXSzOp42yA3x+D6UeoiHQFjJDbfZum0eGuL7gRapX8uaY9 YVqw53Q+fZ51GV31Ddmn6qA56PHpchnVXQpokOI/PHb6C4SmvwewoNhewf7h98Qs7l3Tp0dGrlOn u27cRJTrJsqhu2OOqhvGQ8qhpY+wJ+ImgCKMJulS3YHYQdMDaowJAWxPVfn1fjuiQ5IlquUgtdcd KeQEQvGFEtuIdJMAKuOP9ljm5HIeYYYigXV2VtA5BswfBprUVwzccsshR/oePOUc3minc7cA+xoI qEZJtUcmr4quWEP8ZXwEuzoMcbVj5pfT35b6tSM5TEkrbjU4wKDoDY6uTI18VRr55La9qvu5P0Fo jNdX9WN6GSZeJxYlLZ6atTWkMcOAW2C2VPcRvZnnQy6+8LqyOgMjUUbOiSNdto9vQac3BatiTP1N DtWSWDFYz6PuEbY4JNViADTgxCwOHohEUJDqtgeHYFE4sLCEho0BrH/IDFdLa+NWt1R2WVgtENlk 47FLEmJKFPpcE/XnB+5WyBX3UWLGhuL7KE9OF1WKljYWDkf5rdSzzsG8n9VxY6Ctw6OmfpyAPb0K EtxntIQrfFzvl5GQVWYWfuboxb9gl3LPbPv1bB2skZW/mrhXoZ8I1dXKDsEeGsdO+UqBNdVNABHA CDqYQQdsdgWYeDmijBtllXvuNhLaKwayAFEz1zb1/Eiw1VrHx6B5QQ2HV1Vduf9asrTb3V683uIA kjZtncskcf1N7xkbvMCZFapmmBN62SHZh5nDyvZYu84e98mojHmy8qzyW/fxK8aTd6I43YHiMs70 LwZI4vAGJo3ty2XxCdbg6xjm9YT6TwoDLrwp/Wy3lflU5RQNb2xcVl4QQCobmUTdXa1OoNBbIkKm odtNqZtYbqwnZRdBM7gGPJSwq5uNk/Xf80DGfDZTK9EDeZHC5De4XAozv3+ktL0lC31FYfIqgLVW TX89TaerI387X+vbPgBlF1lc08xXuDCjxMMwF7KcKRjOFEhmF0eC+aii2aCfj+m8CcnYzAD1vQty HXzUYpWVi3agizJXSh3KcX6CVy+PYihd8BcU5vQPEZMZTOgQ9QWXtmznLDj84Ox8b7vKP8buYxy6 Z5idSdfUmCasdenuK7ChRxJmBqVVH7YuhQvgybjKlhuNLajl5CFXhLpLSk8tDmhHenkF5eTZZqyG mftuhJaoQfdvQH5jc2H1AG6XkxDv6sh5AZ+ZhkKFj66AEumdIZVitqXPrgzmFkLSGhx/8zF4mXC+ ssLHUHubqYDZmiz09UjljlkDrMW7yMYn8AVEYw2I3/LmXRhwmmdb/ol2nvKOKuSO9YbBR1j1oTBb Nu+edY5wC6831QqxAXZK3AP41iguE4jhjnbT/aeF1/rneanDtJBJ87qs19VK3+PCkXveLh8pf5ll 5txlJ0wUlp7YndXAm9eavx7ac/ya8TN/28qx5LNpVQzcploHrFgW44egDphmcLS/AH8IX3dhwqvT 9yD2LHhoA16qrnLXbo3J1N/xZBGcLYd1dDIITy0FItj+qnVmeydAmjlgph9EKnCQuGmWtObXCQoB I2WLPoC+IiOsoEkNSJA4FSn/cZb1AybPpyDRLYovB6SZAxWSx0VrrkjMtEZnytP+FoPysunNMJu2 KVXfh6hHQIsk5TOG6bksC0sDwLQyhfPb6QqjIk3MdzdInRPSMXWGnYiiGivGfLNyRc9jjieyzftH mPlfj4cFAmkswIWAbOdiMQ/fKK6yuKVCe05pzT9QF/HJsKhoE7vi37xlI4jqRoej6atJ00l/XXkw Bn1uOrVEmtafJ/HSYPg1ZCAXjrgMtoieO6iEohs0ESV8dtzzdRPg4cMgX8rJ9iAOfk1BiXwxTb9s 3Vvv2Bybx+QHHCa/TJ9UC0+xY7qKLodRVDs1u98v521XmX/nK4U0vn+hPsmHlip3me0X/++SAqBW bdHzcJU3Lf+hkI6hGXvEw+bq6Avg8ZP5mlGBiG+Hj2bmActXwmPaARONoHhoPffilJISxnePBgrL 3FoI7YHninUw44V0bvrwvgYskvphye7gL4VCib6qkx+NqKpNHr5IUw0VZTElzdrWKbLBv6Cn+y73 yYDLFcFwYNnOLhnBi1lM1FHidmYa/hMKZS2JhrjSTA8xIY1qNP+aYPTEZOSyxDVnPygPFpt1ywmS nTOm+L9xUj34FGOgeThMWtnSMzz5o5Mj6Nrllrn8aQZuBNZhlLyl+MbGb2K2ZbaBKcjxr7e6jE5R 2+uq+6xiLMSji1CuWIZIAgu3bqY2XNpgtLPJacRfmp0QjxHNp/W4WOMDNDIvNfsDSEGD17mhIXyS z+WR9ucEYx/17nm+DwVnCS6czXoulZRm6oyuiGV2GCnU7tahNqS3S0U9VI80xlimwzkIMYMd04Jo 9ilxHRO8FPV1cUPhSJXNTnfgl2jGaentgQ2TxsyZjsvs0QH+uC7Eto5dV5sklRFQ3aW7dtkx0DqI 5ylsybNpgWjrDnMMPe9cl7fgnGdwNJZrWnza5NGjdcpwxQa2UugmquMhrSgNVpyfrayZ+DLl8d2D 4MGn03hgmwqx4bumzaTWq8etwvUsDcfMdCeu0nI8zhLQqsqwp5vIERqYNlttSdvgQGu+HVegHDQJ ksGYcxF/AqOdj5g0tk3XfZBD/72BbPiwIslQSGI6Ofembe+Ap9uFDqGwy+snt6Ek3H/djljFvazk YIsp9o6WPJdHdnFmPLDNXxySwCuMQd0rvn/ntjktyyvCTfjD6c7+VKR5zdeTKAaKCkbk59WBA/hx YgwZRqMv/m2Q7pXXr3N5YWiY4yrAuy8cjGYr7q4qtgwPjvf9iYNTseqAAftR8Gi3IDxIKEcCCxLE 1lSPKaSXwtgU7YcDIiNgr0dSYCjv1Kb4s+FzyTrIk4n3Y5KsVlSsu2Y816Sg06OJOX0JWj3Oreyb y8aqYdDxcbhBUCNK4qB4z608ZSqojIDqCHe1Cp3cCFZQ1+78V2Koi7/iJKhX34ShKw4q122SAzHk jAbfbGtDcE9kmZfYFKjEnldkmvk1B8QdZ7MQ+o6AR8SgSus541lRH+HKkCu+ivruN9DyscLzY1O+ /qPPm2aoygrDVxrJgwEZAOXd2wbXVVWbHMt35UrT6u0lUhoFU864y2o/ob37mT5MKVU2B7uteWbz MBNjtEQFuXSxtJWkRLD0FQa51fv0zFRvbHvT9Cv0Ta20v4jIi0+1wioYW6hKn/TkC85YS/R4g5xu Mq/1GYhICOGCrTfppP75TBsSlQH62Huc1EAqGHPSvUtrYWJy9E4h3wBIF9uuIGXP1q/v9+UoS+8J Z5pVFFtJW7PJsAtViCcT+b+nUw5RWZYi1eja/PdJ1AbE3imdUP/1LMgSMiFqtS9A7xBsQ9SNDBQw kXinZ9MIYCQUHl8kgUOhX+/TM+nA+oh7NRDpDEvYhJerB1g7ySmFfx0kyiYZbARGvXCINoPq8o6b qDiP7Sz/PYdsRXPip9kzkN/hwytGfjvgUmdO1JQ1FDbDJsQ+sbrQSM5LCoM3Xzi0pNj5PeNHL9dB 6kneE4FKM5WevZHvxJQ/98Ur1KPk3ocE3c+DW0GKJFeV45vxlATUzarFi8NAkVV5jH5lUZKKwRw8 +k0qcd+e1+kcMzkKit40w0mqKl+aLBN7pOfsd/cYr3CIMSvzdccDTLHQ9nlatiQVm3i364hCSnUV 3b+PIptIVfTVcoa2eTuQ7uswnAYHz9Vos+B1cHdJRrfg0Hh1o4bXEh+Ui+XrTw6kh/OrCEnEf5Um oUXoyFGePal9DBNk5g5EkHDl1PPr90SEU7NdrgOR7lMxwJwDsWp49LW/sfTmfvpjguyffLVkJRE+ DH4iq+lAEeKAQDML/hhjKHBCwpPhPERHXr6AZG+EmMw1Rvz1ikQoyjymQNnYPUFucB5q5os0eQX3 cOj7V1vecOAkwB91q+PPr00jfeP8aMJnXbYiSITzMGNi+HJX0/rMTN/lTpqg2M9EfNJYUZpA8OHZ +GUlsAFFY4dIBMTU1T9IGvPtqOqZkkiyw3+VxWZl4XEjBHxeDDW9vPC1v759QB7Z/jMXeE2yp4WO pg5TsmafeM990D+rGr6Vvb5XbfLeajJJa+FQ5ESzrh2djFHN864w/8BD1mDkDTiLf5vMjqPl3lcy yJvmESL8fVVouVvzJpob/81aCcpA0EXtpaW6O3b1IGxP/glFH0jyj6O0rGQeGgewgMzBs0wxmvDa 65Z9pW3wwbaXxajemo5/pUUmsqWH8LzBtXFUcSF7SEtzbu2ClHy0olVYOdtL0DIza67RGQCUdzxG rcDhOIsDPHASexDmfqTtP9vU77OE0e1KmQ//KTlcFPGn+tTZqfs/rTddA1Vvb0WokPpPaq6v1Dn5 Yhkh9E44Rwz0PZ5BSihKPhn+cnVnByhiZ1VV9RzanWGfAMCmGMTxffwEZuN+CwIurhMFjmYFh246 VHSuE7XrKJXr76w6LlDygrCPmq4m9agmbS9qlCn5KmHVsNqbckVmOf/5v3pIGYgUYRheo5FU4ylt NyzTrYems6YVH1Xs18gfAc2jAEF4lXRkkWmsDvTm+ReSqno+FMhKOv7SA+bQepVm0siKur0wWCeQ R4SENoLKtN/De0YAnBn8yHbcfFV4ImFJKjt17pP2Y4qyNIGUKW1jzTj8Vkc218yG6zZq1vMUuI4b uCjdtoOnIbkoWbNALceqMs7Wdf9wPbqk1FX8ZrohTwfgy+qdlehx7fFW1qvnaLBKGSfPHz6ZDkJ8 vVYrQ3CHlA6rXonXd+o+e5l7z0+fVog2t2xfmuSIvmsmmAfEdr4sZh8ufn0uVzrLP6OG+9aV8l/p laXjjk1QoK1Hy/XMsqVDHekFFViTKtRcCqZne4n/E4idbiahQGx3h4yx/x3buWH9aXAF/N6QyEI1 1OfKXVq6p1FgrCRNdlJO1c613fT19URPdpyL8kwjUzsHyVGj7QGqFTy4fLLOMDfynSGC6lXuT3Kr LhUTH/FB4Gt22+i9VzyJDu6AnFnrEuOKFvE9vf0+Buk25y1U1YO2WWNq5ZWpjZMdvk+9aQEtaE2o 3IImBUs0BsFiMkZlJgXmHER2R033bg6aJEoJUtNrU/F/xFpOwaTFxTZEzcWbC3a1Kdn9w2llw4gI PTMfVV60aeuhXOfKX1p8uzi8kz8FhsUCtBVEirWCwnKP1Mp6L9DUlmWOB7JxFH2Kk/95keY8NgL/ ngavYk/LguVXN2brsn4MlQrLEH8I/o8H0lcCagHIu4QE9NmtHgfknEQv2Ku7g/Kn27L8tS5nIKIL 9AxVfjJNA+GzmJteznV+NMf4nT/X4V/oys+p1BMGlnMC652CAeDnCuHrv+01BWlcZN0an+PxBYfI 6wIij3h5tCgvkNBa2gVaPPFfTipNfiyYy8dmqf3GOSzVRV/vYnACyeOqofkPAYAmF89Q+UBM7yPF SyVLTQr3TDKoOw2jdhTr/eD11TH03OG/nvOYpIrX80IblZUBJ1O7hy5dvbtJmu0OwYvcc21ELZ9T RlRD6ZfheVX+F+nGrS2xDuBCPwy48HKIBBA1f6XJH2d7zGJpTv6DZa5jGktPCKVQunvMPuT4JyX4 REioxSFXfA6uf9tYYMI25H2L/AG8k3L+KPqkl97swD1NCuRWKd9L2yCKDYPLb7KvG5S6ZlOavaR9 /NLfc2GET9LmePvrIhj75lnCnDDOCMFiI+5zg0dO5LOWuDXPT4TLs/UlkbA0Dwm5CgMQdl442Ef4 16Lzby0eGss81YiFv8MGRDCe9Xl3VDtZITkU3kXLpgGqAKQ2lzH4uLeR/gwXp2HgkPNCGVDiggvt MYnv4Axf8peZZ7iAeLDtTZXfZ5/q3Y+Aw0FPgmF12ods4m2WMXcpblFLvf/+tDm4XtlVmVLgiT1B q+nIbzwB8KkYARY6kPfOMh+2tTFIJzhZ9yF63Gntt5GZEzbfpztXD7qYor7cnRi/rkiJ6mLWQ2Z8 rlI95EP4679lI0A9Dn/q12aYIu9d9VuJPG8cpdcTpMCsPFsgHOYpO9R1/O6iysB5o/VaDF+JK15s p3fnQha6TBeCR5VpSAbINlgGB6yIG7YCvwRvzrm7W5VVctRC19hmCizuHixco/hR0k+XF2PWr5zy ic7t2rCXRyAFVmPbHD3OQ7kyvqBpALKp/YelRf8CdVgaJeMrpF4NTDBxlH5vRM59S/Z24iPS9lMh jCDZjjHh5MpmZAr5cp+gP2ODG3xy0Ho5jIAlMU44XzzQ5hiIY8GAHhlHrVcrWrHsgHkpxazDyyH/ drhR1/hhjmgBXBDB60/Xn736alJ4eJtku70AgTn5BGYdHwsuI85W2VtyCViN3LxpnR/eVzHuUr9I g7xKQ6F5hb+c1DSLgbNurCYslgHfbA+eAjOWgtC763J991zcQVqLdB30hqtyg0OvIW1KKLKss2cg bKosvz0AbGEx2PYRpXBc0d0vcwsSarMBB0C1MTFqpTEaaowcX2UziWjWRrMgMTpi9VisVpo395S+ PcyVfIHoaF6d58fRh/oKaXRZeE35AQE7Nr/O84/voNTnJoJHtu6qkc39GvBwhSfrUqARoyrj4EqI R3lYVBXgUkplIUdOG1c4TWTRf3fu8PnNHVAFqLlG/Gq08Dfoxda6TE34YoINJaTa/e8o842vnbbI Y+ZAZcsQnfnYhhVgkeyo7+EepS4ZThHaizi/H8E8AJ19cROfFRfG4Z4RjqBBSv62bSC11U3bMR+n QjYk4dzhhOwxBhFCPjbZTeXH688pEiVfRCGHtEmNYX/iW0jIERDa89cFOfob+HVfYxfZnyQSB6WX 8nNwJGiVlTjrizzwiFBY0JFr20QAcahsTv3uZ1GXTE1GI1eM78P+blP6CtWuTU/C7c8jI3djJ9w2 /QKiZx4jx056+gBILZpmWyrzVz2nSE10hHwMkjM8KAk8Ns9vMD2v7rH/Qm6H25Dldozq7JgRfLgD +jlT1yLL+ZW1+BXb14hDdRzF6pUeV7Hic5jhhP8BdRPkmXr2zXJRdFDDWOLC49rLuFlquz1HTdvJ a9SOkqOfXCEBcy5d4y5PcdjgAyMCkIOO96AoyTKh7tEoOwsYdB/VTQnZD4P13eVBIE+Hg3Mwroyl MOaDpYnEt4reJI4wat9a+YPMXgSM/KMsOLG6zg9SXCr60e1aZtDdbO7W+r3+uK2fXUtib7VLl3n9 LDbOlr71JwZ/kBYCoFsIn6l7e99s6IjTe8N6ciWwzqISvtdMHCU04su0mpVvRVz4NzyvYoZkGw8Q gQ3/XXKCPgk9xBU+g7aiCztpDrOaXsOClgVyXdha5SghvgVeEl1U5Weyw6YPQyeiQ4DM/2xROITo csSLEwpwRWjdyJ66F1DbSnzadH7I0Z2HxK7k4E2gQqz/cz4OxLe9fnO5uvePGd0H/bLz0LKOmjVB HlCtaws3uSHrBeCqzuwcz9cVr7ILQhMYbxcd7q2C9gFbtNouU2rHrQGHQP34df46jycD0J0NvDw2 NE6OKEBJHvUo3swuZ/8I6JV3yr24FcpGZUM1QIapViNW3XNbD5UDXj7c0DYcMFB2qp1TvGgMIepX e+gLR4fGLhTexJh6wZbmmCkFapmrwyhppFZRR5z9xaTRSY67zT3mMpIylbPpwFWhhOen2L8hBq2t lrJhVxY12mCY6JSTJWqkMdXzf/hJJ3YgOT6hx9JVkoeO/3IbKv4who/dQvCp+7q0TJVX0meZukRd AfzdleDzMR6q3h6Qrw6g4sgOOWcjvzwHmerCrq4a4xlcA6nZv1NQUA9nDcBaBKP/b1kBbHmlbtKt +KRW1OHEH0TbXXmMSBE3VXf1Fq3fYp9f+6FkS9XA49NUnDp0pAhRmV0HcMjAoBjaOP8W06g92Uwc vHGt0g36FU2qVLRxUcUBNTDcoNf9ALgLjV7by8yViG82yLMjClSyZ9d1WFbIt8k8hJryDdWg5YZh y8AScBLFxtJeurmTHB9Q8/D6TNnOpkEoMOW05RTNqrTTFT6CKu428tcRMoUHDD3Er/+0NP0fHB5M OucexXBz0Z4RgKEBd7iKy3ke5HLvkT//3UO2nZhROeeMTSAR5bhYW72u4OAxfOp4rlhDEjBMKIFm 5K+YKAFks+07dVaPyMemYKLchYp+ChVlObFotKDPBJ5yTKz+/AHU/bb10FXTlxG4lflYSQYydyfW 2+f/6zbHSsiTH5LUxjumOsiiHcvpwvLbQc/4NbvEMhAUA1TsVavUC9lklyhaN68fJY3WzbxoOCAr 6S7djjC0dct0bqk7hHVTISuAFDghRisW63HHGTAmIbhj+1CESW+wWuDr7h5/fTx9mF2sfEFMPEtM GB1d3Hw8I+xOxe8CarwAnjJYAohR/euREDBAsOLbrHCHYXRpCZqZdWTb++G6eaBIUiiIlZmaE1Hw s1p+H60XjhfFJruyED9EWp3i8iV/E/HqjM9nMomZ22VR62M9raCpNTr7YzvazL3Yley9NLFvh98O OwF8Cv865EzJjG2QenXTd/eXp5Cbb6TAUgIPKVrkdWU+zxuWcB1x4+0GjnDDWob/OhYJ/0z6gp3C brR2kyTgd04xJHW6sf4lp2SdBTu4PfWe2hwSkq1W4nOT302nyJqvy1aC2VwZC5Gr1Wuve8kHyHo+ xOFTy+ay3AabVRMo/IlM1+R2w3OetZjEf437nhFIE724a9n/NOTrH+Cw02ED+tjSTVny0s9mXev7 slQhrgpXvmwPrWLLSv6sNpnv8HMxEN9k7Jr7JxRSfWNDsUWEFIUJFcAvKzPZEZhNfilFW/o4Tbwo jnTY/xWbLmCJeFNoHzdSL6HOE1dGIiRTVrfKOFgWfiFfDsEona3BIhQb9rpUyVv+JGcgKqIY9jcF dMRY2RDsGxc9johvqarOgSGbMl8eFwWuxA5NlcrKX27QDVmiAX6WVTg7p8PEAaSgSrGfLGgHvnT/ hRfuUb+03uws29xGBpJPgu3tYsIdCjscxHDb0mk2sitKE4oRmywa9IlfZbT/guC52TTXSQjIN0ix qx4RZLfQ6zWINXqyvXQp6j+yM30A1sCGalPBy4WA5shLbqS+8/+MDKamjiHS5ySJJ+c45890w/95 vXl8a62/ygaMrKHTIRbADUPB+0zIIkLryWVoMJ9jvl7yVp9AdXwPRM/ruY5Z5PDy9SXrfxU/1Xc7 DUbc9bVL4czWt0yaRchltHIcsRWYQHrPdlDgs7Zk5r2A1rwD0Nw4LLKz+D+5RDMqHj6Dq2MnzLI4 pFsT0NUZD7cPL4IdzyAAv4kVUTwEQERUgCr0s9WF/Pqj3eUeYIU2CClS9PdnGllZrM0XGDkJwoPd d8KPaHDwp48ovGyVdXm0zUaAuQ2E60bp8iq58AiHasg5xnZo+td94VhjMhnJwTLAWujnnClOAgyA K81DAjGA5dxvdxwIKss89jQeshrfyF5Gu2ofpingDps29TC0pTAjpEUKLnwW27C02rshEAKRGehX dGrbN5roVdUwa6GySfFjKfy8GLtz8NuBuAzgv+hbbIaV0EfofLGtibnOEdkHw7i/i2/IA6Xg8XI5 Ex7ihz3RSib6uxy4Kjl9s+R0mtWhz7R62QlvKni8pTeudkja5Jj9b4UwkrTNBkJLPgsE65JrmpqO hNRr8TtHNljQRzVxTWfD4u7+eSsfuLSZ80JZKws1enCQBpaxYl/SIwXKrS+fzce4i58MluuMweXU Yhhw78QIsXAHPy/+o2z/Z2IUp0kXVRFTIeiiofCldRmqyIIkOVGO+KVzLGahPiPR6otB8gDjFBzj tTX+PxvtKQnK53iD21klN4d4I6bbFAGN1Uk+8ltXb4gugba5/5JlzJ7/l+wL3JFm0GAnHhkK9s0z WsyYLHjOLWUFbmcCcY4YIc2j6c2fa/6HKe4CQaqLAiaapzGoDEdJPJEDteFaT63w8erFN+30/K8I v3xUbMvlo3XOWJ8EPBK/WbcwiN0d4qnTJcswddkymHNEqaV2lGgBAKciioz3EI6Kw760Tv1ouec9 ihJWm4MLSHR2ATV+1x57CyDIkCN79hAEyWK7AWLbLIewSAOZZKm6YejuAUC9qAh/4iQjHyfUhplO TEmGoZEpdqWkUrnrbs4wvHtfvjqMRWwrlNjHUA0hbXzAssNuzi5lhxuKKfIHGw4F4KKLOJ7Nb+Hm NPFaLVcy0YHMOQyCufyn2GJfvWaKsi6mc/q3ePlUl+MFNfA+1dj9QnTb3AFJxP8NNX8Doo5Zy2hr We42tsLVprj/0XcRcCdOoL4ePxsMCifqwtW8Ak/Cv0erWvJAlrQZRmKjjDcFFvptc1eOgrx7afCj 65D5qouhSHyMHkQmgQLVwe2Hvo5ss1uSlWr+VqJvMoMAhKYbXGXoXn1fbyLWB7ahXlnqNAiXpDHt R8E0DCdxqxt/mx0iQYsjezUlECaqMCiUrHjKRQeg/BsykNGTd3IGtAM8YE1ceFbXYh9+u9qr+G2s 1g2PChkkGpIAfnPv3ydmg7RHxBHuksT17X+VxhnckfN/+alfNQH2GauChCgblsDUBLw0r/pKIKQD kVUP2QqXLU8FidoJHzfuYjntrpnVhFU2YPCLeUOOfP/Po2zkKEscEqmPNlC9KCyXyIkZrNe5bDJ+ 17UCbOpkKO1IJfRMCDoNkpo384w9cVQkZ3VpfTcxhOwOQ5L8kBwTZ/Ljhz1STvjaHOv4cGmtNZbN 0b9Or+t0u1GW6ztkjwLarBgmmnpVh1T9ptAgNzF14cPFJSm2YLKz3wNZKGQsJyNtf64KFfopZ3Nl qQUPAP5PTt45WfZXk+k2YNjIWD4jQRRD1PwBSEN4aB930N5A2JUiqSWgufGGMO3BSX8zXDA5TPYm Vg0Qciee6ldCIC1ehDc/WbJFluEQu3YcSkVBfinnliv/LOt+8E6nLIpAbdgHBKSREJ+wPn2DmtHt wibuDK317WudT5wiWLP8HaTkaNFia+6L8+dIe7LRKJ7TQFXVq3FDk66P0F4bymsXS49TBJbjK0rx a0tHx3vDuhInyQwsWFd2HKWfYYyz0uV+Az3J8nLTD/7GkLEGEWYUEzjbi/TqSvskcn4xzET/L0WR DqTFmqzEyaq6TQvzud75vQTR/alLVodo6WaAMkO8Rtt42oAX071spmeYNUZ5jG9ghuvVDntglr3E 4qkxoYBFt11LpRez9UaSjzs2cuisxWj4dij5KNdsuvRpEDASbMw19QvXVkyVxeECboJj17iij69r tA4b2Ea3X7kMSiqehsmkZiw9rXW96y3/f70kC2t8L4/eVpaPSsn6/VnLYZCRjvW3bpwS8qsmldKG +OkHdlGrreBOLbcK95hOPfPAF78vdAkYHjKvQOqw+n10JO7uI+VjUcjnu2HnorbCkwOZ2uZCFg7N I7Wyi6gfhPLwGXs5gWiSxltSIQf1kj03XVXBxss1KIYCB1vwSofysTg/Lk8dC/Bou1NKP7wQ3AYC Vle0dLxC2nCR+aQ0i/05RLZ3xH+F98bYKoOoRcF+/TxGV487/m4BMA9YIT+Od2UQqTo62Y1JbypB oKnAsTHvQfveKp4CUNm34mObjXQnoQ3f85m+VBQatOPiZaoJMuhsMD7w7VUXTu4r8QCZ/OdkciNV qb6bhQLGgMAuGa84YEGHH6hUHNPfyqim7RgE1YkAUCGSO+0ipB+dAPrnhHz937nEBT8LOo4P3YgP 68gWQHxtqQ8Og9HuWb2kUOS+kDzqxkHK8Z5zENajNnB37yJ2Z6NVsGPj2/E6uie+HfdIH3ililVi 9efnVzu2xLtlzkhPnLCYh3uiBF0WG2vT6uzdgA7hi9+ujX6xReVSWglj6EIivNfem36GE9CVkn60 +W31+BmuO99CY/2U8pPWIjyVAHPT+M6GmxTQnf4Dsj2Jq2HzKoVXbDjVW3AuOtYiNpyqrH3YJdA7 UV5rU2SdQzXoG1C59gcATx+bIK8w/GGCz6tnxcPEA8XeXjHhmWHuIVg6mobgUdCuOlkJxLnwpWa4 WD+1NrI/HhjCIeuGRDwtdR+yxa9H3KKMt0jkNMips0XZJV2GQ5y6GQekMECQs8mUwGosQzjw+Dux YmVFj3rN9Gm7DaZCaSukYZAX+TzZPvHByQeJC53Sy+7lc9wnHNmKunv2gY+9sFSx04puF5Omvdya 5uQ47Jf5jFxgye57Tej7JyWXaG2rPlie9M1YJ2VEFlJpa/K7Cl0EKoYBTudSYc7bE0GjDJmwCIi0 G6SVpRxGRLNIXjYgRPeqRAfEt0dorUN1FxdOqXMMKJ30Z+3ysgoxQ52GM5Q0+UOlm5gEZGYD4ajT dzY9Q6zJo9PuM+/3Lj+UfyP70ARXMK3Is2Mjg27s8BSKNj0R0MbSKfErzbC9S+PEC/rQ5eEFfH+0 2P39MQy9zTYmtN1lynhQx7dHodvF2KCYy4WCpQI+CPdOYS+FFODD4rN6NpfdlZVmR2snP24WB7Ec vkg9BHIAgB4jT1629n64T461M9tkKPxAGybpF5ZnmYfpgI8fFwKG1FCoFDcvtK3EWriw1jC7U26J 5wdqkVbs6W3OpOzPvHv0VwZkEi+YuecMHx56H77M+8xy18q095pmTp0Jz3b074Myd2M/Cg7WdjK8 7dJp/lKDd26kfmCM7q6DGngVl0SfMe/OwlmipWvf0yYWjC7CvOZWX0AHijLCLb+KQhNcoLdnGFZd B2kEExbOT9kAb5wNHixKL5zhxevWko8oZlmUeOLc1rIlh+mnsQsIG6tlyfXRQwZMmpxeB868BURD h4WlY51qFvZe8EYn4f4eGj7PPIDuj4qCELcZiQyS3zkBrE8+TyJ7wXgmu2ysPdqxK73J/nd71YQg j4IDoWvWR2Vbzblc5wJxMm19+nPFQN1F3xtitjPDnCHZpxBx1HQK/03bRd4/owMTDoAkyRcU0Ly2 nc+ZPrBnfmYsIVet0BeMUTLNO5fFzfOfVD5tg9L5+1uQSD8FqH0E4BHZ7mzu+nJK0yXY4yf2c8Ko aV5CPmmmXZbpG25UIkfXsYgdY037LGwKXWhmQWmrIgD5NkCpC186JG3YRnWkNLNGRqOVGCU91IBS 0hRsLS5fBDiTl0pXmX27qRM7GlbQ2PbLgsBOaVwr7e9EeQ+sXrHZPl0BOBs/KuLs6LEJroebvcPl t/t9bMazmo14c5bMizasYxU7rYckwM4D35L9hVZvKynX1a00/NOnOG1e4jTPs96beMcJOCylwdg7 +wfj3jFCHGuKSNb3hCVdJ9OXatTpzyIbNBHm3f+kJy8LmSt8DjE3MoZXqZpkFGcqaHbnuQjMu1H4 6v78h9IhYzA4SEpI2GHyDBrgaZe3NO1FCuxRWDdqKLy/8qnDamPwQrooMwjebQxLWl2ntG6vHL4e uB/IunCRIgwxJz2UwfRId6rGAMmgvEzgK1joHp9sdvI/JBDNmnfIAyxs7sIud+Ti62DSCwbeoUP2 mFqlWn+mVguK18anAyiSbETYujT2jg6XAkqPdSe2Kd5qsJp4rpNVmBYJzf3ApA5UZqbtsoask/91 a1dR/hTNfbLL/EiRNDwanFyNhizcs/SeMNhif1sTEA1X9TXzmHMcxs3ZhQl8lkWDouKkX5bxNfml rUupqzVDzdGWsQn9FxJLR2NaTQKsvrVRNPKXpG+f4xpQJ8II66yYFq252V6ZTD2ENMKUw4EIqQ0/ xidNTLBHjos0AhaTX8RTVyuGH6IbEvJyTwZKO6lMLCTTnnRwUq8pGlaAo/tUbumTo4a05PUgJPU/ InqrUJo0dPNT3Mbzesf74b2NO8qy5Y/uc2rpMdVQF36HgIq9c7C8h2VPVUFz2lEXvfSi4o6IUMzv 2/0gsPvk+gtrVV0Lqx1Yc8rLQoyL9EkKaLCu1DUUeFrlP3Cu0SBKSt2rabSKe3yaWyd5oLX0usAa fnnKEzDU326jKVjLTrSzu+NDSbMMRuSr00CrZ/G4NQU7JMeGyLEEKffA0f5faOsu+mBz6MnybKlc 0sIStbCTrZm1ZDeeo21KdCL6xsAhCNlVoHUWsApCVQBJlcsiq1PttVmoXol3VtaTofc/kEZFqHpM eLieq+E+nsFNr4lrDsuvSiLz52FJLG9gI6NYlYxBctvfHm/BsPQIFXi/jCq++QdIW+Q83Us0367B owygaOrHNx/XtrBJRmFm6NGD4LsvwLoA2bw83NJWaU7RNov0oXjfx9dqAt/ZuK3JJXVehm3jX4df mG0B4PkWlBNDnAGiNM1VOveA6EyKfJFrdhExlgSiK6ZOGDGcGyldW5yWSa8blF5G2W0QKkHF/dMB R4KWPNQDil/89iF5XXbAQ4NmaYy963JmU5gjllKxhCSCgintBm4IoFtOIBjd3qJfsHAv/0OHPsKZ JB7/xqXhFsAY1z/VLLqa3iMXEXuRILGAFWaJUvsXeKEWykW/wWQjwKCeMxBsDif5HWaE4Js3CV6o p/Zb+g3yEXLut2aiuy/zF8sAUxX8YrYCGqNQWfyF0KBkmRuNfI/SDhfhQFa/SP8pRet77G+R5yr1 xAVMrqSKbK2B9PhBh4zoo7jd6v+y3d4dVzzWIgebAplrbMUtC6KWyaI59+6fyMU4DE6Fx6ih0nu8 JE0mnvM4ecO1NWNIMYYSHwmSu+bEgzgXlgxlOG1Scp5O/UGRY8eiyuj/KJoC1lgs0P7mouhs4e5t YKZTdE67yP9QAfGzgSt2rjpOCWweTNBX8Qg6JufxxfyhmXIW+58fS7MlbM84R5EUli2bg65dt8+X b9fmFIUUkBeQw4h5wMDT3SVoZs20kYr4q2N3VFupN50kL3OnbAW7jCjcV9dAjO1uiotvz1mMAjiC RD8SnzS94/l4o3M2Im5g1X3JCxs37epYnExMlLbBWydaijf0G9n7hvotQD20tIJG/Je3rypB3e9M dTy2WpTGmIj8qBr989rjfF2Ieom5FOv5Drk178avKL+j7GIw2erRSQz5k9uX3LGTenWEdjOeAb7l dFHT3uJs1PGFHP9byHW51ghQPnTowHFYqLgIaskzMFuQuAYbLJp5jf348T713vrr/aGPcHZAhej6 N2BPtf2vC6UYqmwieB+AganRpjVDHc7j4MHm1OYulfL97I4dGgqZz6geZGUmAg4XpieunVUKNkwY wznW96i5b66V+vZUcC+Js+RkTO4BDPzhTwHsi6x+xd4c+4v4Ae35d/jQHgBtBJw6eLD/umWFhB6z 1M9Vy9+jGBfO3ijhJiPE0l+8VnrG0WrAPpY8pVTJ4Hl/MHyT1Tm3x8CFHNY568aiHn5dDuTEK4M8 IDu3b4x5CWuVF5Val2OIhaojGnbMPpQ0zbeE1bgHcKoM1LHM1n8OAlJCjl00vUlOLaLaBw0W9wQb BXL6IWai1sZCeX1ndRow/RvZ4C+/Cm6235xYKdqRddu7sZ5FmozJkBvXRRtQW5moMPiIKuFQKwX3 vqNfmyHpqzpjruya5oFzj4i2h0otITdXWZ/kpKCkr8Zjm/X/9nNWvAUFLu24ornKlbkIXkgZti6l Ld+McDD+FOds3BUwIB0etmL4wZtEo4iXYZW5c+Y1eUXl0+/gCj0l12jYxD/f0yDuT459RL5NMe+R r+azfSbj0YW6df0hJ3zJnxnftt9ABZNLP4jVawLEHszW6oofD713L7q+mQXhAEeuN4HQEs7yqNM7 2gXwK7oHcX6NRmJSyCWfdlL6KwJvhDHCkTdZ5vChnrgZlcMlpO0WtLqGCXM5XYYV5KqMFJtgA1E5 U1F1IhObNIUcBOwGbCATDR+ASkajRo8Z+gYVhN6j2KUGEfqSxvu0TkfeSRJ122xiPtz0kAQlGBcF ev6e7KORuftCFYdxF1P2I6VgzlLNpLsmahQ0ZFv6gp8dGwE3Q/vSVGzUJ0kiqe8vki7QUH4tmwCl xwZoXW6u7AliMQcd5EHd4FIm1R2iFRGM/Ra6lrNBpKXwctM58NnfViD1RxQM0gnr4e1uN1T43kzC AohDTUAGMYbALQBp7qxCKK6mfVTmG6Zi36D1p4xAv2ec00etBWRswx3kgCpAshWHjXSklYjidJ78 rSHKaVLs/RxFnqkARkYkHE3gC5rETZlD+RB3czWz3wzp6B9GwWR/Cbr2RDo5Wv5LoW7bRr/XAVlB woBEkbHipUS3aheB+nGzsPnH01E5M1YvY6aWgDlqToIPxQ33FO4Xe3ojt8PTIDehP5/yy1V4Vstt udmr/Vb+IQAo6t3E5rg+RgevN0SSv+iXIO0TZHkaBBzJq4BfzsHVUs9giYLqpNoJ+sf907mR9a/e obauB+Y0ax3DTjIiatkT17xT1gl8TCnnj8rkSFRh/ISVTacpgbVJuPoQQ2ZwcaQ3P1H7vihKut5g yusbu+Q8IE7bKkjwexN0K9T9+GQdXndlEwu/zCRXMcQ4cyrc18gjeWHnIXg2EsIH6tL5aQeJ90HA UmB6ck0bXYtKQG/0LROSPDo4UMiyriujqLSxy8GA1eW8NMkt5VmhMP8pOurf7IVId8zOR8p54Hz/ ZAMXonEXgcZp5mtJVjXiUesXZ/Lfs2Dp74uGOXFgCy4JkDXmSluxPK2zKBf7YsO2yP+WDUK80k3c klqTohTHwFWtiuswJ0JVowLOinjro+WWs9h0RrJ19pPz5sYB+Swc2QbobEUsSmkbleSxIBGaOl97 99M7i6+I7w//txX2bD3fDf4wh1x22w3i2H4Ff83ZHSGTb/7Bw3AeZIEd0TTvwoLiOpBdHz66sPkF O3hgPMKtMZXI4rFSKvqlycBri+ypGPyll//diX92FZPHxNx3F354qzby4fzERXEDjritdK64Oh3u vEF2eA0We7E68OIWu2rcqs7m7EDtvMeDAmgdARz6rMECwNCnk4x0pCKVUieFv40fwpx5DVbZaRIY 0pcG+fQpc8m3V39urb2KJNFgjpiAY8dXWjznnjlGTKL9jk9pfTcik7rDtd2hdlZmHyLbCGR0P/2J mBY2h7y5uHIlMfvaaHuARcYb0GvN71YP92urXR5lCp4I7lRW5Ptv//regqeroXGCM2chIiQcGUSY K2olUi84Ubo0Uyjy46HjGOmYlLJ2NLNMZ9q1/y+5WLYrVFfnJBxKFpFzeb5P/3bbwe16OXzzL/qz 407+N3+SmeerNYq7kxtnmnBgc148SUACRfA7njdOlbYSfiQBMrHFAwKW3iPKDKwLhlvCiVWFtJCW pLkuqePBCVfyIGRHzcFHcBzWe5vO8avcaqDVcyQcyciv1svEyKKtj5n+WJfrck8uL5cedBZK9AAR nUFyjV5H1T7YglN6YJBpq6zs70jDQxwOFDO7KzqdIkl9KfI1rC8eAjjDxzIEZ1KQ3ASA71vAjFkX 8zO8RF7sO2BNhhElcFtjb5uKBaKoFAZSmWpxbZ+TB+Rf9FXKfCQ69KoQBIj9BvIPiJSxqC1n/F48 tbry6C+FAlYVnMIKFd3xH/DfAorXR4TKqSu8OU+UIpWABkkqhdAIugd15hcpQLL/9Ueda+80cMoP vgYZuMDoi73M9aTNnNTIQSCD98F06wW3KrPjmYGP4xdBXjMzy9mjLY2Oc/DJQz0H7KxJKsTcciiq fyMbBY64S5MxMIHMAqQj9RTlis9Fo+ZLljUClRVUWSh+1JPItea17tG3Q/hi34YlkwI93+7kZGet vocssYfuyCptWWz6bhTuCnthmGXHvWzbYwO7emRkWx3KRww06tM4VUBPSf0QwMj6G7y7/Tqa7Nm9 BUWrUo101bqJC8+NwIUMofnZdMc33dEpQsLluxzDH0OMhwQE5U6jdGmsGpNxCcB6hS4yaRQUfA/l qgZSUHufcQ3xOTJVr+AabM43vpG4Q9LUWdRtZFHXr+ivf2H+QIFRtxIvXPA+1HmMEVsYL8hEh2J+ 8sB1EQkWneFhLd1jtCaAng/7EnADa4h6rGkAsfnsZxhTdg9tHJupKw8HLe/lMf6qnAgAJiIqhYUI bYIIQxL6difknjp+CSHxpI81cYg9ydYikoby3MeQkfg3Lbf8DwIpIF1RZaU2Vio7FhP4AK+0NrHi hcFLJDGsxeH6HhUwmuhGmWoRyOHfNFV9FwRJasSg+DHQE5jDyxieBqbflTleEBARFON/jL8Rg6YN mN/kjfLatcgQGeMMmSjcuMj+uXbOJf4mGTMJS+jXang/TbisnJvGzwf+8CgO7+bC0FIEBppkbj8e uLR1L6NFKl+7bAlRNfLV58HCzBQaIWOrxYRcCRxPkj8RzAA+dhZWPiYuXwW9XcsVob0o/UmJewGm LMa62/MWonnOqAbfd7To4kHNUH0rSzafeb+uxnNwpISTkK67xdWxu8Q9XFNfYn978PZhBefzhcMH gPSocmuKhaaa/Hy7ymxT8ObwbFFdlLENEP45047w+ahqR61kc717C6AYB+7PAzm3klKSYCATeIDG hl6iLsRVNE5UUH6xg5ijxzIZqSS5K7EJIQDf/TaFIEZE/wxLNczJn891DnM2dJFyzH23XYLEpwGd rAmVH31DTS7iVTP32jv8f5uygiYWwz26nVXQHwU74ZVYDGTrDszq4rdz+eDu4L9hSWLG1j5dda4h FdML/XJqBTalRmTr0feoiKPzUF9DvgjEtmB9iORsNBv6JpTuf7Z3EOujffjT5pqstNix28k16OrP dIOUctJszBLdQBF6Oki3OnxJpQD3NidrGeRW+dvJTgyT5cZorJhxNI7a2BtQd5iR2Pe2qV3St3GK 1wFaR/786Cz4OOpDCHIbOuPCMmAG0xsnGkwJAhoOXjNZ3kG2OmVqbtr45oNAppSBkyxLk9ynmzIJ X6THNJSfmpEO3F3QYeSJxhmoUbmBvfS9KLEBe/h8/Cb4tGxJNvSaJ1rWL/HuaOho3igQ9D1A6kgy SxIXTyzidqSOR0U/pvUgLTP2kUsbZtgCXAYntcfN7/F1T/EiSnaDZsjuDImoSavE91o2kOgmzcRI 4q1xTb83zh8KVK1xTRf3/rhXnBAr5DTCh32QXMB6D3Y9jO8AxcCKZioPyN3/xrpBpR8PA2dn9Mau O82UDxEX6A2pYR2ekH9Vx1eJXwEGVGQaXW/WcNbOm3E0YacW2IL1u46MZYDoP7O2oY2YC3nezktM ADl7cyRUZpt96hQiIevSUIrxqHqYQM+3YEGm2CojL5sQdfqbfti687Eg+ovqFBX496TUlTbeWrw9 vswZNsjqCCF1hJiDljuHTlWQfycgRDLiOStMA1ubmNVbyIiAjKgqwa2uvHZl+6S6VxHP0OzUPxxz p9O8uSUj/SunqvuGiw14tn+EQi+pPYmFcsuAjqWUqVbBXyeDHOE0WOfZlVBZEqx7kyjNox6jx3gC +gSZ6MZqn19oZBgIidvuiY5haurDJaDYG8WmFkhFMmEL4iNXduMXRPD6f7vTSicO45s7nvrpo1yD wB60xhO+LMdDbgzO8AkR5+d1RMQJiqLQy1y/XW4pXDJYqDOpfv3NnhBhHECNRuQ5T5h94dhWQLSo pyR0Whja0JgdSglDJX4sCYiGOPPhCllL83gCD6bi3CXdp4HaGGsN21W4IMMF0DaIde2oV+LBXPPv 1jn75+HuZqU9Tw7Aj9rnPwUwB6hBBJHgJ1idpM2uTe4no0TWenAQyPr+t/Il3nWBBlkzssdYiGv3 yltrEBGy0zDxFuN00OWlRK1N2u4Q728DAn73tUzO+BzESfNLxCb6kGfT6oio4nCNp3C8/P5ndlBV lVUdu3IQ25v/LtX3OZ5ClHedfF5w9WZwV5TdiOyAwGecwkzIk+Ybe2OnF7UindFSdpjwUlxDRd6n l+dWuNZa/VSDK/l6NastPlADYVsRlD3ojp4AxkZXj+bSWrv98qFKJ/gGX/Y8zEomgsRwe/wvszMQ P5Nu36OtkcZwdwj5lmMYyMZ17KNY6ydwXa4V7Z0zxg5Z/34K9C54v+jOBTGv9XYaqSUGqVLQV0QD OYVTo60gT3tPyxWkvFXXwhxGFaRmcKElfh4NjldOerPR3vInM1+AncdC+7ThmN/HhcBUvQU55WsP eh+rpViIu8qKc+WBrKJotkn03KMlo1tYVhP98kIrouennbza5dy5Vlgib2x1fx5k/8NZ9aGy0Wyc eES42xjcqe0J9xuGx74JkjgoRj5GGTkSxznAkfrWYiETI3A/V45zVfou5BQKjfUS8waEVC6K1DzM vUzq6FvqYtNKneqOlyj1Rg0PYbHz7GpuOcBQ1rt+8GzyZb4wncLyakXUz6RG1BEBL4dO2BeEL2QE MFFOh0x1zCPP8VYwp75ka5rTJOWJt7bodg4Y2KcK6tzemjMwvP/6mKZ0I3xmwNtyRU7gLc3HwX1R tki5Tehk5xmw8zl3P3xWN38ZnU07bJ3PLssh/K6bThLLGC3JAQ4n5tICqd1GbZCwZoNFF5Cvi3/j Y3maozcxY00A9ouL2OtYe2kvye9GtB/MMBc1XFiy3grHIxrmmYeb6uDr6UFlK2c/zuh/muFDUocQ FTaoVvUxm5Yn4bCYzwqzbRVFYbu8wHWtybOlYaD/NGDEMld3RYyBJw1Np36EGK9tjzGgo8S0sBS1 kPoCFKPd3P5phuqA/z19ub0uyXUInaPLmkR4yOfZQ9v6PsLfuJwhOKcgpRApHPHsL1sK8Ra8R3+t GS4FjkOUuCc7V+MXZBgyKFlue/cEuONqVuBmL98qa7oNvKCo49+yzEnzyXJ+CCC+/FOne9WRJt0u AV5M9MZSs3xGg8vAO9MoCEVz0e0cTHmi5xpVK3CwlNLghVEfH1rBa7mIjYwaia1uhHxGQPMmEqXT AIHy+wAIRLglIjIkPgYYPVCG/MPQi1iuoDBo++RK4cH+DUZ6XMKtuvBYSl8C76eC6hHzRNxC52tF rBxZSPgga6fD7Qg34LxL77VK/7gC+TZ7gjkwSk/x6aVPC+twyQFmOd9ChILyVtKTo/DcGh2PvGs4 l2YoophG4Ah9we/mLPG6FVqJFnZcTDRd+G5uvlcJSj+skHUOW2LYLb8rbCTtbzCyfyBI/3DFlEAK f0/A9RGHSj+wToTnt3nzQ0c9AoWbCXRbi+LX5U0joxRHI7HfjphdTlfLQ7K2AMPbhKyQ23wIpI/i FxcIzVJ9ynS+KwFyp+fmsa81PqhcTfw1HMQ4OtU5oiGXldAqVoV/ufD6Z1xYqOkXSZVfQJ8WDsUu JtgJy2eiiO8v2hwoz6Nlj5Bi28hX7ZndB7olcDjI3qYq/Rd8BjYogVHkjmNtZ/f/7R+ExKn9dhbl 9z6se+UXWNKUlX8wFoeZH55069UfnYPS9/vpQONUwfzSooPdu4T25RZ1eGP+ZrujUsq22Jm9C9vg DC7z2FQl6EVD2Xu/cJc2tlyb1z7t2vWDVCB9sv6liHlUQH5y8MADDZ93kZBn/NwoSKxlbc4Yjqkt /Vz1mdRcUFPYGWnOIbCcPxfqU0D4olPLdMjix19i89/5OAKeiFhbcIAqWLbutEWzMcZad2Z0y6Ep aY88LlXYRtkZ+6L2tZsnncixJ6hHzNS4hu+jT4sSoC6V3mDzbEAHtCJiRCO7VXcY8hSKfx1SqhGu 1RX6jKsn6+hoxO1oHtk4fKsIjTk/fi6qQkV05t+q+7KLAtzNWItuXXaeJpvsnQIdpFx+A0nfaAgo tbHECkC1ojjnVSoIGjsEbuqoJlUWdY5DqBL5i58qyQ/8cIbPTvwWepqH7hlTXDA3PCZzGkZYFl/D n7l2ZuENlpgl7FOErhfX+UQwrRv4NjeJ06ZlZUcZx+LxfdijR1WiR/R6NCPDm1pGKOXTwZyuZfVw 0a2eqGaipAl+PCSt0agJxhnRKM0Ovm0NNsl9A2RvG+kTvm0oY7Rq3VPFqSmqD+R8RrPptSPWZOG9 CXyvnm0ksctMZHP3hcwb0/B0n4CK63YYPustC3oCo8BxMYMFOedlrHz1zFvSGYcNSjJ6Lu6vuzWQ NkPGf6WjuLb9U+AKI3pg5JWg2hApyDchw8iGXGKTncmL0w/6kpuHo46jo3PeKH9e9SMA5cFbvpnb YYlG6FPzYta52y7aNzYyk8YQ/ecjAleEOOZWRA0b1ViqvOOF9JXt4UbXILs+aEPJ5Wq1segtUuv5 j0zGkuF+kuJd7QmHPgvxl2zR9Msx56/bQuMD/VL397w6kdEsNQA52RDk62YZm3JDxZlXXbUuneJU AhiabMAw+KDxD4501oHKBDTNkAs+U49XIvynG8RXjha665nuvbEYWEATFy5EZCGTpZQoF2/0OGT+ QqA2NQeM92ZmtAN+YGo4114ra0d34FKlxA0V/hVsLYWNg/a2u2QTiLHh+ffAGRf4X0eZnSwsuju8 2eROHxOuZyNBoXm9IFp+VUZJVcy9QW82lrDAMvUPpePRF1pLeoHtbNx2pjhJjy6ELZ4S5ngXrvAC R5PcYNyEr5yTqUgBIrpNlY1GKAV9KNfYhgqkloJWO/rQEQrtDSspfmgtf8Eq3poGUh7+3LJ6wZnR In69tiMhxnqt4YuIFjOj4nFWHL6TrFXQPRcpuJEWaB8p+tyBBhch2WQiGn1fypB03ULvvH9l7FQC /OStOBnEiGnVdYxIRQ19oHsIz+NBOfu5jsimxPdI119KwwuIkp0l7npJsMyIum3jPbCST9j/QraV 2pG2YRZXNLANSW3KaBe4uaVLx5XlRweI+sBvLUkgfAdG0YJVfa5kLhN1D+ubXZEJdOWzvPnTbX5r NOAFL0cRD1UHu14GYjoYGlW02MULGUzpHbtHBOYFDlmBa4QZskWK5JHkO2GAIhTW/u5yXhJnN7eo m2DrkaTJkCxvC7rAgvUThRt93jOxt3NxclOLyDYHtAoqh/pnGaxcpq507UmWGH+ZVD8+TiHX4Or+ vt4PGk4m6rsZT+RtA/TUp7Js9CCNurqiqU75NJ8oCkW5vjt2HiTVRtPw2rZk6s5IFjqbcuLeGQWe EeU0NIWsrTmzCkrns7UCRXmirICOi92Z/4VqKYZdcauZD2s5he9qqhuQlRMUx4IDXce6zUK/pTwa fkAZwFFcDcEa5T9dL91fWabP0HaFhQRLVeQ6FZYIz9CzwbL1EvZPAUS62khQv7/qJS9Jm72zTWRQ sIJCpFKGXyaibZoC3nTfnCwdhAFdO+nuDir5DNrDEywiabxrkf+KNFrPFia0KZie3Qdz3IM4qY5S 8xRjgMxjB3soP+LT4OTOwh6gASTX+YqaUDM9o9cu+Xm419DviTuQA9BfNGlHxtkoxkydFHdX4tTd a1hQ8XRDXlHDpX0/v9yGXyXIxq3qW7jj8NVR7rXVBHc4xSBB6QGe3V7VU2kp4/Bp9lTAPJWQVEtG +UtaKkxTBf54CJgRB7rlILNJNMKoWAXvPN70kxlHMuSWEByVzjIt2H3e9VyvB+08OZ+pPPjvI/N1 bpp7u248nBfUTNvkpGMgJtFcJEK7TofPX5PjFoNlLwlNzxPBMgxs2jDmz2H1PB0An3Ym8breRCgg wNGVCNqCo9oOnmTWRJYkDQcApK+1/CAuBWqrY08BucmVUyIs9iLf/tg/04k/3T2AADx8mTUjb+wg pYI+YVP5JBKwTTSgBxhDoxVz/+HJ9sjt+vqNMveqW483ZjQSo/Zs7yDksdTNHa4INrPrn1QqOtec 5IPxZ1B4ym1dT74uqVjmOWH8KPSiDZh6hDztaL6N8DQoIhP1fhgoaPuoQPH1qyY1Xdja28gXiNK/ XidgMTNsXLm/Tto7aCR+q5JqStSWpF8ZMPzYhERIiNw/gaE4YTuJPCzVjDcNmcphPY61YrURdptk 5aasdZvdJuf977HFfh7tBJBQTDNhdAoGnt5cYbcq95VNyHckE6rgv5Myk1FLAVvenp3i+LK1m0// /Uwpnaha8/gjs0IscsuF/lIuqqAEvTP169VNzGk0GkWa37il/wo87jGcOUBJdlvuc2hgmlR8bPsU YugCwLsDhzFTaki6vPTbsKJQeShOJ60JY7yaUeH0nQCCuPjEdzLHjmRQw4PEQPQKKuyPVd8KTIHY khQD2XGVXwRrY3vHNuFnrRbLK2p7UHj0MydrTAXTn4pkAMpDhqsLquv9WQTmiQdXYTJpyqmA5nq0 8fq37XBOQeBNH/sIS/dZER5E/Jp3fyp3NBaie7Te3IEZDVJAJedfWKjeAM/Ja2E1qUhp1GukQ2Zm MUfAH+eq1XLDbFf1CjSGdfJ7ERS8PbiCUF7yh6TiEiMCZqC1OSITPYgek+Q60TqfUc9+z/TEK70x P/mK+FtzisEKWYkcJfch48Zjk6TTk+pu9JLweSXdIz3rJW/1q0jGvrM97XA6LREREdWhyAE4G9Lh DWxayIpMsbQaHi4fopDhZTDX8r+WBCgKSM3EcCd+XB+YwSnl2kWgLwbwWff/aRL0oQj4W0mjOPvB 9jGavqQ2tIq6K5NXu1kkfx7xaoHxEn4AUc3ufplW0SKytDXNEJpKJZQJhFc5KboqeSVIdbdAtLBt aJs0LwZSUdLUxu2w5wnRei3YODHFRFnY+hE+tw+rm6BE9JnEAkC8oqbdr7hhLUQd6ZEll0WKPKLC 0jExdBpDReBl81DFpwrvXZskQ9oWaf3UUBJjG13Vh6/5xxB7sD6f9Iv3EKoqnUIG3Qvg/mZ+HeK+ U0FCOHbjr1+jTePEmUkPqJifqEoi5bJOwXpnfhTbgwdNLVZY+cWzw0u4ZWIET1Dng2kA2ENrl6cg J46SI8v7lRtWO0zP37J6qf9gc/MskxuAFy0S/GlpoQ+qk17Mno6kY6/4NWcbBeLgob7XADhvgOH7 y6Yzv4ywHKtGPsBPJtO6NWXQsxHbJsZIlZUPPS5waRo5A6n05aRARGDcUOmBnSqBgTSsPgiWte30 +X8VoiQHggOMNqJnqP2dASmZHDWHv1VG6dc5z3xi1MnlX7ib4OkW9ACfEr2MiMOEJCUmpN0o20E2 Y++IC0bpkxVqQMA3APbdJ09zZ5KGrvS1w0RZOYuejQXUUDAw2NTRpXSoDm1onLj99geBGBpWF9r9 PwU6l01pJa8yIAEPdQEfMXzy7zXs0Jt90XC2DyYgjfZY4NJwT+roPjZEF+ZVbsnzgeuXyc1Mz4AC wDzA76805L8vZTZ6nXn10LVo4IyaiVOV1cf16t+lqoEkBrda9St5LS9rhuO2gtIBIdZpBI52X1Dn Y30WkG5Gc73QohbBi7KKuPxjZ/DdmZs6VSUa5IX0WUQxtSl2pXVYF9H3c52PGtW2G4KAHXQOIFNi HpLdks7FgesxdEVKD/TTFZrKnw4trkDueLWlncmp1UQcKbsjicJGCqsgUJe296/ywrYN9mH1BtrW 9fFjOFi1WKhwArm0ZjULz89+cvDgKgNFZR/GlHIyJQHoWBdAdfntN8BKkFbkGxfBwwAAIhNxC/YG O0IQu5rjxno5h158wZqBRqxIFCbBSkXWvzKmEZ2Nmc788l1AG7eGKbcftfeQT/wnD1hcOI0ep1Yu tnIGX/e6X2YSHZlYh141wQyVZgAyvm574Phjn3q/eDK4GHvpN6K3dMUBbwGXgdmpk1QRHzSCdGgF 2Ea9j+RAfMeYbq0Gz0ZvG3kM6Q87L2DtLTlCUTogNhIxl2GZc3+1HIDAoPQUNJa7RkUnOJZdgQhx RNp4aFqWKCQADA3CoKmKkGgCQ+bUAfSZdsTqMpC0LPDy9EM+Vt3La9VbJCOlop8KpOn5uJKlpys6 tpnoobx2PQQpAMqjlKjwaPJuHYbIEJV8J3wlrZnpMrNvZVrAiAq8+VRwaD+/sYsMHMNCSo19RnUL 1JJh2AOsVvArpIj3O7Xp/UpAvwZp22gCvtnuCyZDtIZ7Tk6JHPPUjAPUagqqf6AJB6bPCmpvyXAc 9NcM+vOQKubHO37Z5CiNQpX8ck1ejJzrAoD0JKjqUGc6lP0oqMf07XoZypDXm/mRwdriGUwRfnZK SA+8Ts3p2CfPaIRvzormz4Qmhcv3I1AKI4s0dya7mbMiTgqM43jEDnzX06TrA5md7D+Tq4UV9ZJY qQPQMJDdp/OI/IsHzhQIFA4tks+PgHSSoQGICKghdDcJhrttjxIIkENFzBP5fjpwW85YjhN+KrGv rqVY4r99WjCoZv2pIC0rYoO9sXcu0QUTRpmaRsjCjxgVZt2+vjBKCKSrUidsyqZQI22mh3dHRx/o WNw2qhLjmGKcVIpETxz85aMuZbu/b0fJbKeTetGZtRLiIXLZ73RxSBJkyjvN4g0cnia+Nc/xmkeV aZJXUKbdle+a7OG0lSFVuf2zo02mh0X8rTHOsaoSnsgDw1EGiv9w0JL5grW+XMx/xjuKE0hKhgCq Bo8EezTDpi+4XpvJv1xwla0Qdv5lpkT8vcaPDhqSQR6E3WOZDsLhLPZHx6r3soJUoYG2ncX/O8M7 Rx2TLVdrOVv7jv4w+TJkemy3FB3aezR8w4Zk8aGYOMgxNzViyRWkpjjaqkj5J8NoiV+50Vp0kudQ wLuWfSFhHEyPylE9+u3Uby1hPJXB+/cUDbgXRYyWr/8KqKl4CcQdr2k37SML6T2xqlZht3u6Xpa8 n66WIrQugW4AMUzoSlBClkooyJBtdjoW0brCsYrPk50enNpmPg7+oqQ92E93mBfDeU+hMtOsikhl ilg6h5KWkhv7LQshk1UV7+/zCu24MUBy0kfDfHh6dmDJZCjn6pYRIKG4TdEN3XMf8cx4ScZWI5sm wAS7ZH+qQM3qoFbyBGBePIfnHM+OP8/9jhm2X4IUfXcy0ufXYwqEpG2b73REEfak1/sJp9G49llK JU1XYky3TiNfLK873hhiPRuwY/HOR89oIhZilgJT8cilNjLyJ05t0O60K3BYefyvJKuaGYwTpr+J KKAExtkOmOuJuam6lNXKHroYtsQZTEdc8hRmHckmTZ/5SvZqJhoIxSsaOzKQuDZ/XQ1NSPP2Pjia gfvwAeQqta8AcTdWkMUYLgzSyhlZHY5Hu8g5CwMgVbskCnAoh5ZzE81xYWFEimD4rsnlHrIvDQ9q dgFic7hb0cNVZToh7DbeEEtboLRSvOcE2rDg8CAMQAAbN7ehPUQ9JuZ2PiOMlfzMJs3GXr8obmgF +z4h6OpVPqC5FgjiWubZ72Nxh9c6L3FpL/n/mYwIck/Q+ene5+q6t/a9Jf+WOHMT5mbWxl0/HvEp i5RbAV6SV3MmmrwaaB+yeB9Fi263ac9w697HUDwfgxX/8n7tMYf+I1XBmC0cW4rMNxOqv4eIT/H1 BK32F9S+ifuPt0oFyZCUuXp+ckCKaHa3UFB6O+dwA9d1+YwBZBMFCpbS8f5drfYdOlKpa+nMOCHZ JnI/MgHBCczbqCydnfLVJcDXQ1JVwpOS0zPrQyIT9v6cEHhLmaeNAYn+VFJCCNf89VNmALw7VX2o NdRsIBd4yLVhWBc2mb0lQrxCK2EzVwgh0cywYy/NVSmY3lHHZa68e4x7PFuTLlT+/KFRyChHCwpS 0bMluf5YUoeEwJw5HXmsom/PrSFCgjgbhfx3RMVkWdtM7TdjBWuxKX41LujNuKW35C04Xqa6HB14 P6P+oTvHRfebRcBBrYDphCiMvirbF3PXBkxp1pOqL5u1O7fWiUPMhhg46J9/V9Wr1dVb2kagJLk0 dsyEVK1uZIfs3gMrnPxMR/BPH6n0RsFIFY6THSI7M/IHk5w3BNSdphekxIHKM+hsw+S94wt71Ndk NnyXtPrU4Nbqp9zXpO84drZg3mNak6V1jeugfGBP4/CPuOTAw43PvV8E4AWSewD2UM2Fw2miPPCW 0uJnCzat6Kc/ZcwLyq4UZ5NGFECdvNn+dduW9KS7h7VeW+cpjU35tyVxpdg/bBxDZn/3fPyGTYc7 zlwAK+PC1+/IXYkPlP1oWigM5ccb6eoAa5wC4CW+ImwWLTTnS6q5eAS5U3PM2Bc+nnb+8CKkX15D L0sGSbUZGJByqFFic1eBZ6zBYuGOWhe1Ucy1HZbekbrat/7o6VDEzWXFPaRzr3CWFZSHCToMK9ii h/cWG9LmJ0GBgc28UJtpe5URTk4uU6s8tERTspeVU4EY+IUTvYSU8kpaP5rkNAkxdmZeUrkdjYmu 8PhXG4m3k6n1Cl82qz2pOmbKk3GYWck1seMDjb8w+wZd5zGQFvCCqkmF/V/vdS+DTbC7BNBpJUmJ 37IOY1w9zJRzQnTnzXi5H+keZObNzK7RnBiS6TjdpbWtFJNdtWWnoVfG3F/MHSM8a/VqsD4wwA9m 1T8MDDMju881CaeEzJ9xqhn7EnG8vAJjKHTqPHL8W6rwDvuc3yj9IMTinsrLnRiVPr1OVLXAl4Kl O3gb6cLB7Nq+g7I8tUbU+3BC0FPpfvE1eixPirD+D6aZDhPGsnc1EV9lNO3T1yYkO55PTo7Jzb0B h+KO3CV+/PZcDc+loQhoozGaeSXzTA1SbfFMSbB3IoSo2fcjEC9t/pQH/LF4O0SRZ0LgIgjcUNn2 E5ZzrvOHCuw2GoVI+5KtukNVPYni6xdDEUk2NcyeP6e2OSRXoehZMyeg9AmO4bQW+iRzi4r7PHIY 2XxYlNBqflU3v93JMP4E5RzMZRwWYmhiy+TIRq8zzUrUxVFX1fkPVQ7s9Dm7fGq3GDxPtL35mp0F z940np7WKPULQ9Z61luz17aa4i9LdceFBVBj96x8QxqY+PltCqeENy0jqIijvwG58hUNtUn/T5RS FPv90T+p61sYM04zRM7WQseDlkMJx+k5E+krgkA44ubMnOcscSjyoQhFnhiHJYdwTVPvmj1hZI6i LWshrO4ioAWrxGVmAjT0y/4itSPtnO65+w9EUOi6hx/LKN9y2HAPSjNQJ26bFQr2RmZjWiYnzeQd XUI+DI1OlxbafA6LnqerIVaftxJsdfrmAAuTIfUqljMmIyzdtg7W+Lir8+Ho4Uw6oOXdq9m2XO5T SUGxZSkHseNjaJlYpPqgEohCMFC4bIEn4j2AjWQY0Z8gb5EuezCUwl0bDxWApy2tdjKLBFajSQo7 EVq8rj96sXDHtTLVb30lqUOonPwYFQLTFYwgGHXbPbUl+CrOv/RGZ+0lgzQqWAdWpYn4Haqzt6k2 EnwlPJ32ED2hqik3AOVFawuFy39nE0My8X3yPfFpD2O5l9CSOczj8LMBU5DgmrBFSMR0vEXAaVoF NFfSyTrRC7MsVuLu64mWlhVX5d7jnmjleZTYwun8PTkL9LCK8YXhvLj11Oib8e5e2UgtSYUPZE/U /BbV/AmfGEaUNPuG+HCteew+popm06ovgOwdbsO7/iz+iyVfqHcmz7jKUukRzJD4kwqOyn8zez0n DDc6ED1Zl6Xd51z4A8Ut5IrQnVo3Jgd3R6omuDhhZS3TVFQP8fXZc1yOtTLn1oYMVzd0JeEvzNQ8 VButh/xx1zfWYdffm9l2YzGXxcXZIFl2GNP1iBCUA/8b66Vm/SUyMk7aQUrNZdZRHdR+jy47CUvo 8hg/6ErX04ffFgE0+1dNVQUDEkU2IArHytCTj8mguqKYri6e1HYIbN3ZeA0JStjNKkdyBUuPRWjk Yl1XRzS91hGYfrJ8P1NR9MJ+0rrVrTN4P37n+fNGIZvC6kHLfbUBXMwlD8bLpeEyXG/KI5BofNFD ixUgguZ7PjbUXviQKlZ96zpmWBeeoodvhoSuKFJUGEQQo4KyGUktS6/eC6u92oUgp3Y2+GUnXJBg sCfbNWnVmbrOXsd8oskLxTLKs81C2dMqh9ViNJ+uHO1uYVTGUfa+1EzEycHR42eqnhg0xh+GWspu lKpEQcGwZDO7JvA3LVqzXs7vAqjmjvCk+6QBNJO2daPEeOWaAkut3m3+rW016e8vVbXn9gurdGj1 vVhYrG3T1Jv/CUhnRY+MsKzG/nRpwPX2J/tKu2+rg6V2uBenwJl6mKN0Wl2EBjb1bJLQR4CZAoIl hoPwjCMTAQwJi2v7we00KRREmVjefCat4tm8MHD1OIkVtZIGZMxKn+qjP0dhg2/rdP8XHBhzs5Dg 3URUvWtXP8wY/L881B9N0LGFYD6l4P+AOMnsT0676wYHECjQiTyDl6WQy9oe+u9azEo3Q5P5rcQR P0nWkVmh/C8M+ekjJ1Y+IeCrYysveWvYC4DtbXnBXiMw9AR/r3A6S4/dp/qdniiNptLKn8EcbmIY zCq0xpsLgQ5vo6im6USr2darmbgSn7z3/mHXwsXhEt9CCKjM2BpFFSuyN4c022xrzYGDRaxObqrB WoXLb47HPX4qPhTZtTEFyENBtGowVaGQr5KtKP5el7BCQzKqYlUMRdlsMa0ZhvFqINviKMNKL4+X Yg53KxMlU0FzwZgyXbkFcq/FMcKiCRklHJyTJwNzdem5H30RlWdFflB+sycUPZPzyGVnAut5PHv5 dNmEbFP65rj2gFmTgN2z8uKc5jJcPYZ+cZwmY258x7cIEqrkfKPNDazEnfhcXd2+ED5/fih4GtHX xubCtuK5SOUH1z+1oqSbp9/0fL9/G+jlIT1p+0kH1j9IVoOo2lZRBvSOwtPAUi3YOEzkoSa1SeF1 7IoHB/EaurVD48PUsVE1ar1+A3NN6ExBVLmAob5FLXjpRiJ0WX4AHwLE7M6/BWGqr85EO4fiS29R oo6/4ZC/9IDpqV/Tnykkp2ToGs2opIupXQBZY/RJa/n1ppVewY4f3B/uAxbtq0lSqlOcCmXs135V A0cY+1gBh59AL2GjHIV3mf7ZKMsaD8gooiSnrJgnUsVaE6KeJmA75RDa4nOzdZzjt5sQ48Y9WPbV RdDzolSNpS3gISlnCNoBXS8BNCvLVWNI7TLjgy6bum82hlRD2A2AnnriVlxHuKc4ki+wq4QUHJbo WxsZeXswisSkISVvWw8iCgSI2fHlLragcSzCALF/LNq3ias9AruIm+EWd1DkGVy/pWPsnBRRwPCZ qyWcrY994focaSfnxBwlAnbwZ89g28acloSdIq9GFButE2btdw7WRLJlTsMUFk55Vueue9hH1bXd OYblIKSDXhBV+RDBz0YNFdyKyB1GhAGtATh6AeEYfYuXZ1ZK0WvGL3ymICrrgTjmljAL4xx2MSF8 HVRaYH04UkHV0UeG3E8ppcaUIUY9tImqjsNdUQbnIulux7kmLFEV5sjaYhoXE+Y+7xwdbOHXRenN BkLcDMCad0CbHpaZMoCoCM6pfUm1+SjKHwhSr8fCJRrYLD036M6kuYsSTV1UtTuV7WzA6BAJoMxE T+gf8zhnb1Dy9PNsbigaBMAnFreeWR7M3FSnIL7OP05EzA7Wm6FwKAgI906PAccZWujHgXfZydwq 9ImVj9GKjuEMotqy5UKoy4SHmGeBdPJfPsUr+FrqMpKNQtv05A/cEEro5L6ohofaSUw6W/KYAaQG jp3ON7ROB6nclMJ9d7TkuB8PDRWS9GeGTToM/3BbFPu5YHggZ4lXERBcaLaasVpPF1rHNAFR9yu4 H2SE++dhD6sP80UeHFdoz7qZ5EY1kv+GFzb8KQB8R9elJqOlDXcAs4wPy5WtL77OCXGBTsvj41xb 0Mre2mJgrBgroFsMNYPuikQP5ts0kj2e31bncLO1bRQD+ccpX+XP8gW8e4iK+EEAXl16sC9tYdAH Z3xk9sEVAN527nMY8GyGrcMXqHgAU5/HwgnKha0C2SMG2KFE4kkuw9Up39ls8qorc5TFVMc8svTl R2X2bwNfuXtva5bnV5/EnK7vCog3a3Ml2hwtHRAsETHrpKZ8L8zrIBmwvcjgS+tZ80V+VvaOS56r Bos9hvl4P2DF8UZ6eNawaAOcwj3HxD7MzNtCa5A/DRLPXuOhL8C2jDLIpSbQVXQ+u4UMXZP5Z/hT PKPNywgtcx3baWgtoownA5d7W/IQRd5ISKNoQ2DGo8Rokv/gC+VmIvlH6DoRpzTTLXCSkEQkJpxh epBHM4iuLyB8YEwC4H1gmX8fWyKdYx8vsOKR08r4rPoaSx2Qu9Q7ddYcGaFZfPKnQvkqGKqy1rUB wpaT0/VhPg1jSw20MOPIfdFLTXX2HlHlKbgcGGRXPsPPCNwp1wfCpzhA9gSPRTGQPCdRvYYR+pUD p0+OCyWjEAb/0TqVgGAlJW8tjUty4G+vubUF4OdUmuPhOxbtJSeIhc6SsgdMGCpwN6/y2btwELfB y9QHNowWvCBPFVvPEoeU+9NUn6RpPW0zbzXZ1zBrbVZcqGWrtXCUzpXkHPFzOJEzj3j3mqfqNGod DKrC66l29eQqLSIkLJwGQTWhQ8I+pFV8ZHCejwwDqw/wH5Ol82NFL5I0cftJh8YVsuZrKgXo/1vX vtLgzSgRm+w05P7o4Uzp/lezZBSa0duH0yXbVRXC2MhveK3OO7triItGH/IRWczBULT+mzFzYimo K4XcuqHUnIfdDh0RQCEa6rhbDtawNllF7dMo715SkC1Hnk8ao+wrCdB8Orb/lJBd/8nH2wm3d3wP nSTV8483oIcj7vFldLlc60MtCwQwPg6TY4cUx2phD+vZcBFsot30DnnUcGMLC7KgfsLoay1gl+SH Bvus9XitM9cUp+gMUlH9J4pLE7Cl6KZZd6z1PoL6/JPmAprpZHzM2p8S0v8HOycO53TGOna9CoIg FaWtZyBPrEmhSaEreL35VBTp/4cL9yL13khxnDqDyXbfDsXU3G0i21K8saSJVsfJXiI1K3H3TJ9k O+pYw8WpixBuovwAuXo+UzCjANascwXedQKt/NZxReFCv9x7eO6df9ZKfyiYksHRc7tl/t1xNJ4S WqQMH9YBE/34TmELEOp0PkCrL2AM55O4O+r5PVldik3Tc5BTQcmr5CGX0CnwhSkwptRFHy4kRrQV LKN+Pg9ajJ8/nitoSzsI647G02SkrCvXkL1MEjM+qK8xDIOjGb9BTcgIqPZaYDCJESbE4vIW1ORS W0rwuS2LtQaFWkD0oM2PUtCXowzlGh6/hrL/QDuExEBvQZrO+wmkmGatwUN1MWjPwM4rQD8G1iNj PlTGBa9Abh0tbCUrEPt21tlHDJc22HsIUGaOG1OqZ/Cl4XD7aBOqC6XWPN6a/eJEbZhbcpkBsQhj HI65PHvtfOumZ4zCPkr2/7JmGyZv389lZHodfW2hFTN8uqinfDwl0Uhw9U2QcfwH2Pn8nhY2djlB lIqmdYNpJrzJYLsse5adkHk/Qv76TZN1ZbZZH/uBu0Z7bukaCq8amgCzj7VLzpvivVD/akDLMbe9 LCSlXR3Xr9ezWBHPnGHwgzz6Yg5nIwPphrafP/0HRyuWY22DaHwW3Mg64u0EfrFLWkEvo+X+SqO/ rs2HAYPURyH9ibaPpL+iCAprGcKTCjO2Ji5R3gR7pJhnKzAaVcp/UPlDdClBSdbsr9bBOiY/w/in vzAFx5qb8kwsT6AeDLXspJHqsDASweUfDRLWN5WyC1EHSNV9pBy1KmI2vIAFn1GjSEWtXnmK5k5W rAuwoTk33qC8iEA7Tjq3M6ih6NvXZq/735EQXqGG0xhgnqVmVoYpC+zC5NiEHHs3BhBUPoJ3r5Or G6Qgag29lUVahfiQHwp/I89zbhjjVEorUE3gozQ1jIMk+A5KhU2WQ/3wCr4QposssCciX8JapCQQ 7mSmlaZP+rlZXIdjdASvmR62tkuKJlw/dx1FshD/CnXHL/pKxRIVA5biQs8CnovNmb7ROPHBV/OU EYoIzxLcjNNf1J9DZ43YLDHn0P0tJYIaFaVwpinOfT2JcMPKrD2Wmniata/lrZWQC7CBgF3UyD63 /t7mgXhcpw91s0CZ7gmIkQZtnwoamvIidT4L+SWzItC9e93vLo67quJmAqEgAEhMGZ/kAJyse3Vq 0dLq11FpIp+4EIHWTqThu+eCfH02ulsHn4edq3wrZS3NKV2LLI0kjpf0Zy07CEwXR32S9M0Rb2ja OE11kVM3tWyMi7S/FStch28MNHwg3S1nPx2DVY3bA6knqaRVSe9e4ZdVCe48OgvAyLkGkWETY0X6 5fOY56k8SFHW6RTgJmc71umqx0cCB7nRCxaBUzRSB/Tu2anksrgODntg1W9cEb7L80dkcqQTO2jr 1ujfu210MY2IHXEBv0dtWzzYqyCcElZuNRH6BQ+nr/7onrGqWxOv9wb2Cfu7WACmG2dWW8HQoegV LjVDLeCokOUZMKGjIe59F2ce/eTPsEY4vqQbetLDN7N/RCyPkruI1RsOwJ42oz64Ou30hSsKRMus +AHTK21YZB+FUzwsx9jnwEIR26thjACfnqjkr3L19q0KCq1NnmJLkUJEXRwfXJpgbEatnSl/e5Lz bRi/jZZcea4nsg2lmMylyVAKnTOe/vUshUG1cp51ZsvJFIf/6UojSVVuYbTabYGKl0EvNPaJEz08 VbgpuGIiV5tTe57+LFgZa60J4NEMRv7lysdGKNlP1o/CjkUJplIZyCIkrue19gSt16IE/Vr58nMp euR7nqjFsJJazNtXGO91wDir1rRBHSnFNlZLu0mufJRxpNDVA7+mIOffIk9KZI87+v6nrqGGVFjD GrwuwLM2Z2pr8O0AhJvUYRnlwu5VjyJfDtSi4uW9YRxFdbm56AQqx9i2JFpVnLOyS7fbEwDCu3UN 48TfhiTt/yGBwDq1G5cQfUoGi1FxqZEex7rYphvtUn022CStUENq0yCvnN8m1tBvbZLyx6NS18Bj elOt6hLIHTWEDa93mBklRfRiHtycMBfA9tJ2bLVctCglGCpvRIBdqNYFsFh1u9i3EelI74VrJ3OZ kxO+3/NQkzubXLQLEcskIvEO+04FDQDoMWGH157D2381Yrrll6EsRaTlK6CZLAT8r9iZY/YwONK3 lKL6lSG67CY0rYBAMZQQQ0Ug0FhAHZjgz2f33gK0q60ekgYilxFtUd367Kv6iQcFs/tKSqYqxrip Z1+EAbjOpgM9fBMQHjr8XQa9ZevP08iwdUJ+jsIw1wvS8DGFgSE1FazcVpKHPZRdH9oSAj6K7/v3 /YTT/iAnkvFlllBQtw9kFM/gpuf2I5eHAjdPaetmiK0C03T6nSRG34zaJsPtaAQxyBNvdD+ddmGp baadZPOUz7eODh6/H9BUb5J0ovbV3Z1G+Wb5RqtqSjg7Xbv2nxSDTm2Fu2YbrtodaHIYNtichB/O f3D2Dnyzr6iXSdBTlBJDV6C6IErUQV8SuhdI5q8JMgf6v8DHmodd5B2z4SE7U3h1uRrphTBIst+3 1yPGx07BFUlWNRkgnXrfpq45FLrdz30DQjuSr4pQkm5V9Ljrd0qLLg0R9UXeObnUoccrtLWFXlur PFpiwzSRvxiwMlFSWuc8bCdgxWUO3sKi+4gAJLDLnycA/5QvwJk9VCPbuo5xrFVa1pqV1s+n5tgL E/vhSJExPDH+5MR4ODhzEQ6SVtJrYAVrBVO7C4+LwCj99Qzs9i3keHcJScWebcCX7pAj13wImCDg IaZk3nXyyOY5iw7151JhPHpEZ2Ow5QWhjugtkP+KoOI31gsCmznhVosgzfdNlN461CoXg1jZ2i6B AjGniAeSrq1+Eoy9YR2ShQKESQWE6C+vcv956DqT5wXTnBgPynh5FD6kUvP4vFf03TGUToUr5e4W 1N5EkxXeNyhzWNFuKcyqapUG8azALSMRUAMye4W2gaMpV/5+jrrXQnKKOYLd13SnkpgLGTTPBdkq uhIfUVnAYKvmZ8s7J/KVU6dtvmLdlajfIdl3x6S5jWI35Otwr64aueyRALIhZTLv0uPbcXLHR70m RSm2CNv1LTIljJusi8AcV+ZfyBLTFjDH0d54AL6zjh8DEKBcFXhTLzQ5O/8JeDftx3KiMGCe+sfz IeOJqGX4hPJmTpnd+NVIeKhscXWTkpLxfKIVNds9EO++N7GvzoSXrY4n6djbN76bR4F2MtFsGqmS A4RIEUhdZxqE1d+of14RUEH+G3AnKejc/P9KLWmqDi8jCyrldq1Zp5FAk3vCQHzxxd7Pl1jEIx3w 6OEAvyjcpCfU+E/SK3Rl21/1M1383RVxV+ZPLaD0XqFRvad7iI8CiawokE1ojwFgM5jinpiBqzp7 1gLWy1cUNbEAm1U0N43wsHNj54lfowGg+BxnxOYZ8XQfUnCaLiD+LIQGyRSD2j+nfd0Ral+qcNYC m+OTdbxfcwp+soMXvFKbgPUxdJrchrW/YOQWpCvRKvHhLZ3RgjUGsG4lH7iWbNKoOX9V4EUjoxrg /rfiguglG4LZt2bjJPlcWGFT43ACEIGD4u4i/OWArm6WoDSPwoVhQvFNhXJIRKLHGoo4knnwVJsI V0zwfYmIr6UtneehsXGGo59gMyFdzONwhNFFaCmmgGLrRCUGIUZEhmg7SdgTW+acuVyLXmI8JHAy So7hP06yJwVfD/NsGCfg/jDHaKc5FVuzkb38YdOMWgG36C/5gqOPatNcWUBXe+sPPXqt4hlnybFr qD/9lpPecxouHpMzKt/T/KsLX+SkmOALXEiO80aOd32xkph9/MSqe31Ge0gvCTAvt8I6bAn4a+kB T69KQNi3Z0FJyjwZLW+3ZasG3NtC2/VU6zEWUN7a2dtH029wA8PVWYec4HzCuCzB6Htq0A/lYOU0 hwtxkBMkSBLvx5wqfrdfWH8xG3lo0kD3DxCGyZOSr7SLE/qswzDL2nxRuODYDPTkoEhRi1xHOmjw cKBrtceDp+FDBBGFELTqbsLHmFBNoy/pxTHUeJHBDGO9McJFdGrNpRZIquNug1DWbfVDn/ngrOD/ Ma+l6xGmVeM3CBC5Vi/LDCUxxKYM8YiSFDfR+uKlDIyjXcwvPKe7c4GSDlFfsHpPzHs3wMWla+5v oj3OXnuF1fLPbg+z0oF/dXwdzpTNw9OBJMuNv1IKQa9vaVe7kdZ9xbij0n24yddpsPLMgDnfmmOX aIAU48moz0TBRgQMkDSbUC3l+/qn6XQL1wRGIeN9PFbdaSStMfCCbLeguOPjW4SoZrs6jwvJ7gFo UaHxqpyw1RzHb9DXPWJVMlQBvfLWcLH7Gpra0hkNSaQLKcoB7sSEJ+1UMPxBPwLrdGl9LKtZunW0 y+xNet8hd7fNlDdWDQuPkPh8VJcCrYmSm+/JQgwNGFGk3P97dQHwYTr7loyj735eKC3hNSroSefx C/NOhokedAfrHWvheae7Xfp/Pidu+2ytiWCV0LISKUapKacDuhuX8KqkUpoXxrWJdnCL/ZSg5fcc hfqYbAIu0jrNyA9moD9oYAxlj2xHiHEsIwEjKyNXpcPWv3Cuigr3lNVrtEblZSUjD9ffwhfMEG7y 4Ggqhwrg45MTxPiBg6QsdX4hyOXlO9AApab00V3lNYK+2Hzhbdpc01hCURDbuYEzTAvjyyInewoS 2C8/7Piyr++qYcbuRPBOm3OS2qegPAQVuUldmlb8lVmxs5KVbeILQg/yrve7XuxoueoBFsVn35U6 FIFIzYcxSLlsRGF+Dp3+YNCBELkjioaiehi483U9eJq/1W6aQSIgTzQFVdI0Ecdg+XkqalczHEDH X9BXeaL7caejDKezW6EY2Ks/HlmRmR9MMhoDVmFljVZFA0pt2rG33bu0BmjQvSPtRPwEUTiRA7a0 UfiGHvw6umdkBhnWSwt/LVqJfrpLGV/sQV1SjhOXB0OucZVu6BJzk9wdQN+GS72TaHXqGLnbIrct heYiXjuzVvALzp6ikQEGSq6Dj0oSVbUY9w4iZwIiy65r0Y5atPBcVdfgS959tc3UGM8Z7c/u++5n Nj88PyDzRp+vJJwRdrOgdaPSByobVVQ2Ma10S7RXdIl6ka0KEGxZBRdvMNXu57lmlIULxUviId7N enEVEE8wXJBUWavtpjcR+DjiJz4Ziyffxo1eRJCRzGzl/0sIxYvRbUt26OqKV/JVg9dUqxYSYDZA jSMaAWknQtJ2ahRekd9VnNlZdc7oSMK2JeIww/0EcXs/vG09gu2+DLOQFn6ZRF6EFXe85ZIQfoMk v/CxyYb2fJdGgtLnAOPCIJj+RRTvW+kjfSKhiuBnNq9DBVm+VArK+SAd1bgCAwl8iN6iFBtxWgW7 r2ZEUELige/ZDSYLv6c3VBYHJvNT+1rGOnxrMgaHThRzOJKYL668V1l58qGBa/KIQ+nbVSHFQp26 loZLCwdJZx8zGfEAqOh2yUaM+Y5aY8yctOFe5ZwSX4vuZDZ6C86VHB5JHGo2i0xPRO5p7JuNFuW6 +Qz+9RSm6qKoRrSiQ2W38W8McP5rAEN7g4tomDkRXrX4C0hD4/EUwayd0IhYHOhsifNcF82Nj+IZ 3ByqvVAVGr0WaVkUCxnYSlha+QHfVusjsa2/wc6TogklbbZWHA6VZfJj+4IsC2idagI8lpJVZkf3 FPqQPAiH2755sXR+rs82ckcZuD+rfCPRts9vAJVhycg+kuDnkJbBOQSzshrDoVWrH/lbdm5Q8Y2b Z1sMWMXBFwpPx1KsrV/a5gEET7h9O5A3AuiGtyHomNLFGbIxPMCAHFdV56WGcfDQvQ1+03eOHt7u bONQcx9LjRppHUvEWH/SPEjhf9ct8cabo3hiaIul7zlWPU5llbNbNfzIy0VD/ij/wRRUg6phRE07 qmy6dOQJkizAT4VoT/Rb6uc1nsaRTPGz6PWpRe2sbgFKjpMHBz2ns16XGULs2wzJawoJApf1I8q6 NLQ3BWHdrNB2fVEzNCXOYczLmkQ5HFOKJ3w0SjCo6OC8yhLTOU5MpIvq17XLcqW595z9E5z44AJt lzzKE+G8I30x7fwBRBLRFRu9nRVvvbV0vpwpnIgCErkDLfgt93GCe4mOkPPgskoc/u8Lx0GFrdX1 ov2BDRlilYgqR3L1I0HqYX/gXV86VpHVWkjszzdU6Q76AYlYJaPNu6Jn9CU98tXC59wkJs2CMWvC ta8s9A5wJbEan8JcsbILrpXKssWRUKdlzHZDM5Ex38JXuhPjSaOISTCT2IdTiHwgGKRzjbvtcIqy ry/wNIpvKapDrvQFnxDvNm0zfkWgOd1qGfv/53G2Qw80oR5IJrFtWBSD8ivb4pDyfACSkjbN4LNX Rz9rcOsseEPxZaH1Xrw/5m+RAX96CyK0t862oN6pW97DCfEz0JKEoUOAEBwap+cfn/U9MUjJUBP2 rtTaSa2/5H/Jm8kw9Z52d62SY2L73gFOoofleCWSITFy92KmoDvFRJ//8jWjt0RTuLl7SW67TgBj SO7Qz0rnYyno8ZRIQxug8YISe3zElFferG1wyW4qEfiwUPaqRkYPLMYsvpkvu3Cru17SDQbutNoj aH/Y/wYF1VN8nOfUTw7Q4PTgY+kCluI1A9IJpwHGYxFLYEyI4sEwrY4q8FjoxS9BF8UxpNXlGkSy Tzkpv7+AZf6chMxx1MbkHUi1bmYuccPd/0UZXY+a7YvIj6/WLuFvH5V0XWxuerzOp83q81RAh+Dd cehLo/2nh+1i73ODqmAU2e5PbQ07Q2h6w4SkVD8MbWa13N2t5YN2TZvc205Mgf1aXNb95SSiLzPh 9gfzZyTX+5RbX/a1w1sV1kCmf9lLN2Ia3X3EA9yNUMRKZbxZaFbzYDNqyYOMCzqabUHKlOtRj/RB ufs8Y8WbdNm1J0t0UCGyJ05B52EghuqMkrX8Heytn7wPtHWaQepjbbQTkP+2qAKCBdQTKtKaDB0s WmpVW22kn1d+yCCzBD9IFnQ4uwCvWOUzXpl6vCu8h0w2CXZAryRbPwLB51rP4xtFwcyp+EGEMIUG L158Yqg5IoxfN1gOsXgWawlow5z4DT6wji51STkk2vC2nQABImn/0dcKxcaKD0Ni6J8viA2xtmPR 9QLPT+SRV1PHeXduv0ee8MBovZ4an6pLv8hs5IByZwVHR8LHVzd2YS+EHZw5BW0s0MH2X98o1hfO YWqTCnxRYuCGsoP+A02mR5WXoGn3g5kIifsErY74TSpPg5sTe9Q+W8sXrfnAxWaaYEPyofSFVli8 x2GlOPez+Bdu5MJDOkFxjKROHOgyWtcf9zU4Q7SRSWvRLOkl5Acw8XB2a3ve+q2V/x9uHRHxb5yC 2YDLAb7XnuaGZdzbew743zMWpRmQiC/xoeLjScSarqQ5Op5kX/WTFrTe3d4EJRBd/JVYXUJQbfIv 4nVFhk6V9PRlJS9g8OZdLA3Vb7I1o6aXfQgM4CZKzkMtFfMOLtBkUYcF5oeXgIXIhb2mOhb+fZe6 0H+BL0J3yvx9UmyKMXKIkGr5i42pJMCcxja908WrmdLGIRm74mqHcwbyYBx0jJnJRrHJ+GuRBgAk 5bEUXOAHPal5X2OmVemC4ckVS24EPgIhgqTzgAMpSWEA7x7escRYewwULsLaoMsHTO9V5miuTNY2 SJbi3bSB09FyeYDXWo9t1fnzu1aGrpcSvhpoIN7EfTWzmTVmueMCyNFv2nd5S1NN/JQjZ7Nv/Sri vyUxqqmN7QMo2sahNXP9hsjjzRbDdhbYmIrwmswo2HOnf25XtkxYOt4KLgi56KPrEc9hle25G3kN XJ7tCRalLMKt4wgJa0ng6YM7r8bJqQbyZgj7GjnSOLXTONuWs92APcI+8OUdnoMDPgyOxYKtt+4c wlLopXHsGnezZfFbXIktWw2rV6nr2LbLVDE/Akx6AFfDXYo62abprLeI15IeefUcwjdlPeE5CG1c KMrEM/k2Ketv9/VI4vgHhcozIZNTJ+bLO0xMxuf10WzkFBGgwxdnrzds0Umwswfi8lCqV0ScP1M8 8/zJl3X/nmEG6YHrcl4PhLLa5xm9nwfVfQz2F944O1QjmuFMSL2owSkhwu7JY9zHfaPBBDpS9ti4 waEpKGQ1rvB6JoE45nyGN1UqV19PZZRDlopKRdo7ZCyDFSwfmb0H/n7xGjD6IK17Y5W17GohsezV Q+K/NGHfOyB01TYutkPtRJfwHAETl9yaV0iBvRcR3X4lifHsnu5D+oRgCzn9clDp8fEvz7JLMSrm rOzJIS8dKa0n+9IWdSbhriF5RZeAJ++BNcFaPS6i3V/koIoX1fAoolol0Bgv/79CYQNPBc6nInPL CCwb7mDdv/Ed4l4IYEKmS+D0AG9hx9g7f53PHgXOstkUeEf6p8BZI2MaJ8rIUHCX4XlnPEpoNCPP ydlLxUtIujk/VJBfu04eT8x48wZWHAqKtfdCZWab24MqZMXuntddvgYY5fJtno2IHZRLgibkameT JxLDuIju38xR8C0J7Vm5+EB0ZdJ6RDjj18Kh5xZmD5CEhkFkM+5Cv+ziK4nyS377zW+r+Hi+7XgN HBs4sZL3JzMuMsfPnngBdq5GfvZ0bZsxsPEfZ7TB7pWMeTvSnDH8PSQokWPlurJGqQ10ka3NNeeH 0/0i8U+wjeKueXxj1HjYFPUiAyTRhQG7+jB3rvWxn+bMz+DNwxUQZsCsdzhNbG1riQR3E+R2NrRQ hHr9ql73ysyOKVKl/0cZKHd+iUUKzfUBVJjl6BuBIgp/fvadbc6OBql7m8cRKMA0jNMoFpBHUVfA Y/m6RqelRliyabKGZT27dTcK7E5aHfzaRHqMxL5yU/zlCaIAI9G83dImJzBViuMU3YnIYFG2Ydjt fpEIqdRyN0v3ciXGad+CPE76WJ3zCMSX9ASk20Cbil9KQFmJgherH7gBkMSkedWEkxVf5EC5Ihuy Wwno74EzEwhznWvAvd0AhOiSRm+ZZChfrcXQGpf1uzaktzNC2px1ZAQnVJU0cN+3Tm+Kyi3cLOpi gxkmP+lmhczPqB/Lb6iX/rSnjK4qQYKNYg8wcVHpa7EUYmpRuHxTkZ1YGK6i+XzHgrnIvc5vFkJB CatVf6WR/DBIvhgRfEYC291/6JxpVRcZ1MDZtObNOQiUIJR/WcwyFGDcwi+kk3f5Sx0K3jZ8daC0 p2Pr5RS+kj6j8F55cpBPWuuFOidNeGuEeKYw7uODlB+vxu4T63r6LhOiJYwjG4Xb9Vh6ct6Lpv7w FkQrg+4pPYhEdEaN4+6PkTbBsFzS1gOHwK5dC7C3izrzHbAR16bnLZmQFITdC0e6sCE9pOmLSQSR sVHgWLzYPYj30umgYmVjMDmFTS2Onywsd5wFtp0fmfxdnmXG08Q2DdHI1EBlIfnNIs7r6mcwFHl5 6owKDaxh82sAeGve7ksMNBGcfdOrSqXvwZx1noUebEwQww5OS3qWyp6267ubs3oBE6Ty00DZP0VI U5it+shdQB1PsszrK3Xrk7ruPWvIdIp8tBV5eCkPD3wHnq7JZyGP4W4gRTU9ILZzREogVDoeOmDf m6rMUNYi/XTTiiXAoWwKx114+HF72KOFv/4jtjrnrnozq88zLDVLlco5QEgle8KmYBYD/J2WbPu1 uRpQE9lylA5OwW1YYouXhzsUfUCmvdF3Y/zrKoMrJFI2+awxJjdqJYUI4lmGPcqb7bgkYl46LOw2 gU4DK+FMvOZvcKJqBIDgn/2/3BCxWILFDPpM5F9tb++CnNNnSQslN43tLXWLTpTOBMmzWPZLqU5g WuBUuIhglCQE0VsA1Wf04mSnhQFXFHkzmNNd7LSiggMOTQVktqF6agnMVcDGFWByQ6Ejf3t9cFSV FBlooZmRx1b6Fzb78RGr66NLlPtgVQ+YrRdFKjUG8ZmOJT/WbWv7kKPicXw22ryvIksknyaE+fjq 94j5PnpjZkNouOlRobmmsYHnxlZ+EFTWKjr96sBfov1zmlvBTG+23nafj1CqSnl6KHlsLWD+A2Ks 2/fwdnntNox02WwGskn9LrzhgujRg3vtmn4oYuED774JPDv8vwGicHhtV5e4BpdxwSigC/lND7Pw NSl9EtZI0UBmL4z7JboNXdUZQSKS+osztqEa1eDptzp/V1e3awrrW6PbE3rXdWh+IyMSr++YnMcV me24Hsep57LGwBCkRBQVXMHyxVnUaB2yU/DisP85pQnvzfhGq24hAxU67OshbEsVxDDtRkxDiRGo Iu1iVW0fOn9mDTNZweIm8+0DpKLqmB8iz4h6ebc8WbPHCHU8FLuMz1RF9IaBUgqhqTOSsMslMWvw u9vJuN/HuHfwLqjxPtVI477VVsA8acAZS46zrFVrqfZglQcPEVQLbqSybme1X3S7aVSo0TkRSJoq c/IAz5rMu6EkfYOsqZ9x9dTFQV4MTJgRTc5QaTuVn7h0DIEeJSbJ7I7+HphTXbDWDYXHm97DM+yX kwsgYGifhVb7cM9nJk9nXfCWnW+CrCdw1g3mupmp4dnZImfyjS56xh2qKaZTW2VTFJujwby3WQnK nFgxJ8mscHJWX/MRA9OP2orRui72Cl0Gy5ibbQJwYV4KZStswBKcaJ8p11aPfj/0ccMn18j0EN1R 5xVGdeicr/Nlz82PZNGxnvHsuS8bbDq8DUJm19hw4jj0FGBg4stbHuxtTHab8MVTKEEL6lqdy2Di o1nl/W+t7K89eGx/6WCHjLy05qVX/R3kauE39IJm4smwsOqFrLBkHo7aGxOXUAstXic23cHqbzHT hqtSUd4AvruuVbTvDvuSOa51Lm1Iz7UFqNqnFKVw0p8B2GS5nhdQKCDPjrXoWYkJcjiAzeE3mdJv AuDY53+y4IqS1aJYVTvMldtdkH6FYxAd6Ct2QbhmiUvgx/5j4Y22FqSm1a9C1ZYkiLl2+cijfk+b hsgn2N9Qahlr4o1bc453wbsFuLNy/R7e0yJswU69l2OoEOZOIh8gFmVhn6r8wsnN/5EpePFivzbx 7hifdNcY7fSw1FgacWKQUh1+iE9YkB7LfotmYD09lPuQ/Dirzu+puOLOKcB2J9Ds9FdMaWRcR2Du B/tzeBGwrAvbWsUMNBrt3cNIgkY1pFkT4oq+kl2N7IDH1n11egcMVOvR9ztBe4/wNRqol/FTbgva pgnrkZoEMjel2g1/xl5JGEgTe5dvDI57FmDpymVcoKWTJ6obsRXyLTJ4rdWRx+lxwO2YWN9ZxEvH k75QS+DDjXHpBZCndaXKE78YavDKdYCXC3I1cmHWMReMSss3fhj5XyzlunF/Ub8xsRuxb6EA8WZc gehntmANuQ0aFiIoaeZ3Hfl32DC37qeLTmT2mdFtf6Aw10Ga+6mJTagnOhHojkEe6yQ67noH2m6o bTSh/tvpEixHx9qcHhjNr8M9THaL0LPiT6jd6Ru3U4m4UfiOkovNsCy8kd7uFAVV+vzqhLlXA1HN IwtC0p+EjtUYWea5unlVocLIpIzOpgGBn5bTpbSUUKsmwV0+XEUZuqyG4jdoyMBJim6X/N8IjMe4 zyL2uBWJYAETyi+EHpMtCBbJaP5UIKVXWRQ8cJObNFOshW4xv5JdyhZsi1nlbHNmXNb/Blmn4vhb +0duClJ8WbyYQpt93gvr984OJOqU8Tg91syiH6MQ1g9UKV/InO83/8ID+lOFl8hvtfBqG3aRHWe8 /8tIcpZYZqNFyCcK2RwAtqQS+njTrjlukdk+8CSabIsq7cTVl+gzcOTDerEB3JnuC7Iv9dcS8hEk R0/YZDFpBgIrVAqqIICyEzU1MdCmj/xMrrCyCP8S89igpFjBwOql6p/i3PjJihKRxN0XqtpYLklk ZaBQcD82AgRs+bhQQ8X5pVjw2lvtk91dzGw1fjWxr6rulooFbpzV4KzlUKHnzM3Zu488triBRGKz 80TF39Y7zq/IcFllHVT0ge4TFyJIm6DDgCaohg5Vr1VBw5UnCnDru+1lnzxOYRjz0wcgadNj+UzD VM3j3q/9UqEiSYwUJ9Ns9/rCoUHmDNZeFWAvJQ/sOFfLyGOCZyvMZ6IF8D3rF8VkFWHja7APTKLi ExqUw8MMvcYsrYGojMfyVFQiDorQPK2Wnl7zW3/WxdYc4fJe7oRNNYgbIZW9yFsvI0pD/3OkJAJs pGRLSiM3Us1Kx5S8HWvEhGsgLAFZzpaPDuXLRZ+xqIh0smuVHbZfGghe4GupBGeV3ND7YynKG9PK 0Ue65iwFpXYr5CfyI5fslcIF0xi6a9ZwZevgYamP5gXoeW7wBR+xR+9IGuf7auOJvAqVYy/Ns+X+ 85oEV/FsSV/akqbt5HdGJQQajYZzmrsN37XxXKTGFliswyUlzd9Dj8zP8hXc06iubTvP4VNc3bPx NLPll9RKDKQXwOhXWs713oDSWuKatGV7GRK9n2WMsK1VQn0eiYUBi5nFtMGkQVMmuFARxS2otled 0BWnPXmyZebL0jLBHk5Gv4jv+kJdgAdgMRTSpc+AchTtEcR4T7087Q8q9li5zHj1lzpLtbZTpURN yQmoWKUYG9U155jEKATU3BF5LyOvpETeZ+y4PngxSDTxuD+6B6i+RAgYUId8SNMwq8JlTtmiYQ9Y maDe2JG4rxj85PozqLekPeLQkLBznj7QOgEqP6p6bSw7jhloSq8nKW2QEsDahj91zTAPdq8Sh7RT hexHEiP2QvrX8dBrBEbq1OCSrdX6KvbkH3djLxOOHvqK0VgmHm5XyqiQeJJJLwEUZI7T2cQEEmjI ocxJDpYHZiqJZ51Sf6Dl/yl3wzkhvQoqakrZ7xSqg9MdBCVRkqo6SbCqOQtMCRZzqA01hUZF2kRl kT5v+Eltn3n+J9N3iXpftw/I80TIRvjZR2hgv140PxcyBjeKvHitD/oEge+lbcbiJsH4EaQ8geNr +bZURjv7Y89vEh7e5ibuCX9MOKozmLjPDEuBLfx2B8yd9X94x40BO+1eKgM3CWWg/oWmCnZF7MQj XLGRyPnNSdeluu24inHSybeGmpmcstUAReYf4OKNdCjbosycZ/U0eOoDQL5ag8CSpQYeWK5c3Si9 Sbd6qYTcleCFfHomKyVJ2MJ+erjQQtW3k8CDskuJY90WrwppU7psPD7TXmCSsNtiP6dHNYfiUGBh +WGZ9cQi0t1720cjdILdCuBuJkZOZFkIJ0L8ox+yXYRxMekwsgPxxDz2pcgt2P6/etn2n4MZFuwi XadKhMgE3JaOgT+tALzkxPmuRGOj6yq5yCsbsBtNfL+mcouTZagxICNMSbsKrjjSuQLFatkcE/HA ApEDgl6QqJdY1Sb3aOnJwyJzMoFgvk64HP6YSlPgqUpeJxT5nNWmvCj3lGF679aTc38F/nFYoDfq aunBb1sCtKDhGKNcBtBeCVLkamrSX0e9aj3yYMJw7JWM4KxwIww2Un1zR4d1Nc/FszLsMohG+WMQ hGOoLIkfcDnZxXR9PIwjpZrQa9+10RB8r1JScnhYa7jA2ZGpbiaMA48mwWH5suAf2m7LLQnvJNdi 7gFxXaT64s0ijbj2W92orxoT6OdcL38B8jr/wS8Z93L10+cqKaT4+5fYUA1OFE7cHSSwnycRM37T lGXE3tJ13QH3kmx99tHkCkWAidBi1/LLWFfdzb5rHYUMVosbp266YlouaqbxpBcnEpPOlq8BuOB6 CYFT2aWdY+m1cUJ4+nLBjnvOeoEZcXY29+JnW7yZuF4EuA8t/7YZkMk2GPoZyEbvSphvdRzlU7Lr Zwg3L2xBTblHP7aROwFdGlULOWOJGMkP4yx4OLa48Dxqodwc6Rc6htrvEsI8YB099/+4IGhtAlKr 0wuIWHRb1S4+tcYyUehKjzf14adLhBtftAY6tZ1whfADqdCTGroWHfq3K65VHxQhmbzKs8Nxvltb hFAQ+91JTAbyGgPD90yNm6SrcjMRP+xSqPuaYoGk4JKe3g7X6+Iyoy9gctqzt6b6WZ4OZdhVvdRU I7t6l2+oNFcVraZksfhbHhacdepOowRRLlr6LjJo9YBcpJa/TgH64UIBcD+V48e02nLCAi4OO3aE JVUUY0oXVfTKJflpxDzrL9PWVSkFOG4WlgEiVPR3h5pbm7J5IEFziJD5v5llXMyLjfVRTMmoy8k2 ZpIVbvUi/+U4nVglTZHM94kCJ4X6WFkJbeT9hRNjY5Q9tcxQsd3DKfoRDdqdv4OjkOdzkk1A3Aaw 82pgeF1ikNmCqx1LzhfLSQQMfC+O/+GD7i1oG1YUnLywBN22hRfJbvrZQo6JGzlPo5HFqkysCIV3 neUf15FJOG5W+oQc1MDma1OPQJwH+DKANT2wDwOFVSL9B5hAeC14wN0ip2FxDygraKuBHstTJ6nz WlkpsBJAHXvmaOvZLCDMBs0QPi0EIZpnQ5PI2e2Tanoj9PNxX9UY2EWsQmt8Qzh1e4uRPZml7Kx/ oZ/LsuJ+Gb9bD67F/2CHIdnVU9RNwf9Gh8r+VFAtv6xmRWUaJaYODPwR0ejwqPiU+BFClQHYp7za R3rdZcUFoEEoGSWkly2Slx5IplhqE+lMQHQgWTd2+S2JolfTLJYqb1Iyuw07wmDXhY6e+2YtgogV iuahnURrWzuwX0Zfs6Z5Z8Fm3tImNXt0WMN4LCrfRxG88MAL0CY/NrcxDQ7MM31OzA6mWvbb+eun awf8YAq0XsndXFDrLBcdm0In6dRqbcQ0KikYkBpYvvmS6QLS5+G2sOnNsrV2kVsRxWcDEL+6q2Aw zd0Hx8yqDWfnQtCHe7Y6mPiK0d0FPJhWfVLyB7R+hvjnN/lHADVeB9UbrEGzXi0XHaI1DoIeePV8 izGSLPPLyLCQQL3wFFWJAiVuSWlHxrsOGtunL1VssaL2 `protect end_protected
mit
d0f2869da0452a931a70eeb06b89fc9f
0.955233
1.809059
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/blk_mem_gen_v8_0/blk_mem_min_area_pkg.vhd
2
20,310
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block nU5nJ2VeomD/o4NZvlU1Q0ryIcqIS1zExFnsw2ObYtxL0tDX3T0lkQejXcT37syM8aGrEPSDDKB/ ib83YpEpHw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block cpUD2Q+rqRofKh/NSdycW84srBU7hDNHZCPm//bhzjGbzMs5JFusrejQCRZI+E9fKT7ii+2ucZ1B 7xO1jpoSrjDiqZKI9Sa/OH8jHXF0Wpdt+iuFW0hNqPfUtnGRiYwVBl/dIX0Uk87Xd06c3DWiiFTI d91IBPbEw/FbQ3M0P2Y= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block p+ZyY01ETIBS+stCy+4ckIWwwOw/cNrcrnJcb1WB+KVEHl6bByyT/lUonFc6q0XiQkDcwbZKZv6/ qgaDAJqzS2bY+jIvknHw/vtxHgxAGA95+VBTB9vpdT2Hb+qf+CC/GOvmdSfX936bzNBcCmdIGWkD boEx3jR8OHo4wU2oOM7pjR/U3VxUyR69FyYqiOC7C+WQ+cfflOQVVCOII/WBJmpuuU/usQC75tlc ESfgWwVEmyaeyFUxjqgv5/nNem7cfRj9PlIUbJ/80hP2bIl0DjmXmFTbTyx86R0GF5g7Ppp1UvGB 6weVo9o4cZr3my9WAu324JRmUUymIWfxnii3Ug== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Kkxp37+AQ094EscIs7t5hi9mV970JTWaGoxrdgYxvmEKqOG9eCCApQRt4jUDbuyMp5LkaEWgCAo5 Oz7es92h6L40b6jFzSyZcU8a/zyXmGzNjHSDx4Wbw13TVLvYM01cnIIEc+Il4t9pLNN9ZuxDVs4x 7ZhGJw9zv8raz5mlolg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block nJSE/LWnSK9nuMBITBSAeu6ZBhv4uN624EjXtsMzDF293E0YxcJfnt/9N1O9KHw6gDDmAnqJXmPw lFjPlQ6EC+rF6VVaXMq+1Tvmn3YgC9SIioYvegiNZKhZ7xDwQhmyfZA2EBqQgxJC1xgTVyZoYYhs d7uulB5/2D9oupuZDcUWMgtJgcYJsSoiQTjo52kP5zj2P0jIIYmW0frTortGZq4ss2uEstJjuext LFvgk3WxufjhjnmpuTeNrK/ndro0UKtfpGH92/IMhEORYZ+S+9jMDIiof9L7GpZokqA4vRYFwOKn MTHUf8jDfvUrZPfKbdWWzQ5TM6tVRbAjRayQYQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13296) `protect data_block uDuz2p6n+BbsFj6D1UmIpIyTNZQOZkEDMIkV1FPe9v2znzQLy9SHEMknx0l70Ex5UXHT1wpvMQB2 7uVff9/ZBWk3gNd7cTus5N0vRT1HOALlNe0SFKNTX8kNEspUVlecLtNAo8Tn2os2CC+TQaDJIv0b DQcZbrRIMT9/xY2ze3lxGHDfWD0UDedMXLCn3wyVACzLwVqz5HehqQgbVG60vlPkBqUtGa5zq6tc 5RnHNRkCz7vFBkQNLadnNEmJc5pAv18kSf8AR12ssrgyy4stQU4beekbs30dzG02vOzZdWs+nh2X ovjld+a5ATJJ3H6+cyZEKhj4B1flXEzSHK+xme2Tm4LcaaSt/qpKcQEMX8rAcQrLfZLzFlMSS6b0 HKAqNbObc6bgdnobMw5NCIOLjCmOcyVMh9B/rnkAyikVZaour6HT8HtYF25wiNguu2GW/MyFzA+6 j67TmLyGIZdQtyPS55ffT6KHqfCF8Vg+BTwRk+dVwNA44lXhlRST0+tYDaPwV2IP5OZebH6myMav 1Ok89nKoQOvxmTKdpxbdx4m1GUT5V5CC95Ag3O8tYeWpNoPtEmv7E02lTYf0WT1xoizIYW8hKf1a eEFPQfCjueI7qMPAJBSesta8B8xehGKQv66jwastnZ1gq8ewvgexNaCvOZaKqYYbnKOAIbFOFoWU Zauv6wSF21z2D8Voo/vhcp80EN5sLwzdYxsKUISPVNffFhpbEhoOfemcdv4ZrVl2w4sFDwpysTz0 Ac6FuPaGeK57QYt7F4c4CwynVD1MhuoI9yPvdBTL7ixGMxuHAV9Wpf0qj2DJRL6UVJrsg1yMH+Vu MIFdqRjpP7q821USz924YNdRD7WeiyYklLqZRcY8Oc1fZVCVHpfEFIxqLlw4G2k8pDuzvRWFvob3 4YhSkBXgrOWygnvkXs5h2L5VTVgEWPVwe7xjHuIYm1yZLhiOZO9vREIN0zYcXKfgLaAPI319CIgd aCJrkVHB85innoUBhE4RtpONCBRC0Vn6CQkpYxQzp1/0VuoVPqylOonfcFidqyZq0tYxpb0RI1ym odfQ8/UBIWLr+NgCeSdOpPljOFRmCeqRNbdRlDa3T2Th1tZva7B9ZAj6fRTD2rO97zxxB++XCzlj OdkbOIs8ou16q3okrmT9MaVpgyYYDtoreoriUGi8h4B5M8kvLHzIqmSFgQLlESNrMIxKL0MYTI9U pkdMFfXZTZv44nnmuAFZ3CtanvVQcu2BmqLPx0mQJrzghgwz5AqcntcpxC3vzP4Ho559sKOfMzvC LZUsACXjI7VTSGlA6hFpPBTsM/rgdnkOTeEBv+GonaOPgfHpniIPOXXwwDoP/tYem3D82p3VIOEn HcEu45JZ+STsKm9QCPTq/s1Mo2vCdbFlvwZzB0iEYihIRJde7daBg7Zm+DH/83MLmuyo+pLmZi3k HHN5w24nBbbyrr9djwRCiZ/Jf+4WS7hr5cQpRoDDWS45ORPV/DlDDH/q/DlPU1RW1l5lqSTWBwy/ FoHClwlyCxaNKnnwIh+GpHQELJ4PxcKWivlMeW2JESaPlglt/CfcXjA+ut4wuuV3GqW0pkQYEUiO UPBRoN63QrQd17Ao5/PZS5Kdr7mVrLup99j+uV0Oee5U407p/53LEECzOW1nwjnJ7AproPRUr93h Q1HxkACe3AsXQRa2c7BxFboOtCLx5o+jDCbDZ/e3ijPLFZWJfPNNCERCBH/rDJzctMgqnuDdNW/s 3dSxDptiJY1/VD6SJeEMH37wh4/ka9Qo0mjMpsjSpvU02q+T3om9jVCiOCwTVj+beads+TZSd9DK lUeB7vJ3a+mN1PMOFoDqrjcp3FdRZTAlkmkPjP5N+PqjqOQyySVNzDGm1VQefog1Tlr5IfBHZr1d 569yB2kTNwo0nrJpJf44i9dn4llN1iLyZXk7QRTaBgJ1P+zEux3Vza+0PbRuTY+eSZJTwu3TAxJs ARHPNefbLZ+P36DKiSp2T2+FbTKqMOeTohMC+RQBzIatct2J82Rr2HKP1CG2nhoh8Sq1k68n8Ivx OXZvZDADk87XqYsSxNl4qe9dJQYcIAoFApEcExofc/f1X7tkHCWzePOBJecBObn8ovvT4xvIe2DL s9bFZzMvmeHIaZxC7A7wKb42EXfQVwgm25Bs1eypMTLGFZbrTgh9+cmadQp+o323oGgH1Ex5tJGo tTQ5WbLkHE9oGUvsHtJ6iFNGh/qKXIFeUeunzmJBudG5gQT0YkSHoG9hggCH/Z4D9jKfGwECvKc2 ENTM/dLLfRNwmQnLQf/2/LlgMOjFiOeD2o3QIXnCW3epDAMYxo6ofhELOiJpJaimxaJ9de8uxRl2 P/qDdHDptpwxzQsS6s6NOiZ3tH+6Qx/KWb/DWRXB/jKwagZIF8ZFRz6O1QqNsP3nMeOdb/rNwntw 5rwFfT3V6oLqjcG+97qiYNS/Va+ATTPtBFrQ0M16jkGFIRa6lZG36cZmmT7mnWq0K5Ftd9FEMfrb yDD+WfVMkk6MgjSv6+lGGOw/CVxlIojqqfHCKZh06bRTUWAmitXogWocajC/26y36eZkMNLv7oDt /HpWbSH8ZkzBpdmRiDam7ZSsL4yhb0uiQbTTyNMm6jFi7GX//lVuCSRce2f+FPhKGidGWaSEmyvm ui5fM0WNzvEn2Vo8pJCNNYxesbkA9yFLQdlk+Fo7vyunusH/TvyEePzCtDLDg+gMHbX5otbj4xJv o5Psa3c/w4BhJLg6h4WOvZxEUgMl2jkJ5WiNIu2CKuUrfk85wtqihDlw2SkvrNT+jgiqV8B1RAF4 Ub8bNwydK4oi0DgSolQmMCH2lBoHcJc3+hCJLEr1EjmTXI8VZuBQP5ugWOxdLHxxrecRp1KgiMmK 5SS00PMIICCfpC9cU/ljx6jX6kZss7EDlLOhosbuCXvrsK5Twdg10nt+0pUKeaPXlOV1TUgOm5UB q4Sle/b9V5nY76cvQ37XGgo00FZ0d1dX7Or4TFajm2Gldy5TMjj8Zmisv/wBP8SXytYTEcGnF71Y cdVxnWvNPZJthZNCVuzmKKJG9AR0xUa4Fq1myi9pJKuC2XZh0HdE1Sd2PKR7wcKxgHeTHQWTvKv5 HSo2XyYjurEZSxU1kCVWl6AdwpkkpN+gKckc/SRIFRUam9wJHeNxFxHfRR6mfDk0LwdgG4+eIq4B Xfv0JtqLfs4NEmF7Dm62NN3zqEsTKYJuk9ToAv1HY3KX0vYnBztd48BloIM8jsFGe+SoJh/LnOOB mlGuioFTqtg1jHt6Y22PzpYuYbfEmRR4mpln/h7+YQYNUk6bGMvLjXUFzt3EsKjt0X2wECTGNQzV 8QbclESxu7CpTNPTCaaA9qW7mLzGyhruHw7oRbwMJwbEvtuGs5uAO/Oq2I9FCsdb9b+1AuGSFwS0 BCtFWHCjZCq12DDS/5fo2pTcwEU7nibUlCMDhz4KFroB3YX8uYDbW9V9k0MdtrZFe0/2XkFnieij N6gCCySA0nbi2xn3UJZ2yXECOe+0otdR3L//I80VcKrD8/kvXUSqxb5sLvkcLv6sCsLSkLx7l5po nhg6dTIM1eK4hXJlgK/7OU/BlbI2NN7aEaFV/tRLqyr3dIy25nIhyNMdhYZLpwmtVpJll5Jyq+0f yZbIkKWET7btXQHzygl6R7QLzfWi4PPnG6b016GhLS5hPUtmWAkEfNGwhVW+J8E10t2irCLR8PLo 78WYIlx9m7CnPpKzRmoWvzpjOx0m8LGIfmPEXE8V8s98N3qN4aOP+hcNIWLopE0v/ZDMeMGGQ/xb Fn3VAIR0bpwtbKiqi5g+bdRb2g6wssQdFRuVVt19b1zvQQZHLhgNkRcQl8r7dtqVy/Yy59zb/bKP PBjp4bi0ya4E+/BJnYulPyhbN3/8D8FROtIdZpz8SQAOtYi4Z+r0JhBJjqpv9Tp2H6oaICasUex8 34ui1mFdhBfYf2Ym6zFP87bSR3WuVko/TS1oEGI67wdHlP+PZq6PNTHUzTHCsVemAJfgnbGJ/jxq cO4ydw83nLovQVauYkh7cDFEs+zcQzIzqZp2JPVnUfOEJP0YM7y/RH/vliFL3VFPJgKthn3ETQbv EnYT7wLwQWy14690UzZBrRc5NywBD6FyTU87AEj5bwDcEqHd6Hri5YivIvoMoVeaArSFFAyEghg0 VjuBJF60yiqXqDsUWsWp9xWhqk6eVfsff2dX38RWv+dPchm4aSD37e0nReINsbKhifprLu/ucSf/ EtyLzQYwLVLNnmL4ULjq0wn9y2uFLWBlNqhRVwCXZGCW1Gpr5kyhNxAFfSCo/0uKiZPA0mQFxfEd iofUSBA0F2275Bg0oNqirN3kqeUzQo8jj5LijFaNW4LbP+FYp8HrKjvObxKIvRURoQoJqKI3KCjP N19N4fJ/+fkgipVzY6LuQVWbkBHNtVYIwSNtSN/Zng8SRXBg6i2j8KBD+DafQNfldYDvoZ4mWDmX XMdv1YJnWqTB8siQBwZa+97Of66vn6Jd5WZtWX3h4gfWq4NvR4mPu8v1hsw4NdgOVWXcM0Cc/eVF AU+Pc0zPW/VKLf/RYokzMDz7kETMNNxBgrTTCNRp0+Iuar3Jr9ROybxWgrKQBgsZpkvJDIfDBH/l 6gz2Qomx0tCKDOcS+1EXrmz1RnBYkcatdh4tIA7Tu0SXWE0SmWXy+P5Q+p6vFRCUZSNDcrrUkCRb +Gx0paI6Rtn93EhUDa/8nlsfPYciRDIKfwhlnsZ4ZIqptE76kcwHAxXX1m3siPjfupd0mvi4/HSW L+n/NfeqFd5T+KR4smOjGa1IgHlJLrYXIl0hcf9p5JH6G9luny7nH9hnKDxUQZTnP7XZwfDv/zgc Ac8QL5zMQX9H1k5TNtYTTvHcpWGri+gPVr6oioKuspsslMmXAO8ZNr9gttXb9Exe20mJdLCc7yc5 YbYjeFqtY6Ato+FOD0RkbNtVNFkPBKxAzuBdl1vJGerL/4mz7BMSVGTuv7P2ewZV/PZ3Ybw8TjcB CZfU5TbbY/Z2BfpWB1lkOKlJT9antp+QxfUf8bdbgUfEVkI0zzfzKxceVMhMECk9NhJIqyni84r3 nnnmVmhk8mgDrOsIVlKWB4XQSDMJ6lhFdDufl+lydR6wuXOk5s11S/irzNn93MZsHuVN2vcMKIQ1 9TTh6X6Ri54oLWlMnw1Impm6cP5gJBrQoisjTJHwuLmFiHLGlZUXa7z047dXWn7eBuhq3nXul/lz 4f0+TnVB8dQ6+8X7LK0yKyryA40HOn0z0oyWZ2pPM57PUyuHOzXSE3iqqNGBTAndqr+5SZ4O+AQW xP2pTy9TqBTt3z1Fr3uKuT0P+o0ulczrzU8PmWKFhYv8lRaFlfLCLVpPVK6AY8/NNWOE7367aMmb qa9TNn8p9wuozB0lU8+NuMeMVnfib42uROgzxwPdY+OIYftcIYUoPoqAjxm5Hh4vrWzTvNTpwqWm 7jFqfs95/O4V4weZVrOrzvFf4CDVu2LC+Q5MLDfhxBPTxR8VILTwUiKTh9iQuMCvVLB4/tdH3JZG ZyJtbRXGkMod+LJ081grQJ7YKhX2U4G9GRQU+kkJZ0hX1HfFgzT3sT7MXdtPcwFGDun3JzS0fPe0 eBndBWo9Rm95V9eg51wgQ0QbYqriMbwkncGwiCVXHfpUWySAfby4uGNyYPkW0qQJcmqlfJ3Q4YaS /fHUd+S9l33HZZGtryr8IYn2LxLjd5xMBAg+K8hitndq5Ui7OMD+JUQy5s5aPfGrM60ps6yqFrK9 bqDjTAk4FHq+PWZpGv42zFHKt20viPh1qSdK9YRFoqSRW/5TYSTtzIbWUHrVVY4rYTdY1HMCwY01 sdq5lDhhuiaYyKUJv1GtvEB14oTLbWNVNLm6DjmAI1kfHqMNlmhvO/8hfwUj0ujY7o2UXsdrkEva RHOWy7BmiZSPiIWB9zqjpLaFkhN77nSK/43eBhvG9H0NiJxyGrthFjkbmli66RFWh6q1tPqYAc1X SRMo5feg2GArZx40SI1+mCk/H2d1DnG2A7M2Q1XINKo5FIK1ZOQnYlpt1qcfY+dkj9iCpERi8o0N AojnKWmoJk42LuxtqvSLxD+hhGM4/VVM9nFFmz8ntiw+Ieb+7UrabKt2ly5TZNw5ReoWtItGWUdC rq/RqFiFksAj+esuzY37edxt4xeCLAHAS7krjOC4ydgNwRP0a3B8iQR6yzpCI9au4FHZIivhMBdr zgT/lr7Dk54MKndOngGthf+GZjuCTxi+9JFhLtNwogrcXPThN5+r5mRSKQuK4UdfdDo8zJRy0sb/ AsrxpASVfPtctr1IEa/QTjuDasxFWl+WG2I14kbxIt4GH3j0u5yBy7+vR7bAqhDOJYnEpLw0xX7X DoV6/cbnduPTGjlUUzU22Vz2e4k5dAFfGphyrXVswZcH+IlAlnAlVMaNqrqwMUNAlsvDpcVYOtyv pamn0LMQMs2ztgGodpU9PfEElVrSSuIW6Hwp6oMGvtHnzRITnMT92Tm9/vmDcy9Ptop9tCQHUuuE ADegEpAr/qapcitvTkONJmq9m0ksto3OXC6bO3JoX8/9/Bzi8UQK75BLyLx9X2lGGIFw8mLLOoAI GZeAnT9iP9MVeG2xJz1k4bZ9mjE/Cv79W5WLe427MwC5RTX7Fr9Oa9bWQqh1FSI4PDXfLjxPdG6S jBknCBnosHeXGdXxvjTwslm+s+NbcgJwgMgSSuoa+PKyKzTmEwryc3P3AYahK7RpTA57M9WtpzeQ MnnmIRl+qgQJukqZ/65PldbUVhuGLBVhehvjrI10ylaWv/pjwbyB4gbaTzUCuHbqPxMzhQ43yPYa 0Lck9XIAzQLlnii//4pjgl5wqpuwA+vWd5OjNS1U8+GWx3TAILgCwemF1ZpIY64UY44U3DZcdaZ0 pttpyGh+S8mba/jRseLx5A3U34z1CBvziwwfn2TFARsN9HeABaJeSSmn15qQofhZl4o4nx3yCaww w2LEfO1mQ8X8smEtsKQADsYN84hLqn2DLjUeI3t1YLLWNVJG2IUqr8eHi3WTPmrYm8tqMcWwcuKi x25ONO1NmEa8yW3RG2QgwvehBisBYwiOZ39twXQjNI7Te2X3zZXRUysuTXuUWUmK4zCnFFUlZj0b Ww5DYzusMsRcFwdchw79hOz02XsWEXe7JhaIN7df4bh4T4i9+0MxuhdgI+Lf+QH9/91HOnENgl/f GYIcFbGBtXFvCr7OjrBy+4Tp/AnLJ45iYCGbdGAMDtNuALPl9T9l/xo2G7lmIuNZgYThMskWi2kN A0zF8G1Oy0KHWGPuUrBQJsYHyGnV6bb08Yst3vA0bLl/gVhek5uC6VxJSipFzXciYytKXeaHm9Rq yA5/qZ2kyeYnzEHKkYiiNZqFicP87TuBZwWHsAviERiE3ZrzuMFhnEiU8X/Wa+Nd3qlrz+twuW62 fCcyZsnMBEcBh8A2QAs71X9FM3YKr2apV0VEfrLAv5QoXXwlJvHj+6q2rdgeaQhE99zGcw0sS5ln 9Dwlcikai3Fe51/BvlawAZK6OBZwIW4mZowjV9ONWoBAOSt3/97SU7d99wGh74bNP4gn4a1Gmov1 TDCQxC95F6lj7IuNuCIa71arybM/ksc3uam3BHwXpwJRedt7KakoCCUFUD3r2PiS+i5HoDRDyIks acVldkEcUVy5X0tiadqEWDwoeumb66kF78HzVjNypjrst6BrWklUEMRyQ/yBWzW+da7wisC/aFV6 TTp4jkb28IL7LwwY+TxgjaYzNfmI19D0qKkvXRNRytkDtHaoxMi/1FDlwxkaX/8QdNlFiQqHEXPt sJqzPIlEFdorcd6jlJjnpkBQqJVA+oWtjEK8FlVp038tPpuQ73CFiA5bkxSWdejv3oIvQFpJwQTw AWcsVg01tGlACar3eKudK/Ba/ZNGQXlTOoruwJPyPhoDHuDto6oUKdWvEU0nUbyvzPU7MWfMMFts C/uhF9Qro7O6bLdirqnTgDHu1+DCMeizGXXsskLl2YypDbKI2JO4N6h/PTugGsP7bopz94Ju4U6H EUsnKtRd4yRxrH2hB+5vzCKvfVW5UlDRO45bjh8gBoBaeEE3aEU1YYock6vbAv76vaZO3gXJkfTn 2PXIuzUVmFhM+4YNSr/GnUHlksLpEBWz+dz6zEfzO/WxTzP4cBf3S/dGfP4guQ6I404BLBGxcZT8 yshc3HvlU3fMQzrKBF2g1odW2g3dQDv7vrU8qRVP8hyNowfFmWaG8/U4HXaeAgtXI4KhT9egBJ8X 9I6Bm6CfyFbO/5gJD1HopsE6AwXgcEp1HrOjfZ+i2farLjSkm3qwbXroibzRZ6//TABngk/jyFQU 6W1pq5hxQnARZwTEngcGOtIT7t2A5hfSLxao8OejJ6o1vPIdUCznUbSCnHN1isWpR5TW0JkedTjY oRbS5xI9Bx6D1w+9bYLKrtD1u68QNdNhVNqZ23u3tm1GTVxywD9nVRr7P/34InKEPqtuTgwybwrs q+8M+SZm+M65rhDEDTY0921Tkl8Y1ykha2XzgHd9wgzwJzZk3MNbZcnMXcps4JXzW+TqkU2T0+qd Wkqy04LO+a2OfBh4fQaHoAwfr4BovyalR4YL2lzpkc4niL/2t0IRuQhUHwSS8fSu7bEetD4dc+TH p1M1x8Mwt8q1iEug03Y1lSCvHkF20pK9TBwrXoupXSSG3rHk2scTgXQpWEFQ3fIMk8LYx2Cfwe1A FeFBF8sUE5zvnI5LC5yWq6rzuWlcqkRKouRcgML+WqeKP2iwwpgyvmqdiWGpsUKZD04HDigdIdef AXQoCkCjZwCU1ASqvOkIAjEaXWsxUNqVJEPvlw0jag71ulPEjCZCZNTaX++/kmQDh9B/g6LCuD3V n9/gBDWnDCl16D1d4/c9gvvcB0Yq3Gc0SSWMgfij2XjFtVy5xcGBD/IFMbDaasdiWpCVcJDlzupq 5KuyvnLdp3PKz7sWVf5vUJ0m7zVLGdQE4DxQK5PD2dbaGZGnAap2jb/8efAXH3FoOY0Yc5PSuSOq HnILaMzvZy/O4khbVVLwkJsb+utC98hHiGkHje0ACWBXC/IWiQ0BeRAaPjQ1q7P52DhJ/vF4qQ1F 5CQPkoNkIfyjilqvB+EmDPMxXCwJLStoWyFBs7xE6g8C2Wd4nHp+0ISWPwpM+boNUanDsblIAlyq GUd6lKhaH5WV3wtEd0/eLxBwbeDozc4ilU2jLw7CaNxLblALw/y2+f1rbYZVZArk+l16AEfThKLm 8FekxukmdTEb47JYSPf2ox/NNncS+mYOFKv0eFzW/kiVyCyLHsWjSXNi8jh1MHLdhLclD/e0MTlj I15zk3CZRlJv8/KBl9YMdGUB9qO7nX5iQ4d02IM+nrh8VD/nc1N9bYcJeX5VIqGDH87PELncXLN1 6I/fJstOjdT5Mit0R/ilOLpTTOxDWOIj5bOBUWhfIOpFiUs6HMvCYnx8f+acF7AwIO9eWAlbdeZW uhHoR/N0VF9j7ZW+DpPX2DOUtzIZpVUXdci5+tuDRH8L1K+QY01YLbvevdM45C9kl2KK/wxCzbhJ 6sWlezlRMGLrSsVCOBRQWsCvV2glNGiXGVOpdyYznsqCEpKTXWkpJrUbxqN9piUgaSoi9JbN4YcD 0w/UUZ+o57RJsh6doEO62vNR4rWcpJcsYcnxDpkXaRatnh+IHEMuTdC5m2yOpadz0kbmCV33Iiol GfZAweDenTAMs3Elsog8fmKmns5hgB4aWm0HqulXb4Ax/f/0qviKqhi/hOPJ4zQr6MB97Q5xR4pY FuHTDfeh05g7RmU6E6pc2YE6eJvq8hWFXdDC/ojz20+fOv5Y+v5odQuCNI1grAs1w9HjgVN4RjLB 2mfSnNR5tID6aEwO02J2+34dAvfOJemhIELp7SYF70mcluq9nH9IPwvPogsG42oLYf3PrBYmbjbP a6aAlYx/2aFLt6eDKUJwhUbFrpOoYkbYobsNXWEpqL2E5kGJSkHlGarcVpBTnI54nff9BXxlPIXM 6SzFmXvk3YRBwKP6s1/jLOCukcRN1yOneehiOCWL/IdfqB47EpWrgCz6sMDiZVzq41TXE1CUzpmM cMlu05PjAWdz7f+yHZHwHSvBcuhn1axNLJdZrQlpYbc0UeUUPNbONSAGxRZMqN36sZAhobxxw+hM 2XJ7Sda08k9WxfvlBo9MDCyX2uUNL3vXNwnQHdHI/dZbol+Fdbyljqp6eW4rch8ntH7QgBcHavAp ZvK1HRwvDhXv7hohH8jc6InfNi5SmtSeUP5mULNDRDC4M+sEg3N5n+bGb+b+m03zehSaiJE3xgvt LcsrSQIxD/IhqWNYqLUx8Xq0hARbfumzq+I9BXm0gqPgrTJKnhXWQp5LUFP2LbeDNleMtJtDg6Xc SZbIsTNCyzP/I39ta/h14VBqCbtcBybwUdCUd2TnalxiZdFRmYC3Dwqm0BId+Nxbiv7eFcikx2wD oOLtxFKPwW5yYWhDRWEIju/BTPFdiisYnp1f9qeDYMRssC/WQolRwA/VimXR3ecuQF8MOIyVKP5A pkYgtteV/OLfehYwO4/KmmN9IpV3i1ktJlXOsb8LP9777S/+SVZt0CEpZ9tYfiXNDMo6wKvZJ6Sm zvQAPztsCMnovDN14aLVxji08XEUWoU5Er8p46Ntaj8lT99GgL36uahF+eI2M5ol8x83tU0+bvab nhyk4ukY9SWnQx2cnntwq262yBdRvxgFHZYVH1ltmfqIRFq/NF4xTSiUacALF1jnGhZNFFk+HD62 LHWrtxZqYtlVqAGAt8nksfItEPvQz4BMHfp80n/4SAH/uuw/BMtK7vVvcbtWkbKC9TcWYy3YHdg8 hYzSsuJtY531TqcGZASYaBt+GrJGYY1F5IhmANbs3KikGjWZJnRo9Vps1Y5nl8i4JnLPWqTlh/Xn VGTrW3kRI6JUvGXjp2DlDZjecYxMf3n3zrEHVLtoVlVKbrDn6dByApqFHQHghTwdr0Jr/uDeOHJe e9i/06RTlk/vPLkI/f9fxU4KujA//FaGL0djtBuYADSsK34ZTg7xqyF2geV6NedaxK0RwWB7VzRd +MLjTwzz2/30zPiUALQyby3jkcZ8zUT0R2oAE/Fd7hlkixsfEPWKDiyA9AVJNDQkcLbfEzDUO4Tr 5wZ4dZWm35rGY2hFDK1FaJE2gOKdi7zbvWfa5dbuq3XYUC3LjURjfs8N9IqkY106glIby+c9nS57 6hn+ETBCRf5g5dVC6htoD/KoZcSSehKyeX7fVtX3KzH/N9mQr5d1gjBzKmjpws1WdOTWNy6DB+qE 1GY3n4x+VCNR6vsoErZnneqL+N5NR7XXmWn4TkFGtNvyoUzUAXm/HCogZoGN94K+mpgZ6VKYvNcz YRxlgxHYKqs7vySH6NhPX5Whyr5D/hdalOdASewNxojhpoPhJ/YLfYxVBGANUDJKfTWMzuQ/M/uy AYr1kCJyuty+oqlpqUNQBAcVke0eQZdhT4sXXV3o6WNXKvgjhg4Eew2rT71KU9X2qF5NZYOyuQX1 bk46G6lyy4aMlbrvG7Ei3c/p7rurMZdX3HUwJ/llIU/XjNrXLpLOQNifa+pN4Ut1+rUNp7w1EcJq bkv/5/LnmRH+tBmoYwSsSswK5tg+UMesAdZKNeoGYx6uLIrKN43W1cFZQ8QDL6xlOx+IRPXUeD9H OjnLALqwZSiEyEYFOrToRsFauXIGfpAcXbLfX5G8C4/nkvR4jWc4WKM36v3UkxlMwqDARM4iCh8K I0nm65TKWaTgDBy79j6PrP8zsO0N75Ls5CF6Rdbc03l2PZPoKCJqmjN7XHS2CJoBC0oR8mdTfefj ww/9mvgZ9sQTbbAFvz7Li30dzexf1WBZr0np+gkOFtNzaBNJDeQGXhjcF5Y03uPAzficyAEgN3sd 6vhHV45THT/tSlKeKLj90ZLpXFqX5f5hDb90HTWQw9KRtvXgypFnkFa4l2n0nhWnp05P1JGnbALm F+uz7MXzV9UTcKSWySGQqA17YWrZwuh9HnHsxek8H2wJGlyZM8GTXppsF8ApFoztI/jy7RDU8ccD UIdSS1xJSktNFQoreyz6llG5OZ/YiB1ukpoaNNUgj9icOWHd3lXK14O9SBmJQgENDvaWPjhDxXTO +hAbGO65xTHG9nbGQ//6eioUyk56CJ/BeRSLPAMGTFObTY2uiaoHh9BsIsMP+ird/5wzkY7UWQpR EMBezuN9Kedk7n0STe5mukneS50FiZNRk9puYD3pr8To386KZGwntm4dWtfGhBMUNctuIg1CXbeK +r8Ejd6lKHCOhrtCCXuRQd9J8sJqdzgz6pCsgLxqV1GO8OS02NmlGFshCmz4bbrH8CJhl238Rcnz YqAHfbGXffRrkMdGT2hhDYJ9FxxAKx0g+BrZnYDznsuOz2kXhq2CNwO8b2o4TVCMMyiEWGjpXZAn 05f9AF93/xFy1ar3wi0cMHIHJtP2j9G846Iox6DP2BNfDD01KQcMVNSPxeKUTYgSa5oh/djajT+3 uUfg0tVaFzaLlsWsij1k3dTjAUWcLtYULX98+hIRrG7VHd9NKClisXJuKCFLB/tKnuJjiwap6C5O J/6uAP2swLwkYvfjxssQ9Pnct40DFNr1CnJc2rZJhlT/sdGVXiPtmIqnsVDZeM+jiXstM/f/98Sx QaLUvwlr55kwjCgw/HzIS0rmUa2sdf0PhYXhrzOAf1bmNp+uQvE20IjTPF+KicLY3dXe4mmik3BD Br0jIs3H5NBc37C5nD35ijBhYjssGA4gQZ6aPF2HnijcYI87FD2UBiUcJzd8CwDiVkOmNbwamVqV 8cvT3Xm0GMaHfntZFPYKa3xn/k+opByqJwORzyn9LF56SkJCIZvx+WOEhPwap22FZHyvuTVR8Equ Xv7Jf3afqy3ldewsii3+ctxmIBlCvK6QHAoNgpMOqRNTsrBG8cwnTJtWLhTVwrfmtG1/jKtmwuw5 +ybhcPINYxUaSGEccfYgSThFk6qHPQGKvI9um7spPdOiGWzwmsgrxRAKDagHgZ7K+g/Ae4o0oV66 oqi9iNld7suilV3HV0SnLNsFbjsvLNEKa7XADUnNM57OW7gJM/IupiXoeBlOxWW5Gh7W1uYG7Xp5 HG9WiTzzCmCUjh+4INziDZxwf4VgFtK0w0VaKdu1oigC+aMX51KMkSDXuJhsJDC7ggrncsYoNKm/ 2v/WniIKEtPu6fyz/tUzCAc3+/+Rsg86uULaXiu/b05Ot9EuREFIqEERsWKdAC+QZOjdS4oOPSsu X7Ejbd9E67yHFP4WgoEbUI7KlbV2HjBUeMHWN9uZH/9U4ad7J2j3wc7/MuBKCsTU9EC0QkrOBVf1 f3Uyh+UQgpJjwPUqzGS5wkiGLbl8n/jDOfGisSmoJNH+Bj3z1mGiSxDuUhYQDyCqR6YIagMKTczH gVlKv9h4aCmFY3FPbt2wg4U27A8ui7CrmNao0jbU5hCtmDQa697kHlApvoqxBdO7BdAj9yjmm/5o jZMVV9uptptESCXOYdhRvA1XvjB1qSUxvz9m5j7T0+A9dVaW9Xhuo257ZSOCd6c00ltEYQ9sut79 loiYSkL9zk9L9drf/y0b8FLNNDXuznM2C65AqPyL97iEzkcmRd9yEQul9ov9oq8OPn3I64ckjyCo tbxFE0Pq0YeHEAlVXrOtoK104KqHLkj4sKXE5rre53sCPQXzT2VWYC2GgfeA9cwJEBq91k0TTkbE 0F2G549Zj/RgEytFSm6XANFfL4tedUtIMGfrat/aYALq10DrmKMezQSih0MRhA5GENIkX/aqyoyv puLGyhYTAnD294lsEKoF5nhKcAs8Vw2ODabsBYQiOSWgyi3gGm5bJlS2j+yF8VMCT6k7iHK8viNz sUUa2L/47BaAnftzKyvqGpYkwRIb19TxFaqBh7CzdOxnW9zyxZMZXVsSsLer+LufaZSGoF04LItM TQTTU5Z7GMuOLuceSeHiE025zAzHPYO0ulAGUje90QGH0sjX/siFDskYDd+zEwdiIW5W1OgMtUyO 1ds5pEoFcWsrC1yhzKmSWgNjhhMFDZUzDKiP04ixHt5VPNzYJrZq1DSuXl0JY8NCLqXDAdq+vadh Vg8L3QYsodmRt/Y5ZzjNCGdAtBX1aH5/QMkJilY2SOxYvJUjTAyO0FPr5ZMtNHwZmhdsfxGWfuE6 tjV7Edj0LJXMB414nYaWW/epoicoyTOM2R34K0Me4i9IK0wn4mBkpOMhkm3VaHuAkzGefWE+MqbS C8RKaiiop7q9xK8Sx4sXYfRUrh4LUy7R8CaoDrQlZNmaYNVtl/75kSosKJQN1V4uF+8SIKbWMoIs LWWWwAtsKDcA2wvUxsxem2Qv6WTU814TuhBZREpz5yxm+CnKlM638natXbqbt75rhSLYA72gHwt5 TpVdfqGTWO9piDAwcovDCZ785KdudAYUHAJmg2/vegIcDxXct1BhWoPMEBcBs/1djRrN+oMGK9HG PPIMtstZ81IFyWs6j+7qMzMJOQ+v05X+FpIRkwj3qJJp+rLij0O64VoCmZJxv3/YA4k58duxNtNl yS9SVpblf+6+NfaPxVFkQHwvpbfRPYpmVdeUCM3KCGEwxl7VophzUg6vNZH8hDyXcPIk7IMR9WFq q4cnXpepDWYnSyowXry81z2oaC2OcsINCYDLWyTZMnUiT5ynMfED4W9M3HCokGeoz/SjLp0PFDhj 9PHgQwI8yAmCf/qz2s2rhyVVbGWSFRvRJnZzPHxnO2A4WI8AhQ8D9ZsO9sK4SIt+ElgjTjNr7gUw 9hAZgih6yyrNyXUK+oJGXomESW2KfLThoqA+kiMb92ufnDMh9tGabz7x3AuLfgndOzfnGFejIPWN 3sQ+XUD9Ft3qqApzhFdA6J0e4//xzxqR2QWWi72EfeTd1FqF3mTRou+pgjvZgKFcczB0J1giz92S 5Gp2tyVmQbgWP6ZFxmPSzxi6tPNxsVmLebkSHwRw/p2F+QShnqIfmf7f5zSXcPdZ5Yr5IitNTkQJ kk9erlTJeiPolSijBvvfxomGBLwp1i+no3g4hgh2C4deM7SVVby14sTWfrPIthF7y2+h7g4A8/J9 VacR5oclHWEZ3ejiP7ZMdCfTOYbukiH/ulT8YVgqm0e38wS5ZZHLzpqbsJTwe20Pld+DS2OA8bWm 59A6r5Dm5ULw2EuqHO/3UibCrkoHSzaT2ZjHXNaUEFLss4rLy5wVcMeO+xBC7JAMQcXH6g/O/xZ9 zsheXRDN86mfNF7z9QnnaHH0ASN+mvZi5ZwMjWVW97I47P2F7zh2FRj/NdliPNRPNqVDRzf+pYL5 2HpqiEkmau1jvIN7xZshcWqIZkcDKu9+76FvcCwiuB46noXa8GVgESGBP3NscicPOe24Kl1h6VcL snMb2Fp+PBXcbmGb2p/JVTvr4JyMi2ndU8PhzvB49MIYj5bzUnz7tsaum/3MqE8DqRGKNmQiumKa U4UeWMGuSfYf0+FRg1umPPSMebfOth/G9Z34Tvekn0xU9CiXa6BGW2Oiq/zEElfX9CqnFzVBTsfk C9ljGezSGvvWHwE6bT05vO7vzjqV9kvJ00mMyloE5wRXPprf5XKSgOqfsDfolJTF+eL/ZUMyZw5i 4lUc+fETFEwM6sfJiA13YzZvJnAWBGf6ZF9so43UTNabfYXwgNhp7KQS9sGPFwMFjG2cH7gX49NZ EbHx6nPZTkTF6pZIyR1edCUNJOBIayY/ptVL8u4vf528/zFYd05bWR1tHbf82g9iUPI7ghSjnt/Q 37w6V1z0CSj/RDfkosUDcp1XFYfJNZ4XfL7F6Cm01oScivbHL1TX95BCj5vwTvczkrp4x8X9CRAC W1+rtTURGw95KvC1k8mPUyuXL7HD3aecJXgkRq4mNk7IyeahSAam8ZltgH2nG6lOsNH0gUhPxSj7 0ybQ5andnXlVZ10Cfe14MEHQRBS7oN6DwdHJw+PjKohDN2CXBNhfGHcNpRCoX9BvS1K8KUsjSxeO MItIHzkxGhqOgIH0ckGWNwTi3r0j62jYFs6GnDgf4gXi+qmYAeXObbFatmhcDdRH/1eQHYPX8NiQ Gva1dH9dnUEkKF+UVdizODqvQ25ynujT7l9kHNf09F+uPLIJO1eH7KeA5IEYZwCX1/qXQXPUYt4F MM2sFtD4PqDem2kpgmRMEO4w2ZlnUR4SYGW2s8CUNvCyRsdLbFfVE36DY2KpiyefFiMlXzy7hPYo cMzZhhBJCYbNSxElzYQOWbB8ZIYEh2XsSnmTVLCtUDq4V92eE32HGjuJUVlscZToLDl+UUitznFC 9WBeb4k92uDor4QE7l24pfCRIDRWuyJBwGRLwLURDJGX/xAfsE6rJ9fhGMKGIr7y8GnLI9Kl25B6 FvXBsD/LYKh3lvvdaS8EPgBnhzAMdaXVst8oiZBxbqgb/EyV93+OAzJ8OAwiy8eqdjic0PjbfoaG PXPhHk3YoY7OD+ygDcLL8OOaipZHsbmATVFg/2qptHNsMfiAnMuWueh/tq18ACuxRH8lRD5yGd/m tpdhARRrtFgLjMg6yejV7o4TnYkUMXr94fqMdXXSJKXAl6YGAfGxn3BLWGl6h87DGuI9gEu10rwS BVEBLzmFPo8zM/Wpg2PgddH6/ZSZZVs2g/pxao0EZkZpW3G75YaBRshZnXHaIYPczaSQ21NvYtz8 Q0VJK46aQlvqk3vXkNuRmA66kldpXvpWA25mS6jTkMV52Whmp1z5yCLsP/rF67MTRn8ppFS9Cwdg h3lPAq6eQLLwpjpeMSWNBXgzPP9QqPgASYSbLfYxgd7hIvcUbNzTofy8PsPdFcI7dD7Og0aoXl60 bs7nT8nBAStvzOOjvTdpj5L91S3FNg6wLdo5/NDmO5z2FqYu7dMPx2T5WeQ3rA1Kf5EDF/VG13JV xpt5Aa8nMv1szl35GQ86epFZTSO7VNXF/n236YWQRuTLyY7fSqb9X7vd+L4NhXaducq76zsaZ/5B hGySNsTPQVe8ns8drf4COL/qkPnUAsZOqUWfzyySXwYF49EyjHFlfYBCCycTzlGMCZWyO7A8Q3ko KNBdbN+mtOgwwmor0GaO+GJR0kZuVyicSibtIBme8GVg9E3rnmXLfZHWIfuYRAWl5Fx7KMMphf+f 11+SfeC0bWzgdGW6uIPaKnWmaxvfyDPOtDLHcrLW/vWZphgjYx3posb0maSn8lBlcpVVEGSWxn66 jnMF4JZf/kEep87E1D71YOqW91gIM6HspTqg3UJzNwSJm+YcmWyHlg79bznaA3ANP3gxnrlWQWtQ CIvmk8U1bohsKB40XKXb5Krv0JB0j8XWhrl/FsHyDnQP+d2Rc9jCDj9HPcfOe2MEGdlAtd7WMBFY gEZGqw1/MckpDS3AEsOSjRBejsINOxUy517bBdRHwMFSUfWLVnijETXCXLWtjezlRkDT2VaYjbPV Yf6Of/wjh3VA+c5mq9948qIcp8lqSVz+6rfMCBpWpzSIlTJO15ycrkoKkqlA4clcJqNzUd7tq6ct lFvoTXV13DhJZA+uLjj9iSduEQLAQCEQ/rLqp+g3LPYwCWkOXcSp4/reYE0Djm3whIh3KEPgTRez i8iEizmEAmbwYnZgV3AfDaM4IQwVkQ0/Tn9qDrv+U3gdukj/vr66IG9yPNql7g4J1vNDEklH+Z// x+g4vTfGqDWVAb4RRsxz `protect end_protected
bsd-2-clause
f041b25118b33025927abed8c768bddd
0.940325
1.855472
false
false
false
false
Yarr/Yarr-fw
rtl/spartan6/ddr3-core/ip_cores/ddr3_ctrl_spec_bank3_64b_32b/user_design/sim/cmd_prbs_gen.vhd
20
8,359
--***************************************************************************** -- (c) Copyright 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: %version -- \ \ Application: MIG -- / / Filename: cmd_prbs_gen.vhd -- /___/ /\ Date Last Modified: $Date: 2011/06/02 07:16:37 $ -- \ \ / \ Date Created: Jul 03 2009 -- \___\/\___\ -- -- Device: Spartan6 -- Design Name: DDR/DDR2/DDR3/LPDDR -- Purpose: This moduel use LFSR to generate random address, isntructions -- or burst_length. -- Reference: -- Revision History: --***************************************************************************** LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.numeric_std.all; ENTITY cmd_prbs_gen IS GENERIC ( TCQ : time := 100 ps; FAMILY : STRING := "SPARTAN6"; ADDR_WIDTH : INTEGER := 29; DWIDTH : INTEGER := 32; PRBS_CMD : STRING := "ADDRESS"; PRBS_WIDTH : INTEGER := 64; SEED_WIDTH : INTEGER := 32; PRBS_EADDR_MASK_POS : std_logic_vector(31 downto 0) := X"FFFFD000"; PRBS_SADDR_MASK_POS : std_logic_vector(31 downto 0) := X"00002000"; PRBS_EADDR : std_logic_vector(31 downto 0) := X"00002000"; PRBS_SADDR : std_logic_vector(31 downto 0) := X"00002000" ); PORT ( clk_i : IN STD_LOGIC; prbs_seed_init : IN STD_LOGIC; clk_en : IN STD_LOGIC; prbs_seed_i : IN STD_LOGIC_VECTOR(SEED_WIDTH - 1 DOWNTO 0); prbs_o : OUT STD_LOGIC_VECTOR(SEED_WIDTH - 1 DOWNTO 0) ); END cmd_prbs_gen; ARCHITECTURE trans OF cmd_prbs_gen IS SIGNAL ZEROS : STD_LOGIC_VECTOR(ADDR_WIDTH - 1 DOWNTO 0); SIGNAL prbs : STD_LOGIC_VECTOR(SEED_WIDTH - 1 DOWNTO 0); SIGNAL lfsr_q : STD_LOGIC_VECTOR(PRBS_WIDTH DOWNTO 1); function logb2 (val : integer) return integer is variable vec_con : integer; variable rtn : integer := 1; begin vec_con := val; for index in 0 to 31 loop if(vec_con = 1) then rtn := rtn + 1; return(rtn); end if; vec_con := vec_con/2; rtn := rtn + 1; end loop; end function logb2; BEGIN ZEROS <= std_logic_vector(to_unsigned(0,ADDR_WIDTH)); xhdl0 : IF (PRBS_CMD = "ADDRESS" AND PRBS_WIDTH = 64) GENERATE PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (prbs_seed_init = '1') THEN lfsr_q <= ('0' & ("0000000000000000000000000000000" & prbs_seed_i)) ; ELSIF (clk_en = '1') THEN lfsr_q(64) <= lfsr_q(64) XOR lfsr_q(63) ; lfsr_q(63) <= lfsr_q(62) ; lfsr_q(62) <= lfsr_q(64) XOR lfsr_q(61) ; lfsr_q(61) <= lfsr_q(64) XOR lfsr_q(60) ; lfsr_q(60 DOWNTO 2) <= lfsr_q(59 DOWNTO 1) ; lfsr_q(1) <= lfsr_q(64) ; END IF; END IF; END PROCESS; PROCESS (lfsr_q(32 DOWNTO 1)) BEGIN prbs <= lfsr_q(32 DOWNTO 1); END PROCESS; END GENERATE; xhdl1 : IF (PRBS_CMD = "ADDRESS" AND PRBS_WIDTH = 32) GENERATE PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (prbs_seed_init = '1') THEN lfsr_q <= prbs_seed_i ; ELSIF (clk_en = '1') THEN lfsr_q(32 DOWNTO 9) <= lfsr_q(31 DOWNTO 8) ; lfsr_q(8) <= lfsr_q(32) XOR lfsr_q(7) ; lfsr_q(7) <= lfsr_q(32) XOR lfsr_q(6) ; lfsr_q(6 DOWNTO 4) <= lfsr_q(5 DOWNTO 3) ; lfsr_q(3) <= lfsr_q(32) XOR lfsr_q(2) ; lfsr_q(2) <= lfsr_q(1) ; lfsr_q(1) <= lfsr_q(32) ; END IF; END IF; END PROCESS; PROCESS (lfsr_q(32 DOWNTO 1)) BEGIN IF (FAMILY = "SPARTAN6") THEN FOR i IN (logb2(DWIDTH) + 1) TO SEED_WIDTH - 1 LOOP IF (PRBS_SADDR_MASK_POS(i) = '1') THEN prbs(i) <= PRBS_SADDR(i) OR lfsr_q(i + 1); ELSIF (PRBS_EADDR_MASK_POS(i) = '1') THEN prbs(i) <= PRBS_EADDR(i) AND lfsr_q(i + 1); ELSE prbs(i) <= lfsr_q(i + 1); END IF; END LOOP; prbs(logb2(DWIDTH) downto 0) <= (others => '0'); ELSE FOR i IN (logb2(DWIDTH) - 4) TO SEED_WIDTH - 1 LOOP IF (PRBS_SADDR_MASK_POS(i) = '1') THEN prbs(i) <= PRBS_SADDR(i) OR lfsr_q(i + 1); ELSIF (PRBS_EADDR_MASK_POS(i) = '1') THEN prbs(i) <= PRBS_EADDR(i) AND lfsr_q(i + 1); ELSE prbs(i) <= lfsr_q(i + 1); END IF; END LOOP; prbs(logb2(DWIDTH) downto 0) <= (others => '0'); END IF; END PROCESS; END GENERATE; xhdl2 : IF (PRBS_CMD = "INSTR" OR PRBS_CMD = "BLEN") GENERATE PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (prbs_seed_init = '1') THEN lfsr_q <= ("00000" & prbs_seed_i(14 DOWNTO 0)) ; ELSIF (clk_en = '1') THEN lfsr_q(20) <= lfsr_q(19) ; lfsr_q(19) <= lfsr_q(18) ; lfsr_q(18) <= lfsr_q(20) XOR lfsr_q(17) ; lfsr_q(17 DOWNTO 2) <= lfsr_q(16 DOWNTO 1) ; lfsr_q(1) <= lfsr_q(20) ; END IF; END IF; END PROCESS; PROCESS (lfsr_q(SEED_WIDTH - 1 DOWNTO 1), ZEROS) BEGIN prbs <= (ZEROS(SEED_WIDTH - 1 DOWNTO 6) & lfsr_q(6 DOWNTO 1)); END PROCESS; END GENERATE; prbs_o <= prbs; END trans;
gpl-3.0
6c928fe56d74d11f75ebd7675d0263c0
0.523388
3.801273
false
false
false
false
cwilkens/ecen4024-microphone-array
microphone-array/microphone-array.srcs/sources_1/ip/half_band_FIR/demo_tb/tb_half_band_FIR.vhd
1
10,443
-------------------------------------------------------------------------------- -- (c) Copyright 2011 - 2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- Description: -- This is an example testbench for the FIR Compiler IP core. -- The testbench has been generated by Vivado to accompany the IP core -- instance you have generated. -- -- This testbench is for demonstration purposes only. See note below for -- instructions on how to use it with your core. -- -- See the FIR Compiler product guide for further information -- about this core. -- -------------------------------------------------------------------------------- -- Using this testbench -- -- This testbench instantiates your generated FIR Compiler core -- instance named "half_band_FIR". -- -- Use Vivado's Run Simulation flow to run this testbench. See the Vivado -- documentation for details. -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity tb_half_band_FIR is end tb_half_band_FIR; architecture tb of tb_half_band_FIR is ----------------------------------------------------------------------- -- Timing constants ----------------------------------------------------------------------- constant CLOCK_PERIOD : time := 100 ns; constant T_HOLD : time := 10 ns; constant T_STROBE : time := CLOCK_PERIOD - (1 ns); ----------------------------------------------------------------------- -- DUT signals ----------------------------------------------------------------------- -- General signals signal aclk : std_logic := '0'; -- the master clock -- Data slave channel signals signal s_axis_data_tvalid : std_logic := '0'; -- payload is valid signal s_axis_data_tready : std_logic := '1'; -- slave is ready signal s_axis_data_tdata : std_logic_vector(23 downto 0) := (others => '0'); -- data payload -- Data master channel signals signal m_axis_data_tvalid : std_logic := '0'; -- payload is valid signal m_axis_data_tdata : std_logic_vector(23 downto 0) := (others => '0'); -- data payload ----------------------------------------------------------------------- -- Aliases for AXI channel TDATA and TUSER fields -- These are a convenience for viewing data in a simulator waveform viewer. -- If using ModelSim or Questa, add "-voptargs=+acc=n" to the vsim command -- to prevent the simulator optimizing away these signals. ----------------------------------------------------------------------- -- Data slave channel alias signals signal s_axis_data_tdata_data : std_logic_vector(21 downto 0) := (others => '0'); -- Data master channel alias signals signal m_axis_data_tdata_data : std_logic_vector(21 downto 0) := (others => '0'); begin ----------------------------------------------------------------------- -- Instantiate the DUT ----------------------------------------------------------------------- dut : entity work.half_band_FIR port map ( aclk => aclk, s_axis_data_tvalid => s_axis_data_tvalid, s_axis_data_tready => s_axis_data_tready, s_axis_data_tdata => s_axis_data_tdata, m_axis_data_tvalid => m_axis_data_tvalid, m_axis_data_tdata => m_axis_data_tdata ); ----------------------------------------------------------------------- -- Generate clock ----------------------------------------------------------------------- clock_gen : process begin aclk <= '0'; wait for CLOCK_PERIOD; loop aclk <= '0'; wait for CLOCK_PERIOD/2; aclk <= '1'; wait for CLOCK_PERIOD/2; end loop; end process clock_gen; ----------------------------------------------------------------------- -- Generate inputs ----------------------------------------------------------------------- stimuli : process -- Procedure to drive a number of input samples with specific data -- data is the data value to drive on the tdata signal -- samples is the number of zero-data input samples to drive procedure drive_data ( data : std_logic_vector(23 downto 0); samples : natural := 1 ) is variable ip_count : integer := 0; begin ip_count := 0; loop s_axis_data_tvalid <= '1'; s_axis_data_tdata <= data; loop wait until rising_edge(aclk); exit when s_axis_data_tready = '1'; end loop; ip_count := ip_count + 1; wait for T_HOLD; -- Input rate is 1 input each 16 clock cycles: drive valid inputs at this rate s_axis_data_tvalid <= '0'; wait for CLOCK_PERIOD * 15; exit when ip_count >= samples; end loop; end procedure drive_data; -- Procedure to drive a number of zero-data input samples -- samples is the number of zero-data input samples to drive procedure drive_zeros ( samples : natural := 1 ) is begin drive_data((others => '0'), samples); end procedure drive_zeros; -- Procedure to drive an impulse and let the impulse response emerge on the data master channel -- samples is the number of input samples to drive; default is enough for impulse response output to emerge procedure drive_impulse ( samples : natural := 19 ) is variable impulse : std_logic_vector(23 downto 0); begin impulse := (others => '0'); -- initialize unused bits to zero impulse(21 downto 0) := "0100000000000000000000"; drive_data(impulse); if samples > 1 then drive_zeros(samples-1); end if; end procedure drive_impulse; begin -- Drive inputs T_HOLD time after rising edge of clock wait until rising_edge(aclk); wait for T_HOLD; -- Drive a single impulse and let the impulse response emerge drive_impulse; -- Drive another impulse, during which demonstrate use and effect of AXI handshaking signals drive_impulse(2); -- start of impulse; data is now zero s_axis_data_tvalid <= '0'; wait for CLOCK_PERIOD * 80; -- provide no data for 5 input samples worth drive_zeros(2); -- 2 normal input samples s_axis_data_tvalid <= '1'; wait for CLOCK_PERIOD * 80; -- provide data as fast as the core can accept it for 5 input samples worth drive_zeros(10); -- back to normal operation -- End of test report "Not a real failure. Simulation finished successfully. Test completed successfully" severity failure; wait; end process stimuli; ----------------------------------------------------------------------- -- Check outputs ----------------------------------------------------------------------- check_outputs : process variable check_ok : boolean := true; begin -- Check outputs T_STROBE time after rising edge of clock wait until rising_edge(aclk); wait for T_STROBE; -- Do not check the output payload values, as this requires the behavioral model -- which would make this demonstration testbench unwieldy. -- Instead, check the protocol of the master DATA channel: -- check that the payload is valid (not X) when TVALID is high if m_axis_data_tvalid = '1' then if is_x(m_axis_data_tdata) then report "ERROR: m_axis_data_tdata is invalid when m_axis_data_tvalid is high" severity error; check_ok := false; end if; end if; assert check_ok report "ERROR: terminating test with failures." severity failure; end process check_outputs; ----------------------------------------------------------------------- -- Assign TDATA / TUSER fields to aliases, for easy simulator waveform viewing ----------------------------------------------------------------------- -- Data slave channel alias signals s_axis_data_tdata_data <= s_axis_data_tdata(21 downto 0); -- Data master channel alias signals: update these only when they are valid m_axis_data_tdata_data <= m_axis_data_tdata(21 downto 0) when m_axis_data_tvalid = '1'; end tb;
mit
e93b32c3bdcfd9bce00075c78cb96145
0.573877
4.76197
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/fifo_generator_v11_0/builtin/delay.vhd
2
10,088
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block gu49AX8nwf+mLD/ZEFxdXVEOInY10f6ICzOCbB9kEnn8X/TJtCWNFsHcsEAS8Z5TnRvTvY2mdvb/ JACh5mBBoQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block DJHGlqEDA8/+1KbZYyexm9xT5Cy3480Mfk541rhcJ7513mbOGAD9+qBkDtbiS8UwLAccDAe+OrBK 5vl9IteHh7Gd2VcznwkWfdknQebZKpdUWeQcZGrJvIUg7sY8wbVmuI6Deepv/zdlFSw4RdyYY197 tYMBSm8bJ5Jd6de1lM0= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Ms7bparsxdOe/xyLidUDVI3la5vEQySyvbjbfCywexwaO0XDel5iQzX8wwVZsX32GPyyG4Get4Ki ar8xOV2gL2x8vW8jN/ewfp8lWjKPHxr7aK9oijMxHSCw+IhHtIICg1a+jvXnknhP3X/60MRmzxOD tYch/ANaxEuzHsea5o+Y5uz4yyBo8/R1C1tNl1QgxRjXtZmdhcCSRMRlQsIpokTPzhGs/kI6CElh 9IL4814+zn+j5CQWLcpiLGIoF9vixBnSsqEVLNIN7Mhoqw8grw7CBvz8UH+xRjN9zPEzz1FXbd6x aRisbpzIk+mqXAFGh9+6x+BGqbGIlGLFc//Ssg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block c8tmmTASWa1SbjlI9olM1P8xSmhbbG2iy8fuxt3WYXvFlGKlmXbanrpC3XnRgvcQlrk1r4pxwBh6 yq3KRJprviAl9RaYkl9OrHF3+VFF1cLDkJ8ehx+WktGd7vTEgOW7AMxeeNCspBCA+7BM9i6VgHcS dkyeH6Pm/EZL3+O8DQ0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block jtiJWEHHJRSYkYLtDyCkWSwvqNzzIXH8xsuiVlKbnX5vaUTvckpfMdh5MEt4EE6qUTGeGMgOm0Cr 91gtB+qiew1RNh4Qnb+30V3evH1O7tcw5hI4gY/CNGLBQNKzHLtfR4wSlydARlSFjd2cCFraua2E QDAZXeZ615qWyuvI0KaIXQdpm3SoMFPE5yvyK4d/GZc91UVeX2z5Q/0fX29XvCWDEuiVegQZxhdH 6jKHtjoA/8ALQjXQxe/RhzxjdMhSdbE7QWsh/se9Ey8Vb+Q/wdqhX3nbPMlLf0fRI15ONqq+y1ZJ ggkSEl8ovXahNbBK7HTW/w6NRL+BAh7yaqHDng== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5728) `protect data_block 6KP1VJ4chnBb6sNow49dSHFSOUscGX21o5AMFKNJgGgWUwVco4yqI/blB4dxFDZCwaHx7yrxkG8v oELNc0Bs0zzHf/cXuNc/dzVgliQ4jhKoOH07jVQUO94jmnSo51ejEDuMz09IHdW2q4b32LtO6/3F XfX7/FgQTyPUXV9sTO/e9PCaZTXPTaCdFTvQaxhlJUjdhgciVUCxD86nwZOyDwViCh2Dpiu0i3lr G/nWn8aZa1wXe8dNr+kQbov7E15ehbex7E3zTkEv1qfmJlT5k40UgXY+W/wAeNAxgucnVDxlHJIo 2noEhJItlNWZJkVcUUI67ZJucVxcrPEf0HEdsHubU0cHdyyZPR2pGWmjbfmk9igqD9ES36jqXwiX IPj01EjS0UIiAsy7vn1q9S47vRWPlcORaeiq429uW8Kc37965o1veAKuqS9m5h0GUePh/SD/WmaR G0oeAmSEbLqDaPscCaF8Bwr5F6DadASoIn0NHNTmosxlM01W3M7KMt/bpzo+h5nvogSEdAN5VTIw qFXWU+ftOMqSI2aBMbJZW/M5D42z0fKfvz4aEjxYl0ER0XmcVj1qoPsDAxz1o/yvP/eWBu1aN2ZS oVt2MpWAuBKosUhJx2d0MI3mzWj46+dizHXy5OZsA14LgSPomXXN8bOYBgRNurlEU8qkJeWLQie1 2xLdZZqEibNz5C2u3WPw13BwOeMxkbEJoCPPfFup0fjg0GpH1eblxi+MxB/Qk8s09JP0Aa45dQMx Ikawq1B+JHkZvEOveBYP30bbRqdq7TGfMcAaCifWiny6stIacMNPl89oAjPgIInYkDNv3ucMTOV1 iSRqpKPNxVt8F8tjdxf1SHBwELaDwxm8wzdSawTp5hSZYR8IV6f2rfB7F53tIqzHsvgmqIRf3S/c RZFb6DH96EIAudRdHrAkIXHwmCR9sMmQ6Qd86BG9sKJLT5FhEnM5Hui/wChnTxB/n8bWwUPeLsr1 yzUbXl67QgOQ0pdDAq2kU/e28i37H9KpCCp+EyGluAanAB1Mo6ZEbimsMOEM9Bf7HES+jitBZgs4 yIRttXWVCfhZVIixpeTB/48BqiwB5QoeTSrJeQUx/rKD3D4oQ/PUfGnsVMG20/rU7mOzB7MWrRXM pqn1sal6Lc+BLKMBZUxdu7FKjWF6uqapr9B2AvZF39ZD07kW2t5RrsSMZSNs7FPnKHy+bwhFOfMd nQx1nrQTX2wzkTNiS110AZgCuc/ehWuoSW7pAr53hu6+b0DW5KZQk8AfeZwMIoimi9cQuLDrgFMF wcBf2hltVW8d/2GAqMgN7Mh6U0TNpKL0njdE5cF4E5nzKjWo1XxpW7gPuNuaWwb9PAiG2hf/DEzq SwzxAB/MBqwYBdDHh+Dl4jUc4aU84okOra7iMFXR1sw+BAcQ7bDKUr4AevABCEhL3I6tIggi7yjF G+OaqOjpGeHtIYBMdNzHKa24mpUY2v06cbAgnpRzC6xdmTHqcnbU+fdNfZJpri6Hu1nHghlpt3z3 P2eb/EGXYqJctBY4qZtqy/wOElBKy9Npi1uelCnDOwYi8vhnWBV2DpzKlT0W7NjdnfKf5lIn6C8Y jKgJUcuxfQDQxbGHcu8jUwMtYfRPC+/GmMP4+OaYNDJhOS8fKF3RHFHufPpt5oYZKZmFWUuZNnEm 1oXqw0K2vpLx9twiFqUdcLZ8p+vw8klomdxoqOEPrwL6WqjxTWBJzpcPMP/i/iCIaQv6KGzxAfT7 KUthEi9EilhtrR8UmdTSad52sEdbbrBvhna8AFNGuDApURkCNs36boxdmqmA0YyUe2NcsEohnzxD uPDsRSwxo2qd8GXGhm8+SMel4ZisEbOJ8SgzoXOqxnkP7gzLk5dAMeNAfsonb8eWJiueaGAem09a cCSstIBoZrd0aIZzcBB71ge/0GPYgsE+LN1Tgkctzt0ZedJgTKwjogQ1sjakNlvRcAoNzknNSflw xobbx30X1MKeQhVlThZC1wLJK7FQa1Hkv8yONDch60shPhzcb6qQLSb1OJzG7XncZ5/I5BtBJ4Fp FHEGxPQSpkOZHT74Ar7TRuyFkhsST2A2OleyuV9xYiYQEN27JQBCWuHSXMQuZZvS08yqtE+aOq9F 0+5nceJUcrMwELpsK67wKE5h5vgRAGs9qQwajIIL+p/K9fFCDf2roRB/H8C9DmTtJLymces7oB3T Wci9IliuRi4aOxYXVeNpdU5yS5OGmaYydfdIa0wkyiNEGixKRfcjgKQHvyJrgPz08Xl4JUyRyopL QAUBpPORry5j32+0r7+HTOx2d96CDihCSu4h+zBgICY0YB32lZuIANfm+z+3WRXGBB5F47lKDXZr CNHt8Hilbk1qHwMseF3VcAOXvs/CPLbTlZcD3Fi4v5mKSJGPF3KAtJ1TXXLhj6e8mh5ouHCeMqvP y+K06sDYFnpSNrWHHcmJfwRc9mT1dOhV4IqBBh60XuqmybdUktB2SlxqcaxA9Xxf9M2x/5iD1wHZ QSbbDv1xGswXVLrguxXBTDyx6FC0XlM44pZ50lzF8Dyy7gMkGwpXB8gBr7G/uvP4Pnm8YW+pNNoS S6cBIIH1gP8LF+C1d/KvZfLthNJEKK9jAjKODZxftKY6L5MG9+suGCxhHohv9sI2JENcol4cjwSH ZEc6Ypgb5UvR4RTWfEXGe6kARYnG5lZEMjvmtF20BoLdfUxadc9q7hO9OlM8to6TTr4VDblOEFUH OPZvchDjFQLuzNRWktxeb2B5+rrFvykeZR54b5OPnvJ6kJuOjMYLd5wEVrk2dX2eh5ZEcqgT4JP7 +i2ulCaoV5DAA3W9Wpd0uzIgBxLY+iyPZb1o6xPhOpUw31Fxo9eEIHVdIgwjUoULW6pzgo6J4KQZ wXCgO7QV6oCUO49t7WBwXXFNsq8jD/yC3vA+nI9E1Ix50ViJY93/zSy/v0DWvd1yI48S405u09Jf DCUJr78wUt7xlJ8AK2W0Kn6MT93lHE9lac8KSY5wpHGRDgPaL4QIexLrxSggiglwKvsJeJMQYhN2 PM/VLAAh12eNPIe9KaOe3a8BQZObU4qpK7FqaLRTtC0h7tAYwFhBpeZZ1ncCUwbpgrWotCgXaHoU oCmfX7J+k0OXcyqDbz6RXj+PtMSawZv6d1zFJ8M+Tq21OLRrfvQJ4tjC1jbxnNIKgsi8g01UAvMW iZuaMVpM6YAi8imnqOYvE4rkjaCnySH3NH5aYHql0a0sKvY0FbJHCmzOODef8v6zJU5FTtz83SgZ a/HEFbsjl1SlZ0lQoqL8mzy00umTMEmyH7A+sfFMDhHEDhCPUor9X8HblMq+XmvWDrSqbXOL9ldy +qns2uJcBfrDhVembZky7rHM2uIGf70wnFBjfiawI41SGNfTRF1KS0svPUAVg/19Kecpq7OPckgv JHw+sQ1wjsQEFjaaGgH5dGG10gilUS1E2XBeB5vIdrBJ+Shwz5zjOtyIjxTEeJoXinFgqxKsxMng 8/5zpC4E2Lj/0eIpY5N4x2LTmL4BIKfZBwbaX83vOXNjQ/0uQZt6q8np8WhB1yXxBlplR1dHl0RH h+ec/ZGZN1wfXpHFDf03VHm0i0X7mGhOjuee9wXXKhYZ6x0QtdSxYJZCUq6eDGVRNkoXCgHFWIbY NbWL/Qih8Y6+Bwr8lc6C9v82srpOFOcAoMjQP1QkvcW2THUGdNg636VWLZSZq0vtSzwy03QU388V 3UEwhpEngFCriNrmQsEgfCfEvT6WkKtPaFJUJETKif3CcRHrnn9zQ4VpxRX0ICGHD7j5a/La0P8k vqJvog4TM55Hd7ILIWB+mASrfbyzIZuhnJJLXNeijPrbCFdMTfd778RmVpvC6lZw0cSIkphweY3T G930NNzt8nMMoEOvWHJxD8z3cpV0JHFW9IWLwnddaqXNTbDfDGCE569jDz3fXDSjX+Fn0Xj74P6+ nt15PAhcltsjPukrlqxEfHU5bhkAMlUHwR6Rt+7GyemdZ49hPt6alGr6DlMB6zBVZr0zmxGpow+a m8VaFCIhPAAzp6eNtxKlwcvJqTO7dD/pxrfv84BPTxHN2NAOLqAL9ehelsc1XETJ+NkaMm7jt70S yophfLSZAtvLWCKCo52tn0beAiILwGQ0SQjd9vbgWeLYO8u5p0kq0Qlaf5WP5ncpGWGuiANHx30z tB4GumKPvwnxqX/eGMqMWnO7heCe5DG/zOJXXiiYZ2Xxa8K8/s7qkgvolxUnTSzXbOX0yCFqPvb+ KV1CeIBbRrCwnGYEr9SuBYhsW0ZV+tebECCYfdVKHS+cQ5CUiZp/hat8KRt5q+864+VUiZjfMREc v0Q+V7cG1nrMl12VUp8TS3dPMPYGii+CBVDJeyT8xcnDYxDvy6RIAyM2XilgsLT+sY74HU+h0Ukj Ko7sO7lWHWKArOVcVdWtxoSRMZfRGkBPKjYDxCH1+BeXMog1Zyjw0FSFTdBnvSQ/icJKFl5Nntnl vJrg39hPzlJTcIqAQ3Y/O7SG/jmBkEu0AJa++EmRDsNj151ET/HMDlNT88lTbsLcdvU1kBUR4+Hr BMa2TYKAKsuG8ecgxIbDN5+E3m/kmfue1h/gKUne/0Sh2Fv69kQ3BRFjcDg9G290ZMgkbXlDoXXI M2BAZALEZmGiBu06qh8IzYTUF501deUd4h/iganE3H56J7kSJoTVLWBYtb0MQsjzPx3IFpukdtys fSyV/MNWBsZegJCDTB55rpYIrhwUxtMLJidvTdd28zu2JVFmq8S/mF43WCevGgfahppTD7RA7MGs IyuXSPg66CzKU/aQ8+p1xW+DJR0DZsnK2YsBTUKEw0Kn/OTTEbUuKCKo3uzC+JAND3qaXrKHQDFa q2wkwuN52qGAyFbz43XNIL3aV2hKxLIcqzGKb30geGJ6l3KcSZFWTb7xpDVG8CFlU47uXEEakTwI tBlMZS45vYd8bg8VSbzsjR35qOKXVbtp/QHnbEnLKKdnXtWtkQ0/O5t7d6GrMOBSz16dYcm0IXPn ulksu9gxYfWju0kT7fh1F9O9kJ2fNYcmKEewee1lf2unIsyU/U0M4n4XAH6O+RWlxsF3bg4f0lE9 YchJKCz5d2T71PVIhQWobmw3+E57TYaCFowZznf/8o+9TemcclvOT9e/gcjK+1gY5jLUkQ5a+A/W 9ObGB+BWex4VT8qLmGsRmelTDkD3P2Ak1DMNlDNlj0yCvm7st+l2jcs4vzp9JniMadbhp82mhJ3b lGqe2ogkotDsoMeMKlI4ihJKNMy/lxiRCcXDAjl7kyXNtm7Lq4qP3F4ZQo7JNKCD3BtztETP8Mpg nzmFtXnjZUCwbim74zEk6Y5v6Av1YeUOMo8Gj7knQsAFo85jFqQAy72kATv9t5hKWGAn2/osyhg5 Up0TJrYQ8siqd4HQZmfyC+Uwps1RBTDbLvF/6jIPdQjTYAQhU1zvwLOWIO1mefznTKtK6kr+5lv6 XYSsQR9hm4jYBgljnQYcOgpMm9kgC10NmHzlbL0Z9Hw0sJuzAzxtfib0wCTzjP6MYHK/z3ux0Qnr ZEG7JK7nVzn5RG1yQwBIcgHNoJbWZwmPw6Al7Hlmkqa9V5rvJWXeT6B+s5bdvLQ905DZKU81CVaL p89okR7TIoqnbqPKexVz8vfz4M6sgRuYTm4RVHI7RiI1YwaINv71tCi4wj9LRRAC+JiU7yW16EkW a+ukL7BIauZuHwllzpcBc3cRegIwIZUUjt/iyZUCR7UPphTFuJAZae352pHtT5oG/w7wXZQ9nSb3 TxJEGki751ZWGdOwqdng8abta+ejmZZXE46n1gDejjlGTWY40BE5n7hgCqnbiKnAM+Hz15UHVLGP P3X2rp0cux12xMyQpe1yTjmvrFKaHMkQQ0pmSlbr6M5dnLJBwR3T5TJ/WsNY6QwquSFxRwNiqJ7e NCbj2llWUBL3Vy6uNZs1C/l+BpSqiHbaM6EFGqpagUoblqyfmcUdl1Ah0rU9q2NUsqsvuz2q+RVz oO4OlrE2XWv8mcpwYTBz/cIgdVcw0usPln825d4mCJGXiKV0dLsG8k4pIudW7kSI3+vokyVQAM2I SnzOtMyhpgivFuhu1PzPmeH0KZbIu2zuFxmXDghSkbA0OPKT9pFpxhsYgkb5s3jWIaNRmWNU8np3 xOVmudRL9Pbse7529iY9aBDaulDiI8XoWfNIGrcZNI5P++dAB+9soFQmW/zKwieE76KxW9b/YTpW dOl8sJ4kPi4fgwt0HDBIR5jeV3pDZx8x/TwX4OP8T6HKEMZe873coqmVuP6dkWM3mrjudpK0bWdL 7KQwy3kb/vE1LTDDWJgEQR3nRw+M68d3YG7oo+gKfz8AqEDxeojUWRfmTZM3dTWqKIrNFP7ddRl4 qR/PQQ/rpYWF2EWGBRe7clIEL1fDi95vCZy3GRA53s9H4K5wiQpmUjsIO9741MMm+PxTZNC3D+3z EpYVL904RlU33r691KQNXuC9H7HXaOcKqrzryds2QTrs1opBZkwgLtas8r/A6J4gaLoHWyOz0toD o+BEIUwvuGC8AY1y35CBN2OKHnqYXroZtU2OylYAv6py8z3McLHZeSCcg0onW+/XHOwRVp618oBZ ooAsGJGSaDvIAyqj50R0kV+8X0Jx8GX5m1Xl+8BtgglnDd6jidtul5ey8YGN/E7GzlHpaTsTCZh1 9RhUg+PbbfcU80x5W044Dr9nw8yVGAEK+ZHJDIQBn0sF99NE7XJPLcqi8MFHJJ8IlobM9NphbDJf 7rxc5Opd+XFxEGV2GIFUjY8le+AoZEn+1Jmfw7/scCxdcHSpsRvAOtPGZE9l7vnZHyXcLl6ZO1CS IXmD0Ze0wEjJj2hA0NjI7DUg0u+YFGCWGXHsC+T1h2wcV4XcNexSf1x4We5NGw0+X6S82gPsjjg6 McNc1i3XKHWdcg9DHFmD9cE/dPOtwJLwqwaHMCjf4FKwzeCvse/bWAx5XsYjHxv6857jLp7lpdng 77N6CuiRq7Odl3yqtAWeNJevydbDnIJTDxhmpJTD2e6YKbb84OAl3GL8jav5HA0QLnCQ6wgbuCnS oLPuV4ES9x3wB1cY96bLvG/PY8ukXvXdb4YGMcPT3wKp1L9qjnmNjj7V5QNTMkP8h2udG/VV2qwm nmgW7ruc3ivv8c0KeQIyl7SWKY24mIeg+rGGsIkAycB2zYiwIkCB/CmflcsapIj1PXgOsXAUBsN4 l6L3xNMOBvqs+Oxlc8Sr3V+3A8+GqthnP/Y0w/DEFul1HZE1vq5a+ZKwQPFGriLPZ2JgWFVh0AGp UOUyJJdsjc6nu9BKfju73gSGQ8VNyA/IuEqQN4s+TM4Zhp89PUDeDvrZOZSS5gMxUTkCpiWBaMyD utG2ra5L3XJsVu+4Mg42CW0wq2ixTPq65VL/SlPF+Wv4lam2AaJlROI7WMaTXnXLRUBtHoX370nb Q/HLciWeVLFYalFZHPjykUAf4+0bdIrWVI/dBpycSKOQEHzQNd5oU6pNtNjLmxVaaBKc1uIuO/hE e4uaWVH8ymUFqwgPvW+DgfALJnKJIRwfr/PZekVLtzQJqwQft8vrrSefle6imsUspoPCQAYNcyu8 kg8XtYrUvZ8j6aQmX7ig5UJXewcSgidNYUZ98g== `protect end_protected
bsd-2-clause
3e58ce84359a4461694c54fbb0f77015
0.925456
1.897311
false
false
false
false
Nibble-Knowledge/peripheral-ethernet
vhdl-serial/rs232.vhd
1
6,525
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 23:09:52 02/06/2016 -- Design Name: -- Module Name: rs232 - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity rs232 is Port ( clk32mhz : in STD_LOGIC; reset : in STD_LOGIC; --RS232 connections td : in STD_LOGIC; --dtr : in STD_LOGIC; --rts : in STD_LOGIC; rd : out STD_LOGIC; --cts : out STD_LOGIC; --CPU connections clk_cpu : in STD_LOGIC; cpu_read : in STD_LOGIC; cpu_write : in STD_LOGIC; cpu_cs : in STD_LOGIC; cpu_parity : in STD_LOGIC; cpu_data : inout STD_LOGIC_VECTOR(3 downto 0); cpu_ready : out STD_LOGIC; --RAM connections ram_data : inout STD_LOGIC_VECTOR(7 downto 0); ram_addr : out STD_LOGIC_VECTOR(14 downto 0); ram_r1w0 : out STD_LOGIC; debug : out std_logic ); end rs232; architecture Behavioral of rs232 is component clock_divider is Generic ( TICK : integer := 3333 ); Port ( clk : in STD_LOGIC; reset : in STD_LOGIC; clk_uart : out STD_LOGIC); end component; signal clk_uart : std_logic; signal clock_cpu : std_logic; component pc2periph is Port ( clk_uart : in STD_LOGIC; --Clock set to the baud rate reset : in STD_LOGIC; rs232_td : in STD_LOGIC; --Data transmitted from PC --rs232_rts : in STD_LOGIC; --Request from PC to transmit data mem_inuse : in STD_LOGIC; rs232_cts : out STD_LOGIC; --Response to PC that the peripheral is ready to accept data ram_addr : out STD_LOGIC_VECTOR (14 downto 0); ram_data : out STD_LOGIC_VECTOR (7 downto 0)); end component; signal pcin_cts : std_logic; --we need this because when CTS is high, RAM is in use by this component signal pcin_addr : std_logic_vector(14 downto 0); signal pcin_data : std_logic_vector(7 downto 0); component periph2pc is Port ( clk_uart : in STD_LOGIC; reset : in STD_LOGIC; --rs232_dtr : in STD_LOGIC; buff : in STD_LOGIC_VECTOR (7 downto 0); buffok : in STD_LOGIC; clrbuff : out STD_LOGIC; rs232_rd : out STD_LOGIC); end component; signal pcout_buff : std_logic_vector(7 downto 0); signal pcout_clrbuff : std_logic; component periph2cpu is Port ( clk_cpu : in STD_LOGIC; reset : in STD_LOGIC; in_meminuse : in STD_LOGIC; cpu_read : in STD_LOGIC; curmem : in STD_LOGIC_VECTOR (14 downto 0); ram_data : in STD_LOGIC_VECTOR (7 downto 0); cpu_ready : out STD_LOGIC; out_meminuse : out STD_LOGIC; cpu_data : out STD_LOGIC_VECTOR (3 downto 0); ram_addr : out STD_LOGIC_VECTOR (14 downto 0); debug : out std_logic); end component; signal cpuout_read : std_logic; signal cpuout_data : std_logic_vector(7 downto 0); signal cpuout_ready : std_logic := '0'; signal cpuout_meminuse : std_logic; signal cpuout_cpudata : std_logic_vector(3 downto 0); signal cpuout_addr : std_logic_vector(14 downto 0); component cpu2periph is Port ( clk_cpu : in STD_LOGIC; reset : in STD_LOGIC; cpu_write : in STD_LOGIC; cpu_data : in STD_LOGIC_VECTOR (3 downto 0); --established : in STD_LOGIC; buffok : in STD_LOGIC; setbuff : out STD_LOGIC; pcbuff : out STD_LOGIC_VECTOR (7 downto 0); cpu_ready : out STD_LOGIC; debug : out std_logic); end component; signal cpuin_write : std_logic; signal cpuin_data : std_logic_vector(3 downto 0); signal cpuin_setbuff : std_logic; signal cpuin_ready : std_logic; --Simple latch for BUFFOK signal buffok : std_logic; begin CLKDIV: clock_divider generic map ( TICK => 3333 ) port map ( clk => clk32mhz, reset => reset, clk_uart => clk_uart ); CPUCLK: clock_divider generic map ( TICK => 32 ) port map ( clk => clk32mhz, reset => reset, clk_uart => clock_cpu ); PCIN: component pc2periph port map ( clk_uart => clk_uart, reset => reset, rs232_td => td, --rs232_rts => rts, mem_inuse => cpuout_meminuse, rs232_cts => pcin_cts, ram_addr => pcin_addr, ram_data => pcin_data ); PCOUT: component periph2pc port map ( clk_uart => clk_uart, reset => reset, --rs232_dtr => dtr, buff => pcout_buff, buffok => buffok, clrbuff => pcout_clrbuff, rs232_rd => rd ); CPUOUT: component periph2cpu port map ( clk_cpu => clock_cpu, reset => reset, in_meminuse => pcin_cts, cpu_read => cpuout_read, curmem => pcin_addr, ram_data => cpuout_data, cpu_ready => cpuout_ready, out_meminuse => cpuout_meminuse, cpu_data => cpuout_cpudata, ram_addr => cpuout_addr, debug => open ); CPUIN: component cpu2periph port map ( clk_cpu => clock_cpu, reset => reset, cpu_write => cpuin_write, cpu_data => cpuin_data, --established => dtr, buffok => buffok, setbuff => cpuin_setbuff, pcbuff => pcout_buff, cpu_ready => cpuin_ready, debug => debug ); --Map RS232 signals --cts <= pcin_cts; --Map CPU signals cpuout_read <= cpu_read and not cpu_cs; cpuin_write <= cpu_write and not cpu_cs; cpu_ready <= cpuout_ready when (cpuout_read = '1') else cpuin_ready when (cpuin_write = '1') else 'Z'; cpu_data <= cpuout_cpudata when (cpuout_read = '1') else (others => 'Z'); cpuin_data <= cpu_data when (cpuin_write = '1'); --Map RAM signals ram_data <= pcin_data when (pcin_cts = '1') else (others => 'Z') when (cpuout_meminuse = '1' or reset = '1'); cpuout_data <= ram_data when (cpuout_meminuse = '1'); ram_addr <= pcin_addr when (pcin_cts = '1') else cpuout_addr when (cpuout_meminuse = '1') else (others => '0') when (reset = '1'); ram_r1w0 <= not pcin_cts; --BUFFOK latch buffok <= '0' when (pcout_clrbuff = '1' or reset = '1') else '1' when (cpuin_setbuff = '1'); end Behavioral;
unlicense
e6f4010391947283af58cebfdc1025ea
0.603985
3.074929
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/concurrent/rule_012_test_input.fixed.vhd
1
569
architecture RTL of FIFO is begin -- These are passing ret <= ( data => (others => '-'), valid => '0', sop => '0', eop => '0', empty => (others => '0'), error => (others => '0') ); -- These are failing ret <= ( data => (others => '-'), valid => '0', sop => '0', eop => '0', empty => (others => '0'), error => (others => '0') ); -- This is not an array and should not be "fixed" d <= (d2 xor to_stdulogic(gen2)) & (d1 xor to_stdulogic(gen1)); end architecture RTL;
gpl-3.0
45bca087413742a8573b55bab10f7136
0.446397
3.270115
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/if_statement/rule_004_test_input.vhd
1
281
architecture RTL of FIFO is begin process begin if (a = '1') then b <= '0'; end if; -- Violations below if (a = '1')then b <= '0'; end if; if (a = '1') then b <= '0'; end if; end process; end architecture RTL;
gpl-3.0
20f02f72685db9767fb586e09df97a53
0.455516
3.229885
false
false
false
false
Yarr/Yarr-fw
rtl/common/generic_async_fifo_wrapper.vhd
1
9,289
-------------------------------------------------------------------------------- -- CERN (BE-CO-HT) -- Generic asynchronous FIFO wrapper -- http://www.ohwr.org/projects/fmc-adc-100m14b4cha -------------------------------------------------------------------------------- -- -- unit name: generic_async_fifo (generic_async_fifo_wrapper.vhd) -- -- author: Matthieu Cattin ([email protected]) -- -- date: 05-12-2011 -- -- version: 1.0 -- -- description: Wrapper to use Xilinx Coregen FIFOs instead of generic FIFOs -- from Generics RAMs and FIFOs collection. -- -- dependencies: -- -------------------------------------------------------------------------------- -- last changes: see svn log. -------------------------------------------------------------------------------- -- TODO: - -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; --library work; use work.gn4124_core_pkg.all; entity generic_async_fifo is generic ( g_data_width : natural; g_size : natural; g_show_ahead : boolean := false; -- Read-side flag selection g_with_rd_empty : boolean := true; -- with empty flag g_with_rd_full : boolean := false; -- with full flag g_with_rd_almost_empty : boolean := false; g_with_rd_almost_full : boolean := false; g_with_rd_count : boolean := false; -- with words counter g_with_wr_empty : boolean := false; g_with_wr_full : boolean := true; g_with_wr_almost_empty : boolean := false; g_with_wr_almost_full : boolean := false; g_with_wr_count : boolean := false; g_almost_empty_threshold : integer; -- threshold for almost empty flag g_almost_full_threshold : integer -- threshold for almost full flag ); port ( rst_n_i : in std_logic := '1'; -- write port clk_wr_i : in std_logic; d_i : in std_logic_vector(g_data_width-1 downto 0); we_i : in std_logic; wr_empty_o : out std_logic; wr_full_o : out std_logic; wr_almost_empty_o : out std_logic; wr_almost_full_o : out std_logic; wr_count_o : out std_logic_vector(log2_ceil(g_size)-1 downto 0); -- read port clk_rd_i : in std_logic; q_o : out std_logic_vector(g_data_width-1 downto 0); rd_i : in std_logic; rd_empty_o : out std_logic; rd_full_o : out std_logic; rd_almost_empty_o : out std_logic; rd_almost_full_o : out std_logic; rd_count_o : out std_logic_vector(log2_ceil(g_size)-1 downto 0) ); end generic_async_fifo; architecture syn of generic_async_fifo is component fifo_32x512 port ( rst : in std_logic; wr_clk : in std_logic; rd_clk : in std_logic; din : in std_logic_vector(31 downto 0); wr_en : in std_logic; rd_en : in std_logic; prog_full_thresh_assert : in std_logic_vector(9 downto 0); prog_full_thresh_negate : in std_logic_vector(9 downto 0); dout : out std_logic_vector(31 downto 0); full : out std_logic; empty : out std_logic; valid : out std_logic; prog_full : out std_logic); end component fifo_32x512; component fifo_64x512 port ( rst : in std_logic; wr_clk : in std_logic; rd_clk : in std_logic; din : in std_logic_vector(63 downto 0); wr_en : in std_logic; rd_en : in std_logic; prog_full_thresh_assert : in std_logic_vector(8 downto 0); prog_full_thresh_negate : in std_logic_vector(8 downto 0); dout : out std_logic_vector(63 downto 0); full : out std_logic; empty : out std_logic; valid : out std_logic; prog_full : out std_logic); end component fifo_64x512; component fifo_96x512 port ( rst : in std_logic; wr_clk : in std_logic; rd_clk : in std_logic; din : in std_logic_vector(95 downto 0); wr_en : in std_logic; rd_en : in std_logic; prog_full_thresh_assert : in std_logic_vector(8 downto 0); prog_full_thresh_negate : in std_logic_vector(8 downto 0); dout : out std_logic_vector(95 downto 0); full : out std_logic; empty : out std_logic; --valid : out std_logic; prog_full : out std_logic); end component fifo_96x512; component fifo_128x512 port ( rst : in std_logic; wr_clk : in std_logic; rd_clk : in std_logic; din : in std_logic_vector(127 downto 0); wr_en : in std_logic; rd_en : in std_logic; prog_full_thresh_assert : in std_logic_vector(8 downto 0); prog_full_thresh_negate : in std_logic_vector(8 downto 0); dout : out std_logic_vector(127 downto 0); full : out std_logic; empty : out std_logic; valid : out std_logic; prog_full : out std_logic); end component fifo_128x512; signal rst : std_logic; begin -- Active high reset for FIFOs rst <= not(rst_n_i); -- Assign unused outputs wr_empty_o <= '0'; wr_almost_empty_o <= '0'; wr_count_o <= (others => '0'); rd_full_o <= '0'; rd_almost_full_o <= '0'; rd_almost_empty_o <= '0'; rd_count_o <= (others => '0'); gen_fifo_32bit : if g_data_width = 32 generate cmp_fifo_32x512 : fifo_32x512 port map ( rst => rst, wr_clk => clk_wr_i, rd_clk => clk_rd_i, din => d_i, wr_en => we_i, rd_en => rd_i, prog_full_thresh_assert => std_logic_vector(to_unsigned(g_almost_full_threshold, 10)), prog_full_thresh_negate => std_logic_vector(to_unsigned(g_almost_full_threshold, 10)), dout => q_o, full => wr_full_o, empty => rd_empty_o, valid => open, prog_full => wr_almost_full_o); end generate gen_fifo_32bit; gen_fifo_64bit : if g_data_width = 64 generate cmp_fifo_64x512 : fifo_64x512 port map ( rst => rst, wr_clk => clk_wr_i, rd_clk => clk_rd_i, din => d_i, wr_en => we_i, rd_en => rd_i, prog_full_thresh_assert => std_logic_vector(to_unsigned(g_almost_full_threshold, 9)), prog_full_thresh_negate => std_logic_vector(to_unsigned(g_almost_full_threshold, 9)), dout => q_o, full => wr_full_o, empty => rd_empty_o, --valid => open, prog_full => wr_almost_full_o); end generate gen_fifo_64bit; gen_fifo_96bit : if g_data_width = 96 generate cmp_fifo_96x512 : fifo_96x512 port map ( rst => rst, wr_clk => clk_wr_i, rd_clk => clk_rd_i, din => d_i, wr_en => we_i, rd_en => rd_i, prog_full_thresh_assert => std_logic_vector(to_unsigned(g_almost_full_threshold, 9)), prog_full_thresh_negate => std_logic_vector(to_unsigned(g_almost_full_threshold, 9)), dout => q_o, full => wr_full_o, empty => rd_empty_o, --valid => open, prog_full => wr_almost_full_o); end generate gen_fifo_96bit; gen_fifo_128bit : if g_data_width = 128 generate cmp_fifo_128x512 : fifo_128x512 port map ( rst => rst, wr_clk => clk_wr_i, rd_clk => clk_rd_i, din => d_i, wr_en => we_i, rd_en => rd_i, prog_full_thresh_assert => std_logic_vector(to_unsigned(g_almost_full_threshold, 9)), prog_full_thresh_negate => std_logic_vector(to_unsigned(g_almost_full_threshold, 9)), dout => q_o, full => wr_full_o, empty => rd_empty_o, valid => open, prog_full => wr_almost_full_o); end generate gen_fifo_128bit; end syn;
gpl-3.0
75b244d80201f69aea30b099695a6641
0.451717
3.762252
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/if_statement/rule_031_test_input.vhd
1
571
architecture RTL of FIFO is begin process begin z <= a; if a then a <= b; if b then b <= c; if c then c <= d; end if; end if; end if; -- Comment if a then a <= b; if b then b <= c; if c then c <= d; end if; end if; end if; -- Violations below z <= a; if a then a <= b; if b then b <= c; if c then c <= d; end if; end if; end if; end process; end architecture RTL;
gpl-3.0
81494934328fcd0e413734b72612b731
0.385289
3.591195
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/fifo_generator_v11_0/ramfifo/updn_cntr.vhd
2
10,193
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block rPxWsAgBzYhnPjZJdEPtjJ9fOQiNAF7FDjsmG7d5owNAj3Xc+iB/mMZsHE6CQ126/1invACSeHBX Vs44sOvIDg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block olgUFzSeERJk5e/0R+sI0PbgTkjIoi2r6oDwYK4tZcyAMmpFwhZ03Xv4+atdHjU0bFQihy0IVEBM 5anMfKsJDn/ck/vAfZ5gow8SZ3yu9YxPp8CUuugEfWJGsdo+VGylpmiHbxtmK0c4gqilW/Cp9fot Vgr9xyA3MOTA0ZvoZ9U= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block bRe7bdflP4PUtSQcsz4pNc+Ua9tw3yoyS7ce9LUcw9gKg6RIVI3e4KuD1WQRD0s2XPPCwVJHiyAh zTvwQrK7NN9FiseGLA+ru+xGEV/+V0vZNfUUx/1/gOzBxpz7rJm0nL7401bsxoJj/rs4Nlal0u1v BGYDc3jvY+h6r3W3JqfR4kgrsS8rennJOlbPITFP1eL0CPvAXoKtiwhIMZOnb8rNDPkVsSICHMNG 8IVtwrzk7g2r5EJQXgK16pXSXZWQF21xe9S8/XsIgdkd1xedAbKgFtsZ7ov/BBa4QrstVO+SEHb2 aBlMBJ379HZqIO+6srUl3x53l9GK7mWN9Go3RA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 1sZE67fK+5fVWdShpy2HxPyLeLbj2L6cKZ4MPBQR3pG3+FpGtZh98tuLKkYMr1A/zo1RGmAZO745 c+iuZ/P5DC4dDZ3Qv8qGLVz4H5qKQ0fVyAzcjX0msx9dg3efKu8feWew370sRJ9SRhDV5huxyXcT oKAGaB+35OTOXgJpSuc= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block NQ9q2+TGf/7jeOpYsdFWMeSPZK2/rlIl7TQw5ojGAq0OKwTpd2AXqoug3JP2k+VCiDo3oNPdT2uO o5WMPEe9RGjEQPKG6Q7CqsPWZhlETahoYOQ2oRDfLlyajMU52PA1elWdIAjbLqe5+f9TNeR4tRfc 1DW4s/COUVGsr8KagO7VlmHXG+1eMIHmgCDAbwHwC9JHO5swUygsgUn9i5CGAMc/xmTB6kkI9zpy yHrldGuLObmxgqHf+EnIozYphQjuRvo5V1wR7ZmFB0AH7rApYr709dI4XGv64SuTePB3tAssh5oh W9w4co3uQcCSmWvWvPvMLPkRnt0ccuMx2+Xm6w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5808) `protect data_block s4JUoPbw9uc+gWyyOWEiH9XCFBzbzGDJZ9PKcmMSz+P0caID9MrDhXIRBpfl7Ds3I8Aa3LJ8Ukm8 2bxXkhKNbSw601m/dQldGgnwJhryj6M0iW0E1XwEerzaUtdpM/DXenaFUR44EqTelKRRChcdSRXb JSgMNI/+EGGcSPfLIeAs0WgeUPTEUmoDB3Ypve39iYyjrN1hVNZDQi8KRSmfeYcDkbFM7WhFN8f2 JhVL3EzVJPCWALXXHFDhj9teTBN541nnxWMmNbmbvqSqp1wZt4mHY9Wq4R5XvjFGSzXioRTgQZ/j VJEoudpa+tkWONrlo3hPHNeDuwPU9pjbGVA+RiaPD3uzVhmP7yJoIXFKeXMy1Md1lotaP4/mXUIf gSJyfHhX77XMMLSmy6l7ISoYFcEQtRP9fRIIupJebMiUzCa2Afn81rZe+Ig4db0r8B15bog+9pzx cSHRGWm7GMhFanM0baouMB60kBMv9oDJej3qdIdssVLfkksqB9q9hXgEGO1EIgsMgbLneb7KFc5Q K4sNoxwVxEyg+3XdDiXDdbWPZjAziifKFCeWC+VrSy43DW01xtufQGC+ySN6dSHa2uP/QM3plypX Af+urs75UlR83BeW3ev2mCMzh/5QsARVoXR7shmxZJzXk4Xt1VkWvl/3mR3asYq/jW9ujjXIK1/7 IBzTGimHHuRLUVphH6pM2FOj9tD2ezwjn5Sou/Stn2iv2xo45QuK3BTbihv7zCQRt/YWwaE5AgdB 4IpBD0g+GfqLWdNPR82KH44BIFYs4uGkjqwumSK3ORTGpWz1xzm84vA5gH+s+LKINDbP2DWcMloX bLofqF/fhMxRczdgXcRvx3oQlbxTeylQZnpr3jq807wL5caT77ZHTIFl8z7+QTHa3GuCILhwHR2d iHO5xMioLlj4V0AW3cnxsSliuLkBX07kQ/qI68Io88eV259JX83+lGYOOkl6jecKx7MWNFTgcxG6 mQQaBKKfLs1mG1G59YBE0Vgb/227JBqNkRIkZEyQOjxV78b9tpkyhceI/SUElc7ERcEs8z4PD3R6 x2fJ/d8H4W62l3ZvmKILv4wBtZ4zkKvZ0XrBpTCG7Ps9x0FbsWSrbY52LoLzXiQIOeOtn10Oc3ws xftriA4jAPURojr57s7pV7OcMub62Zbx3cxiONiUjGidWteZANloIlmLKFwwHHfpv8+iGFc9SwbL urebQeolqHIR7pkOJDuFGegFVDaTXGxUDsFFKp+4dCD8htUc/FETOnbi0c0bK7jWy8m0nHSTMcG9 4oHisr3mBvy1yrT2HroZTzfgQeeLTyX8iGYI35hQlKQ5iWqlSiVimyMxi8Fj9cAHO8zYCyILgsRJ yj8L9AQQJiRwxRrs2UG6dPLQ54q76YAkLKatVc0mayoc4CkhaP5hF6wAst+nXXhTSqlGWBjQNSSc EL4aUyT79HHIUctp1Vob+IvSYBsG4n/q+PuYv7Wsph5qiMpNiGX5hAHLo0WTGgVYGgJILVmb3ztl 9V+a/W452NCbG5FyZveN16E3Iz8A4rrFqSP/Qzp1nj6uWsu7MzMgW0LOBMZlFYb9PUq5dc+XaOKN 3aFcoCYA/Ak/38ZyLM1CVCWe6CwefYiiXSWOf/4Vl2yuBibZfKQlOO/q8BvB0wY8AjMtE0l6SNPC 4ts/EUz+iUjstSWKmoKMwoYi7eS2M4NRbRPH3nW7mjA8DJCHPunR9Ww8YcDVKWKTz45KlYXxgvia H6hTBSxabfINoAdazI594+rrO/jmG0fSXjAvSgy37UdO2AIHhrM4iPuzhhcmzRSRRca9pHgSnUgf C4+r0ST9FAPIB3+mLxuXpxJMDsuDK6ddXYdqDIX/QAPPiSZg2qFIdx9hFgNNBlAUUJTBkvtHNcH5 ibmM4x2zRMktLcVCfliEeKf13cnaGi2QDP6yz6F+ZIhLETmmUZJCNf8VJxrcpVyEEmynnx74fLSr 9FOTH0vyr0RXW2etqGM3knnDezNDEHK7842zC50OCcXG7khsX8+g/BqyWXTH7tuC3XnJN4gaR9/j yf8kmuASpkQGPHwFw2PATSneG2eV4k6sTXJ+Ku1CSQHkqJoHUs2cYClOfde55bZMKpom300wnVwh Lam6K+sWSS2JydPb5He/Klr/LefX4ysuPVNjSO9SH3Xf3IZo2TH408V4CKQs2Zawr01nGz4LIjEO jdjtKwErw4yD5ALTifwIZPnSKndge4KhFop+ahCcUcWgAqRafIMneKFoI9xU7aNF/CCfh82/pzMe yIxAHdZz9gBeWG0tlDl7JokeaxRp1Mvt0h2AL+hnvGXGj01jWGPvtYTytb6Ir+wUpvVctiRkkykR M6DHYvDcyCxSDMyEuWk+dcwC51vohPOPnPsZTB84BV/7uFby000Nh9Bjac+mZQmA747sivlw+CRK +uc3h2vb8WLoZZ7r9Vm19ik6JMbtYNyEkL016iBMvHYyrgU6fSlTWRgGVUV+2glunXuwFlEhdZ4l prjHi7Aou2u72t/YEy4nGzHiXrdwA0/YvJkK/RnGfzBMscD4bh0rw5b3Tqw48SeDEpX5Uy6SJsB+ 7QcnDvlVUWjDefSeIsqcRffncAk6KrBkuLVW8OCHRwLzxAT8FetIzn/X0CSM078ONQGYAIMLWMxP fzwm/KrymspNvsY70v6llQ/3pdNMheumbi0AV4t1zM27d0tJNs9TbbH+d0xZrhjnOCheVAguZ63b AEfbzI4yjOw2UylyN9SBAUirmSJuSEZ3FmGKxGxDTwSdVCF6wWyv2gAFAzQFB3NsE1O1Qyktrr7T XWsU7gbnDIdqoqQ6coiYAKFhiwB/SU8TOf1HUdwdD/Y997yNoIduIr7VIgObpIkr4FnMx+TEBsuX 6lJlJkTGcj0p1RKHxlPsn1SxR3Xww3WQ50U9jSXPUzKoBcUOIROO/HoyVp6vscf2sB6Mbr/TWLYh ZvRnwKcmjEe5BW8bJjXKTXsoTrn0U25QvonMPNSMMkYAzDtykUBEdsM6AOfHHzej4ZoCVHRyAmHF 3PRWB04KWJqRIMlRU1z0wOGQgye/eaxronuarc6FQ9xWf4hUZZi2vcmgQHgBKI0QgasXJifdwn96 AaR3D37zXKjdKFAhKLPUTuDukVjUCg/SgVQbVe+36TDF6ztoY4EQm8T6BO0RK21brgnD6N6PBSMg UBPqsi4UCyh6qpItnf7grdDIlJfjboxs4f30YioLtIUJcnzt/D04kcS5Qc0IFTgvkAn02+NplvzR sas6lM712tufSg6szHJ3fsbbo+OldW62w23t0wh5g6YoCZfJ39J7oue73owsile+RmlV+IiC70nx h+osk9L2klYiB7SDWhXn5fNOOkfHYlFXsoz+73Vtl0pGaCcG+1UmqEmJqI8XlusS7FU9+dIzLHEG 5VTrKu/4UOguMbTurQAmTv6upn7O7ubMQwX0PkpeFjuRLRRBAd4C4DMDW3xCpvKgWmPkr3AXgEKO FpMb3oZh8Q49evIo5FIEh1iNXhSiSFQgErGQINLuC5ut4qFLCysxMlAB/5cYOVAinxBFMpHH2rVB ibBFEQ1CK1jvchjhz9cfo8nlTsXp+KIfGikBEhdUHRezkFhVzm/r6LTdeoDQr0eVPdOCuQA0o7x3 r9VOcwprUbXzM+8ytlTEEjB/ghJ3NYtSB3c2T+rc5sw4IbDVgf6bYFX5sjwC/C+OnDXD+pqqE95O HICDy22LijQcUmSUYDXgYh73dItThO1m7DyQjH+JdL8Cbh21rTKatwTC+MZZNWcJIkpkkGNwoaf9 IceeaVopDKipRBxtjwXjPrT7uq9dmG7m/oIblr5sgRlSDlAVGYRwq2nIuH7MxG7D2yNkFCOTZqpM QwJJUWwYNkbCZzDqMlKtGHlilVyLGpSFQJn1Ocob7Kd6RZSrPLKqQbga5NdAa3L3mzFnEBpxahlZ vY3LX+CN+4dZI25u6J27+rClOjdA3uKxUNcoQVm/MuX7JI4H/MmP7MbUA9Bz+Pyi3lK0gIU0Al79 o1TJllJjNZWN/SMkJ55qLfG8FNtiCiKQGDU7dCcmW7qdU+9eLJTFwHKkH7MG4/kxJipVFXquc/PG N3LxQ6t8mNMdGK+GinGZvCeNaTVKWSW7tjXN6Dy28wgfq7tJ0gtUYOBv4QBjMocBeQWzdCt/y9f7 Wb+yAcLZDn38i0JIZB8ZNiQKUp3RlqtC78OEoxk6fAr4TzNJ+v8hGjSwg4zKIoqtVLHqO0KMxRYX XawNwCmwyIbvz1xsGWASDtCLrmRy4qvJw4YG0InIjCHRk7jTR/jdAi34bKaLas+edO3JECGJZg2C yEP20yfC+5MA24dJnNsoFz4BruQOssgmB5mOq6PP0QrtokltQXTvcfsUv/hi3bK9hdFzsE3yS7uw C+NydnArddFP2C/LNl9YGEJctkzRNY3IGnhMJWMP958e4badXgZbFE7TvDo2gMikIFp0Kytw1W6m dn+6T/JGNLmhIOteHmzwR4xwCu7IrM67qogtxPDD8S3KIclVlXRutYtG0ulq0e7LqUXyW4qGmQBW haHiRAEeuHgcKmIzDBsANHhIwWOVHH9D3Kdx0iox1yqGGjkstlSPHjby4RvM926/wIC2MRrzbtxT Hw9tV+LpxaG1Rk2EUJ2szIkUmbf5jAT04OPs4l015YJgMeedadAyDiyDAKsAdBohkhIPAZyYeBMe iUMIu7dVUXDVmPpgsIFOr8c+hDgFsPz908p+dfyMbCKdbRXNd/tKLSmE12C5Zu3v0BjRXmU73HOG 9QwkA2aZJuUsbqZ9WefzLl3bcxMRnxP1zrzSOVeQnNrRl9QPR27BCjqbIrDCp31hX9AjblaK5Dc0 3GB8rxyPny1tMFNPV2inwh7ONO8rRS7rYIPVvYEOVMbrygzmBq+MwCH+DBj5/SH280DN5/NEy9jO nbq7T72sDYzK/9ZhTtperaRowOynpO/MAQnUSTFhh/hDzP+GLPO7SoCUNfPE6VAa9Y+YfbQttvJg V9OhRz8UDmdcpw76RB+mpPBRPNPmK0G94bWEnLJAdec5Al15BLbt0zyaPt5XjeYZdAekw5wejOQx LJn/N215HIdhUepumwiKXwpDKDDZiS1MBbukHCXbUY8SV5iNOe41DW537t0vBt22OsaVfkN6TQI+ O6FhMlbs3La1v7Sp+4COB3XdHyxTGkG+cuyPQLUgZ7mS5crZnwi5mnIzjWLpkW3w7V/TAUsanCUG 1FRgpqkyQkqKS68Vd0Kua3r+Ok6y8W9ZO/w1FQJAsm2HkiZjhHcJF2+Ma8ozM0aKLXdvgd6w7s2C yayle3ZAVIRHBPBj93lBeZcDELcaaPsXJa7ZduFWOuVEyRPpLlEQy6KavHL5ffBVhCKVHS62J4vE PJdUo8XBZwUR+wKGM7rpAVVaYos8n7K6NYdsvVu8i4Uum5MHl9w/nT4qUHScDDBZY6TN+yYzFG61 6xJFtlVITcYFJTd9uuByifhpie+phqzK1mCRk8Opzt4mpBFPxjRt8wrkEF4vSOrtdvdsFpsrPvAU WCjuvnUURQBYk/7Trv8yRmLOMgStmICIDm6cv9b/BXDrNyICNRiR9/yzK+IlN3x7oFx8XQqPNk08 AfY/6icEzvpNj5xwq3qSinS9gIVTQ7nNW6Ys+rzY9fYMLIUG/04jwKnNt3lv4579bH+F61wW1uLU uvvkSxAhpxyLLBAQ8+7Ywx+oEhfh2IaPtDF1rkpxiJmGZujmjJ+8ByIwHer/HDMppSJDQPmlGeNg 71rLqT0grvSARDxPVKwmo1GAkmNu4rSTjDi9kYB40fW2tYpRNVPZms0ycDpvR34Q/n+n1Ei8JGnj tq60W+xO6VR/IU9t3bcIj5TUKF5jiypiEdgkJ5zIzplA96+HcWMVRI3/cRSpvCYVDRtkiOFnRkPm I3AFW6jNTlwCnuUlQkVQSCNhKmuGlUcbINYWAgE9L40JC/9V4kK5Cxxd9dJXY2JZD6wda+DfvJDl qlJItSIj7M4Q3VAJ3fUGIl5XYE2RqMeXCpOin3GaSiQg/PpoyrX4ePnmb93KQQ0GlFxpkIvOUcrM AE7ADdmQaO2+x8qEKqrI7+QxgJC0MWmtD9lPWYm68HdMkcQgPOLsoTpIx+5xtJOWXOIuuniYRP3n VN0JeUuwmUSXPI7NoqXihOl3WVz2v3xuzKEUiuhCc1kArAjTY8dzzvWR6mL/a2dDD1t6kIZftsEn /MtWRPAF5npJzGfui4vBycWRUDzL2REINI+ZePYxctHyN2Vll+KCsxMNhf7or1+fDAnvdDQHyr+t 6kirE+BKTTZFkgQwU1/NoeJNvwxORocuTlhnL+biA1a1IIRzh7Y1cc2Io9MFZrt3vkUB6GaagSZB byg9gxmflzy3f8ekB0lnUGYfoADYgm3J13vaMn6IzboOaOZuSuWwhA3jv8jVeNIL/HkP2I7cSZLc RzxgC9rRzoGvl40wzq40fzLpvBekJDF5EjE9ozvtvZcSpVMo/EziTZFBA367/3eAICzVoUac3N2J HTPY2rz0VqoBieWQ4qfKvVnCXw7XBzjm5K7EJEeyBDLBIK4K3Spcb4DIIuLo2q8cQVWsVhCYhXxc E8GQVfKrWSRRII8fpftqN9iOov/+4vgcHvYAEPf743JVra1S5j3FKzVVUxEQ2qTFxR6Qc3GMSwE/ WCVuuFGGyat3+MC0/4DMa1aoGiJHTGSKxP+gaptGEqqmQaf/l+5qvl37qJsElkvpU+TrUmkq3a3R PUWvzBTiX6j+nulr9zQYbTFzBj6lSYVVf5IMKAS6aC1kKBEWYnNriEKP/qK+9/LqiYPiMhtMZ88o 60jOyxm9soZCJU4EUTGdvMScmU08wa6TfDVxp6UuBAKgx2B2qKvlziPY2KadQysZS0dkYZuWksgg 4YxLzwMUjCJEMlBBCssHNBiGReXQew91hscLX1DlFWnvkgHFDA2CRx9cpEiK3tUMut69oDTOlojw IcNnV6LhnC30TcTqZOQajNRcP8yyYEeCFZWVZCL4bf1b7MSFdsF+5BHucK4L/LPrnPuy06H8+yF+ fMGvXELlUQOzJr5WfTERL+iatHkvhda43/0AsOiUb7cykFGtcEMuIAfbowdF/tc3uRNZacMLR9BM xVxAtLI+gwPWRwc81hii7ur299sCEozWWXkViLJH4PtOV6AnmoIfWvJ4jauL+SSBJEv3QikYWjUw nl63qkl2Pv5l+7YTAB9EI3cYA65QQOxUEFOZMdePxLZpA47/NSvXsy1aHpM1EAXpfsfYeOQFMzvB GcywZ0AoilFpaOHMe2W34NO2h2Qjff3ui5TLLylD9dZb2GYjqxse4LlNG0EmitI3GwgacM8yWoIB 8XJ/sJ/Hv9NDa6rO5xWlcZAcxh5Ij/ngA8E4XrkIP0m59xlcrWMvdiUKK9hzWvoxYgV3DuSTwno5 SmFYmphQOYCSk2Kjed5SlJju3niu1YCRVvg2GRHZO9NA6qjJVFvRJ0H/an2MDePqTLJXbeTgRCDN Ml9phdQW6WagGTC7JHAG0l0H/xgqXZgTLXKkc68HaR+IVBJURsXT2og9lLzP9YhPFxCbw4pkI4Ik u+WUxhbRKW2KGIi1PrzmSZ4+ThD3L2u5+hkiEzBSsafccx1g5qjyNxt5xx19dX03WO33jKrEYR/w rcnIoKISmAm+mTkP4IHaVu3vEYQ1bYnA6f5McU/A22/C+AMMlCyEkJTIM/ZfevmthI6c `protect end_protected
bsd-2-clause
7a994f86143fb6a3fafa51d0dd643e91
0.925537
1.910949
false
false
false
false
siavooshpayandehazad/TTU_CPU_Project
pico_CPU/Controller.vhd
1
12,573
library IEEE; use IEEE.std_logic_1164.all; USE ieee.std_logic_unsigned.ALL; entity ControlUnit is generic (BitWidth: integer; InstructionWidth: integer); port( rst : in std_logic; clk : in std_logic; ---------------------------------------- Instr_In : in std_logic_vector (InstructionWidth-1 downto 0); Instr_Add : out std_logic_vector (BitWidth-1 downto 0); ---------------------------------------- MemRdAddress : out std_logic_vector (BitWidth-1 downto 0); MemWrtAddress: out std_logic_vector (BitWidth-1 downto 0); Mem_RW : out std_logic; ---------------------------------------- DPU_Flags : in std_logic_vector (3 downto 0); DataToDPU : out std_logic_vector (BitWidth-1 downto 0); CommandToDPU : out std_logic_vector (10 downto 0); Reg_in_sel : out std_logic_vector (7 downto 0); Reg_out_sel : out std_logic_vector (2 downto 0); DataFromDPU : in std_logic_vector (BitWidth-1 downto 0) ); end ControlUnit; architecture RTL of ControlUnit is --------------------------------------------- -- Signals and Types --------------------------------------------- TYPE STATE_TYPE IS (F, D, Ex,WB); signal State_in, State_out :STATE_TYPE; TYPE Instruction IS (PUSH,POP, JMPEQ,Jmp_rel,Jmp,JmpZ,JmpOV,JmpC, FlipA,And_A_R,OR_A_R,XOR_A_R,NegA, ShiftA_R,ShiftA_L,ShiftArithL,ShiftArithR, RRC,RLC, LoadPC,SavePC, Add_A_R, Add_A_Mem,Add_A_Dir, Sub_A_R,Sub_A_Mem,Sub_A_Dir,IncA,DecA, Load_A_Mem,Load_R0_Mem,Load_R0_Dir,Store_A_Mem,load_A_R,load_R_A,Load_Ind_A, ClearZ,ClearOV,ClearC, ClearACC, NOP,HALT); signal Instr:Instruction := NOP; signal SP_in, SP_out : std_logic_vector (BitWidth-1 downto 0):= (others => '0'); signal PC_in, PC_out : std_logic_vector (BitWidth-1 downto 0):= (others => '0'); signal InstrReg_out: std_logic_vector (InstructionWidth-1 downto 0) := (others => '0'); --------------------------------------------- -- OpCode Aliases --------------------------------------------- alias opcpde : std_logic_vector (5 downto 0) is InstrReg_out (InstructionWidth-1 downto BitWidth); begin --------------------------------------------- -- Registers setting --------------------------------------------- process (clk,rst) begin if rst = '1' then State_out <= F; SP_out <= (others => '0'); PC_out <= (others => '0'); InstrReg_out <= (others => '0'); elsif clk'event and clk='1' then State_out <= State_in; SP_out <= SP_in; PC_out <= PC_in; InstrReg_out <= Instr_In; end if; end process; --------------------------------------------- ----------------------------------------------------------- --Control FSM ----------------------------------------------------------- process(State_out,PC_out,Instr,InstrReg_out,DataFromDPU, SP_out, DPU_Flags) begin SP_in <= SP_out; PC_in <= PC_out; Instr_Add <= PC_out; Mem_RW <= '0'; MemRdAddress <= (others => '0'); MemWrtAddress <= (others => '0'); DataToDPU <= (others => '0'); CommandToDPU <= "00000001000"; --do not do anything Reg_in_sel<="00000000"; Reg_out_sel<="000"; case State_out IS --Fetch-------------------------------------------------------------------------- WHEN F => State_in <= D; --Decode------------------------------------------------------------------------- WHEN D => State_in <= Ex; --Execution------------------------------------------------------------------------ WHEN Ex => State_in <= WB; -----------------------Arithmetic-------------------------- if Instr = Add_A_R then CommandToDPU <= "00000000010"; Reg_out_sel<= InstrReg_out (2 downto 0); elsif Instr = Add_A_Mem then MemRdAddress <= InstrReg_out (BitWidth-1 downto 0); CommandToDPU <= "00000000000"; elsif Instr = Add_A_Dir then DataToDPU <= InstrReg_out (BitWidth-1 downto 0); CommandToDPU <= "00000000001"; ----------------------------------------------- elsif Instr = Sub_A_R then CommandToDPU <= "00000000110"; Reg_out_sel<= InstrReg_out (2 downto 0); elsif Instr = Sub_A_Mem then MemRdAddress <= InstrReg_out (BitWidth-1 downto 0); CommandToDPU <= "00000000100"; elsif Instr = Sub_A_Dir then DataToDPU <= InstrReg_out (BitWidth-1 downto 0); CommandToDPU <= "00000000101"; ----------------------------------------------- elsif Instr = IncA then CommandToDPU <= "00000000011"; elsif Instr = DecA then CommandToDPU <= "00000000111"; -----------------------Shift------------------------------- elsif Instr = ShiftA_R then CommandToDPU <= "00000011100"; elsif Instr = ShiftA_L then CommandToDPU <= "00000100000"; elsif Instr = ShiftArithL then CommandToDPU <= "00000101100"; elsif Instr = ShiftArithR then CommandToDPU <= "00000101000"; elsif Instr = RRC then CommandToDPU <= "00000111000"; elsif Instr = RLC then CommandToDPU <= "00000111100"; -----------------------Logical----------------------------- elsif Instr = NegA then CommandToDPU <= "00000100100"; elsif Instr = FlipA then CommandToDPU <= "00000110000"; elsif Instr = And_A_R then CommandToDPU <= "00000010010"; Reg_out_sel<= InstrReg_out (2 downto 0); elsif Instr = OR_A_R then CommandToDPU <= "00000010110"; Reg_out_sel<= InstrReg_out (2 downto 0); elsif Instr = XOR_A_R then CommandToDPU <= "00000011010"; Reg_out_sel<= InstrReg_out (2 downto 0); -----------------------Memory------------------------------ elsif Instr = Load_R0_Mem then MemRdAddress <= InstrReg_out (BitWidth-1 downto 0); Mem_RW <= '0'; CommandToDPU <= "11000001000"; Reg_in_sel<= "00000001"; elsif Instr = Load_A_Mem then MemRdAddress <= InstrReg_out (BitWidth-1 downto 0); Mem_RW <= '0'; CommandToDPU <= "00000001100"; elsif Instr = SavePC then DataToDPU <= PC_out; CommandToDPU <= "00000001101"; elsif Instr = Load_R0_Dir then CommandToDPU <= "01000001000"; DataToDPU <= InstrReg_out (BitWidth-1 downto 0); Reg_in_sel<= "00000001"; Reg_out_sel<= "000"; elsif Instr = Load_Ind_A then MemRdAddress <= DataFromDPU; Mem_RW <= '0'; CommandToDPU <= "00000001100"; Reg_in_sel<= "00000000"; Reg_out_sel<= "000"; elsif Instr = load_A_R then CommandToDPU <= "00000001100"; Reg_out_sel<= InstrReg_out (2 downto 0); elsif Instr = load_R_A then CommandToDPU <= "10000001000"; Reg_in_sel<= InstrReg_out (7 downto 0); -----------------------Stack------------------------------- elsif Instr = POP then MemRdAddress <= SP_out - "00000001"; SP_in <= SP_out - 1; Mem_RW <= '0'; CommandToDPU <= "00000001100"; -----------------------ClearFlags-------------------------- elsif Instr = ClearZ then CommandToDPU <= "00001001000"; elsif Instr = ClearOV then CommandToDPU <= "00010001000"; elsif Instr = ClearC then CommandToDPU <= "00100001000"; elsif Instr = ClearACC then CommandToDPU <= "00000110100"; else CommandToDPU <= "00000001000"; --do not do anything end if; --WriteBack------------------------------------------------------------------------ WHEN WB => State_in <= F; if Instr = Store_A_Mem then MemWrtAddress <= InstrReg_out (BitWidth-1 downto 0); Mem_RW <= '1'; PC_in <= PC_out+1; elsif Instr = HALT then PC_in <= PC_out; State_in <= WB; -----------------------Jump-------------------------------- elsif Instr = Jmp then PC_in <= InstrReg_out (BitWidth-1 downto 0); elsif Instr = JmpOV and DPU_Flags(0) = '1' then PC_in <= InstrReg_out (BitWidth-1 downto 0); elsif Instr = JmpZ and DPU_Flags(1) = '1' then PC_in <= InstrReg_out (BitWidth-1 downto 0); elsif Instr = JMPEQ and DPU_Flags(2) = '1' then PC_in <= InstrReg_out (BitWidth-1 downto 0); elsif Instr = JmpC and DPU_Flags(3) = '1' then PC_in <= InstrReg_out (BitWidth-1 downto 0); elsif Instr= Jmp_rel then PC_in <= PC_out + InstrReg_out (BitWidth-1 downto 0); elsif Instr= LoadPC then PC_in <= DataFromDPU ; State_in <= F; -----------------------Stack OP---------------------------- elsif Instr= PUSH then PC_in <= PC_out+1; MemWrtAddress <= SP_out; SP_in <= SP_out + 1; Mem_RW <= '1'; else PC_in <= PC_out+1; end if; END case; end process; ------------------------------------------------ -- Instr decoder ------------------------------------------------ process (opcpde) begin case opcpde is when "000000" => Instr <= Add_A_R; when "000001" => Instr <= Add_A_Mem; when "000010" => Instr <= Add_A_Dir; when "000011" => Instr <= Sub_A_R; when "000100" => Instr <= Sub_A_Mem; when "000101" => Instr <= Sub_A_Dir; when "000110" => Instr <= IncA; when "000111" => Instr <= DecA; when "001000" => Instr <= ShiftArithR; when "001001" => Instr <= ShiftArithL; when "001010" => Instr <= ShiftA_R; when "001011" => Instr <= ShiftA_L; when "001100" => Instr <= RRC; when "001101" => Instr <= RLC; when "001110" => Instr <= And_A_R; when "001111" => Instr <= OR_A_R; when "010000" => Instr <= XOR_A_R; when "010001" => Instr <= FlipA; when "010010" => Instr <= NegA; when "010011" => Instr <= Jmp; when "010100" => Instr <= JmpZ; when "010101" => Instr <= JmpOV; when "010110" => Instr <= JmpC; when "010111" => Instr <= Jmp_rel; when "011000" => Instr <= JMPEQ; when "011001" => Instr <= ClearZ; when "011010" => Instr <= ClearOV; when "011011" => Instr <= ClearC; when "011100" => Instr <= ClearACC; when "011101" => Instr <= LoadPC; when "011110" => Instr <= SavePC; when "011111" => Instr <= Load_A_Mem; when "100000" => Instr <= Store_A_Mem; when "100001" => Instr <= Load_R0_Dir; when "100010" => Instr <= Load_R0_Mem; when "100011" => Instr <= load_A_R; when "100100" => Instr <= load_R_A; when "100101" => Instr <= Load_Ind_A ; when "111100" => Instr <= PUSH; when "111101" => Instr <= POP; when "111110" => Instr <= NOP; when "111111" => Instr <= HALT; when others => Instr <= NOP; end case; end process; end RTL;
gpl-2.0
66c4670e8014a07646645eb644330bad
0.427662
4.430233
false
false
false
false
NicoLedwith/Dr.AluOpysel
RAT_MCU/ram2k_8.vhd
2
1,188
-- -- An array of 2048 bytes that works as a framebuffer for the vgaDriverBuffer -- module. Holds the RGB pixel data for each location. -- -- Original author: unknown -- -- Peter Heatwole, Aaron Barton -- CPE233, Winter 2012, CalPoly -- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity ram2k_8 is port(clk: in STD_LOGIC; we: in STD_LOGIC; ra, wa: in STD_LOGIC_VECTOR(10 downto 0); wd: in STD_LOGIC_VECTOR(7 downto 0); rd: out STD_LOGIC_VECTOR(7 downto 0); pixelVal: out STD_LOGIC_VECTOR(7 downto 0) ); end ram2k_8; architecture Behavioral of ram2k_8 is type ramtype is array (2047 downto 0) of STD_LOGIC_VECTOR(7 downto 0); signal mem: ramtype; begin -- three-ported register file -- read two ports combinationally -- write third port on rising edge of clock process(clk) begin if (clk'event and clk = '1') then if we = '1' then mem(CONV_INTEGER(wa)) <= wd; end if; end if; end process; rd <= mem(CONV_INTEGER(ra)); pixelVal <= mem(CONV_INTEGER(wa)); end Behavioral;
mit
3932188914969ae0234d0192a393104e
0.628788
3.384615
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/instantiation/rule_032_test_input.vhd
1
553
architecture ARCH of ENTITY1 is begin U_INST1 : INST1 generic map ( G_GEN_1 => 3, G_GEN_2 => 4, G_GEN_3 => 5 ) port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); -- Violations below U_INST1 : component INST1 port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); U_INST1 : component INST1 port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); end architecture ARCH;
gpl-3.0
fb506a57ccfe2deb5af444f606b5389f
0.479204
2.821429
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/process/rule_029_test_input.vhd
1
421
architecture RTL of FIFO is begin process begin if (rst = c_asserted) then elsif (clk'event and clk = '1') then end if; if (rst = c_asserted) then elsif (clk'event and clk = '0') then end if; if (rst = c_asserted) then elsif (rising_edge(clk)) then end if; if (rst = c_asserted) then elsif (falling_edge(clk)) then end if; end process; end architecture RTL;
gpl-3.0
921ed67d10dcde3e102e904edc49d203
0.60095
3.34127
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/axi_dma_v7_1/hdl/src/vhdl/axi_dma_mm2s_sg_if.vhd
1
45,373
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------ ------------------------------------------------------------------------------- -- Filename: axi_dma_mm2s_sg_if.vhd -- Description: This entity is the MM2S Scatter Gather Interface for Descriptor -- Fetches and Updates. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_dma_v7_1; use axi_dma_v7_1.axi_dma_pkg.all; library proc_common_v4_0; use proc_common_v4_0.srl_fifo_f; ------------------------------------------------------------------------------- entity axi_dma_mm2s_sg_if is generic ( C_PRMRY_IS_ACLK_ASYNC : integer range 0 to 1 := 0 ; -- Primary MM2S/S2MM sync/async mode -- 0 = synchronous mode - all clocks are synchronous -- 1 = asynchronous mode - Any one of the 4 clock inputs is not -- synchronous to the other ----------------------------------------------------------------------- -- Scatter Gather Parameters ----------------------------------------------------------------------- C_SG_INCLUDE_STSCNTRL_STRM : integer range 0 to 1 := 1 ; -- Include or Exclude AXI Status and AXI Control Streams -- 0 = Exclude Status and Control Streams -- 1 = Include Status and Control Streams C_SG_INCLUDE_DESC_QUEUE : integer range 0 to 1 := 0 ; -- Include or Exclude Scatter Gather Descriptor Queuing -- 0 = Exclude SG Descriptor Queuing -- 1 = Include SG Descriptor Queuing C_M_AXIS_SG_TDATA_WIDTH : integer range 32 to 32 := 32 ; -- AXI Master Stream in for descriptor fetch C_S_AXIS_UPDPTR_TDATA_WIDTH : integer range 32 to 32 := 32 ; -- 32 Update Status Bits C_S_AXIS_UPDSTS_TDATA_WIDTH : integer range 33 to 33 := 33 ; -- 1 IOC bit + 32 Update Status Bits C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32 ; -- Master AXI Memory Map Data Width for Scatter Gather R/W Port C_M_AXI_MM2S_ADDR_WIDTH : integer range 32 to 64 := 32 ; -- Master AXI Memory Map Address Width for MM2S Read Port C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH : integer range 32 to 32 := 32 ; -- Master AXI Control Stream Data Width C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0 ; C_MICRO_DMA : integer range 0 to 1 := 0; C_FAMILY : string := "virtex5" -- Target FPGA Device Family ); port ( m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- -- SG MM2S Descriptor Fetch AXI Stream In -- m_axis_mm2s_ftch_tdata : in std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0); -- m_axis_mm2s_ftch_tvalid : in std_logic ; -- m_axis_mm2s_ftch_tready : out std_logic ; -- m_axis_mm2s_ftch_tlast : in std_logic ; -- m_axis_mm2s_ftch_tdata_new : in std_logic_vector -- (96 downto 0); -- m_axis_mm2s_ftch_tdata_mcdma_new : in std_logic_vector -- (63 downto 0); -- m_axis_mm2s_ftch_tvalid_new : in std_logic ; -- m_axis_ftch1_desc_available : in std_logic; -- -- -- SG MM2S Descriptor Update AXI Stream Out -- s_axis_mm2s_updtptr_tdata : out std_logic_vector -- (C_S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0); -- s_axis_mm2s_updtptr_tvalid : out std_logic ; -- s_axis_mm2s_updtptr_tready : in std_logic ; -- s_axis_mm2s_updtptr_tlast : out std_logic ; -- -- s_axis_mm2s_updtsts_tdata : out std_logic_vector -- (C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); -- s_axis_mm2s_updtsts_tvalid : out std_logic ; -- s_axis_mm2s_updtsts_tready : in std_logic ; -- s_axis_mm2s_updtsts_tlast : out std_logic ; -- -- -- -- MM2S Descriptor Fetch Request (from mm2s_sm) -- desc_available : out std_logic ; -- desc_fetch_req : in std_logic ; -- desc_fetch_done : out std_logic ; -- updt_pending : out std_logic ; packet_in_progress : out std_logic ; -- -- -- MM2S Descriptor Update Request (from mm2s_sm) -- desc_update_done : out std_logic ; -- -- mm2s_sts_received_clr : out std_logic ; -- mm2s_sts_received : in std_logic ; -- mm2s_ftch_stale_desc : in std_logic ; -- mm2s_done : in std_logic ; -- mm2s_interr : in std_logic ; -- mm2s_slverr : in std_logic ; -- mm2s_decerr : in std_logic ; -- mm2s_tag : in std_logic_vector(3 downto 0) ; -- mm2s_halt : in std_logic ; -- -- -- Control Stream Output -- cntrlstrm_fifo_wren : out std_logic ; -- cntrlstrm_fifo_din : out std_logic_vector -- (C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH downto 0); -- cntrlstrm_fifo_full : in std_logic ; -- -- -- -- MM2S Descriptor Field Output -- mm2s_new_curdesc : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- mm2s_new_curdesc_wren : out std_logic ; -- -- mm2s_desc_baddress : out std_logic_vector -- (C_M_AXI_MM2S_ADDR_WIDTH-1 downto 0); -- mm2s_desc_blength : out std_logic_vector -- (BUFFER_LENGTH_WIDTH-1 downto 0) ; -- mm2s_desc_blength_v : out std_logic_vector -- (BUFFER_LENGTH_WIDTH-1 downto 0) ; -- mm2s_desc_blength_s : out std_logic_vector -- (BUFFER_LENGTH_WIDTH-1 downto 0) ; -- mm2s_desc_eof : out std_logic ; -- mm2s_desc_sof : out std_logic ; -- mm2s_desc_cmplt : out std_logic ; -- mm2s_desc_info : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) ; -- mm2s_desc_app0 : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) ; -- mm2s_desc_app1 : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) ; -- mm2s_desc_app2 : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) ; -- mm2s_desc_app3 : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) ; -- mm2s_desc_app4 : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) -- ); end axi_dma_mm2s_sg_if; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_dma_mm2s_sg_if is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ATTRIBUTE async_reg : STRING; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- Status reserved bits constant RESERVED_STS : std_logic_vector(4 downto 0) := (others => '0'); -- Used to determine when Control word is coming, in order to check SOF bit. -- This then indicates that the app fields need to be directed towards the -- control stream fifo. -- Word Five Count -- Incrementing these counts by 2 as i am now sending two extra fields from BD --constant SEVEN_COUNT : std_logic_vector(3 downto 0) := "1011"; --"0111"; constant SEVEN_COUNT : std_logic_vector(3 downto 0) := "0001"; -- Word Six Count --constant EIGHT_COUNT : std_logic_vector(3 downto 0) := "0101"; --"1000"; constant EIGHT_COUNT : std_logic_vector(3 downto 0) := "0010"; -- Word Seven Count --constant NINE_COUNT : std_logic_vector(3 downto 0) := "1010"; --"1001"; constant NINE_COUNT : std_logic_vector(3 downto 0) := "0011"; ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal ftch_shftenbl : std_logic := '0'; signal ftch_tready : std_logic := '0'; signal desc_fetch_done_i : std_logic := '0'; signal desc_reg12 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg11 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg10 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg9 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg8 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg7 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg6 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg5 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg4 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg3 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg2 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg1 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg0 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_dummy : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_dummy1 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal mm2s_desc_curdesc_lsb : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal mm2s_desc_curdesc_msb : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal mm2s_desc_baddr_lsb : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal mm2s_desc_baddr_msb : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal mm2s_desc_blength_i : std_logic_vector(BUFFER_LENGTH_WIDTH - 1 downto 0) := (others => '0'); signal mm2s_desc_blength_v_i : std_logic_vector(BUFFER_LENGTH_WIDTH - 1 downto 0) := (others => '0'); signal mm2s_desc_blength_s_i : std_logic_vector(BUFFER_LENGTH_WIDTH - 1 downto 0) := (others => '0'); -- Fetch control signals for driving out control app stream signal analyze_control : std_logic := '0'; signal redirect_app : std_logic := '0'; signal redirect_app_d1 : std_logic := '0'; signal redirect_app_re : std_logic := '0'; signal redirect_app_hold : std_logic := '0'; signal mask_fifo_write : std_logic := '0'; -- Current descriptor control and fetch throttle control signal mm2s_new_curdesc_wren_i : std_logic := '0'; signal mm2s_pending_update : std_logic := '0'; signal mm2s_pending_ptr_updt : std_logic := '0'; -- Descriptor Update Signals signal mm2s_complete : std_logic := '0'; signal mm2s_xferd_bytes : std_logic_vector(BUFFER_LENGTH_WIDTH-1 downto 0) := (others => '0'); signal mm2s_xferd_bytes_int : std_logic_vector(BUFFER_LENGTH_WIDTH-1 downto 0) := (others => '0'); -- Update Descriptor Pointer Holding Registers signal updt_desc_reg0 : std_logic_vector(C_S_AXIS_UPDPTR_TDATA_WIDTH downto 0) := (others => '0'); signal updt_desc_reg1 : std_logic_vector(C_S_AXIS_UPDPTR_TDATA_WIDTH downto 0) := (others => '0'); -- Update Descriptor Status Holding Register signal updt_desc_reg2 : std_logic_vector(C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0'); -- Pointer shift control signal updt_shftenbl : std_logic := '0'; -- Update pointer stream signal updtptr_tvalid : std_logic := '0'; signal updtptr_tlast : std_logic := '0'; signal updtptr_tdata : std_logic_vector(C_S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0) := (others => '0'); -- Update status stream signal updtsts_tvalid : std_logic := '0'; signal updtsts_tlast : std_logic := '0'; signal updtsts_tdata : std_logic_vector(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0) := (others => '0'); -- Status control signal sts_received : std_logic := '0'; signal sts_received_d1 : std_logic := '0'; signal sts_received_re : std_logic := '0'; -- Queued Update signals signal updt_data_clr : std_logic := '0'; signal updt_sts_clr : std_logic := '0'; signal updt_data : std_logic := '0'; signal updt_sts : std_logic := '0'; signal packet_start : std_logic := '0'; signal packet_end : std_logic := '0'; signal mm2s_halt_d1_cdc_tig : std_logic := '0'; signal mm2s_halt_cdc_d2 : std_logic := '0'; signal mm2s_halt_d2 : std_logic := '0'; --ATTRIBUTE async_reg OF mm2s_halt_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF mm2s_halt_cdc_d2 : SIGNAL IS "true"; signal temp : std_logic := '0'; signal m_axis_mm2s_ftch_tlast_new : std_logic := '1'; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin -- Drive buffer length out mm2s_desc_blength <= mm2s_desc_blength_i; mm2s_desc_blength_v <= mm2s_desc_blength_v_i; mm2s_desc_blength_s <= mm2s_desc_blength_s_i; -- Drive fetch request done on tlast desc_fetch_done_i <= m_axis_mm2s_ftch_tlast_new and m_axis_mm2s_ftch_tvalid_new; -- pass out of module desc_fetch_done <= desc_fetch_done_i; -- Shift in data from SG engine if tvalid and fetch request ftch_shftenbl <= m_axis_mm2s_ftch_tvalid_new and ftch_tready and desc_fetch_req and not mm2s_pending_update; -- Passed curdes write out to register module mm2s_new_curdesc_wren <= desc_fetch_done_i; --mm2s_new_curdesc_wren_i; -- tvalid asserted means descriptor availble desc_available <= m_axis_ftch1_desc_available; --m_axis_mm2s_ftch_tvalid_new; --***************************************************************************-- --** Register DataMover Halt to secondary if needed --***************************************************************************-- GEN_FOR_ASYNC : if C_PRMRY_IS_ACLK_ASYNC = 1 generate begin -- Double register to secondary clock domain. This is sufficient -- because halt will remain asserted until halt_cmplt detected in -- reset module in secondary clock domain. REG_TO_SECONDARY : entity proc_common_v4_0.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => mm2s_halt, prmry_vect_in => (others => '0'), scndry_aclk => m_axi_sg_aclk, scndry_resetn => '0', scndry_out => mm2s_halt_cdc_d2, scndry_vect_out => open ); -- REG_TO_SECONDARY : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- -- if(m_axi_sg_aresetn = '0')then -- -- mm2s_halt_d1_cdc_tig <= '0'; -- -- mm2s_halt_d2 <= '0'; -- -- else -- mm2s_halt_d1_cdc_tig <= mm2s_halt; -- mm2s_halt_cdc_d2 <= mm2s_halt_d1_cdc_tig; -- -- end if; -- end if; -- end process REG_TO_SECONDARY; mm2s_halt_d2 <= mm2s_halt_cdc_d2; end generate GEN_FOR_ASYNC; GEN_FOR_SYNC : if C_PRMRY_IS_ACLK_ASYNC = 0 generate begin -- No clock crossing required therefore simple pass through mm2s_halt_d2 <= mm2s_halt; end generate GEN_FOR_SYNC; --***************************************************************************-- --** Descriptor Fetch Logic **-- --***************************************************************************-- packet_start <= '1' when mm2s_new_curdesc_wren_i ='1' and desc_reg6(DESC_SOF_BIT) = '1' else '0'; packet_end <= '1' when mm2s_new_curdesc_wren_i ='1' and desc_reg6(DESC_EOF_BIT) = '1' else '0'; REG_PACKET_PROGRESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or packet_end = '1')then packet_in_progress <= '0'; elsif(packet_start = '1')then packet_in_progress <= '1'; end if; end if; end process REG_PACKET_PROGRESS; -- Status/Control stream enabled therefore APP fields are included GEN_FTCHIF_WITH_APP : if (C_SG_INCLUDE_STSCNTRL_STRM = 1 and C_ENABLE_MULTI_CHANNEL = 0) generate -- Control Stream Ethernet TAG constant ETHERNET_CNTRL_TAG : std_logic_vector (C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH - 1 downto 0) := X"A000_0000"; begin desc_reg7(30 downto 0) <= (others => '0'); desc_reg7 (DESC_STS_CMPLTD_BIT) <= m_axis_mm2s_ftch_tdata_new (64); -- downto 64); desc_reg6 <= m_axis_mm2s_ftch_tdata_new (63 downto 32); desc_reg2 <= m_axis_mm2s_ftch_tdata_new (31 downto 0); desc_reg0 <= m_axis_mm2s_ftch_tdata_new (96 downto 65); mm2s_desc_curdesc_lsb <= desc_reg0; mm2s_desc_curdesc_msb <= (others => '0'); --desc_reg1; mm2s_desc_baddr_lsb <= desc_reg2; mm2s_desc_baddr_msb <= (others => '0'); --desc_reg3; -- desc 5 are reserved and thus don't care -- CR 583779, need to pass on tuser and cache information mm2s_desc_info <= (others => '0'); --desc_reg4; -- this coincides with desc_fetch_done mm2s_desc_blength_i <= desc_reg6(DESC_BLENGTH_MSB_BIT downto DESC_BLENGTH_LSB_BIT); mm2s_desc_blength_v_i <= (others => '0'); mm2s_desc_blength_s_i <= (others => '0'); mm2s_desc_eof <= desc_reg6(DESC_EOF_BIT); mm2s_desc_sof <= desc_reg6(DESC_SOF_BIT); mm2s_desc_cmplt <= desc_reg7(DESC_STS_CMPLTD_BIT); mm2s_desc_app0 <= desc_reg8; mm2s_desc_app1 <= desc_reg9; mm2s_desc_app2 <= desc_reg10; mm2s_desc_app3 <= desc_reg11; mm2s_desc_app4 <= desc_reg12; -- Drive ready if descriptor fetch request is being made -- If not redirecting app fields then drive ready based on sm request -- If redirecting app fields then drive ready based on room in cntrl strm fifo ftch_tready <= desc_fetch_req -- desc fetch request and not mm2s_pending_update; -- no pntr updates pending m_axis_mm2s_ftch_tready <= ftch_tready; redirect_app <= '0'; cntrlstrm_fifo_din <= (others => '0'); cntrlstrm_fifo_wren <= '0'; end generate GEN_FTCHIF_WITH_APP; -- Status/Control stream diabled therefore APP fields are NOT included GEN_FTCHIF_WITHOUT_APP : if C_SG_INCLUDE_STSCNTRL_STRM = 0 generate GEN_NO_MCDMA : if C_ENABLE_MULTI_CHANNEL = 0 generate desc_reg7(30 downto 0) <= (others => '0'); desc_reg7(DESC_STS_CMPLTD_BIT) <= m_axis_mm2s_ftch_tdata_new (64); --95 downto 64); desc_reg6 <= m_axis_mm2s_ftch_tdata_new (63 downto 32); desc_reg2 <= m_axis_mm2s_ftch_tdata_new (31 downto 0); desc_reg0 <= m_axis_mm2s_ftch_tdata_new (96 downto 65); --127 downto 96); mm2s_desc_curdesc_lsb <= desc_reg0; mm2s_desc_curdesc_msb <= (others => '0'); --desc_reg1; mm2s_desc_baddr_lsb <= desc_reg2; mm2s_desc_baddr_msb <= (others => '0'); --desc_reg3; -- desc 4 and desc 5 are reserved and thus don't care -- CR 583779, need to send the user and xchache info mm2s_desc_info <= (others => '0'); --desc_reg4; mm2s_desc_blength_i <= desc_reg6(DESC_BLENGTH_MSB_BIT downto DESC_BLENGTH_LSB_BIT); mm2s_desc_blength_v_i <= (others => '0'); mm2s_desc_blength_s_i <= (others => '0'); mm2s_desc_eof <= desc_reg6(DESC_EOF_BIT); mm2s_desc_sof <= desc_reg6(DESC_SOF_BIT); mm2s_desc_cmplt <= desc_reg7(DESC_STS_CMPLTD_BIT); mm2s_desc_app0 <= (others => '0'); mm2s_desc_app1 <= (others => '0'); mm2s_desc_app2 <= (others => '0'); mm2s_desc_app3 <= (others => '0'); mm2s_desc_app4 <= (others => '0'); end generate GEN_NO_MCDMA; GEN_MCDMA : if C_ENABLE_MULTI_CHANNEL = 1 generate desc_reg7(30 downto 0) <= (others => '0'); desc_reg7 (DESC_STS_CMPLTD_BIT) <= m_axis_mm2s_ftch_tdata_new (64); --95 downto 64); desc_reg6 <= m_axis_mm2s_ftch_tdata_new (63 downto 32); desc_reg2 <= m_axis_mm2s_ftch_tdata_new (31 downto 0); desc_reg0 <= m_axis_mm2s_ftch_tdata_new (96 downto 65); --127 downto 96); desc_reg4 <= m_axis_mm2s_ftch_tdata_mcdma_new (31 downto 0); --63 downto 32); desc_reg5 <= m_axis_mm2s_ftch_tdata_mcdma_new (63 downto 32); mm2s_desc_curdesc_lsb <= desc_reg0; mm2s_desc_curdesc_msb <= (others => '0'); --desc_reg1; mm2s_desc_baddr_lsb <= desc_reg2; mm2s_desc_baddr_msb <= (others => '0'); --desc_reg3; -- As per new MCDMA descriptor mm2s_desc_info <= desc_reg4; -- (31 downto 24) & desc_reg7 (23 downto 0); mm2s_desc_blength_s_i <= "0000000" & desc_reg5(15 downto 0); mm2s_desc_blength_v_i <= "0000000000" & desc_reg5(31 downto 19); mm2s_desc_blength_i <= "0000000" & desc_reg6(15 downto 0); mm2s_desc_eof <= desc_reg6(DESC_EOF_BIT); mm2s_desc_sof <= desc_reg6(DESC_SOF_BIT); mm2s_desc_cmplt <= '0' ; --desc_reg7(DESC_STS_CMPLTD_BIT); -- we are not considering the completed bit mm2s_desc_app0 <= (others => '0'); mm2s_desc_app1 <= (others => '0'); mm2s_desc_app2 <= (others => '0'); mm2s_desc_app3 <= (others => '0'); mm2s_desc_app4 <= (others => '0'); end generate GEN_MCDMA; -- Drive ready if descriptor fetch request is being made ftch_tready <= desc_fetch_req -- desc fetch request and not mm2s_pending_update; -- no pntr updates pending m_axis_mm2s_ftch_tready <= ftch_tready; cntrlstrm_fifo_wren <= '0'; cntrlstrm_fifo_din <= (others => '0'); end generate GEN_FTCHIF_WITHOUT_APP; ------------------------------------------------------------------------------- -- BUFFER ADDRESS ------------------------------------------------------------------------------- -- If 64 bit addressing then concatinate msb to lsb GEN_NEW_64BIT_BUFADDR : if C_M_AXI_MM2S_ADDR_WIDTH = 64 generate mm2s_desc_baddress <= mm2s_desc_baddr_msb & mm2s_desc_baddr_lsb; end generate GEN_NEW_64BIT_BUFADDR; -- If 32 bit addressing then simply pass lsb out GEN_NEW_32BIT_BUFADDR : if C_M_AXI_MM2S_ADDR_WIDTH = 32 generate mm2s_desc_baddress <= mm2s_desc_baddr_lsb; end generate GEN_NEW_32BIT_BUFADDR; ------------------------------------------------------------------------------- -- NEW CURRENT DESCRIPTOR ------------------------------------------------------------------------------- -- If 64 bit addressing then concatinate msb to lsb GEN_NEW_64BIT_CURDESC : if C_M_AXI_SG_ADDR_WIDTH = 64 generate mm2s_new_curdesc <= mm2s_desc_curdesc_msb & mm2s_desc_curdesc_lsb; end generate GEN_NEW_64BIT_CURDESC; -- If 32 bit addressing then simply pass lsb out GEN_NEW_32BIT_CURDESC : if C_M_AXI_SG_ADDR_WIDTH = 32 generate mm2s_new_curdesc <= mm2s_desc_curdesc_lsb; end generate GEN_NEW_32BIT_CURDESC; mm2s_new_curdesc_wren_i <= desc_fetch_done_i; --***************************************************************************-- --** Descriptor Update Logic **-- --***************************************************************************-- --***************************************************************************** --** Pointer Update Logic --***************************************************************************** ----------------------------------------------------------------------- -- Capture LSB cur descriptor on write for use on descriptor update. -- This will be the address the descriptor is updated to ----------------------------------------------------------------------- UPDT_DESC_WRD0: process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then updt_desc_reg0 <= (others => '0'); elsif(mm2s_new_curdesc_wren_i = '1')then updt_desc_reg0 <= DESC_LAST & mm2s_desc_curdesc_lsb; end if; end if; end process UPDT_DESC_WRD0; ----------------------------------------------------------------------- -- Capture MSB cur descriptor on write for use on descriptor update. -- This will be the address the descriptor is updated to ----------------------------------------------------------------------- UPDT_DESC_WRD1: process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then updt_desc_reg1 <= (others => '0'); elsif(mm2s_new_curdesc_wren_i = '1')then updt_desc_reg1 <= DESC_LAST & mm2s_desc_curdesc_msb; -- Shift data out on shift enable elsif(updt_shftenbl = '1')then updt_desc_reg1 <= (others => '0'); end if; end if; end process UPDT_DESC_WRD1; -- Shift in data from SG engine if tvalid, tready, and not on last word updt_shftenbl <= updt_data and updtptr_tvalid and s_axis_mm2s_updtptr_tready; -- Update data done when updating data and tlast received and target -- (i.e. SG Engine) is ready updt_data_clr <= '1' when updtptr_tvalid = '1' and updtptr_tlast = '1' and s_axis_mm2s_updtptr_tready = '1' else '0'; -- When desc data ready for update set and hold flag until -- data can be updated to queue. Note it may -- be held off due to update of status UPDT_DATA_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or updt_data_clr = '1')then updt_data <= '0'; -- clear flag when data update complete -- elsif(updt_data_clr = '1')then -- updt_data <= '0'; -- -- set flag when desc fetched as indicated -- -- by curdesc wren elsif(mm2s_new_curdesc_wren_i = '1')then updt_data <= '1'; end if; end if; end process UPDT_DATA_PROCESS; updtptr_tvalid <= updt_data; updtptr_tlast <= updt_desc_reg0(C_S_AXIS_UPDPTR_TDATA_WIDTH); updtptr_tdata <= updt_desc_reg0(C_S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0); --***************************************************************************** --** Status Update Logic --***************************************************************************** mm2s_complete <= '1'; -- Fixed at '1' --------------------------------------------------------------------------- -- Descriptor queuing turned on in sg engine therefore need to instantiate -- fifo to hold fetch buffer lengths. Also need to throttle fetches -- if pointer has not been updated yet or length fifo is full --------------------------------------------------------------------------- GEN_UPDT_FOR_QUEUE : if C_SG_INCLUDE_DESC_QUEUE = 1 generate signal xb_fifo_reset : std_logic; -- xfer'ed bytes fifo reset signal xb_fifo_full : std_logic; -- xfer'ed bytes fifo full begin ----------------------------------------------------------------------- -- Need to flag a pending pointer update to prevent subsequent fetch of -- descriptor from stepping on the stored pointer, and buffer length ----------------------------------------------------------------------- REG_PENDING_UPDT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or updt_data_clr = '1')then mm2s_pending_ptr_updt <= '0'; elsif (desc_fetch_done_i = '1') then --(mm2s_new_curdesc_wren_i = '1')then mm2s_pending_ptr_updt <= '1'; end if; end if; end process REG_PENDING_UPDT; -- Pointer pending update or xferred bytes fifo full mm2s_pending_update <= mm2s_pending_ptr_updt or xb_fifo_full; updt_pending <= mm2s_pending_update; ----------------------------------------------------------------------- -- On MM2S transferred bytes equals buffer length. Capture length -- on curdesc write. ----------------------------------------------------------------------- GEN_MICRO_DMA : if C_MICRO_DMA = 1 generate mm2s_xferd_bytes <= (others => '0'); xb_fifo_full <= '0'; end generate GEN_MICRO_DMA; GEN_NO_MICRO_DMA : if C_MICRO_DMA = 0 generate XFERRED_BYTE_FIFO : entity proc_common_v4_0.srl_fifo_f generic map( C_DWIDTH => BUFFER_LENGTH_WIDTH , C_DEPTH => 16 , C_FAMILY => C_FAMILY ) port map( Clk => m_axi_sg_aclk , Reset => xb_fifo_reset , FIFO_Write => desc_fetch_done_i, --mm2s_new_curdesc_wren_i , Data_In => mm2s_desc_blength_i , FIFO_Read => sts_received_re , Data_Out => mm2s_xferd_bytes , FIFO_Empty => open , FIFO_Full => xb_fifo_full , Addr => open ); end generate GEN_NO_MICRO_DMA; xb_fifo_reset <= not m_axi_sg_aresetn; -- clear status received flag in cmdsts_if to -- allow more status to be received from datamover mm2s_sts_received_clr <= updt_sts_clr; -- Generate a rising edge off status received in order to -- flag status update REG_STATUS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sts_received_d1 <= '0'; else sts_received_d1 <= mm2s_sts_received; end if; end if; end process REG_STATUS; -- CR566306 - status invalid during halt --sts_received_re <= mm2s_sts_received and not sts_received_d1; sts_received_re <= mm2s_sts_received and not sts_received_d1 and not mm2s_halt_d2; end generate GEN_UPDT_FOR_QUEUE; --------------------------------------------------------------------------- -- If no queue in sg engine then do not need to instantiate a -- fifo to hold buffer lengths. Also do not need to hold off -- fetch based on if status has been updated or not because -- descriptors are only processed one at a time --------------------------------------------------------------------------- GEN_UPDT_FOR_NO_QUEUE : if C_SG_INCLUDE_DESC_QUEUE = 0 generate begin mm2s_sts_received_clr <= '1'; -- Not needed for the No Queue configuration mm2s_pending_update <= '0'; -- Not needed for the No Queue configuration ----------------------------------------------------------------------- -- On MM2S transferred bytes equals buffer length. Capture length -- on curdesc write. ----------------------------------------------------------------------- REG_XFERRED_BYTES : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then mm2s_xferd_bytes <= (others => '0'); elsif(mm2s_new_curdesc_wren_i = '1')then mm2s_xferd_bytes <= mm2s_desc_blength_i; end if; end if; end process REG_XFERRED_BYTES; -- Status received based on a DONE or an ERROR from DataMover sts_received <= mm2s_done or mm2s_interr or mm2s_decerr or mm2s_slverr; -- Generate a rising edge off status received in order to -- flag status update REG_STATUS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sts_received_d1 <= '0'; else sts_received_d1 <= sts_received; end if; end if; end process REG_STATUS; -- CR566306 - status invalid during halt --sts_received_re <= mm2s_sts_received and not sts_received_d1; sts_received_re <= sts_received and not sts_received_d1 and not mm2s_halt_d2; end generate GEN_UPDT_FOR_NO_QUEUE; ----------------------------------------------------------------------- -- Receive Status SG Update Logic ----------------------------------------------------------------------- -- clear flag when updating status and see a tlast and target -- (i.e. sg engine) is ready updt_sts_clr <= '1' when updt_sts = '1' and updtsts_tlast = '1' and updtsts_tvalid = '1' and s_axis_mm2s_updtsts_tready = '1' else '0'; -- When status received set and hold flag until -- status can be updated to queue. Note it may -- be held off due to update of data UPDT_STS_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or updt_sts_clr = '1')then updt_sts <= '0'; -- clear flag when status update done -- or datamover halted -- elsif(updt_sts_clr = '1')then -- updt_sts <= '0'; -- -- set flag when status received elsif(sts_received_re = '1')then updt_sts <= '1'; end if; end if; end process UPDT_STS_PROCESS; ----------------------------------------------------------------------- -- Catpure Status. Status is built from status word from DataMover -- and from transferred bytes value. ----------------------------------------------------------------------- UPDT_DESC_WRD2 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then updt_desc_reg2 <= (others => '0'); elsif(sts_received_re = '1')then updt_desc_reg2 <= DESC_LAST & mm2s_tag(DATAMOVER_STS_TAGLSB_BIT) -- Desc_IOC & mm2s_complete & mm2s_decerr & mm2s_slverr & mm2s_interr & RESERVED_STS & mm2s_xferd_bytes; end if; end if; end process UPDT_DESC_WRD2; updtsts_tdata <= updt_desc_reg2(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); -- MSB asserts last on last word of update stream updtsts_tlast <= updt_desc_reg2(C_S_AXIS_UPDSTS_TDATA_WIDTH); -- Drive tvalid updtsts_tvalid <= updt_sts; -- Drive update done to mm2s sm for the no queue case to indicate -- readyd to fetch next descriptor UPDT_DONE_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then desc_update_done <= '0'; else desc_update_done <= updt_sts_clr; end if; end if; end process UPDT_DONE_PROCESS; -- Update Pointer Stream s_axis_mm2s_updtptr_tvalid <= updtptr_tvalid; s_axis_mm2s_updtptr_tlast <= updtptr_tlast and updtptr_tvalid; s_axis_mm2s_updtptr_tdata <= updtptr_tdata ; -- Update Status Stream s_axis_mm2s_updtsts_tvalid <= updtsts_tvalid; s_axis_mm2s_updtsts_tlast <= updtsts_tlast and updtsts_tvalid; s_axis_mm2s_updtsts_tdata <= updtsts_tdata ; ----------------------------------------------------------------------- end implementation;
bsd-2-clause
3fe89d130350f0ed82b7da1ce4b8b885
0.461772
4.120323
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/instantiation/rule_028_test_input.vhd
1
823
architecture ARCH of ENTITY1 is begin U_INST1 : INST1 generic map ( G_GEN_1 => 3, G_GEN_2 => 4, G_GEN_3 => 5 ) port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); -- Violations below U_INST1 : entity fifo_dsn.1clk_fifo port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); U_INST1 : entity fifo_dsn.1CLK_FIFO port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); U_INST1 : entity 1clk_fifo port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); U_INST1 : entity 1CLK_FIFO port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); end architecture ARCH;
gpl-3.0
3cbc3a19148b4f1299931493cf7c66b5
0.484812
2.707237
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/generic/rule_009_test_input.fixed_upper.vhd
1
412
entity FIFO is GENERIC ( G_WIDTH : integer := 256; G_DEPTH : integer := 32 ); port ( I_PORT1 : in std_logic; I_PORT2 : out std_logic ); end entity FIFO; -- Violation below entity FIFO is GENERIC(g_size : integer := 10; g_width : integer := 256; g_depth : integer := 32 ); PORT ( i_port1 : in std_logic := '0'; i_port2 : out std_logic :='1' ); end entity FIFO;
gpl-3.0
dbc614d9a1b71e63f42a8dc2090c5537
0.558252
3.051852
false
false
false
false
cwilkens/ecen4024-microphone-array
microphone-array/microphone-array.srcs/sources_1/ip/cascaded_integrator_comb/cic_compiler_v4_0/hdl/dpr_ram.vhd
1
18,624
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block PQkhj0DqKzbm0eZUE3N3I5aeudl7RLhPOGW614yervyvpJEe8XcquB6eMSPOXMZLBEXxdwqhk8rs kIqwZO+zag== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Q7daypl1iOQCSso5JvCBdOA3I/rorRVqwTRPOYsmTz2kGjWFDsiOCpXzIJ4vRjkQvAJt8iu74zHT IWb6xesYC2n2Fqd6tv8FL4PcOjJU8hwCtRHJ1Nw0X4NS59U0TBxjC6rIW9bsde2pWLeOJEC5mkke 7AvfwJLmAxpBIGTgazk= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block aBGdmce+5NEAY8hN1mUV9MakDzX2mTgZmonuq6oHyEQ56hVEa0ibfZSJjKjw9mqIlwhWSlQjB75h YrQpWAtiyi2xL9Ta54WzHJIAsfG6GsphAWoT2GgJ8gElyhfmrgekwq/JtBVNWjd01UttS657aDl4 qeWeHIVFC6bLUbcBnVKum+nFawzdNUEDrEKPr3HIOtqzRDd5g3a0/dGAnpfRaw2b5DSFYsgEdgL9 YU1lAu57m1XAwBY3aE2eC+jxCR9ZoZaowVokOe5G9izm/QJgbW+kMVcGQnkeuLnIf5IWkL2JZvsd orUWXrLjsgrgA05NF1lfJhWeCg2vy5nyoduDNw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block zEZqy7tqwOADl9B1meClGUoKhfl0mBsHUBRX/KWpUU6z50TwcX0DBX0Jte4UMTkGbg8KURcn8sTK fpJ30wUfcYlCMF+a0taH5zRbsN83ppZrJRRrGDWvy6ym1Poey4/ovy20DHnneiBp+quOG9Yp6GK5 vR+NWN7jdG3OqZBn+Q8= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block tpV0nisyvdF8/NQQ2dI8Z+oy0NnTUAU0/Vkq+a5Th9QISkGh/qIRYEjnxhBynjjjG8NIjXGuJwWU y0jOgC9eqzhoixhbybebvwIGcNN+lIWP+ktrHxISD9aSP4MOeaI6iZ72y+ckX/mTUx3z7JVDcYaY thC7Hn+OzL439J52/A62gCHUpIfmMUTVLk7kxQ0HotwD6nnlZacvm5vNg4Mrxb2AW0qX2tLDwfzX He7tJckLmQzbqr/I25nGA1/Zk8GkZHP/9g8VVbG51zgoI063f1/k/AKAUAcnobhbktziRZjV3jwL hZWTf/tNep0R/GKkeZ1lJLUtUwAEAhudpfxCCQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12048) `protect data_block OQWWmoFNFYQj0nT8rAtAcF4rXUf9OpETijrepYbhBqoMcNKfVbKsZkZ5Zh9D5LcErZvR/CXuJ9OY Er2XlMARLdbiZIytDk2qGUtjk6GFIJ6dL+Dgxk0HSIolQgsj/imCkbO6KlgWs3GTa4rytNszV27t FuwBMlm+bh2vJYfRrnUlYI4Gcy+rSW06K8fhrkAb0edRlI17fyJ/eE0m9NZxAWqrOH89QyMqD47G d3KFK11TQRujBzXMD5hDNZk4vx8ONI+372YlR57CYNIoqC3L/pwZ3YfdGqVYk7C+FnEjtQO5EOH0 NypKfj39oBUqCbcWYy1eNT7C6YQdDWCCuTa0sKmfbrSP5mEp5+ki++dP4o8sNzLQflQJWsx6y4o+ yziHvebRDoy63YPDu1d0hyNBMqRdUMcnXQHWy1Cbu1nPbwB8K7OUjxUJjbGKCXU/mWJU7EAESRbI Wl5mQ7ZViSYoi9Q0lUewQLC4TJG4MY769tzA0VY5t4OmWwszDzjneYf9ADUk1F2co9qdg4Gh0ME8 v9KCJ8fg+xiDRNz5uaBNPDbLmkUEskTpC8lCx/2Z4K0zFhdgFPFxHNFEWHUDMr88h2b1vvadpwCW KhbnohnxcTp474s42ovvdx0/iApJjxehvH6CEYo2iIl7poNO7lpN7Misch1AbwbP5O4iesJ3kPhQ XZ8rbmFrBfr6w12zmfA4n8oUNubnagJB6B0a2X8mUENhyrM+CdDKhLbmBklzYZIkjsSsoc/RbHlv kCHnO4rUD3jZURy2dR9d95bxuAtah1vXndubbsYwWeqJkjxrkMLbICZdOyvuJXkEAVdkKVrDDNHC WKbyTYvUmjYXCtfml+vgUkBWc/dej/YNpi7EToJ22NsMjcjzGURuW+/MuVVua040b4BHyinZlgKF p+c15nIKT65Pb+M8TOVW6S1g8LOMXLtzAskkfSqbO++7ddHlcVV5efqmKJbac1isgyQA2TggtijD ovpYKzAnkw9iKLE0jvYcWVzifvq7AV1kobakq4zYsWBSqVdR5oYV1dzEjFDveYUiP0jmP+tYHrms 4PoHdhB/xAma8wdXmESGOhKdaHSRYXAahCGNmGK0OLvDTtiMcN+Ipsmvi0rzGcAEol6K9HeFxDo8 NBmBcPnXJj2XjTxwMUU2C+FcdS94egaQU0KM8p7u2uMVSnZCokWdtKqEQKajKqE13LwC7yXEbxyA lgVF2LNlsWOHTzJado/JdhxtWletKhXuSk4YkcfSUdLS+KWnZYvON/y9jOjefbXIbG2dJLXMzRoV qIffDzLkxEeMNt9bMQ4dCs562CzR8ZQJYshTXNyJHc1TB/68m681E+Zvsny7hNRkmDh+9OuEqpzI LUia3WOoUzINj/eTORKaA2OxSgXoX3sL+r6m26tSWv1iIKe+u1ShqwvIt6MIV9yrlpfdCQusfo9E Ie4kJ7D19I/F4MX/wyhFzTy6EtLECRJkKlG+v85LVumgRAPpBW0aNr1E0wgoxtceZYNdNf/RI0rC uN3dfeHadIoA52n4YQt02fpSvZINO4/mA1ChtZVv499aWk/jUwXaJ+peD2V1Fq8BXDX3PLyIT0IB 3pnP19KzBjSSi3w8cLwlJrgd+YvfQwkk4LXPqYpX2S3dVoYMNJJNth4289QdW2FVtUVDLMgoNpIY uHAhKHS0sWuNtPmF6SLENnUi2RIFpDZQsG0ntZfXBiabv0sClZmddT04bZCVfNsZzs6EzzviEDke sOoL6TJorYEDJtOaWhiI3C3k7MTKtINdSjh77vfelKLZOWwTPtXbHjwqb8+Ou/XO/wlAHjY6rwrh oxk21FPrDriyfLbbP0zBDv1aZXsGJ5drV7o98oxS3XDqCd0TyCJuN2X/5hESgpaGYltGj5UCIoeJ lQuWP54vUXC15k5PJ1q9RCgdRYToGIYkW1QlBZJ26WSoQRsknmY3drxRmdHwzd2+0QYdKm4RNcQ0 nVctmMngeY67mVUOU8tAr3w+uYblsc2QBXqprbsQZC2c07OiVYRU5Q7o0HksPS7dqpjWeRW/CPEv 4gAbWI/ltpxnlOwzVRA+EB63ASPz7vBHwlMgUiYzBSeH9+DQ66zg5lo9ntDYuXkH+ycasdYwpcj5 Jis5SAZLWyKKugM0cp9VU7LAO8jnWqHBTf8k276LwbGKp1thr5hzRm+3uPM2hlsJnWmQIo1j/Fo2 IU96J1CGBkx6p7rEZhbchsvRDMa9Hb/bPZrG1c+KaOECNelmoa+gbSf7VVG460RUC+HtlYrYE432 YixRBaciwXD3+aokmzYXNj2AkACJZdbfAuoZ68+CBlPp1k6oubYssD/wVX0Dx7uzaV4R+7Q3khlN fmkkKYlgu5nRhEAVrOm6dBsagpsBxRDig6dGE6gUT4HGN/tiL786dQP1h327Ka4+9cfD3J5EoM1r sp7t//Nr/6PTPDmCtRga7Isibc2QTRiCl6TOTwNMSZlvLkmIIFJHjDC2lIxRH9Mfnh/6Hu7bpxXu of8jqzaGmpnjSP22Wo8z3vtO1SiyyJCXhtDQLVGBigBxnqM+c10cOXTMas/3nyIokfDx6JAfE78V /8McbRiw1IGyVJnCB1hbaNPDW+C3cxlcY5Si1LRRyAZPZ4BlHB3YXXWoJd4ss+LtJsPy+C7Sq1VN jTdygTfZOxsnHV7kCG/UzdXWT2UNXrrRPss4/CmTK8/cNO/hWffeKLPT25sw+StQwA1rHORGJwMP 7aksRnxYLDM5DeR4AaLG5UzC4NDqsOjJKzIm1KbPZYytUAPTD6DPRNUVC9eDiQ7GhSNmG2B0vYim y7MkrK3VnuiTOvOM0tAaTF/lNnfnmA6+OeT+0MwdogkhPus5ymhrqFmUJp8e/RAX/d6QFmuR8F75 heqxCxVu+Ry4EMFQPOiAO/JjVsMz4h+oS4h09drYh8ELBEZU6yFo27k68zTB731R9ZJ/u1GpXbQA zUswx6o8zguSKge+1RExSu6ZTqwfInLQ7XEY9mijasa0wblepcsVGaRw4ZthSiV9GIEhdYWTWLIu +SZwG9jBhiDGxMjXzJjCfpeCdiIQ2/PWC0TTaerHZXJxlTZ+8YjhMXOJmCATVXIU5H2BLpGx++vZ +e4NqYz3JXt27qJ4FSuD0pgxvhi3/U1SCGCr0rviInGr3gxe1YGyfdkSOC3oc2F4CswVO0fZMRZR /NAa8+P3rJ5g1920S1IIjsYnhPtI/Ibs8Mbim5yFRqDRqoviykN186pK4CqSyYdV/nt4T0bXwbkd 1m1ZybkTOvrp4CFt3GuK+qDk0G+UuN1x6rmOQMF4A0yMxjfZA9bBfz5V+LnH5Dxq2fPb5VD+QeyR q0QSpRG3kUOZX0PwOIyCfbIwz8a7xeqLmI7J4asADXLWSeEK0pX+9w50MyUYr+WwiRGR4E6IVsjY 3QjdiO6okRKKarDV5SbKXKC4y5OV+IkL77udmmccuAMhJ4RB9Fgh1SHN1jXwjw4TRFTQ7nVgVDso zmzqMjbo8rCcCUMs2x3orZlKk5+zMCpTXbb/crM88i7cTvX7TXYyBuoWqmHDZQ98F3gbW6q3ZuaK KpSfj8Ml/GTB6tee0dxhK9/7YbzJazIl3NOySrvCugO2qnR7vn4W1D4cVo4NqXvWlbcTDFHGPTUw vLDZSJzpbmhLPSlc/4xhIg3omWe81S5zQn80yeZkxOKEh3o2GsBW/c8M4oLinu7GW8iP+6cF7KgP K5p6ECEydmXizN6j0E3N3A2W56ddKZlzGBKb7oO3a6a0xIuxLtguc/n5RmDBihR6cOMNSxgz29C0 zpCqq/sGZYavMP5RWTmmGpd7tkSN4uzx550OPBA3HI2tk52xnqoBR6AKnwlYPr7i2MS/jOKt2K2S IAZ+i+4YtHjxL7a6znrviWcFYImn6GCeBa5mmTKa832PmQyZiOGSw11w8Q+vtLnqH5yRkd1zFKf3 6nyUysq/6/dW8d3kaggL1SaeusRZK1jZLvJhv8MFKPhHDUWx/hHaxbhC4qwtiF5a6Nmqcyr+EVrK yFqeiQcfuYNd2cUgprJf4FX8oINYWnDwqSyLlH4vaytpuYAjjzlw3Rdv6d2KVSQwsQdxog4CXdV4 w9mJvwwrfWG6ROZvhW/kpHlDq+QIeZDQW5bzmrWUkwbpw+YY8PHPloVjv52IhdWNd2v3cCyJFxTC YpdZd0zi9u9EHJo3HJgE/WIF2VPgjyiFg009jKVlezEFJ0sdBH33UBmBPu6QUIlqBgeEUkpQY+LU BAUx/CnsAD4qugJHKhGgzCy17LcxAqUw977r74cKXm9IrqmdbGJwF/UivTC1VS8olPKFCwM5VRZj pAd8LYUOfbYQtu2e2fbc9uBnz2N3wyqRHJ0pK6ttn5ATB1lghdrsGItgQnGnzLlmDQ2LBJn/I6Ov Jz5enaEdtx0ou6f9pw/eg/Yx0FVvuc01uprs6AAqd14Z3NSvOPze8/t2VUYZGspEUNyLwqnHOihR PJspISH6HLqG/7Wi0hnrL5E94YBWMNEffDjHkdWUppLw7BcABDQuqiJRWk/4ImvT+OSi8esO9p/R DzoWGAlXgurVH2u/u4fpk9NAhwALpX9oqQn/dwZwbIq/garF7du6kVvPqf0RtuE43pMjft3QhBJf fAAJk+IiugJk3RejrxCK92POn5gaTRst0s7zJSllccf3JADlT1ciYDA+LYDExfxdHm6fRvbfrKHG RU6rU2SKFVbbrRo70VLkd7OsU5q84s+xZfFq1Ey+vYdfh5xNk2rHswwCB7KJbj3Q2/Ln/QuDDhZL QhZyLlCNGn3lvJJk284WAT6KgvsJohT+3O+248Acq1WXQZYtLYFNuRV5oNYrxPQuQszI5L80rTxe NEXiCCinhXxF2PR9s4e19aBj6ab9AbTFdH6LPg/QCHlLK943LI2+Jp2O/UHhlFnw7ocjNopsl9W2 yv67EFw9U37Skus/vDindQ3fSuK7lGEPKLKREmn81cowHavdl/pAqLtAN/O3T7fxaI7C0l0C5bLA WEKRB0ReU49aheSoLkIrMu3Kxlvau5+n69/cjHBUGC9khZPaIkUXW3DSz65wS1vlwy2dc1SWuzBV Po4jfHvgVpf8d0ywvG1UXFZpcxjeR7gMMBm+bwFN6Lmc1nxWERc7/29XQxdaAbzEJBeHp+Nsa3wp ncgamVcaj9qzrCFdfKRIt+H4FJY8xEuoQBQXf9szWNjMaB/dNkoLfFZqbEF4QnZpyI55liRTZDW5 S5LMob38AKtBoZvDGKmUMrDdRRbaQWA7YqXQ3Rk9yx+mC82NHftGAJum5xt+7guIgQMFhxMulihX cQAOPdq4ZhKb6M5i28qmKKOJ0jLgpvKhpa9Xb8uMqwwFiWe5kAGtKMtdR8X1NwyZ2fGx37IH2cK7 wDRUADNEjtsUNQdvEvl+gj6oRPL1eWWsGBjhXZVSr+uxOz+1DrRZq6dSasLQnRcW25sKqtYffqK1 uIJt3JvekgvwdzT9XP3TN4qtZP1i9dG5dboY0SEkxAFELzMd3t6u5k+iTODRNU7VzVktMdCcamOh bWTAvZlwiXtpa7RJ8ygp0Zil41YClFEc9DVmWyLg5bpGbxrwy7T/G4SwYy+F+jah/dxEVRlU6Tzj oCdQSMfVVx/XNj34qVgpX1xpCAxEV8V/cdwDcIuioKb/vXQgBM5WXowq+bLt3Du3IJdc6JvqMyYO jrXG7eGBhA7Zy4H7dgucl1DgT8qrnhvjLp4Tj+vPFGD92/UryTUqoMlssf8s1ScAaANarZnFbVL7 Q8Awf7/K4/9mKJBUflVzOPXvXYpfCEuzsatfSUhEqjonsw60vBMpaIqkrBw/eTaZIWb6Ux43Nmn1 itkVxnEnEep/g8293ZKmKO7ztiGL/5hd/fIMKSKTp4/OJiiQsQFvN1XeuXeZk8kRkxrkoAbSm7Ch eZ5b5D2/+l4zIKcYy0rqgwx5Ct6fDlbOSaHsTAUNEIrDS3dVPqRDu64r7sIIGjOQT+wwIRnt/tkI fabYJGnGzt1PgW31NVDOoUfLi3qOol2e0aLHmIKztHeVs4jXYbN55gFjRhiJHv9wBWz0B2O2fNNe wJhTwvv7WtmmisQ7PnOHtSkzQ7IzOsrms72lp32wbxJzqy4L+VMoJV8cH01TaR7i0vbGGMteubWI dLZq8DlLm+bdrzb23yNqKza5NQC7J1KpGxY72uuTvl5eiEZMU6RVzGGf5nAzJTs+7dQwFyBQWBZu BFxs7Br3P0JQNE/+ZJ/OY9yjNnMTm9kRx78loT7Aw9Ij05WpMqIc8sKasse4TjecHTmCa2+j9yWl lRtk3vcTaIVPW2OlmpJqUXvNoqJw68gJcdwgNH4ROhvsO5cDQhzG05Zmu8MSLS202UvL1cyYm2Kw c+mDGJvxTDJ4BTVftLAkAGO5QCo0p3SOcUMSWpcR2hVCICw2befEN7e/+nxtNi16s8JMQRuM4vMC TvnVeby+GMpRvXgaOuhwc4xIgt1l2/uKZpnTxxMZQ4x1+glWtoGtkfTVINEv2tyyCvSAMUJEU2GA h1uvzz/+NkK21aZXKnJRv8GR8aEH2Sx0cCudBUk0VlFwciW7LV9NtCxAS/g9/bvyY6r8MWC7+hG2 9+B17wFh2OUVx2AmT4yfQnXJhraQ0piFI9jH7UZQfz4BcM+lced4+UlUN+oVxNN+gwiBAk+EkaRq LG7A2ZfNI1of4TGHXrXF0BU89IBNhLHfHSVACCsCTX8SNwai4IgXTwzGMX8uf65TjNUR9GkGpN9T ZwO2j8/7CxIGm08xz+WcPfOnOzD3pp9m/XLXyjTEN4qtWLjjp7Iv8ejf87Tqa8w1mMO+t9NXM+FH kXkhsycHKGACqY2G+9IzFfpXuSb5TR9aZQZZMZ5vQ0JOWFcpUcidemJmrfSw4zCrbAgjUHV8qKvL fH/SIx4G/B+pa7rA2TGwVKTzfwOrZ+R0CCvs/35t/ZTRjXRKmcW45ZYrqRI3wEkDthgWzYb9CdXB XdUJBoncKO03jSo4NRdu/15E89X7JNiT3sV+p+GD4WTqWxNgbH9CYfsln9Vxzux5TO/M9CCUY3XI rbL+iYyn0uxosvOwD86z+dFMJr1SPE/frq6qBQZ1mG51pkwwwa8oFVVae8ROi/memMZby6egg4rG ZzBZI8QCEOslcR82N+TwO3CMHtyBR8W+e5NLaC1kpAaGOd4k9VU13UgJ96FO/t41IJV37mh2ndte ILfeTmbB1Ita4vD07BooWg+FzR/0znIx/960Md+7FS/f0H+FwIZ5DO+LHgoIbCV/7+iYnEczNNfs TXozxHP/80Pu/YHL9rOtqmavIMgvtuszl6UTK4yY1a8KX3+TpnEDN/QRsm42FUWUx56xk0CCwxv1 FUFjEfk7Xew1SVivHHLkgAkLmhKP79R6rq/oV6xTOdN24xVm1r9klyrma+HiZMAUk45dfl+tS0yQ bH69PW1cOriZ0qEClTKCSbP52JGvtpF3++VLiTmfXM2dPs6+DFFHBrtb5D9ySRTOLVqkHjKvVnn3 70/z2nzG7J79zTVsmER8Bc012hOZTDKaoxuyoYH9j0Bvo5vyWwDFZD47QsWuydu104STxwCuB5C7 QqTVJpFCy20v2qcElw3eAZNx3wf6+9fb3EpPWpqoO41klhpjsjDw4yrH3npXXhYsiv/JNmvIPa2V /uObaF3rrhB+gzaDYh/DL8htAd6B+NfZ9Gkoscy3AanJc8ljDT1wYc2yItYs6nXlar6dmvS8BOH2 rUqCNLmCj5TDG7CqboYk4aduHcaCnW3CUj2NrwIuAU9hCX0/WJCuO6/cFt8e3PPOhm/AZwrkzVxI VHcLoIq/ZcvO7HLOaOvtFykindF2X4qV2kBQwaduz6CnL5aKfLR9TA9paDNooURLu0MCvOpmfUDb dHaTuHcWwEJIJF9XMRH92PxgxUze5gutf5m7KTbY7omQljCeXVKKFonDhRiHgNsD6ks24g8wunj1 LyOuQ/xrYxPJ+5e1W7/5hyiMP50YA25bvrSAQUOskWyebV/F2N/M7eIvX/WgKB4J3RPcg0vd9nFg ggr3hfR1rGF0V6QxF3d16tmEHs48G1Ml5W8VuXpY0Gys/HExXvvJ7rrbZppZ0zGj68RMAy+YlMV2 lJq0PV7YsSuMMDOuSINsfOT+lY9ndxjYiY9ncWNF7utGn7oStpUJJlFk0e7NdwRvAPerR9FU5VSh msn4X1lH5JG3EJCEZUgl0ggI4Dkt1lPOxMxbw11rqIgK4Aor0pzO0F5Cn9IEGwJcAvZJ5DVPnYix xI2d78xUx/iAAd3Ty6pFnvR2eDKacpO9LiBBhcGOBSsymWXIKR3sgTtArveNgFxAMc3cAx7uBBn2 CjnMkYs2jX/HEZ6ZGcgME64rVROGz/JfkugrkZXp070T/PAtrENs2wHpG0BDbyDCZgU73k9lOTkX 08AL3KYxaeWLujfvqu22bL6qh5mOuW8o0X2xwK3X/5FVPDUuiv1ZTzioshEBYzQA7vHcRjbeHrm0 GcWDed5hZoc1m0I15aQf8Plaltmjix13O3Xqgj9x4OA1NMYjpTHHQYETogSRf87ZArPceOYSmitL 7Uc/gqqggV4J63iOcj+UQWULNHPirkDCbLmzDOHxC/g7RVTesdib60XVQ4jwkLOcQmwCAEQMVoZ0 JgW/Ws5hk3dvdy7IpWuU56E4/RNRWbwXHQktJKe/iZAKQyCNy23q5CNIhOAvL/FRH/ogJbQOY7Ra mWWIRnrKBNfB0McPSLPuc7eHYrA/eoUkjS8tddzzpA8E+sBLtHppSwMgvCC//zvvTeKHus0uIdQd /lQko/GO4abyITz5zgWpHvNFaPk8N4t9AqSPe0mnnXeobLXQQk+gKZSrPKwRrFLk0chimFM8HzB+ PPF54pk7B0tuUSXH6s4B/2delFeD7S2GKE5tbmrgvXLdBiRxnrOqgRrxTDC8wrdH6nFay10JSTsH p05VbqmKvYhOwUaxNSZ+t6PAkYkFEhOKf7Cg9nlHD/fpKZwdzGlEt4fJ9IthUAF21mGhNZe2yuG2 NoS9eP8YIpKwzWriAbye/rwIrJ/FKeWAicGDn3FH935Jt8kaQwDWSDJrVOE92sMJKBxmVmY0WWaw eOpSoQxzhVQc02Mk1PuJaNIhwU1geOwIkHv6Tn5bpfySMML018GBUCh9iMniq9klBPqx42WSoNwk PI7S0WvDL1vNtY7u+CDG8zUihTIjBHnwBeQlvjXX3J4addCbabNhZ0KFpU689N/vfq8oQqCempgG J6AWeyWPNSOQ6nc6TFAtcJTK+qsx5EIHg0JXsWftHNN8rboIEWjjri5yUtBnJxX8tc/tSkZlDDm0 XrBPoy1BmvzVisAfD3JUjQZ07j3BDsHKgHwSXsKL6s3v8M90bgEKZ/wcE7eTb9BfDqUAnfKuhIaY wwRW5x8mbh/7c2nwkg8ue6S4X09tGEYoEBwKo3uwTegSm+OosjbP9NtVUCNwJgX4VM/XoVT7Vtjy PRjl2LopcDjDyOKqj3+mKsR4Y6wtA0E2O87hnreq7EueKuZHGZr9XlFJdtjmi/PcurElC/xEJNqm rUDrul8mnjNIYuFLf9nOdFastun4E3Jqx2yuy9jn7tvG08vEXbQqsGxbknnJEHZv2Q8w1V2wr5W9 l+BK9m2yiBgHWHICJMHV1oVWamEOWUOXxT+EwKgcf1SGB0Nm6KuH7wu6y2qrUdH+MNmXPzyDFp3O ZSGtHD1Kz1SvyPpwxkHcWLSVEAt7REYLSV8hqpUgx/1up8JmN+N+TVznZmWPsustjSdpAkhgW3wJ 60I2AJ7JOzAu/IUs0fmlVSIajR0OVPQlnkwQ6hoUxEMXA9siWmJdzSbQ/crK8zK+uHkyFrQzu565 hbDhanNOBu8DM17Pxhpb1bPQzPibjVZ2W3ACtI3zRKhteMkJCfKuFZEQpmSZh+Cm2UptJ9w9nO0T AmZ8miE0ZDRwAQaPkdX71UQH3EN7WTbRLxFlRbtqRNlBscbcoq0I4KgrnurWb42S+NeNJKDm7WZp fRbYU+cGZez1MCPkXIbEYZvL/9lmyfBTuqRZEUbmlqSCoKcGPjL5ylqxoaDDeAGLYLzJT285bRAR b3hDdk58vKt6u4F8dIwz7sD56DTnDGd/KUSsG+4HQPUpCCdOHhLYrBmO4owFE+XiPGDMhJVWODJP ce4xSHD74VsK+3mCYZkdsfxuXPzYPxcOPRsqLEeOVagaIZ4XA+PLVapxP98DSDSda9i4MqvCXP7E JAk830tRyCO/z0vlniVCZq6PIaFRqB8o3ISXEngp/Mj1q1h8jlwsDPTuShLLXTF7YfVUU/6lYfER rVHr26lkgjXFky7+s/HyTJWWWDIZVqXYqcxB5Ku7LCLhq+o3CL5A62rOw91d5z7Vaa/txXJ0Pn87 dvuddQIOV0AfCR0KO9BwEtXzJ0PGTDZWAVaEQ+vnJZV9WFTHtfZD2CNdMGcAaCHwa2gahT/mbDEx 36+vjuH5MQjDffNV0/PoyBj62qQ7OzzP1qRx7kJEeLpJP0Y1+Qw+XoF2GrbwAMyLsdWJ4Zhrdlaj 7dc2sVLLpiwjYYoZDExkkRaR+fraVLtTeuR3nEZo0uR8L36Ilr4gcCm7tKrJKoS4g3hqsRL7HYBk r87tvSV4fANYE0zHGhuMy5agYhi6PYhA0KqjwN/oEgoW+s8+vo+SVLbe+F29lwEi6gQabgLFJGmr aupnvetj1YolFixwS6elyheKLsbHP5YZyFv64d7bjMz0vwVxx8/rmfeXwTKqXkNz9bPcG0TuYueb qaJS6OFllB3GNWcasJq6bef72yG6z+lb13nO0/vjTbuF3y2ow263cwLruegZEovH2Rkaw+g1mzWe 71MY0bYKp6wYH/TsI8lIUlxEA+0DSN4Dxkc+xM6gY1PtuXYToBxruGBpYAfaSYYaup1uuWuxdB1/ Y5Cq5HzrNDk+AitTwwNcJ+mbgJOHkYTzOg7aCh5npoaB/D/T2uZ6l7ShOzGkRjga6d5XceDHK6u7 yArFqFJmiRdpIEmZGj2B3UmrMNNUiU58iyikw3ue0Exfj5ChA2UlirmhuafqBCD9ESlxb/Yl0syG wZJv6lQTmfJ3Kv2HVZqKNfL23khQPThDjzkk0oruYGslimmORGJnjDMcWylaYXq6gb8BtBYN2u9Q 9AHQlbZX+32tWabNM7WnrmIcvkwrYg1mirCea9uVTrHxjQbX2l8XyymCkUAcboalELUPCoVYtwpv eeL7G3o7h9CqC36pCqza7GJ0lcBHKErwk0jFwYDNiIqlNCZiKegbHAXBKkmavfALMkg8bwIfKuhn aqwtE8I/y97HaVsryEUQAOj2G8gU0LU4K+XaMtdZclC5k5xFr1zCMRGlZGFdArxBfhyNLHSCo0lx 6flUp1Cm49RVdvatkF2Ifk/p4GDD48tCmumKQ4U9IlZ1eF/lAH6g+WEiXYF7OkqMLBe5XL3qMBGZ lE7SY82LS+UicAooSn+HHVq0fvqAMj6GaIaMH8F10TulSdHyPkKNxG+m1XSk5s+E+yCmcyHvOF7a mtI0ynuXVJm+jqDPIKi+xcym4vt6I78Gb6dFaRgnVWUv9u5pRZr6ZZ1F9/Y9ARTu6r+6QSsj69JI LhGwDUVKP4dC/ilH+pX7saFiyDU5jhFEXZlKwu0HyO1hGxmCSSuRhr26iLpQgPQViwBgO5mYONpt xmBAjsfZR6PFuu6jYgSzV5An1k895kADM2hrjCrZEztROb7Qf4tsi929Qt/pcoXUobhqdyVyCajg 2qXHvk0gD+nEqokOD6/x/Nc98BaQE6deWLCuatjJGB8zqUzdOQec/jfIfNgN3bjsDOGxXTYLa0uF QNGbgDBOdb/DgzU8t98wq0twQUFB0MQt27OatfF3IpDZINubtszSCUgWWArQFarF+EB43t52H+yf jNIbTL9t1Svp/DVndFNYyE8FSDsJsaT/PeVT5/1zOe18l8hkbkiJFG33UQTBS/RFpsQyCaT+Yox+ +2VdXYEUhP9Ij+BN5yB9T7xzzbsq9cCFhm5PMvIQkej3G1tpz/LrfJg++TiTaNOWrkVkQxvXqei+ mh6jDDfoJ1ILR5pV478EVG8wrGUStXrmcfP0MLp48CvrrmSIdvVw0xktJLwyOl8ACwiRjtN/JjLL y4UBxYr6+IDq+f1lw+nzRksQOVKLv0P0tIXih2uw1zcmMLda8bQBpktjYhw9b4dcBBo1LxHlDPse frxGWi+T49o8bdNd3ofmElOs/Z0oaoISYI9GxFVITyxGlOISyOkVg3HOuLgzK/X2EEo+bOgrbfIm 3zRzUy9/5kryWyxURToZGh24ccvxrahyZVYdAN8OwzD15vtWxETiIWcBB3MmuZf8pTW398Xo/Xom DIXJVcoM4mkf3cEJtac+CgY9eH2kBCIQTnVwJF668w9P41sPv+TBJt1ISJb3jRoQMd1PwTkUNzuC hpqSS8BYDjIpfcp12eqmDJPxQuUmIHK/4LHg/Gqc02tW4cWrivJiJnQGYXAXBOlIsOkSZ7D3wp6s zOMXK6sKOjOBN7twoHJSCRUoJRgCyPs6RyVS2MJ4XN04WdmzovZyfL1/JC9cMwHFLvnlGGt6t8SF O5n9vpovG6zv6QIgjDuHCiis/E68FCqgdrO6ex++MMR9RnkHiP5Yf3vrSGqsaq63wbNT3FaFq/T2 jFa19OeGmgmQRxof9C4q2R9/BfvaHSZQuvbN1e4+fIC0McTgGf/LmkI2S0Qv5o2jwz6tovUhQDtT hOI3fdkwkEvWuPMfKHFM5epXwHU4kEFQjGCK4CdP9Jp9BldlmrouYC9dEg5B5qC4XEFf4UCIYVjL g8smy+ZzH7y9DnRTSsd+SOxDNWQ/wkgkW7IyAKq2g9Q/M1sZ6Nm8HgFtGg3DT6AUJ+q8HKUDVy3L H+yS+IfuXA9FGtsjApULhpGq2baby7gSQYwfmSb0adqmwR6D4kb8pkTeXFtztzIHqtDfdSNg+iak +okvrct8FPJhLufhLSoDhR3Q2LJZcwh/QTleCUMv9hzGRboN67x7M0UzXnBFnscHXhl7E40KrmPP rUm67Ecg+VcxdSedZhYKHCQ0/6iawE4xSVVcHl7qCpYaCrADeVC6fU2tfWpJZgYgrx0miukFNr3N BNfKdKiAIsvsxUgEM9Ep5QFWsvFxr5fpg1GYXwoVV1/4EBkkxnEkoQzStJ5gEGFKSCQ3M2H4hYiF yB34s/YBa1JJrcKOTfF6keDcGpwxCOjctmOOoWxTx5shxeDwR1AqMkmWdi8KR3tftYvR6Q/hSQcK YFIxOQWGs4jrFJ26xNBoXlyX4wp7Cz9V/mOHGEUmM++4G7LacWwEc3W0rePsp058s0Ky0i3m15Jn H+dQAe2g5HPoVQ2+rRG3Vo/wHHbV4VClCrXDw7SO2F+jydTDAqeA4cCVvcBpttyLLij23jAX7iG9 b6jvI6d5X7ilbqEaAFFXzXy/RZ+XqBGpMtIHdjQAp4OU74KqjvPrsdn/1Uia5w+flyjnYtp88hno FBt4KXsHYdTOlq38HGjxSzVC2Oa8EXjyedzVDf5XU4woGc5IBVbkd19Kn1AcbYicgl5WKjhK7pa2 we5Lv69SaC0Of0r+lqOed4DR2s7evgHJmMe6jx+whx1M9QFF78b+FF48FePr9JrqbxS9DE6xRPWf FgJBw7CwcKjAkeUSR/fOIhBoo8l28lUMuxPZgjLOZFcYIj71RMX91LZTNJ8opl/6PQx/3rxtAisp seAaOkElQEded4/YYF5nNMP4gylRKN1JA6gNjBYvAvzfEQTL2Gai/tP9fXW8j179NhhGHTRUJWxP GNx+hl1RlY8WZn24wBj1avuMErzYtpBMuQObslySPRmRgaehk6dzKs2Y/3uf/1NDwG6OMZRsOVpv jtUa3cKcy0YmxexdRh44I2D6dosyWHoIHteXx7lcfGfrEBALlOuEmH+vpVKD+Pmxc766eVzezqJL /apht94PxPoQtOjnpA/gTGu+f8yB13DOMM2lnva1pdJClGBWs/+HMhUtCAbPDi4/h9mpkAEUECTd HumgwtDlvsxapa9lou97et3j17R5buv3z07FIWuOdm9hsUD3UpH0wACcPNLs1MQr/QaZs2TFOmnz /tutKdhZD/g6C8WaGj5EZGd7AVd/Qx+jB/iNXIk4rO+RCsR/ErZKktZp7CbI1vfESRmreNeUM55p 5b6OrDFTxraHX09P3/NKeQoW7KMIQFl0yJp2RVSZcV0Bq67iI4QUy5qoqZLpyBjO2uQ08nzuVjy7 IhwHsTnk5NUlgkasaAlNkAnaw99HPyPJxqG1vuHLTFP5XlqBAIETc4LwSUPUeWNxV1MgrjrtzXQ6 O8o/u3KiZtrBsUta/2zvcmpAafwKnTHiq002Q3zPg5RpV31GzFy1mdBpDN2aip+GdwebchQArWZh jTW1EyEqGowYcMPPQao6cAeONevNeegT859IhLqHUKswtgqOVKbQClMTneKaMv0lEtwpiLG7epti lIwNJRaPH0vHrOveJ/xFyR3r0rPVi8EgYt+t3LdryXf71CsN9jpbGCgefkspWE8x6SK8APU5Q0tV X3pENXCxjduHN3mbtobrJyxARfqHoA7HahZ0G1hTeht+X+fp2tmomLEkSIMjTTGI+7d3cqfp4rOq Ff1Q21C0AHNA/aixcvxdcofycwtX16Cch3XEQyQ1SOrmge5xp634YL+N4Ss+QrQF5UpBeIzJzbfh ROzRrQKib97YOwJEEJwTxGGjRvTCbxMcaYR1ySKAO7bldyoai8C43vtGNBqQqpVJgyn5xu+wibxo 3XTWXgDVzB0HgWyQuVYUcj9FTBkfuVkII9t6oDulORalFwLnTJ3Fkm5ncMn1dG5xAg5FHBw4gJqr Y8UJaxMr6P0g+VeKb3I/l0/gqMogBvnUpNBH0Ge64Ff6Ru5qK/G2ZP1HkLjS0pH5fbernW7J0yjK xFjGgccq0+2Amr2Ps0N7tYVvjim/YmntMFBiT2j1hjk50quD/hZ5pHztUhAaB7/UhbU3Uidt9fiB 0+T42j1P2IhUy9VrIFVhhFTO5fujq2Svfq1OOA+6f7vh7GT058WsXDKi95R+FEBUP1AFkq7ns4Ih 0zgTOqj4gsXx4l0D1BPUADQJU6b8ThKd8fu2Ug+2MOzg8519vkdMuDZHx47ymFAHGLsxbV4Qpg3p P+TJi91GpAUrDY4hjmSwItBfblIqeMd8hwgSslTpPbpL0+eQjhIRdUpylwonM74F3xm5FeM6tGPK pQgkyIQR36Zqqv6feNLbIY823L0V+lHCEnERW1pNUdveuL5CcZrJ1AVDOa/wGc+SQhrkqBCbzaYu w9csms1OAssCYZJFkjuw+riuA7E18VUmh3pzx5T4CK63Nd/Y/f0ncqqlDeDD1aXTb7L2uy3Uyw/I NjSAJRle/eEuxwF/vQ/rW6LNH4I4bCVQbsYfQLp8xweNCatqpOn/J5AXhv/DPxs8qfPExBnROC0x Swylld5DhnTy7ZVZKrSKui5UTPtuLKg4h/ek5sH4+JmSsOlq9ljhxq670q5oLDm8wIDU/kPO/gEx z1Tlo4PjvNI4jwCNnq+kISZIqZfkI3EXwzsz7a0saU1Odvyj5ejQo7ja3n92K0f/3PO7C9bgfBfG REH/Vetn0Z6GB+Cfw5N3oLZqlxtaLOwCfgEq3dn1igQ4gFfKmtFrAyJ2qrTvxJhR3Lrg+sPIonFf tHD0S6pyODKxFrU294qenJADpfvtGy/MjzrdHGQlKfWo3BfeSm/xV+MoZloBKnGbTSFxyNC/AqHD bnU0Zdp4cfWe4QXiVVVqYX26R+PG1IC+sORGGu2YrqSR3Iu/lUjwKR8Yz1BbcK7K6ttzzgredEXe zqP47iMDiJYJ22QydR0ZmpFpfXU0QEIO7s+Tq3TO+uLTTrjK69bEew947oSWZl1IKb+RkNskWd9+ AxUS9EyZL2bybRknRwbsKc4X/ek6MuHQbgOEK9lil/sv4J18CGW79MXAgHpAHORJfUgw0bZIjDjf Jv1McfMlPGaExUMUJLyqb4rhuFMc `protect end_protected
mit
9072b02c896b8f4b110ae22f39457c12
0.937124
1.852213
false
false
false
false
Yarr/Yarr-fw
rtl/spartan6/ddr3-core/ip_cores/ddr3_ctrl_spec_bank3_64b_32b/user_design/sim/tg_status.vhd
20
5,700
--***************************************************************************** -- (c) Copyright 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: %version -- \ \ Application: MIG -- / / Filename: tg_status.vhd -- /___/ /\ Date Last Modified: $Date: 2011/06/02 07:16:42 $ -- \ \ / \ Date Created: Jul 03 2009 -- \___\/\___\ -- -- Device: Spartan6 -- Design Name: DDR/DDR2/DDR3/LPDDR -- Purpose: This module compare the memory read data agaisnt compare data that generated from data_gen module. -- Error signal will be asserted if the comparsion is not equal. -- Reference: -- Revision History: --***************************************************************************** LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; entity tg_status is generic ( TCQ : TIME := 100 ps; DWIDTH : integer := 32 ); port ( clk_i : in std_logic; rst_i : in std_logic; manual_clear_error : in std_logic; data_error_i : in std_logic; cmp_data_i : in std_logic_vector(DWIDTH - 1 downto 0); rd_data_i : in std_logic_vector(DWIDTH - 1 downto 0); cmp_addr_i : in std_logic_vector(31 downto 0); cmp_bl_i : in std_logic_vector(5 downto 0); mcb_cmd_full_i : in std_logic; mcb_wr_full_i : in std_logic; mcb_rd_empty_i : in std_logic; error_status : out std_logic_vector(64 + (2 * DWIDTH - 1) downto 0); error : out std_logic ); end entity tg_status; architecture trans of tg_status is signal data_error_r : std_logic; signal error_set : std_logic; begin error <= error_set; process (clk_i) begin if (clk_i'event and clk_i = '1') then data_error_r <= data_error_i; end if; end process; process (clk_i) begin if (clk_i'event and clk_i = '1') then if ((rst_i or manual_clear_error) = '1') then -- error_status <= "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; error_status <= (others => '0'); error_set <= '0'; else -- latch the first error only if ((data_error_i and not(data_error_r) and not(error_set)) = '1') then error_status(31 downto 0) <= cmp_addr_i; error_status(37 downto 32) <= cmp_bl_i; error_status(40) <= mcb_cmd_full_i; error_status(41) <= mcb_wr_full_i; error_status(42) <= mcb_rd_empty_i; error_set <= '1'; error_status(64 + (DWIDTH - 1) downto 64) <= cmp_data_i; error_status(64 + (2 * DWIDTH - 1) downto 64 + DWIDTH) <= rd_data_i; end if; error_status(39 downto 38) <= "00"; -- reserved error_status(63 downto 43) <= "000000000000000000000"; -- reserved end if; end if; end process; end architecture trans;
gpl-3.0
56ba739a05dc4f8dd35f53e17418e9be
0.575789
4.282494
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/case/rule_017_test_input.vhd
1
589
architecture ARCH of ENTITY is begin PROC_1 : process (a, b, c) is begin case boolean_1 is when STATE_1 => a <= b; b <= c; c <= d; end case; end process PROC_1; PROC_2 : process (a, b, c) is begin case boolean_1 is when STATE_1=> a <= b; b <= c; c <= d; END case; end process PROC_2; PROC_3 : process (a, b, c) is begin case boolean_1 is when STATE_1=> a <= b; b <= c; c <= d; End case; end process PROC_3; end architecture ARCH;
gpl-3.0
46d4daa04f21906d3c7828b61c16dc17
0.4618
3.308989
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/blk_mem_gen_v8_0/blk_mem_axi_regs_fwd.vhd
2
9,545
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block JwdwTKlrHwgkvBbwH7LntTUTFNPDpZB1lSDxpBPoK0DWPN7zGE1FP3dnEjy+jYza6V6pSy2AGJ1a 0wVLVsSbEA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block KjGqPf4SrylkHLAhhgwiNHK2hHuUjQgOVdDdCrrCk5pEoDBXQ/WXGHBs3wcX0fYuAvReSTRsI7E/ mIMqyltnAcQeJ/HsZXyPyzcjU8CSiRUEPpJyoKcOn9TVyN50RAc4SVUSyn6ppbgn+4qGQgDc8sJQ PRsnwZLnTOXJPq5bTdM= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block eERgT89aNx/J9zcV0PeNXPrHfxzezlg1erG7X3LaN6MF6cECcWip/MSAxOjvfi1p6R4n3Xp/T0U0 fKNMlj5TS953zEo+ckpjha/tRYe3WgNn8iADld6VLaLCXUbWD7ulUilQXiwbS2pSw/dmK+vIzJ1b 4yMDsIOW3yy1Y9cebn3TkU5IxMHp6JQIh2e0TXG//09kCSO2nSk8IsDi/hZrcrUAEjhXpjTuJ7qa TF3mZouUf+EvZA8VCPPKHN+vcq/KVmK4+J4zoJ9KrXT7cED/97AhBQJJO948RgafMJHuSTz0fRcu TcPqIwlvoo9hMKjAsJhQO3y2PjZ/yBpngB090Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Fwh5lsoqJZLexlu+UAMXPOwaZkCPNyFfKXicqepxBdP+WJPS4D1VBOeWUH++tMx2Z2X70ntY4Jj7 TJfbZck/K5Vo012qGy7G4e5RJgN5OQD6NaSn8waZgBz6fG9Kt9XEWgVWif/1flJHPoC5Nu7EztZM ZjEaBvUxY6zVZFA6CEM= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ZmFg5OE17jzss9M553UQlmBnYojvcQ8fPEW9mRHIb++ZQmGM0vN4p3DGVmoTd8svZhWMopk72FA6 KLEy5aKL2/oQOlXOkQdluObZHgbjUAJlIeVCAlV+rsRj0KOBSb7lVS8/fhZdJ6R0o2UX5XXgTQwu k+ds7WSqQAN4JWZZqmLuatZ0jtB/w/XfN9rce9U2uySqT7q4FRzQ/e4VvyLIxhxUazYwXf7/bViT oLkAI31LO+ibTaqyNFhcJN1vv1Z/2okTEzzpjMI3CH6dwhFY6+/07FyX7mAf1kcggv99q1Mjqv4P k8VhmfluJL4AC97pw8/UFk5TeeFbKw7LkLAEvQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5328) `protect data_block CokSkHbYROyWXZPlri5gf1aH3l817oUA0wKzggiijJdsiITERjKWs7EvYAUjcTKDwxAnScpb6Qla K+MTaHe1c9qpIerWp5DcWFsmGnZOcSyffFKsu+e2HOClQDCsAwCzxxKB915wPbY4x2GPBdEqfS0u kz7c5UN1peX1EDIAC2bggPDUCIuaJrTv7AC+3/d5q0yz1DlJkL4UC9OxP8KIFoiF2psXomUWKGmn KzQDip1uTUwCHuKJ+IyfGRoBIj4j/ou8DejTi5+KwQMwD5RbRIepd/RFvD44eCSd0xWMcNlzkiXu CUau7aB9q0EBf58BqdmuodtwJ26NBYmVbWH/WSJYodjUvx1FSrH5m4f5TzTBbeOUlzk9ZEWeKCHA bJI/9KE57RqqaS8U024jGVSqBo+6ryQZcZOVUTUQL8u0ve6J68O6xyomz3bzv0uXCBTue9Gf5yPN bZMs65Vb/Rl9V7BC+LuV3wttxcbqzsJY7hs8vsBpHmgWc0KpF6DKqcn7i+BqrDMgoNO0wvvkWo6d Zh0MDPkdlmKlBavJjsMfjb0T3Zu299XTW0EWZlv98l0Lou9pFnFQ9+aS81J/Ui6dm1+y7o/+HsoZ x/vPSKKND6xzGq2gy6sIBCukpHIAkgCwtEfcOfoX2mhXMXJ6V122z3PJ7/mpW2nr9/8mCPvw+Oek MbnpILD3l8iHq56pvG3lXA570pD55+1Yu0jmhKGtZSRiwMs+XAKQWzZJvR/i0n0e9yyb+Fde91IF gpaUCBV0mbrbPIvyxYmQ57Uk20Uc8O1M163BQc9ELcyEucHspChD0tbaEucUr5vw2gqtU4dWLyqE g0gnIUvWBgVv0QKFYyqQHSTre1WAn9fOBRqBi+oiqFTp/Ayti0Ui6O6WgPmHAVtEwnP3wuiIGSuv o8tdF0D+CWvUWah6y7YcWr3IMfDOKWSVbnPrPhQ5OgNEMo0Yh5EZg6l/8w39Q/Z+YkO8Hg+RKybg 12V5rXZHZuGvSkMv38rwzapKEVUoAGo/n/g962s/z93Xe0wA2UOYjsV9tISXcyO20+RIbgssVgVY n5L1xS0jiOFHWQBFNKwa6/5KeIIabCgaLVTzGOgyt+L5gT7j3SN33QnU4pFGEwAW+7z16sNzJgJI qvCNCIOqIuPFkmsKGmVljjnokGXN+XFAIbJeQHFLQC+GA8yIMES+5TbSJbwjBhDnoL3aNbJoIhSX E4emTRRSmyte2OEy2sV+spLai4s1f2ZWNJtX/eOCFuecMoezsBuKmLji1gnr3gl/2/2KnQDn34Dx /yZge1EEGI8+5iE/hH8fHAr8fMb6bg25l79YABlxooXP5xuSxw5cYBkAwzQ30h7NcTWRPGM4inDh A+qkJje0xoyYQdXRf2rF4VyhqhSdZzMce38Mn5wl2yarJgql0xoj5OsuH4m/LRJxCGvnhQtSShwF I+1Pi/zwKkZUNLSirxmSbtPVydMhuqgDANKlYhpwtptQkCzVWLefXKeNLmLy2zBLl1GQMqQ7vwbB VZJIH2WuSyObPHFCIhR9P143bQW2/i6DJV2XdcvErwqzAOAcwjVLNM27OhLOjEPZ6wYs5ZJJS0Fg zlSkjX0p/ZKbrUVa/Zq5hWFVXlUU9jHQWZN+5dvFRt/pcf25WdxINi9/4XyG8mj+oiEa3Ye/x0JH KAiZTO+r1/YqpISvv5pYUfgkB3kKFyNI0VXjiavPYCDylSM+qfSWVSVRBbGqtBrSop6m3TmkfBIr RfreEtcjZuX9nZLZw6t7d6xL0zXfh05CKYOYeLjHcP7ofd1N2pRHOi6jORqQg6V/Wa0CfC6TBx8Z r9rT+Q2GSLnf9qPbjNe0j3YNWo/IMv4/wKdsL8+djppw/l0GmQGcc0MJDsJ94pqmDUvSLbyQRsZO K1mecGygoxY/G0bNGRqz6fHsVHwJlCJnWPZbLKxnEcoFlCrbQIDDtWptPgB/NGOEMJFFRQpCCQvQ yZCfVC/bA0o+Jyb8zn44wTYYC1IzR04WaeIaj1w1DWWJxCZF/vY4BhOC0HB5TINl5bFocm/X+ywb vy52eUI4vR6OI1B4Sb4Wmafo1oxDvxubN3OHqlXjrgl+gsSclSo32AH1kyeBIqAErEtGbCJR3bRa x981M0V1gd90jsKV9WVWEP7agEcjV5KNVGolEk6CwfcgGSbAwcgMCsdXB75emtdiFUMmBpXGfSX2 EnwJ4K4M1qxwro+Qp+RNUfsIiUE24exezNklDFqxECp55eqmO0KCStKU2UcXtfczwpqBX7dabi/Y mXeUNGLnnwJYkwnpcarH+iS/3IP4v4+szNJQ8uD1g7NL/52/y0uSR21o8SkL6PJnFWSqpjwqAyR4 CGsn4VGZ3yoxe5NZkPFBTbBH9wBc5rwOdM1Iaw1lOIowXOR4mBKxkAmVARSjDiiOVanwDEXNI3lQ X18+UY09GJQl8ONaYll8jF9BXGYQeIfmQ0titTGWVueM70VMfqQPd5hrXWz/GAe3JvoSs50qz2hF 9uhIDCQCvFVQqkkSE83r2ZiJCVnlzpiG0PGDh8eChs1xWe2HJwNiY89mASBuURmn+dcyaac5aC2A jb+6Kg/xhnTLx0xO7QtmM8kDSFFyXNjPzge69aGldORi8EPVtlS8qdpG97dw5HMLFCvUzSBP3dlV 8WCh9VfJgIjTd8ijDAeqHRVh9duhqiSRL4rwWFCdYuC9Ysh+HZHuDlcnJcngpqo/Q0aKKAJhf/P0 3yQipmf6aDCL5WOOxtUSMdp96K/KDOxJZyaBh2J/ACxdBGb9IyaafcHPyhZ9jaDv2m/PD7Q0SgmR f6MvaCcwiCEwPWtvjiADLRE8T7HO8Km+b17D9RrlduGYalfJ5ByCg3Myhk6P4z4cUG9FnMil+sDd Ihs9WMrSZ+uQo9XUcWUepniH01PVYZ3Xanv4/dHHQBrymaTDCnqK5fo52aj+k6JkhEkngP2gpgbB UM3tI807izhgKYFiyUEBxH+71NgBdQ5DB9Umht9Hw2I9okJi+i/BMYPQJ0oiBjUMrUFYQ5GtkcnO Ddl3QaUCIIOH3Vw61sAzR2IBTk43Z97gG94upzN7hi6efBBc5njVbn6OVvwktVeeO8dvJslf+xBF fZk8/WHq3U6DX/ntNitbV+dsxXgI0HSYbEQ95TWQxsYZ9YMNCycPzQXRRH3v1q0MbVEl6PwMUdW6 +4Htjs8pewZTbpdak5nLZFxlsT70US04iGemAXur+3RgVLVAo6QCdZVh5oiIhOia7UEqBXIy5uIC mnZqwSg2q+/HDwSgpKG4qxQtOscozK8IWB09E4kivnNqTdZvPRmDSzU2KLTFSKplc2EzdnvcLkNm tZeQcGUJphJ7jwWT0/j5qOFOnIl/oOPx0R2v7W50TcQBG9B8z0nWQDglhLy9Pqpzb/dfK/qfR0uH 8PRnkEsORjqr94HyO2guB5jc52jsfvx4ZbLvpkyyp6cmS1I9mLfm3AV3+RzNElcAzjnqJ0X7gGyy RhYUp/PYc+MRizgi/PRUZKSI3KVqgez81pfRlT1gkyx61Zq9FKCqAPvNCSzTMkSt3YI65C4z/jdM dmUOXHVNKEY8BAo9IAn6Eesz7A4Dbt77dIZ3pysZVgGigpUvO7RL6oOe8TaSc4cjTx3AAwTj0cBl uPK7ci22XVhriTAt2Lv7zWsKiyEaI5s9a6X9TPEL+MIQw1IgJwPi4EePpRQqrNLn/X78SlOR5vgM WHtH3EfdBbpL390nXuhYWVpGpw+PPNBvTwD9FjthCFI3gxebYqo3vlUPdYWPTs7RsrpSL9NL+g5U TRonJ2ems3+v8Y1BKyFk961cd0CqTrnRYpUT8Fe+a1UZTlOGTdCYYZiWAFCQC8EACBwwt7ItZhzX J1F28cyYGCK0Fgd0FIgL26NFtoaKUOX3KmsY85pglt19Ai3UrZA50k4A7aC3dK5s9mf52d8USd0W mxBM01HFVzNAlH0htSwm1RLvkd+XHk9ZqN66h6+7bcrHN3366C6b6RYJ7viP2v2BgrZ62M8KvC5u qWUHqUAfdsqNmVOqvcerRSzbME3p4uBIyY4DytFInB839S4vKYznO3c/5tbu2xuMhZ94BssDjQag j7PwEV5cvFre8/rf9KMjYQzBV0R2NQXTvYd+S3QnKG7lt+fCDdKAAMKBVjQiaIk9aVSvCkdLVL4I y9KMNN6rKthkrLfE600BgepdbhcYoqSNILpxzh5glYuIOv5w0wdYX/LQ/U8nqkKObOnoL3wUy40V 697vn1MbKu/38wn84XBEH0Ndw3YZm7ccW3+/zNgwrFSu4mpudBVGIA8YxkjSHz+k69mvHuRlf63i U/7yoQNuerX4COYzom1ui/GsxsaTwZ465yK2l0Vu3icNOT6qYqiDzpj/v88A2SWHtYZuyohk/cRp HoQqirSy1MzdYUnci1+NHuXHHLr3xzn6ZyGUX4Qz0ukNeYbfE6+jsbZsFz30Tw+5Xr4MHUGo8dp0 5x6lvPbwSEmbnUER+jWLi7yAw7KackOqC95Co3lLKuxseQN6SOMNeooW709KOR40jh8KgNV7S6GI NwPCJLgBsk9HooDPDxqGHjp8QxwViwr8PQaLNNqCS+w0D3xIU/2D+FsZRxxnd6bB8DV7kHbmllBT yQFfZ0QhDkltrqPZKPnoZqzq6ddBIgl8FiUUh0jXoDlMbcuyaRA+cw48YYlIJeT2W1dpEfTpxd1m Lwrfb1f1XT7BfuRaJQTsF5o7DfLPTgZrVpts6a7H5biKjJNgqu9654bEBpLxjeVNFXWCMc22UIZH LIUpHw06LopaU+kTgc6JR/gOwPT/mmzUD8OGKDCJPpndIYxopJKHY9DKoKsxF1JbrQa6+gt4xHTm N3zsKWfPyZvtRPN3wt+p7Fw8j4kkKAjZ0vx0C0RBMnDCja8NlfpmGJ0x5MCTo1fCxHFzirXKPxwN NRhHVcvSf6Tm6ewkDs0prGDtlPrSbJ2Gx3TMcWLIPGTl3pNoe+7smQHBq6Z7tTAhQ7489vcwfUma aPh+vwDmQUaM9z1N08BLp23UTQSCvx12BfdHg+s2WBICEVYyX5v0WHngyd9DYzV16XQI65xPw1jf flMRLtsNj4a0NFHFYbFo2bG1LqZiFAnCVz8CnJC5xOv97FQZn048PoTKH4GoypClO76qvBs/4inr 8OwF4smYbMVdB9XQxZLaBr9gkcTcUPnyYZKYvsHmopC/DtA8EHqbXWUNjN2R9SGoY6JwFMzOHivC 4tWgaNSDUCykD0xJ3+hKZe3WM5+blwm/26rD8GI4514YHPqF8UBevOKqayG5FquteAtKNSQ9QlB6 6vw0CCxLYou2q48Rx/d2ANdpUIjo4wYscMvTaoDQsdF7w1m4TnAw0a8WGBR8lPLFg4hOj8YZ6w5g 6BkZjzH4CSzYdkfBAkW2zP28IoJ90eoesSrjuEqP7xHPRpFcprSkbmN9yXxdQgeDW2lGOsy1P/i3 ryZLGlgVPUae8wtX97uywag8pl7M9XgoMBYONKwT+qvFN2StwC1snS2zuW57UKg6D+vnPdYARjYK c5oo0SxbwSP66zzTAwekpddCEWTH5XjvO8dzaTGStvBtlArPLb3elGzSoyrt3Ohdp7A6/TYV3gNy KfrD2MakOJqOt+oOTlpRCFOWEJZ8TSPCADP2JykPzo9jks1g1T0v/L4Nbzu8gj3IvaHQQRDoDjEu V9fhqa6C7SfWzEQpiNQfvNIDCxpiw3kKbDTq2sNn4DiJy5WCpH7gLbuN4Br9oRnT3CsAed14ojKP rLKK6mReCeT/WOzPJa9Uw93f08cD7s8IiV9G066I3TwBfvejqfnKJwkHRvlPEwVB3oU0+PN3uTAU J4dkBAcvgVDKBvZqonoR/MjeJo3k5tQAra3t+AtoRSTGZ3fxgHAKro32wCYxrLzYvo/rO0jUDlJM 34b8n4MH43n8l15oCOKKBugfGAmvhAi9kh/SdVL5ivdqq3W0BpKFBWb27HYQFBEbVIAvNg7A2EJ6 aAlS2lP0gZVB/xBDAnzRMz3a9RJQwpsGJnCTHRI0iHYmcvtdnsIfeEXMHqe4+ad12aGY+xpFxiMy ZlCXyAR31xQS3zeRSISp0Y3yCaBzycPpy+WBYkSpPNb8Ks2MVaaSiWFLTENEFMp3XINogG3zffhN vZS2a5MxBXsnsmrNA1DRPrQsMZUrX/9tLpjXFmK1gYWBjbYkSphi8ni2hlg2g545EXerpsxAteNz w98VQl4APcgd9cjhXEv5FcpDfSM60RbB2AYGE5m1sj4JzQ/GsTmF2j9FmMDHdNVKW0L3dGjBeHM2 4h/oQJ2mLuJrvKdLU03xJCBdITezQKwPSEfe7AdffSLAT6tYzNsbF1ewPB8mR3x5ccgvb8l+rAyg +hlXkNTazhvwyDSbFJtBEED7kmKZmjyq0eQ0GnUPhcn27/1Gj090o+hlPS5dh48s6/deLZEbmhO2 8NtwoEN6A3i3BALPtFewagLMg1znCew94GYP9xrbKuQl3w/xnMFc36NaMj1XQn3GuOI4FNAHs4V6 wmXHAUhyr+DdrLQDO7b+f9XHrgQyBbFerOSJs30kYleCZ+NpwUzIt2Z4k27aPFgJxrGiucEYMvPE vnr9093k7vJnr0j1ymGXvFirtNqlkShpkn5714SD8ZL8yTY4yzlWYTFbKcG1ezvf0iAJ4hf/t2D4 u1fDEvmRAcqSZQG+GQKasy858c8GTtJ7PT6WjyiLoutevHOPOJrQ9eWWiinmKtfkqk9L3akZHmH+ F9rs38pmYNYORvGOwJVlE/dH89z2Lck1REBuhmEIla9eMRH/o6v74Aw+UqwO+VjVV0e9i2XhSODs S7D6NgxXfXdBGa+THHwQYkEJE7AMo/JogdDNoUP0VmARuN9IHU5ZS2EbmD8U9GGr9XSOTpzPiIB7 jmqd5LIr8e4XBR2+qZ3UnBRZMpa+OEj4Qxlw4hXvtm/RPtN3JrtpsDiPBl9Cuol4fHX4AqCCoNX7 Os0qA5UprKmAG4X97tA4ji5l8fO76Mz1Y7Q0womoj+XNaGin5XsCpnyuxSZTOS8FmUAZDjmuerIq bn5K7F/bLJvtuINhENbaguYdPvXzA5YB4lXm `protect end_protected
bsd-2-clause
06b76a50d6834dfbb0b61738f6b630cc
0.92373
1.899881
false
false
false
false
Yarr/Yarr-fw
rtl/spartan6/gn4124-core/spartan6/pulse_sync_rtl.vhd
2
6,160
--============================================================================= -- @file pulse_sync_rtl.vhd --============================================================================= --! Standard library library IEEE; --! Standard packages use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; --! Specific packages ------------------------------------------------------------------------------- -- -- -- CERN, BE-CO-HT, Synchronize a pulse between two clock domains -- -- ------------------------------------------------------------------------------- -- -- Unit name: Pulse synchronizer (pulse_sync_rtl) -- --! @brief Synchronize a pulse between two clock domains --! -- --! @author Matthieu Cattin (matthieu dot cattin at cern dot ch) -- --! @date 17\03\2009 -- --! @version v.0.1 -- --! @details --! --! <b>Dependencies:</b>\n --! None --! --! <b>References:</b>\n --! --! --! <b>Modified by:</b>\n --! Author: ------------------------------------------------------------------------------- --! \n\n<b>Last changes:</b>\n --! 19.06.2009 mcattin add an extra FF in p_pulse_sync process --! 23.10.2009 mcattin modify it to a well known pulse synchronizer ------------------------------------------------------------------------------- --! @todo -- ------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- GNU LESSER GENERAL PUBLIC LICENSE -------------------------------------------------------------------------------- -- This source file is free software; you can redistribute it and/or modify it -- under the terms of the GNU Lesser General Public License as published by the -- Free Software Foundation; either version 2.1 of the License, or (at your -- option) any later version. This source is distributed in the hope that it -- will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty -- of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. -- See the GNU Lesser General Public License for more details. You should have -- received a copy of the GNU Lesser General Public License along with this -- source; if not, download it from http://www.gnu.org/licenses/lgpl-2.1.html -------------------------------------------------------------------------------- --============================================================================= --! Entity declaration for Pulse synchronizer --============================================================================= entity pulse_synchronizer is port ( clk_in_i : in std_logic; --! Input pulse clock domain clk_out_i : in std_logic; --! Output pulse clock domain pulse_i : in std_logic; --! One clk_in_i tick input pulse done_o : out std_logic; --! Input pulse is synchronized (1 clk_in_i tick) pulse_o : out std_logic --! One clk_out_i tick output pulse ); end entity pulse_synchronizer; --============================================================================= --! Architecture declaration Pulse synchronizer --============================================================================= architecture rtl of pulse_synchronizer is signal s_input_toggle : std_logic := '0'; signal s_input_sync : std_logic_vector(6 downto 0); signal s_gotit_toggle : std_logic := '0'; signal s_gotit_sync : std_logic_vector(2 downto 0); signal s_output_pulse : std_logic; --============================================================================= --! Architecture begin --============================================================================= begin --***************************************************************************** -- Begin of p_input_pulse_to_toggle --! Process: Toggles FF output on every input pulse --***************************************************************************** p_input_pulse_to_toggle : process(clk_in_i) begin if rising_edge(clk_in_i) then if pulse_i = '1' then s_input_toggle <= not(s_input_toggle); end if; end if; end process p_input_pulse_to_toggle; --***************************************************************************** -- Begin of p_input_sync --! Process: Synchronizes input toggle to output clock domain --***************************************************************************** p_input_sync: process(clk_out_i) begin if rising_edge(clk_out_i) then s_input_sync(0) <= s_input_toggle; s_input_sync(1) <= s_input_sync(0); s_input_sync(2) <= s_input_sync(1); s_input_sync(3) <= s_input_sync(2); s_input_sync(4) <= s_input_sync(3); s_input_sync(5) <= s_input_sync(4); s_input_sync(6) <= s_input_sync(5); end if; end process p_input_sync; -- generates 1 tick pulse when s_input_toggle changes s_output_pulse <= s_input_sync(1) xor s_input_sync(6); -- assign pulse output port pulse_o <= s_output_pulse; --***************************************************************************** -- Begin of p_output_pulse_to_toggle --! Process: Toggles FF output on every output pulse --***************************************************************************** p_output_pulse_to_toggle : process(clk_out_i) begin if rising_edge(clk_out_i) then if s_output_pulse = '1' then s_gotit_toggle <= not(s_gotit_toggle); end if; end if; end process p_output_pulse_to_toggle; --***************************************************************************** -- Begin of p_gotit_sync --! Process: Synchronizes gotit toggle to input clock domain --***************************************************************************** p_gotit_sync: process(clk_in_i) begin if rising_edge(clk_in_i) then s_gotit_sync(0) <= s_gotit_toggle; s_gotit_sync(1) <= s_gotit_sync(0); s_gotit_sync(2) <= s_gotit_sync(1); end if; end process p_gotit_sync; -- generates 1 tick pulse when s_gotit_toggle changes done_o <= s_gotit_sync(1) xor s_gotit_sync(2); end architecture rtl; --============================================================================= --! Architecture end --=============================================================================
gpl-3.0
b1216c47757578c585f42cf62fd737cf
0.446591
4.562963
false
false
false
false
siavooshpayandehazad/TTU_CPU_Project
pico_CPU/Memory.vhd
1
1,336
library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.Numeric_Std.all; use work.pico_cpu.all; entity Mem is generic (BitWidth: integer); port ( RdAddress: in std_logic_vector (BitWidth-1 downto 0); Data_in: in std_logic_vector (BitWidth-1 downto 0); WrtAddress: in std_logic_vector (BitWidth-1 downto 0); clk: in std_logic; RW: in std_logic; rst: in std_logic; Data_Out: out std_logic_vector (BitWidth-1 downto 0) ); end Mem; architecture beh of Mem is type Mem_type is array (0 to DataMem_depth-1) of std_logic_vector(BitWidth-1 downto 0) ; signal Mem : Mem_type := ((others=> (others=>'0'))); begin MemProcess: process(clk,rst) is begin if rst = '1' then Mem<= ((others=> (others=>'0'))); elsif rising_edge(clk) then if RW = '1' then if to_integer(unsigned(WrtAddress(BitWidth-1 downto 0))) <= DataMem_depth-1 then Mem(to_integer(unsigned(WrtAddress(BitWidth-1 downto 0)))) <= Data_in; end if; end if; end if; end process MemProcess; process(RdAddress)begin if to_integer(unsigned(RdAddress(BitWidth-1 downto 0))) <= DataMem_depth-1 then Data_Out <= Mem(to_integer(unsigned(RdAddress(BitWidth-1 downto 0)))); else Data_Out <= (others=> '0'); end if; end process; end beh;
gpl-2.0
a13adca1c54ddf6b5882033405731ea8
0.630988
3.331671
false
false
false
false
rjarzmik/mips_processor
Caches/memory_internal.vhd
1
2,241
------------------------------------------------------------------------------- -- Title : Tags memory with arrays implementation -- Project : MIPS processor implementation, compatible MIPS-1 ------------------------------------------------------------------------------- -- File : memory_internal.vhd -- Author : Robert Jarzmik (Intel) <[email protected]> -- Company : -- Created : 2016-12-15 -- Last update: 2016-12-16 -- Platform : -- Standard : VHDL'93/02 ------------------------------------------------------------------------------- -- Description: ------------------------------------------------------------------------------- -- Copyright (c) 2016 ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2016-12-15 1.0 rjarzmik Created ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; ------------------------------------------------------------------------------- entity memory_internal is generic ( ADDR_WIDTH : integer := 7; DATA_WIDTH : integer := 32 ); port ( clock : in std_logic := '1'; raddr : in std_logic_vector (ADDR_WIDTH - 1 downto 0); waddr : in std_logic_vector (ADDR_WIDTH - 1 downto 0); data : in std_logic_vector (DATA_WIDTH - 1 downto 0); wren : in std_logic; q : out std_logic_vector (DATA_WIDTH - 1 downto 0) ); end entity memory_internal; ------------------------------------------------------------------------------- architecture str of memory_internal is type mem_block_t is array(0 to 2**ADDR_WIDTH - 1) of std_logic_vector(DATA_WIDTH - 1 downto 0); signal memory : mem_block_t := (others => (others => '0')); begin -- architecture str process(clock) begin if rising_edge(clock) then q <= memory(to_integer(unsigned(raddr))); if wren = '1' then memory(to_integer(unsigned(waddr))) <= data; end if; end if; end process; end architecture str; -------------------------------------------------------------------------------
gpl-3.0
85087002d6d1b05efd43c31a4c22af37
0.413655
4.698113
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/process/rule_035_test_input_smart_tabs.fixed_indent_2.vhd
1
453
architecture RTL of FIFO is begin process begin a <= b; -- level 2 ab <= xy; -- level 2 -- level 2 if (a = b) then -- level 2 z <= y; -- level 3 -- level 2 elsif (a + b -c = z) then -- level 2 z <= x; -- level 3 end if; -- level 2 end process; -- level 1 end architecture RTL;
gpl-3.0
c4d8ae8260a04b0b5e0d8aaa25641684
0.362031
3.775
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/fifo_generator_v11_0/ramfifo/wr_bin_cntr.vhd
2
21,890
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block IF50xG9xCtZcedpw2Ony1SNid0mhsZreE+6q+qkBhi9W2pU5WEDTCOAxGsgI97/ehaEIiABvxuAq tsT5lmM+Mw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block JiMjGSLOcBjHhL9UYXG3CBppUD6KR6qGgDknYhRUNdf6c2gTFamTdp34KK+KoMzNgSu5Lwgl9Vsz TaIVdXvHuJ40nMwDBMRV+xUUwwBbC5vJG+06wzb+FQ0r1FPEnpYYqHlTrgH3W8qAFjV+R7KLfpvV QZDMkaNEL0kWpwzW7SI= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block EBCZHVNKma7ERgzcywCUqBwUPkrxH7OcKBqbFZB0n/KwCh3MExUa36pdA2Q7WQqsSYYTMvxNqe7s RKjJR/z3mXS/5JNmuHtIfKKqJhUlFYF/XN2AhPSv962hwW6Ymjw66R1rreWfY70W3ABfqZRZ7dqp bw27YITxC0ODsSQi7nbR3f52nqTjUBkOJ7uE189I2IsD5iYdGcBDVMhbl45zy1ou3SIatU1nXOp6 v4ysUHq5e1Mj8DLE6kmjvKqnS0kw2jWpzdv7CfjM5DXr9irYi5QPdpuGnTbHM8y1yfJoSdZ5yPgA XRMFA9HrHc1x3iIlP2bO9Sprs6C6Y862tAq2Ag== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block HksAvRsLhqYE107FDID8LlfAed2qdGBZwtVvs0Ln/ul51YRazl2a21/BepGygdqp4eTI2HaPojFz 0QKktVP+oZJ3HErwp2qdiMMiQlOgFJJjuekWnwOdM7PD2Ozs4kICF2aVaDA/GBdWaZcFsLtHKLJo Q6g3CTzb3wx0EWTXKs8= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block j3HP0hRnrj36ObxibMN+NKkM6e6Ojtt1SoJz/e4eQkRuTWSOhgv4GqxwIrqjrl+uII6DaaCex2DN i0NzQUztTTbleWyqBVFc2Qvyy4Nw+FHJbRomLGLsCKDxWj0T4fJcEidWWiRBTN1BkVE4uIdyv4SV Eb4OfFyNflSdWy75gX6rVpXnnMN6LqXFfSobSbfTj7AEnlGKJV5bgoi4W7r50ub/F4C4puhmQSQD jGGMLzTH0cb3YUGgULUhvlVOfCLDcDBq/5+vBktoYH4DzdnJG97LopT91NQi/QVxcfZtqHdyv/e1 2cyAfTA5q1srxwYQHVuKkAX1Lz/oOPbPKH2xOA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 14464) `protect data_block C8OihyeMSVT42TNy41ypgAqMXBuVqyKlRDj+WgM4HDeLO+kmKUqOqaRnAkt7kpwAeuoojchwqd9U 9/hWVaFmHR58siRwoxIlpCubPLEbwm5D2i2mVcTCbrp++ODjMtcsi0AZMPLwxZP0GlmpTIIYKQ3D zVuzHYId+DKXBD73Ddb8FxqqX5ELpzvQyiwcgdKEJ0GDt+r3xMzLHQX8zl+fMhKGmW238nnOcC35 9Fao/fSiBtRa2qL+E5o/eLi6tndXlT/SsqX7f2xX07XRjhew2RrouU2KTQI9jN47+TspSvwalX6A 7U5R/0BuWJhdISB9Swsdhdsl+HHFrGbKzbRqWunWMnpoi4H8t3ZtiVbfzWcrp5Ludenl2mQ8F0TU 0FzsxlZn+Lz7riZq7+fMKdjL4IxtQkVpG6qFyHNBLncqQv3uKJ3b4E1bHjpGVoVBei7VS1X3dnsF 8gYkngpt8Gy8Cy9QqgvSrQ+AzHtwQ2YKh0tQbLHqnbdXrN2dp+jr5o1wiYUtGTmKLXdrd2ffgyhF UQZ3iXeEL9CQSLY+6rkC04SKhIKFRUS1lGaipzb8e/JjN4kYWc6gVeQliQi8zj5UkejTmek4UPRK ApEkVrtp0vAh5k6Wl9FSpkArWlxZcrjnbX578wfUWkIuGaee0s8JJqe2vxeiU7C37I55wP7akfC3 NV1iYzq81WmvcbH6/tkr6GOO16niVqinCYvF5ULuqOxxzJW+OssPJvUY1GUp7G8l9Da/X7flbYIY Tm8Po4HpdksZaZ/0MJS/iioL3ixANr93x8hE3X3Mt9/ND1qspHYB9hWhkA0/Sda4Lr5Vs/uQapNA Qj/r7lsCDVJCifaitkxZoWWJ3RZW0lHBqQpkX/2Du+cJaSLgs6fsUqtYfnJMva/GtxDTMsZzIm7K fSlLu3JBBoD984skkEDy+3iQj/b8xxIF6YeFFT8DxGBH0n0f2Y5uHU+vj0GeIcF8VP6JYOAEJafH bBh80DMoP9JRw57I6tPVcaeGPihV2VIwWBmr3Zlmrtnf9eEj0C+j44EAuQTUlL1sCKFKTNEUSUNW rw8lESuO/+oMlBPIjlVgL6hbwOZy5I4xCX0nc0wSVOxo2Va7TeIhbet+Tc0+gMBHSTgNUKjYUUkV 6fdcQiVHLexCV21F/IQCLWnz3b3zJBJSVmsLQzKUKupchvXi8fNc+/u+xo5AoL3RiMIWv6xNBkrp bmDzlXGWbc4e5EQGtY8noQIpxgLFl62NnyrFtBZtkkA42ZCsjxiiCXQBADCJ+rZl4JSCXuNqWTgb rJSypy3fRhCLcAUhfN3FxQFEy1F0Y5GoxoRMLujjxANXpgaG4m4BRt61P7fpmXwaaS9ZHdFLpVar 393YkUx27PPGpzjvht67KD9xGgQdAHvEK1VOtdLzBMw0aMqSW9RV6HrA2s2oMDLN6xpaVOFEgJ2U 8Jc8DdCmY0TP6crBGIG6SVTVaMuE7l9UB7aLhUZ5YhnrtIy8w9T4GJWfyOucv+zJuJOIuIvGSxze zWbzym/NpJz4tjssrlQb4Lwiztm9eEoUQZVgM0+kOMzK1w9vtpkdmnUlT6Zdjl8Lu86/HoHwqWvh 3+/V/SJ84Po91i8dfmMdgl/kJnjoyBxkBTHlIGo4neroLg8lq/U9JVk+iIv0Q+9bJNV9/A9oJpkl Xzj9EEFq4mtuuSs3Cxc/fLhFSe3kWqzyw+jb4z2x7aC5CGbyGYq/lnhroIb210sl+WD74tJ353Te 4w2lOecapYhGY6Nhlm8bOK1xwQZtNahJDop3xiTx/cV98cvQkJeV6YzWhoVoyFrvFuxKUJM4A2+2 9GJeKwkbNY+CrMbnw8z+wFLhvwKl+hrH5erny75GaiyKOyp57nNWwyKkO7unhal4VVfeWCfqKfNk hANdNwcK0ipSGxHQssY3HVeEftBIVryZoH1RwiQpZ7n5poBD+qyrQ3wa0fXGCudJhqP2c/jti+HI 07VBvnUDM+Xsup0UD7xZnLsf33gZ+IT9LGPNOr8iHkbiTkyufVlnYUv4PR9RaNwPqQiJjRMI2uf4 SnbPplw2Z4c1FM7p2ipVFdRDZaYtpbnvR3HAsBEZ+cYLL8Y0lkp/guh9v+4StHMQ/ukD9TEdzYkc H9IiqNyHCY/96rFBEgAegErKuS3Gn5qrqYVjRS6Z8jp6tmM+BKh8QB6kYn3FBpbIF77+gX3YLXTr 46DcEI5Bd/u/aQzDQOnEIvmg8x12rEsGxMEu34OOFpt1893F6eTKJ7MkXwlc7aN7Xw00EnkE4Tlz O9m1dob4OjTLqQwxnvW5pqGhNlN4UiuqE+IJPxFGHghTWmzasI6xJ+t91Es7lR4wJtYQTSNW6Nwg TeyquZRBoITAEkm/t4lKsa7D1adQJ0zz54RQhTCY5zQrqC3uWCkmZ6UwkdBlBKNx+fyZqm2L2cf0 uLIq13EYib6LpJ9b6N0mstMZ2Yd9/LlIzrBB+AvlCa2+UmPCENxoNM53qBkZQqlv93gvzn+LJ54C BLLKe4ziQvUbP4CKBJez+C3QR3J36ZcWKk7XorNQbUQ2DZv0ySsN4+fqsIHwVcZoIs7zgorzR4tu uQh2fYOkZbDD8K2AHtqo6B3/GFfWkYmZrWiX/obM8garso2F61qUXl4cOZ/DlBmO5fqeClrLEDaN mnJ7J9vxZlmVxTz+UTMsFByyyRAwS8+/j61PoFUUKwsVD3uDmRQfNgNh5AlP0hgBP1v9ooviQJyC fizBVECGZ44vCgrzDnNwir/7j16fkLqvKBWcnKZhDqH3ZcJPvRcY/CiPH67jxeyYPM6XFAX04kG7 ZCOV3s6mxil6VdfHuJioiKDReP4HbzxzBB6ErbKczNpgf9HQO2OeM/i9bDeqjC7cvok9qIpPEsSS MX3iihl6tH004ovwuhHcv15eshzXvsAqWnZ5jMUVtSuebMNU4Wbd7jPE+vB+WpywW+ILnooiKVxR cRbrIPZ8CWo6+t/RY08d4yr0FL2MA5EbzJeaBASumMQy8qtnPxAyViY99ERJqHQTED0ZBCaeXoO4 McwdMciwNRcWyA0crKGwut2fjualfnxWBZw6jre8ywhun+oFTjBEThRPGgpTjFTM3l1Hb+3/heEj 9g3gw8P08CECdit7ziyyutoZ4IfpyWFXMquksIuMNRtu34zeIuW/Rvd1y2EIHszq86kA7BHyjOAf xaBE70Weni3eGnRL/z7mYCqq2eq9KlTDAaFetDRpQZEwdW+XbFiv/9iXkgWsvvKBFOrTr4f2C53f IWYYFNNwg+ZOJiqYnHa8oGeqpVRrxz/twJj3jtP8LZMSx8T9uqY0/ua3dRpHZmwDOlliJUWW2TQ1 VMcvJsSwfhBTPMPxAoZHZCkqrDkDNxLtvnK0YGUfk3q1kE4jyC8+vdqFqfljYYdL06U707l4hPV2 P0qyxKWbe2cGJiswDMkAOtYsnTPKAH+OVSv7YR7C9BIm7uILiyquVfOrnLH9b3GFbj4H7+xpLUkN yCuGo/YWmGpnqXsE4u8Y1muRsORJLXqgIH8xxUumOutCpba5G1RilvNzRH7EQRKaIqVlxN7BK9g7 pVraRKW1asbxBKHST67VG5yBQANECGjnGTcIUVYEby/OBkkWrEYwdh/xwJAJMOapUrtITXEllQQR Q6Mfpkim0d3HSjFYkWKLWAk4GijB0/mjnbRVl8odBfzmnO/lQoaFjumr1moveBp+xtZd4GfqT//q Ou9PAQEhf73Q/abGY+v7AaRJaTFqAkXj5g2fcSBtPdKXP9t7FaGUzPivxTIEEoPOO+UTQwJnGoCO DsgUef0j+Uxjlm/yu1R+ezHgkOlcCO0+4C788cqRPTj6O8kCfz61hyJRQ6SOymwtHlW+/K5WuPxI Db8CPlqX+WGA55K0AKShtSuA0ySCgQoAsDR7RdiJPy3d3aG5VEgsE+P0TIw7mNET4rssbI557Li5 Jy3fGS7zFnSxa6uSrsIaSpeGFopo6trjxASWfKibaWGIG6bmWQsPbNP7AqKRswu0YxqQ9lDtxOpj 26mnRWiTKw9oTvZfwBc6Oy9+wsLhhornL/2+kykp4wrIMaKtd1+LvGtahUUh2gPdOFpWF9flGWLr hxOIhQewjgNGTiK4Yem7+RmMFAKmuq3iTitbMdixZ8Dv6pz9+/+fL1ErRNhxw46xgrdwBnlz0icI U6iwtE24JlMVQDbsv8sNZ8hPNBiFHHW0pXfX0cOQYX3NBLW4/u34vw9Cv2hmESVG9KRdDUhE55FP TwqIxIXRhbd3Z/mOqa1YHQq9WzJMG//+qNhSg2ZiMPZHjpZyNdmms9KiMZKAwNeq8beEAQMdBVAD bRWm6Nr5zrRdmOc2HxdIpc1L7B3yU4oIt0njVCrOmgxELup2UYnqL5Kb0UpmYXDm1dx5976/5c93 gzJ1UiWdBTYH55rNS2jNo4A+z0guv2osCDnBqODFs+Z0gfj0Kyu+wpt7Mr3pKpMKgjHSZlwcODvr 2gkE6o7hKH6PKy7yZls11YnR8+ELF5Z+JHsyalXwatV+irYiOrnsR6DfZwKoQVYWBArUDvKCAYo8 KcXIV+6bkXlwcM3Vi9t2yLtTwR5mw7YoXp6gWYilDNMMFyWrDUAmNcgDzuGUPhilbzoWtN384Nq5 BfoRhvs1qt5Kb0LMMcWdWAEwTSZ6vEHZYOkFiVYcOmFKGBFHuQ0RLR9pPXo41mcwwVcvxPTLZiic XrTI5UYBWK83APQQKKIVqCLy/a3R5XTlniOC5MhXN1GCffLKjXQJA4p1t5M6vweqY+8VbOMKUyGj QEJt0o7yvBSgyjA+JWbV6N/LGQ0VLIW8QScfapjFD+BRfQ2dXuU4mDqIABvDBRao4dnJ4nZV3r0c Je8CT/HsuCsaTSEwLqcoTQQw3bcjwquMz/MP1l1bn2T9oKR0zG4fG4gCX4dIqRvNG3+6kLJ3p3/m IofZ3gN4iKUJX51T1hvfJll2AowJ0U5AdwNosjMBaofahGK+rtTJMZ+PsGqRSE9hDHcTwm1+3JsQ 5M99sox8MaMDgQLPVIn0UoI/79XNLvHVkHQhST5oMt4yt2Fql299DakVCAdUqC5IOdEh+Mhz4FYY hdT425nXfP6A2vLgp5Z++cGZCVoL78QZUAp1elaxmprEGQG2ZvWo9sayUcNwQgBnVw4DBtj4GZ/5 isqLTt8VATwLsDzyrmYw2Z+YBK+E8EDfef9QD7mpNNhKo/aWbu5y03UsqodmT2GllfsOBhTynQeq XtiXQ5hXH7K4eaOjohLMD63TKTkuqYSDnbPawHnDQ//6NJxm+GAWqVzhGsWv1N7N2YtmuZa/BDCm ltE4NCP47Dq+Kma2c12c0Mk8FxrWEjgwQDeE90dZZV2mJ4MruHug4PArQC9ks6FuE79uoR5pVXqL 0z5I2MSKu9ItM1Q79CjFaIkkkyPD/A5xM1O7F2OldMB+EqSL0GfqUmaPj2r1CYi6qie4kiVIMBA2 pk9Lm2bQz/aiID6aD9BZbJjPFAB6vHXeFD2INrm35mV0FVA8gHp4sRkJxr4FxkdeKLUfrldgesQf 3hh+e4DBn56LChaMFO5sQ2FtwK8cEkHf3WukJFSlX4ZZUdtmdh2ENLdyCTlUZ4Fj3cA5RtctFgNe cU4GGsu3pRC6t1thw1VviRS9vljIx9qdL2Hnk57Ch8bJU0mOSj37sZFqtpQSkSBuycTkPymNBmMA LrVd/1lizXYQBgk8msRSGQ97d/gSXRk3pnGZDnGn9idqe/IaRVd8Yh91NnY3ckYvolNqAGWUJlje YghdVH3HFsBzsmWPOAYlsG9fBoTnTslmQmVMGKlGH28AKsI+y0CpsutWWJypcAo02/Esy1xBt9RE vEdvHa+dgZ53ec4DEf/qBbvqotmsxVfXEw2CueFxMUefCfMEBY5t32ZM2lXKq9tudj4o7weq8A6S mVKljQeA4jqP2Xgfzh8q2vowfNqE6QHIRz6U21vGTG2qP/zcBF4xMVKuAc63rPOxaDmMpG/fe6tK 2K2QpqW23pq7JQ9VAMOOt/Oo24KcLxlHaeo5uvlM/9yddwgJ1DaEoIzZcY6COgAHOildgHevO2hq EZ8EgxguZZUoCtTLn34zCxKhKJUuifJU2Ki61pQy2JOvu1NAvba+yyNP0es0wsUK5W5yYuiKzrlS 0u1gLAbtemzbiugYMWvLb75xD5Sy1Ri/sX1HL1hMsM4nFBxrJJfbrl0AO0/fdnu2eceV0rU2JE2p 3Bec8ngMHlKhnKlz9ol/uwjxxiUgCE013Rpr5aqeGWPowPvaE+W3juQlyG4JUcfPk/zJsZotsLtx M74PQy1epeXv9dLeLIqpVjSw8MmZaoEGWZLMilCmxNA4cwDwxtbmYPJVWOzcPqQSdw7EcHG+aArY hH8EkG4Sf16f4IwI55OPptR6x2AICznAswsChGmRXhma7D4KlDN91Mo+f5L1NkZvToao5+zloiTK IPqMJ00IxKPO2mFdTlkWDaCy9m8+mlCB5yvjnB6kf+jq+pl17lKhB07ZvNJeJFoCHskhZ5RXOowE B9XpSWtCjiEYyaIvjiH5yk+YEEsCTfFBiGiuW+OriguMEIq0minpURbmRVWAucI342Tqv4Fc5Gks Oyl0feT7/PwrzF4QQcDNo0PjbjDpAi4GNESuKYmFscCwaRIzYId8ZyYU8qZcO07yI6c4+91oBej1 bOfsfdrvOeqDvLNiK703eT1Pa7xJcLMbngkpv6f4KTF/YcFSDeNR8tKPkdtXIAYYdgic93lmhOpa j9JWJOvGvwTOef3apN/ZYZhczsWV4mGTJgQGyuzYS1xKcpFnxmrUKlyXr6Lspvrt7Px3sg33jnv0 fBgWsSQ9NLq2imvvMRCIWUsPiA92riOFnto32bvLzkb/9CaXvziU9cwXQwwGCLLAKn1y6YcIntsS egi7TJR62UYZ0XDneOA/HP7Qv3Dk2qV7iCOUlflGxcnShlASR40hmu9zVVD8af91CG+0TLjVyAvJ M88QPhzXUtK8MEK5xeVmegzLjUujr0Hdzg+0YWqyK2g8xxLyKdoxsSrTNhagVjNwm9us8I1tIk0J 3mGI4SlwKtef6ap6IyZ4GLeuKhveEvxXxJTcqHYcxWYmwojoeg8GqZzVeY5hsK7Ns+t+JD29B+3Q inn8sX5f2NdD8HubZf0LEbKYZ4Pos/PJsMdYXLpZ6DZKavCAMnCy8KS3IDk2KyTRDScKJBrjnZb4 ighWzkvv1thStUzryuyCzWGrJU2tKWUUwsMNt0jQ3Goqo3oNe/xSv1FFHmm66Q62dufdfC9o+E8s zs7RHZ6jqDatT2Rc7h+E4V1QmKhEJj2J7xt62ABfbyyl2FucweuupPZO3ldqW+il6DaFx4KzrRRm kcLtb+5S4xz2Xgy4Mdu3P24inqrKvmIWPrpF0mWH8EJMDp70CwQiWhTM9xiJ5BJeYL4L5ejirpMA r3+ljl/Ll4xVw7UvA8R7KrBk4EynXvmMRDer1S4h0KiIIhdwT0eJcZWtEmIEjOrzOPFIwglpDFMc njr0ADwYEQPplcHdfElkMyZtk//3tZVDAZVwsKOGEDeYUAAKVdfDTtqqR6/x9XgbQ0IE0Kc3kZd9 95O2qUaBdYfWhATFXTiFJcKt2CFzMKJ1VX3V+pVUEYOswKO5yBnmHIGOrk2yXAKer4Br/O4rac1X dsR5HuOj+jBgS1XrqIkzRGKy52QsS8CjDcVTSFxQ1fJRA/sj5WQGliB69MK7zHl0Unsp0mNFlS1u zp3U+Jol30fpYpMguVomJiwKXlzj/leTICQ4yC5Alyg4yrORNlPA+/MzTd2yuB32I5vkgso7/tRk JU7Fj8/FCvuCfx+LMuLFEIxzpt0AfujsgnycbqMCI4thqm2iRWB/0Xdbd1fAiZY8DJw9hnRpTwa+ XNESeni1lKiTw0IjztzEl6WKO7gM1sOsMO1tgfN14d/nDeldcmsWwlQthGCfv4VE3fpGKm39V2hD 1NRSzJiFpYDQuxg/drM82OZvX98fC+yYR9eXjZckS71Aka661ZdFs8XL7QrYeAhASZu0DADmyEiE 9IoKSVrWEX4F2gV1UkWUx+aG0GAIGKp3KYxTrKfQjodT/w6Hlf3HuQzf26sHdr51/eFfpkcPsxqS oH/jT7KYs9rm3aWbyD/ZZa5DITdo9eGsj6T0256m4B9QTbkd/SJENznB8r3kavW+ZgXN7WNomf+1 Cns2zhxNU5zqyRPY1JGYwQdiVD/da7pXo2bo8cz4J2yTO/H73i0+izH5lLBkrQFcku1h0hX/Xj4N 89B0TiH+5nmj9J3HmPHox2qdLCcxDfdw/4EhEnat17HUMYUrhnr3S9yJFnFJ8+JOXGY/aKrsiy7v Igee2liXSBwpWxhBq1EQX3v1YJuu9WvpxqXMAsrm1mUPouBq2yKFT5v9LbLF5UVFPrRNmcxnZ+Un UNfhKRlk4T68boRCo/ONe/SxX+SE+2tP8I9H9YA42ktCkoDFGDKJAUSIJxp6aVbj6C3G4DCCXN6I /dLOC8NwFJ1arkW9BW/F4VvHcznsjt4x8EnKMf1m2Y3w6J6r+EewCcN6vkUaJTo9C2xPOJGVM4/n R7CaVMh4gG7FcW+JkSVts2iXfIU1/l1J6QImtbC5gZved2u7qHr+/0rtcwcalIebWSR2x/Pv2Vdz TX6579wvzh5lH9FHfG64FZf0yBM07GJn/Svwcn+8ecNd+k8F2UNZnBcXBNdoOAnT3tKYQNlQT9C5 dpJeNeOb+wS4JpauKdaH5N7xKDLKRk9mycSqOpxw4uqpnTXIGtxIoKlZJdiXx/Yddhnqwterj64K dv7C1DVUzgfUUxWq1jna+W1Hxx6u38zjSx7kkn9U/nc+qt6zEnO4VerGkCY3w0R5qAWkWUoDph5S RVYY51IQ50PS3VUa4WtBtYjpFwQFIy15jbL5Orb30QGV2cqOT3sCK9MizPeRBQ6rpGv0rIkmcTt4 DS298E3zv+LcqKoToyy9oRzVDz2fbssDkJhof2j2auihDd/gY3IHu4r8ZXsy/UjwIt8rWXi7EZSi MmTFij0GwACA0uiTc36sKosBDkYbnn+Wvnb/0jSAC8WOOWgs9FfIKVAGWtu5sIQIOU2T278teOqM CbMqYL1YXGcCqz2MJewMxEC17Q+rU7EuNAccGh/MU2QxwQ90r06yB4RmL4MBK5R9izLHOeiRFMDH WJ0q0dCU4QyLNjUQM48mkJKOTUx5DIYi03qXps7jK2s2qnLN63LX90gZGY52/lCoJTijuH0T5wb/ 8Stga5QxcaQHINYMRRr+ab2RedqBvdWOZ7t8Yapyk66g/RK2TYuQGlamVxbewA8uyoQexjbuZRSU JNAX9NX/OIysVQPhfjqiY+4zC8ysg7DiBdH3ycLOtq9Up0yH88CxpHkvemikoy8zH6ccDtm2xweQ sIAYvcUUY7Xh7ZkmdB/TQfV7VirvnyA+eZwJ4lJXfCnGJJq2mKkH7EBEaB7t7YEakcjpyhxTMens z0y/VGzu1heCmeFuXfW2cKPgCexIOTLVsemPTSI7fFOq0ojHjVV0JNtKvS7fsS6DrI1BfNcC85pG aDUvHXCymMT9gLtqt93b7MC/r0bC0eQIFSbM44HZunpCIPPDCf4vuQbz3TuXjruDxJbW8NounEKX WnDB+biky0vj/L1DYNzErV9GRZMgP/iVkvLAtu2l8j3CpHU8Bo1UjUi8kQtPUD4BboPi19mbSW7D Eh+d7F02XzLYHJfdHI9iruhwg8aIjm/F66P5p5zroCSlxdoYN4ZFQh5CXTrcwJPJULXPZLtHZGc+ FPBz/B/JxW3LwGFu5UvMjvpSyil/X/BjLCtplWvdOnbJtlaumRoDmCem5Yye799p7BMh1YgopHms wIyobEkFxCU3PmwjOPnzzNYi4knXU5/muAJ+CpaiTa0EQWep2O1mmjd//alySeTl3x/AfR2ArceD 8lnqIoNHuhyvRhvW3X1WV0fiWRBa/PNZjECwhQX3M5tjSVt56IL2axKkmd1y58Qh3DpVFhoqebk9 9SswdEp/APVF+VhY+f9V118FuFB5dmnPu9VA5moQpIvAyYvK6kifzldifN+5vcO8S9OAJlYIN0tP iDIwEaAIc6Qurb7C7CF7P9Euu9wdEI+58MTGNwyvMNTRR19iezvR/4NWKrGFmJSJU392w3kCnvFo +1OzGXb45h+/qXzm5DppmGu6qoHiVgFENrHJAXMD02sfjr7vifKtdqYPBmYKoOzaDqsm5UaC6n/w MkEhuPaJS/k2p2A8jaCVy8Fx88sUoeWiGT4BJZTBY01ZLQxsbG69DW7uDc6y+EP6gooYCzgAC9be jjTtoTcGTtTcUAe0t9ewhJjP4BhYEJhT7M/64kK7+GGrK2Uig2OiebPQ9ucXqIJLHqEtzUq9qpQ0 l0HUw6IKkJw0OIn7+CMShiu4SxpAIr9xIKsSXMdIpeTjx0DJPIHo+lOYQ/qJioUez5JF1SGgQ0QF Dt1jStVmWYAd7EIfAosG0znOZq5DmZIIqdb0MyCt7mvIoarKtU/O4B3xfFgHXiDvtbfCCVnvICkt GdvNogtEAcySbrB9E4JMdIzY1PDa9ohzqPExWQrZ2ZuQGSgYJpfjJJ3Ov/kWQ/ytwp2M+pnvtMOr to6ekKBgkzvXR+RAPdhIg+PaFrzOYUT3PclMn/o6Dah+ge3rPhvOASgHwlbf8Wnton/uPWi05yaZ NBpfUyw6bPgc3+j1t+aaNWU849eyY7SwKjJyO03NBPd2YIDvPgyZFb3Urx14ZcxDcBcNCDdIwTfo NXxCxiLF0oGdAyyCmwpCSv1heQvWkz/jpy0Pgv4xEGky9VrFdy3AEQ3R0ReUHIZV+jgCTFv75QJD hUUO/GHmi3g9fjm/MnhBor7o7Px9XeYw9KgUIyThSH0jSF0J8brfLdEdmvinyT0p4KUnxlA+AI6h emPt6o1kHi2v7AwgqmaHdV1pOWc37hcM7G2FD/ki0GjMWVaSA7N0ZX4XmX2DdcUOs9Lq9+2QsGvz wtEySHIEQdeYws6DYtiOSoIjB2WepY/HnJAc+/VpzYV1+KP4p/fw5DnuOMsjsmkbS+hpp7zsaboB p/oqWyHrsFmH2B9FtACmQ2fxev+MIW5G/m94zLkca1/fqaBXS8EAOOqeThVC+ZbRsu+fjk7u0GEB KlpgVk9RseaSsVSnPzqA+XAZhKVYrwLL6GtHxfKcheMHDAd2zA7ar8FEd9q8A2zB8PbaKY21hxP7 0emnNCpYHcUW8kp47cNwDKNyrWqPX+lIC2o0N7Pv38o9I2/+p/8KcSA9nLX+ZCRuf/ixmcFzPEIM anhdEBTvkTYwovHzEPy9BLg96Wyj2cz2LT0XcrW0SlmiHjM9drF+OOeDOgCBoPFbjhOBre/4zOGZ Hrn2gqmPvFqVa5DK8AF3MoTeFb2wrflJJdjVF2Y2kY4VpC5G6ZnqMSpFkciGzWJjuLrssNzVat3/ VssX9fuYNc1KJX3h7vUakXWNaWWNWWB19pKmnP1fVHKLcxNX6WSwlHdw8/yPLij4QryuelR0ww8f zvBJkhLY7AlHepAegyK6RfxuoWFLG4NHQaPnBsx8C2POzCBmXsx+qDJoGFOQcyrJ+FhQ57k68AxE lbV42PhLBJnN3EbyROX0LgVKGfWAKnKQPreOOu2SHUmrBjNFzmy/Fhc7x3hl76FILxtMdNlKRRWw JUhP3CfMQs1aWMxvnQ/RnxErwlkJhs6LmHuqDoylihmr+3uOKTfa0r9e0LY4zQvh+KskCaBXyBmB eUwvsA5akd9sRZaqpGTlc9xeswHX7FP4mE7scD4K5wrk7flxuP1erFNCW9G84IG6E/fXB8P4V8Je 9JIbnh6aJ1AMoMMHd8Y6LGgqTNOH0zbl8iYHVSpVqOfocjmmoVeJXFjxoBQdU6kZIi0jaklA/SEa 5KCn60uPAPbR67FA/1ZcyDBSdEows2ZAL2mq/U73SbdD5ieaHCk91f8tP16OWoyp/t/S8sbNsMR7 bsux0IyZA4JwSquVBJsDAnEd8/LNMsLpN5UYA/FrjkUNo0c1Q9+5bUTHkHt+GAbw3nNjI3VXVsqc nHvqrGzwNgaZFVX5TINPqgj5walnpsZrBktg7ZfzzSf4Mzd532NpB7U7XZl60zCdRyYwYrvS/tMW HSBqrlSe1ftQ6R0zIFF5CKG+fe8WizUzg97axeKF6ttVtfoP1PoIDpnmQgRMcKQaAr1+9GW0XuX9 D/kZf2Rfd2P2nyzeppu0z9CtcvtP5Ec11C0ZXW29BvenQaYAmkAiC2CofD02g7TNcJhEbIBPDpYQ h6/SoWd+35ObMH6X1dYAX6c30X6ez2PbLrrLtIt8GeXWZVA7neiq7ncjoDZmC7IWdBtz+VKSbAPZ ZHvqwnT7u0Q7OUC+n458GVV7sZR5RvAp68YaBrH/gmjFigNEMXmj7uqbPhtB4+exuZbRpkjA+PGT tnzIdiRLJ301U0ejn2A7+q0XOSr34EQPYzBGHsB9KdXBOZrLQD0oY99AFgLniXYQ02qbfalIWtQz VCjdkKW4K6QSN/T5c/oKWwRejf3b28Lk1yZXAn321gGMXyhCJffIuIfh9JQPagbtxxXMYml8NN0+ UfoViYFaGj3dJPv4EbeyBw+cAf2UY9pHVocaD4iz7IMPbhlkkG/h53U/KQxnhgJzgc7yczenKqFx wRWrljds+FU8qoc+I1DdXVYEZ3H9sHK1C4piQ8Mt1UNchXOAS+NBLyYY+9WA/LfmojZgFRtPpLYl TMYdZVyZ5vS4rgIlJw/zw3jPBepg1ahuHSG8Dsl/SHzfPjSBejNgv1+La3PkOVbkCqS26jsP14f8 Rcszzkiof9JXW06ZU+VO981AVb+FLzVDKVeSLTi4rDeRJYdVsVy20Hwq4M/KNhJKls+eMjaiouNH YITn5jHLvjKNQCP6AK2p+xC8nw0TP3trCX/FtON155LM31uLnRFebKYsbmN3DvrG9i892VkjzrLN wyYN4gps/1aSAuKLSdJtTil3SIePX1iczYPSE0j5Io3SQExWV8a0lrN75uGPKMRCuZAhCGw5U0il RwFxQyB7VVXcmhSIIDUgHnkL/G2TGfKuhv5sCEyv/kusmF9uPxWy7bq3eoP4mBl/19HZx+5pxzlx AOrWB90D32keJcS8KezML6D7b/8vFYR8Oz6N5M5YF0Ms7/WlISBWJwI2EScVYpU2SeU2o4rJUotJ /HkM1JyXQQIsBXWMm1W6HpjkLUzoD8J39ABTZqoxZcUnIqdxGBGifQrgqQB/lbwT0rAxUityU6ur m7M/TZ6fBUWoUsELAi5Arb6cqtII+lfmqwbfFu8yPe8uZrAOCSg+t20xwKamZDeLG7dywUksyq8r VBFs/VhpLiU5X9PijdwcIcnjByWrDPGdfxpWnjHpl9w/yRE4yLypZnZ4XJdTyoSl1P9hYVPm8V0d YAZ1nNAkjCQP48BpGv1VgrwMhwS3wD2BGjlV12flyB18+hL7VrsRYa7pRDeuugjtjkBO/187ZX9P mOBL2bhLSv3CXTWTOHKmKAZYL/26uz7Fe94F1NXSbmlzw9ddyH00zXzFIVGZeoIGsAvJsx+plQ75 EEBI6hjmRt9PlQxFA0Vfbhg77do+fDguJkuLjQmqi3ubQ9p3u7wjBWzzYqb52xj5j/xgwRBlXDl8 X94HVK1FDwAs5lHMZhCVpOU4COlEt0mUmvXg3RroYkUCWf7E8R/wBdt4ZOn35yVHn/r0IVHrSSAL 80BO0XjCoTqcfTbTQ2vhpVyNKhxAwHUfu0nDOMGgvjDZvgODuc7+JvjaCQHGPKVaJIQ0V+MiNac7 38DbjsYoR3eI/U39LWm3FBA/1yKXX7cZcaPKIa8cvPWruZbEipm6aqMc4u3ZFMEHTz0Hae/wyU18 kfctFkDtqFehvysuNFlyWfGw+OTkrsA1mnlA/8v8TVXBmtfdTqzjrLezcMAaPap9zncarorB8h5w zz2jShZ5pORfw5r2+PjaBp3zDigozLIqyw2r07e3A0mdNCmT3SH0iLkUnkTU1Ctl8AqsMuN4Hcts dX+iAOo45OKNFdYDPdc+R5mLluLLbOL5ABwfApJdGqXqWMVDvp/Tf5YgykJqxjIzpoLTqJ8QOubL j4OIWrMQX+Y2t3mjMu/xYoyoegP7Db4me8LLVSG4XrruF04aIJCprSCkUE4KvY0kis9Cz5SjUDXc K1Y6+mtNaa0eyYZytJYiigMOy7q1jML4sTGQXeVcYGAVFhE0e9mAjqBOmrhtsOaKYc9PN1YFxk1M 8yRYu3zWo2gPDgmdise3IJ2IIdjmmgWmgSR7PImGlDCd34T7LDJ5l4QmhrternMcUbhq4UAMTNbr movOkrX3mDp+loArmXhh8aKHYiZUMvY9Wc3sLD7AVrS/cYcZl+P4ov8kqtdNm9FPw8Ut4aZ725C1 048gfix8VCP3xZTE967aIdyIyEutjQ6B3XlaGTGKKA2WAUF2MZRES831jGrz7bogg6nCxSNxjOh7 OZG5k5ZSrRrgcrRqnpUwq55hHEwNwtrYzih1LBlB0KktCVUFulPJSAP3/14B8HThUpSe4l0lBa3P +ZgIOxHcJnnKJT7i38zGRwO/N+ypXh+q7Kx2OSGGtbbZOrZCnWSM2CHXkVV9wZwCPyKD7NQUcBcd NaZy3tZdCGVOZvYYpHQHPArSQ9zjYbMeWZ1m+WiPh7qJTVbcFTA/Znq8z7B+80gubQ9drEk/ZFWf MPN3ryzWbSkThTg/taydn3mSE+KjKV3IXO/wD0TDPdDOCd5E4X9TKiunPNqawQ0xv9FFyXyxWkY/ yMsxpPR+X5DLfWQErgxnt/0mppL9Fj08BME18i1/dOgBpFV0u6xb6ScCIai3KoWVMjPP8+ej9GLB Z2iY2E+RhmB96hL3QF4HtJ6DDLS7cOrJPpe1KKrCOD7FG3AKa/XznTr17m0cRez4/20GhL569d97 0slQGkDMOXXzftlcXGDhgaq2fj5eiw98GXEfaeKqdPvAgLD83G2I5twRE364hAM4bbsCiEhGsx6f LkhOGiHiUyrUkDc0vFdADgT1gWmdVqj5PVAiSRrsOAAUH9z57byxhXGgKX8h6BKItTSGtqFhQDt/ /fOpM6rmrRLqFm9c86NYS1KF0hDXPNP6BSMxiYNU5zG9bde7cHEgMsEJnAB/JYwy3KTIpXzNdkuR NRndYUtnEya7Nn/gZwVchCnoFAnZzJ+H++u4yfQetacpydwXQHHAnjBrF6o8W5IbCQ3k3iMbdIcV P177o9EI9fwZr4fpyqTaCG2uAfPoq43EbIWjm7R8/f6txrJXG9FB3xcHh5yzO8O3BNmpBJzBdfH9 XMuhjU0MQGiJVB0g6nD+B40FujuLMyTVBmV/TC7DFc3qMw3s4DomdlySCfgNrf65eAQUicb+tRpJ EzGXnoQHrSo4T2BAgEAIceRNvDVSmf/eZwYmt7p9LaIFVLNueuZNjaWhW0PVZYGzI7DkGoB2F98c 9Q/AP2Ijo9D+0q92kk8FEYHA2SfZBdN3XLOKb9ZfwA7UUr/r6oOSdp3kYUMwjCxtyHVEebsZt7aF haWbiIt+1m73WJdyvGzxe+uJk5opCEdc6Fzlu+spSo/mwC6oiDre2b4US4rH36BG7sSUeYS5Dndc oQSLG75JQsN0wYMombpjkeOOoypOFwzOD31ZYtiD4cETZFYa+MfxQWTkMEBI/wdPC6KU2JkDVa+f qXbljn5yWHNxgFjjqq6yNKZ5Ex+Z6XXzfocx+M67evMNcNnjz18gcJmynxWNCtets0h7htrYia3m pQjp7mwzlAqqTC3XShM38NMEUcgxiQCtzR5BYnhLNQB+Dfq77AfiE1NbT9HxkG7uy1679DG2/UBX yQbbGBnxmlWywGr13fdtR77LuVeHnxFwEDqgROAL1KAhjZNl7MVfiyfdsFBhu8S8XZPinvWVjsT9 nPyrnlDWDPrzSLBEmRqBWyxV0T1QMz1q94RMKaqMfSMrT5WFobxh4H6ad0pCoQJ/JeiMBx/zDIsy xb9b/Ylh39Nwj82k2FsTzH+fInZCWdEGhscDBMO/DRlKQ7qWqpenrz+l92bKoKKNuggBUzuHbCLU Ey7U2SMTZaHfDRKd1kB2LrXjnnzVEyKMu0t0ed7rewS/2U7xHQ0zYzN8k75Eas6JllRjLY74lIPn pfQs9K/nVax731DXq47+bHSlg+hu+IO9NU3gF0I5aCAREW5Ll37ybLD7/JjuiBjhaRss/nCYPRQI RHPtPuaEsd3iHAx68hGNq7Znd8TVCo/jFcYunTxsSFOk5w+aKwr3wiQFqRrAPK4zXgNCKkL6CUHt p0wCfmEbRE6gP4MejRgx+kZKbO2xQZwjG29WwRLoxSCDoxZPv6jcwGmstcmB7xLr8RIA9tdFD7tO XBx3d+tz+xKI2kCiUYJduYKWxMVuSoM2DI93tezUcJl7OghJMJoefWr9gnWZNq9LJjD4wv1XuFyf clsM/52mEOqBxXOMwhqvBgmuyCC1zBpkgZpHCxANfB28r4388hR3Pb0cQXQ2+l0G/urGOmM0wO5l H3dSvvwM0dj4f2RtKyrZT5pkw7S7hQfTLh+Re1Rv2nD+pdvlla32/MMc//KI22rJQNpYHiiN9V2e kav7StxgE8ztQi0NAfuRewpvfwjBYk3pbYcDJPdp+7ZGnW9XKfKKRCiUhIx073AlmCz35PHO0ssF dLea9lyAcNMr648VPo/ZoccHQ5NJH7HlH8v3rg4i6x+rzxELAw21oXTD1Ek6JvGxlRKO3kaBt+na 1rjqJo7rDNutNGITxL4WNPwRL7nD5ivlqE9ksO39Es6qCxrQYDy4Z8iXHL3zy9nRzYdzZdWL+/28 0WoSV8E5d0PNPq2yNSHxwKdIAh4dk8aYKT4grtILOAsJoJHVB7GxtQnnkc/m2+uz0RDqPQx2Pjne n+jLQaYm6dURRwk2I3IShP7fVqBVpp320hMHkJZsW3rrf+UYDQXAhr6EbcqaYUjz2gQFfgETgPH5 2IHIuxUO7t+ABGBWK3KtQZBbxQe70h0ZJDrNhG4UznPYuhOT0yt40i5jDfxQ/tf9eK4+CMc91m8x U9UsZAwylNw9vjGxy0a02u+MZgyIbB456hMp+T7y9YwbVd1Sw7FjWzPs/zCCnkDjzdxGNOPJVaOb xSJY0lZ493mdke6C4TMdJ/bzpwVz4I92ZO3gXBVWCdPyNaQ2NYm/wHOdAfRX9mp0J65zGhOjkrxQ M15QsR4TyUfZhBzaj0q+760TDL/Ot2h6NGRQB8ePKnRiXCD9XF8I5mdphX4zCgymOU44AwNtzb45 GU0dcjNYsAXrDD5c1sBqlF9DkVu+Q4+lPqsz4jMOa2wH37oXtUnMvmGjzT5gaMtqnlkIylbAuOj+ L4HrNzJajlBgawi7/VNEW/oGLFarRK85fkGrmVQVrIZW/ws89Kb6WZ2mvk/fFDj/rRh/gJzOqC+O lpkRXVI8EBUGhmnsvy56kxjQUvVgal7FiLe8E67vgdYEwSt+m0KIQEhn7tamQddOueodE7uGd92m V3IN7vL+olXmd7IRBYUHESlbx3UZYWSwjUW6oqW1ykgvznoRugKQ0H0UBEZcRWcFscRfo3bzhJUE gQ7O58csNoZlXA0ev6w4djvF8HfGF3z3l2Cpt+/FtS8mZkqyO6cPzdQikcD6XuxqGXN6800l5w5W z7zRVM96dcQhHTVNTRxsLl57nZOa0w6NVR1Ohu9ngV21bXy+sWXtvY4NYJ4kjzKoEuaADmpRcDmH sKvTJuTei0SQqiInlsxtB5bV1WZvE82dtMqND3f2aimJBzr4TdHx0fi8FN4WvAZyo+NXuEkv6A+R ru64gqmWknTupcrur7my3Eq5OlIgRBrm6+54pjjOcDEogBvpDdh5WSiUSW64qoWwltJvmK3uiKTn VQ6yCNLNSvLjpOMXwOJqB6NBUR2XiS9KOI2BcK9KcrCPIge5kP3+z9+nUTjtJqLNhWnvUmcsf4SJ aREWqhzEk1TS6HFO7NVjnIN2NNajvcCcT0vEeX9gyUVArtbxVpG5l8rzOt7NxLzaCR/bWI6vf0y6 Pm3GDn49TXB76wOchXiiUQcp5RsZLwZiOPki4qmoLJNh6q4dSuXWVEeKr/jIQ2PpkfqiWTz9Avtn 2PmzRLWYXT++ZAE7ckkYdxCAeAC4DZkmAxQsVLxVOg+dG0Ee8ee2mkxXGL+nsYbhNFjGa8fc6Dsa y/Md48O/8uIRbI+xVBFb03UBeMyHrxye8qsSvt/aAvRAyuwERD2swAUR2eYMtvbyCS3Npl6csIhk Tj0gL41YUhuFEy08vEvRIb14MPYw6dSXw7CfaoxjGTIX/EZ0pun0Aa8ceJHYiPkQJSn7UmfcRIv0 09hq5GtdLbhg49/Lm8lWPD3tSwBPwg7kB8gnyZQyxAZnAECQeGHKoFSSMY3aqeHSVMS+5Gjx7dro MBcbVH5K0v7d2282mA+NVaak3GIFPVyTzjVnFtXYRFQDZk3uGIOt+yVOKYWSgFdfsyo6Y+SEDX/O QdBHtX5Mhqt5xTQnR2nhj22jvA64NtbeywSqN6bu34XNH/HJKYPDLkZJfPuuVsX0GlEN1Wxgzq+T J6dvDgKx3UuDOxffO9WExEoANZ6Hoc0uvfsraaF9pG5X+Mv15q5xZBp3JptpQAQqyLmkRjrwDmcJ ZHFBDv3YpqIaD5ekxDXmTa4kycFQELPjECvyd9PyXf1xBgewqMoAxOEsmB06Lj3wZV3fISFdpiWe dhrwKtSVS/Btlk9tCybWgeyrdYiGMPGgyv05nJPIHfkSdmiJ6jPqI4vyQ+lTlHSsS1IGyQ8PPdAD Kvc/cCEIwdzXvithe0qgu0NaD7FFQkToCgzTYyi+EgHDGgj1nw4jnHQRwXBOaXvjS01w0LO4S3y0 eULVs5ycNkY8RFa1lIWCbpI4BI9uQwoOwfl9fJkQc45vCTKOkm8wfYn0XN394uz58Yi9Lk64BYI+ b9VfFbTZONNNZFPe+jpEnLTXeruTFrptLiErrWmBWm4YtSkuVIjntUIR602yndUphPVi0ikm2PqQ uAA6aofZiIvJHqKaEMTzMWiJEyAtPF9LNC8GiIsKqN+W4Pa02CJS+9k2Y5R7XIKWSFfzVsyFYn75 TBnTc2n1v9lwcMKyFgPOZPdOLXsTPgeVnVCkTY2nDw0F7jGvXn+TXxpkbUCq8DGnQah0aKUDjZ7P YPBJyulRYe37bPP7idzU7xL8reie+EAYdblW246b7Fv6IHvaYuTNE3cnrA== `protect end_protected
bsd-2-clause
85e0349222d698616e53cab2aa2305ee
0.941846
1.850693
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/vhdlFile/package_header/classification_test_input.vhd
1
7,668
-- Test generic clause package PACK1 is generic ( -- Test constants constant con1, con2 : in std_logic := 0; constant con1, con2 : std_logic := 0; constant con1, con2 : in std_logic; constant con1, con2 : std_logic; -- Test signals signal sig1, sig2 : in std_logic bus := 0; signal sig1, sig2 : out std_logic bus := 0; signal sig1, sig2 : inout std_logic bus := 0; signal sig1, sig2 : buffer std_logic bus := 0; signal sig1, sig2 : linkage std_logic bus := 0; signal sig1, sig2 : in std_logic bus; signal sig1, sig2 : out std_logic bus; signal sig1, sig2 : inout std_logic bus; signal sig1, sig2 : buffer std_logic bus; signal sig1, sig2 : linkage std_logic bus; signal sig1, sig2 : std_logic bus := 0; signal sig1, sig2 : std_logic bus := 0; signal sig1, sig2 : std_logic bus := 0; signal sig1, sig2 : std_logic bus := 0; signal sig1, sig2 : std_logic bus := 0; signal sig1, sig2 : std_logic := 0; signal sig1, sig2 : std_logic := 0; signal sig1, sig2 : std_logic := 0; signal sig1, sig2 : std_logic := 0; signal sig1, sig2 : std_logic := 0; signal sig1, sig2 : std_logic; signal sig1, sig2 : std_logic; signal sig1, sig2 : std_logic; signal sig1, sig2 : std_logic; signal sig1, sig2 : std_logic; -- Test signals variable sig1, sig2 : in std_logic := 0; variable sig1, sig2 : out std_logic := 0; variable sig1, sig2 : inout std_logic := 0; variable sig1, sig2 : buffer std_logic := 0; variable sig1, sig2 : linkage std_logic := 0; variable sig1, sig2 : in std_logic ; variable sig1, sig2 : out std_logic ; variable sig1, sig2 : inout std_logic ; variable sig1, sig2 : buffer std_logic ; variable sig1, sig2 : linkage std_logic ; variable sig1, sig2 : std_logic := 0; variable sig1, sig2 : std_logic := 0; variable sig1, sig2 : std_logic := 0; variable sig1, sig2 : std_logic := 0; variable sig1, sig2 : std_logic := 0; variable sig1, sig2 : std_logic; variable sig1, sig2 : std_logic; variable sig1, sig2 : std_logic; variable sig1, sig2 : std_logic; variable sig1, sig2 : std_logic; -- Test unknown sig1, sig2 : in std_logic bus := 0; sig1, sig2 : out std_logic bus := 0; sig1, sig2 : inout std_logic bus := 0; sig1, sig2 : buffer std_logic bus := 0; sig1, sig2 : linkage std_logic bus := 0; sig1, sig2 : in std_logic bus; sig1, sig2 : out std_logic bus; sig1, sig2 : inout std_logic bus; sig1, sig2 : buffer std_logic bus; sig1, sig2 : linkage std_logic bus; sig1, sig2 : std_logic bus := 0; sig1, sig2 : std_logic bus := 0; sig1, sig2 : std_logic bus := 0; sig1, sig2 : std_logic bus := 0; sig1, sig2 : std_logic bus := 0; sig1, sig2 : std_logic := 0; sig1, sig2 : std_logic := 0; sig1, sig2 : std_logic := 0; sig1, sig2 : std_logic := 0; sig1, sig2 : std_logic := 0; sig1, sig2 : std_logic; sig1, sig2 : std_logic; sig1, sig2 : std_logic; sig1, sig2 : std_logic; sig1, sig2 : std_logic; -- Test files file fil1, fil2 : std_logic; file fil1, fil2 : std_logic; -- Test Types type typ1; type typ1; -- Test Procedures procedure proc1 parameter ( signal sig1, sig2 : in std_logic bus := 0; constant con1, con2 : in std_logic := 0; variable sig1, sig2 : in std_logic := 0; sig1, sig2 : in std_logic bus := 0; file fil1, fil2 : std_logic; type typ1) is proc_name; procedure proc1 parameter ( signal sig1, sig2 : in std_logic bus := 0; constant con1, con2 : in std_logic := 0; variable sig1, sig2 : in std_logic := 0; sig1, sig2 : in std_logic bus := 0; file fil1, fil2 : std_logic; type typ1) is <>; procedure proc1 ( signal sig1, sig2 : in std_logic bus := 0; constant con1, con2 : in std_logic := 0; variable sig1, sig2 : in std_logic := 0; sig1, sig2 : in std_logic bus := 0; file fil1, fil2 : std_logic; type typ1) is proc_name; procedure proc1 ( signal sig1, sig2 : in std_logic bus := 0; constant con1, con2 : in std_logic := 0; variable sig1, sig2 : in std_logic := 0; sig1, sig2 : in std_logic bus := 0; file fil1, fil2 : std_logic; type typ1) is <>; procedure proc1 is proc_name; procedure proc1 is <>; procedure proc1; -- Test functions pure function funct1 parameter ( signal sig1, sig2 : in std_logic bus := 0; constant con1, con2 : in std_logic := 0; variable sig1, sig2 : in std_logic := 0; sig1, sig2 : in std_logic bus := 0; file fil1, fil2 : std_logic; type typ1) return boolean is <>; pure function funct1 parameter ( signal sig1, sig2 : in std_logic bus := 0; constant con1, con2 : in std_logic := 0; variable sig1, sig2 : in std_logic := 0; sig1, sig2 : in std_logic bus := 0; file fil1, fil2 : std_logic; type typ1) return boolean is func1; impure function funct1 parameter ( signal sig1, sig2 : in std_logic bus := 0; constant con1, con2 : in std_logic := 0; variable sig1, sig2 : in std_logic := 0; sig1, sig2 : in std_logic bus := 0; file fil1, fil2 : std_logic; type typ1) return boolean is <>; impure function funct1 parameter ( signal sig1, sig2 : in std_logic bus := 0; constant con1, con2 : in std_logic := 0; variable sig1, sig2 : in std_logic := 0; sig1, sig2 : in std_logic bus := 0; file fil1, fil2 : std_logic; type typ1) return boolean is func1; -- Remove Parameter pure function funct1 ( signal sig1, sig2 : in std_logic bus := 0; constant con1, con2 : in std_logic := 0; variable sig1, sig2 : in std_logic := 0; sig1, sig2 : in std_logic bus := 0; file fil1, fil2 : std_logic; type typ1) return boolean is <>; pure function funct1 ( signal sig1, sig2 : in std_logic bus := 0; constant con1, con2 : in std_logic := 0; variable sig1, sig2 : in std_logic := 0; sig1, sig2 : in std_logic bus := 0; file fil1, fil2 : std_logic; type typ1) return boolean is func1; impure function funct1 ( signal sig1, sig2 : in std_logic bus := 0; constant con1, con2 : in std_logic := 0; variable sig1, sig2 : in std_logic := 0; sig1, sig2 : in std_logic bus := 0; file fil1, fil2 : std_logic; type typ1) return boolean is <>; impure function funct1 ( signal sig1, sig2 : in std_logic bus := 0; constant con1, con2 : in std_logic := 0; variable sig1, sig2 : in std_logic := 0; sig1, sig2 : in std_logic bus := 0; file fil1, fil2 : std_logic; type typ1) return boolean is func1; -- Remove formal_parameter_list pure function funct1 return boolean is <>; pure function funct1 return boolean is func1; impure function funct1 return boolean is <>; impure function funct1 return boolean is func1; -- Remove interface_subprogram_default pure function funct1 return boolean; pure function funct1 return boolean; impure function funct1 return boolean; impure function funct1 return boolean ); generic map ( A => B, C => D, E, F ); end package PACK1;
gpl-3.0
9ccfc904b8a55d7a4fb3990adb504c8a
0.577204
3.258819
false
false
false
false
Jorge9314/ElectronicaDigital
Impresora2D/TB_RS232.vhd
1
5,055
LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY TB_RS232 IS END TB_RS232; ARCHITECTURE behavior OF TB_RS232 IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT RS232 PORT( clk : IN std_logic; Entrada_8bits : IN std_logic_vector(7 downto 0); Activador_Envio_Mensaje : IN std_logic; Salida_1bit : OUT std_logic; Entrada_1bit : IN std_logic; Mensaje_8bits : OUT std_logic_vector(7 downto 0); Activador_Entrega_Mensaje : OUT std_logic ); END COMPONENT; --Inputs signal clk : std_logic := '0'; signal Entrada_8bits : std_logic_vector(7 downto 0) := (others => '0'); signal Activador_Envio_Mensaje : std_logic := '0'; signal Entrada_1bit : std_logic := '0'; --Outputs signal Salida_1bit : std_logic; signal Mensaje_8bits : std_logic_vector(7 downto 0); signal Div_Frec_AUX : STD_LOGIC; signal Activador_Entrega_Mensaje : std_logic; -- Clock period definitions constant clk_period : time := 20 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: RS232 PORT MAP ( clk => clk, Entrada_8bits => Entrada_8bits, Activador_Envio_Mensaje => Activador_Envio_Mensaje, Salida_1bit => Salida_1bit, Entrada_1bit => Entrada_1bit, Mensaje_8bits => Mensaje_8bits, Activador_Entrega_Mensaje => Activador_Entrega_Mensaje ); -- Clock process definitions clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; -- Stimulus process stim_proc: process begin Entrada_8bits <= "00110011"; Activador_Envio_Mensaje <= '0'; Entrada_1bit <= '1'; wait for 0.2 ms; Activador_Envio_Mensaje <= '1'; wait for 0.10416 ms; Activador_Envio_Mensaje <= '0'; -- IDLE -- Entrada_1bit <= '1'; wait for 0.10416 ms; Entrada_1bit <= '1'; wait for 0.10416 ms; Entrada_1bit <= '1'; wait for 0.10416 ms; -- BIT DE INICIO -- Entrada_1bit <= '0'; wait for 0.10416 ms; -- BIT Numero 1 -- Entrada_1bit <= '1'; wait for 0.10416 ms; -- 2 Entrada_1bit <= '1'; wait for 0.10416 ms; -- 3 Entrada_1bit <= '1'; wait for 0.10416 ms; -- 4 Entrada_1bit <= '1'; wait for 0.10416 ms; -- 5 Entrada_1bit <= '1'; wait for 0.10416 ms; -- 6 Entrada_1bit <= '1'; wait for 0.10416 ms; -- 7 Entrada_1bit <= '1'; wait for 0.10416 ms; -- 8 Entrada_1bit <= '1'; wait for 0.10416 ms; -- BIT DE PARIDAD -- Entrada_1bit <= '0'; wait for 0.10416 ms; -- BIT DE FINALIZACION -- Entrada_1bit <= '1'; wait for 0.10416 ms; -- BIT DE FINALIZACION -- Entrada_1bit <= '1'; wait for 0.10416 ms; -- IDLE -- Entrada_1bit <= '1'; wait for 0.10416 ms; Entrada_1bit <= '1'; wait for 0.10416 ms; Entrada_1bit <= '1'; wait for 0.10416 ms; -- BIT DE INICIO -- Entrada_1bit <= '0'; wait for 0.10416 ms; -- BIT Numero 1 -- Entrada_1bit <= '0'; wait for 0.10416 ms; -- 2 Entrada_1bit <= '1'; wait for 0.10416 ms; -- 3 Entrada_1bit <= '0'; wait for 0.10416 ms; -- 4 Entrada_1bit <= '1'; wait for 0.10416 ms; -- 5 Entrada_1bit <= '0'; wait for 0.10416 ms; -- 6 Entrada_1bit <= '1'; wait for 0.10416 ms; -- 7 Entrada_1bit <= '0'; wait for 0.10416 ms; -- 8 Entrada_1bit <= '1'; wait for 0.10416 ms; -- BIT DE PARIDAD -- Entrada_1bit <= '0'; wait for 0.10416 ms; -- BIT DE FINALIZACION -- Entrada_1bit <= '1'; wait for 0.10416 ms; -- BIT DE FINALIZACION -- Entrada_1bit <= '1'; wait for 0.10416 ms; -- IDLE -- Entrada_1bit <= '1'; wait for 0.10416 ms; Entrada_1bit <= '1'; wait for 0.10416 ms; Entrada_1bit <= '1'; wait for 0.10416 ms; -- BIT DE INICIO -- Entrada_1bit <= '0'; wait for 0.10416 ms; -- BIT Numero 1 -- Entrada_1bit <= '1'; wait for 0.10416 ms; -- 2 Entrada_1bit <= '1'; wait for 0.10416 ms; -- 3 Entrada_1bit <= '1'; wait for 0.10416 ms; -- 4 Entrada_1bit <= '1'; wait for 0.10416 ms; -- 5 Entrada_1bit <= '1'; wait for 0.10416 ms; -- 6 Entrada_1bit <= '1'; wait for 0.10416 ms; -- 7 Entrada_1bit <= '1'; wait for 0.10416 ms; -- 8 Entrada_1bit <= '1'; wait for 0.10416 ms; -- BIT DE PARIDAD -- Entrada_1bit <= '0'; wait for 0.10416 ms; -- BIT DE FINALIZACION -- Entrada_1bit <= '1'; wait for 0.10416 ms; -- BIT DE FINALIZACION -- Entrada_1bit <= '1'; wait for 0.10416 ms; Entrada_1bit <= '1'; wait for 10 ms; Entrada_1bit <= '0'; wait for 2 ms; Entrada_1bit <= '1'; wait; end process; END;
gpl-3.0
f24d626c9e74e867622c52dbd1ac93ab
0.52997
3.01611
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/styles/jcl/graphicsaccelerator/FrameBuffer2.fixed.vhd
1
1,549
library IEEE; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity FRAMEBUFFER is port ( INX : in std_logic_vector(9 downto 0); INY : in std_logic_vector(8 downto 0); OUTX : in std_logic_vector(9 downto 0); OUTY : in std_logic_vector(8 downto 0); OUTCOLOR : out std_logic_vector(2 downto 0); INCOLOR : in std_logic_vector(2 downto 0); BUFFERWRITE : in std_logic; CLK : in std_logic ); end entity FRAMEBUFFER; architecture BEHAVIORAL of FRAMEBUFFER is type fbuffer is array (0 to 524288 / 16 - 1) of std_logic_vector(2 downto 0); impure function initfb return fbuffer is variable temp : fbuffer; variable i : integer; begin for i in 0 to 524288 / 16 - 1 loop temp(i) := "000"; end loop; return temp; end initfb; signal mybuffer : fbuffer := initfb; signal addresswrite, addressread : std_logic_vector(14 downto 0); signal temp : std_logic_vector(2 downto 0); begin addresswrite <= INX(9 downto 2) & INY(8 downto 2); addressread <= OUTX(9 downto 2) & OUTY(8 downto 2); OUTCOLOR <= temp; process (CLK) is begin if (rising_edge(CLK)) then if (BUFFERWRITE = '1') then mybuffer(conv_integer(addresswrite)) <= INCOLOR; end if; temp <= mybuffer(conv_integer(addressread)); end if; end process; end architecture BEHAVIORAL;
gpl-3.0
25d90704ac0c3bff1cfd49757b5031c1
0.60878
3.43459
false
false
false
false
Jorge9314/ElectronicaDigital
Impresora2D/TB_Transmission_8bits.vhd
1
1,777
LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY TB_Transmission_8bits IS END TB_Transmission_8bits; ARCHITECTURE behavior OF TB_Transmission_8bits IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT Transmission_8bits PORT( Divisor_Frecuencia : IN std_logic; Entrada : IN std_logic_vector(7 downto 0); Activo : IN std_logic; Salida : OUT std_logic ); END COMPONENT; --Inputs signal Divisor_Frecuencia : std_logic := '0'; signal Entrada : std_logic_vector(7 downto 0) := (others => '0'); signal Activo : std_logic := '0'; --Outputs signal Salida : std_logic; -- No clocks detected in port list. Replace <clock> below with -- appropriate port name constant Divisor_Frecuencia_period : time := 20 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: Transmission_8bits PORT MAP ( Divisor_Frecuencia => Divisor_Frecuencia, Entrada => Entrada, Activo => Activo, Salida => Salida ); -- Clock process definitions Divisor_Frecuencia_process :process begin Divisor_Frecuencia <= '0'; wait for Divisor_Frecuencia_period/2; Divisor_Frecuencia <= '1'; wait for Divisor_Frecuencia_period/2; end process; -- Stimulus process stim_proc: process begin Entrada <= "11111111"; -- IDLE -- Activo <= '1'; wait for 20 ns; Activo <= '0'; wait for 300 ns; Entrada <= "01010101"; Activo <= '1'; wait for 20 ns; Activo <= '0'; wait for 300 ns; Entrada <= "10010001"; Activo <= '1'; wait for 20 ns; Activo <= '0'; wait for 300 ns; end process; END;
gpl-3.0
ebd5d4e18b5b0e9a304eab619d2c24ed
0.586382
3.863043
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/fifo_generator_v11_0/ramfifo/axi_reg_slice.vhd
2
17,286
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block X2w3bNHrWxpELMMAkXSeXNYBysxurI1EFYGFMc/tvlkGvpkqXhMB2jFMicYCIDIbaRrYlwxNMKpK 2w4UQS8BGA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block MEHiQ9/JFTDDxLjJhRdjTorlFm8hSOuYMkB6b1YnTi0kSQ/LxduZFsvQEV+NKtVqY2lDnpuzFV9T SCizKS74yA8ZjycdXKEwAmPgrGV7aGnent/c/rT77TNJojn4ep+UIfKit7OOAQmhL11j6OXUL5yc e9R733OHdfdqGkOArks= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 2RUNZ3R0+4aa0Ta2ab74Bqu51OQJPED3AA9PHCvYb1aBVNPVqfABMXKTLZdwA1aboqr0IMwRHddg BuQrDzV3Kn/Ep1s9S5Zm7vcAq+OkOgx1vrMoKMesAqtXz8JN7OvCPtiibdQfMxccpDTijLOoVIu/ 171/8Y1HdQKreRGmMplA5Hm+htcCGasrijqImBuUWwAEc6181Q/8HynTiZLy2vNW0z6vZ1sLdOz/ Xx17dEjSfIl1PSRXwCOF0jch7KCNqhqqzFtLTyvw0F31mb8YqZm2PVTRClUawNNhqdpVcAlepwRU iipRtrZSq9DtSRwPMQud3/LlaS+xtGvy2fklZg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block UeXO2O4fkNDidtzEqPdhkaeHbuONYwQUTSi3T7Dxd12zbAji3DNkcf22qa6uk57NQDSsZZ763yCA pIlr2Owo8LBDkbsm/g5HCdHOUlPdLFuS5LV/en6CjsKdRinXCTf7q9Pup/INiNamCNKRszwGEa61 CrOTpuE3hioZnFocDqg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block gQFlwpBvk3lBMh9GLwkhMF1BV2PrUTD1H6YWrY+HlRsP8HRu2+yXLqVQGoONih9Kj4tAipZXffeq nO4d2Jl04Lf+IMOnf+vqD3Y/X/gFOlcpjX57qMteiI+8kkgapYoYdsPvS6NMukeyyn0y1nPiEYAp 4sRvIziJOTnduVphQ6a4KEkqyY5u/K2DMxisyCzIgKIC6B6hRTbeGGe04Z2iaIOc4vAqXfwifCWY +lTQol/ERvRQEPHy06X6zaRiYF+UKDRMopAesPCwnXrE8rC0BM8l3amFCsK9DFuJ4p+s5SRdjX95 zvLexoN9C3XI8uvCokQE/2/gaJq9F6WH7H4VUA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11056) `protect data_block hqnvkUa8JUdZ+G0rH27DZmTMEgKlM27+iNEeM1enbuxeJ6YkLAmBtbX8SYwOpBUVybD4rUNQMn2k hE2yI3PPuOWTkANKQHG4o7pRZY1cxEkR1cCp+2dnDuKX3KxrHaOo/NgNR0ggpIrCsPRTkDiLdoAp ue9MP0nkjt5kOGTUZkRBMy7fgqo+HNx97mEswu6B3Y3B+zjh5CsLpzr+RkHJByQJqcz1nMFN1XeH DjqTl97GyMcrg2oB47L15m/jTxUXrdEf8haOEn0zUF6KnN0QJyzYbjp0opJE1dl1izx0g7EYHLMV wJN4RAh1qwSO7UWp4HJdiB68/hFRk67twuFLzNQboBYGwUhkwe2FOzaYg9ClHXDkYRRuwTheV0oa YZiE4gPenxp0h9AOx9mZO1KvmXV1k56GuebqipQjfM+fwYsMw1LgczivsfOV0EtrmjXhm6WX64zA kMsqOsRjaKcGfa6D+ZZ3mxhGbHSneyXBKf03qoaXo7u9Uxlbo8sjbec1maujdp3ECcU+FRRD2ND3 TH0Nt1hnVpm2JVlYsyqW17mfTzpKCwgAt380G21R3cQK/6E4dhmBK3I6gTyxtaA25Vx5D3Z1Zjqk Yk9UUdw7EQlaSkhHMyR04b7mR/nSMrdaEs0OGCDvZVcuzWzZmLFEe8EZpgaF5iK4knRUQp9zg0Rs FPaXMzZehc6zBVxmLSM0rY8o39UwONlAfqimcjFZ0NJbuEv9n218cwxexrQYcFh1v1kgRL7ZMUpz 84gOTp3SimS5GrLMphgWObbRZ546h+jFYFye2gQ+sBQ/0RKJ7JFN05O4gklNUkrH7i+NIRTAavRc llgn+r5WRqXtj85ZQX2Wtkxt0iTV4j64BzNSzyaDFVeeIsSQzHIGvmtBAk1FZAGQuOg9UUHKsA91 af/cJcox2cCGshWrkibHyVK/HKHaZd6dEy46m7rYpUumWtUwY/joxB3+H/h4DL87UkK80x+xk+UQ ITRRLEmM3benNOxpjojArmaSHYnx2iBbgEJaZiGRMj70eDAgqFIz8g+tXXA8Z5XtVo2o9O+knZBf LSfHGqT3UQfbhGYTrlxKr0PdGKggpq31CRCu933szz0pHOZj6EcLybEa36K/0i+zq8YOU47HQIaj 7naZhDmQ4jsXZckk52lpT1BRXrMEbjqnifGpD3UvBXI6HERb8EaUj6EPGWqVap9IQ+8tKAgiS7Yz I7vNQxvbB+9B3HUnCOf04QBYr7SDOskAxJmJBajDM3AhcAmIG2R64UbDUSmxIDkQz7lu2VjegB7u WIAiGHMLgA1gKuhTYW2TYhzEe29HrrOdWy+Nl1TV2fKr3KDmM6rjd9KqLrCMbANS4a3cNTmVwZSU OZogHhczJHk1uYA6zkP/m2XFYDWnHcYjb/pCf2rw3oSD4vXLaDVYdIdG2JzTBYkoJhYH0wPe5NNl AAf5VG4V3fSFV+Jom6QE5yK1hF1s9kc/8S3Vl0eHGytXa0Eh/Oles/FY3dDE+vF8xsJ8ko7sQUKl 2m3ybue+U03yIcikONSa4mX6KDeI2ZW83zmkrCcs5BLXQgArk5KGFk5QXI2m7i8yBZtHsq19Yx4a oaKCTTKCEpCATjivttUxJhx8Mmms+OdkzLQ9+U2zi7X4tkiTRCdJa3DIKQYFU547XWHezwmg+jDq le9KxNi3PjfmGTd7ytFx+wN9dJEEh1f8F3lsVkHvoxmwDAi0zzfoX3IiiQ0l9SyJ7iNZSuer+kti loBUIXq2uLrF51+h98Iukg6DUgrFl+qxqQEDf9mlHYYj9gyw6C2zXizKVAtIWUeS4Pei/JOmXmzQ ousAbh3+QdQv3D2PVwiLdXbHS33xQvGyym1NyAceWwQqAxC1kuSLhRgYUNqyR10YSQA0X/8NSH/M bgOx40hGxQ2krvbbyW6e9u6vi89qM0RbJYwEhY9ToJ2I9tbDnjPbny9G+NHET4tVhnI2mydbPHlS QgiBYywcroGiNjwN/axWM8Ai1DHNZuWvxIaqdOQ0sGNBsi4RRmoL2QiIasjhyR/CGrMTkgK4zusC Wu3e6XvGKu9I0VtZq4LUHwPU9x3BWxZuivGtFLiuqP1fpSc6QFpSnkZ4y987JNj8BKwex9cXOYbT 5hTwu6wXC/yMgb7a11t+Hhc+cdHqNfxJ1/Q0qG72Xc8wBrDdxjMuZPDtB//EYoAZ0cy1UzbSPVRk PyzjsYNcPrVhllNPZstPvOao3RyrRxeFUm10YeXTAF8e8gjvBLWMtHfk0mtg9beCfMfHh2h+zAtc WM+3IuXhVnMrzMnLrMAkneiUyQ+mB91tlcqf24fGIql6et4g+UEfNKvh8TWObxLC1MRdEvNciEVr Plp3JGNwzUOLV0rXogChkbl1LL9P4RBRxwsF3Val/rvpGeDK46z5Y8s1+OTb7oVgaiH4XP3BLMCJ 9gMlJiHkvDsfRtPdyMJDXlFkU84bBNRlfwGKStoeBxhosO51gOv1/WPlvRJQe/vLWvxEIKGm7oAP 8ujnHRVBT31xF4fCSgNXWyulHaGmZZlmQ1EDmuVyTbekyBqQVvmxWzSvnshW+kyMIDfDpw2I4Olg GqqncByB2fObuwxBaYoaKlj/aIQp/5ViO6usHI+Wn9uwN2oLTkyndyJWZTx7Dz2UOqoY9G3O41Ng 6DVtfUa4z4ybhdRI9XptceS1chu3KOYLABX3QLNu4vKYKK29/uk1p2LkH5v+KNggM6ezhhsGacv4 KwMhkGY1WooQEj1XUNeFPMKItPieAazJ5GrSInPBOGewKr3saKAsmc23rR18GNr127SV/m0pOJKn YOTlIJGL0aacHpffWXcMO9kyGVjpbhlMEjewEPmGnDHjyC/D52OemFOOKz4ooo8KgG5D5UoCscVM jKdu/GQ/yWm2MbC+fAhLGttR6l5BC7meYxAcNuZVlXNUwXiKBTsQgyjFgss6DW0t7PSEo1FSnwnO 0h/IjmJr51hOYocX/lrJP37PKOB8y+CsokglccVk1VT2qxkhQC6NkZC8uCHdFXHJShww0kDsrEFb Q/MX2heNr4g+o53TVf5rW/nuML/thaaxJS+YZBs5WO0VQs+57Ib6q08TEb4lBt0L9EokxsQjlD8Z JONXzzLo64gjgfaVZ+quSA67qrk5y3way2cWZzfCtOZlfoAM8CN1pxq89Yuae85GW5pXfpt8vn0s VsYs5zyTgBJMfk52A50oaQPenlNhargcUDKC6Msn9Pqs/6PEyE9HLyvVS6Z6OvqC5Sh/SuRlOoy+ YGwN3gTYd4yKfSendAQXLbnBAXhT84B4Q6MO6zMlEd80ga3muEqlrpFXC6PQz3tDdogb4QXXRWX4 WbIWy4mQzqtyFYhYbj5mpYQATyoxX3PJhCQfekechhfO9P8OsVskgAQmn98aaBynCq7eVSI9IL1C 4yRkCBF+28HIyJqhveFsfexqleBCeQ8z1RcgZLmfPkAdQ3fOlwHt6/iJR+9IkfW3JD9v9iHQJDL1 qxJxe1zNW1GhtC/G6aLjrX9gYXkHWDXWMB7KuPDFqnqiDCZWEjTzcJhWduGSTUFAXRQrz5z3NFex uwj5X09zb2ZMnVLHKbTiI+KUGePFXZwFTQz5bH8KY/RMCQyeR/ly0YgXAENlgmFfw/3qTXorBOhh ZY6GieR39U2QsGXMxaS1dmMzy/L9pWvZQbNTGkztla0SM+mpa1gaxpjChZTYi23kdlrgRPKc8ZET VVRn8SloyBoCkhCVE1RCZzOQS3ak8QfcLiMpXN0jVgbwlYS0TYjUCt91scE5g8qhSMJkLIxJ9LL0 3Xaja4X5O1/q13zM7CGxzzoSaIcUbF4V9Ff2YxP7kCdgdOiTl2MYfn4uApdQt2X2PAFhW3R72pLb eM4hf4kyLpDG5iBhBJgWNX3t+Dqr9Ni/gyc4lhsfJPqCreDjCIrUNlqZAsys870oNpBkHDHdb3zj LyQwjp7QDXm0hdQ/TrwYOXIhrMQBve3RZAbPCdYZ/iY6sMaN6dpKe0oG/6+LtElfUf8/+1C4ILTC ECVGBreH2tvS4q+9Ztmq/OEJN0T//mguh+dJ2Qa9Q9B0RA0If7swtGiJTFVU95yc0gE8NDyJcVMv hQ9QeY5HP8KRYoIYrp5z8UwtjDq5DLaEAXIkgcmE5UVgn6jSvbumghTW3qYFSRMtmh/URACoVS0V L5du7ZPCiaNXapTb2nW65S76TyLrbKVNCxtCjG4yOCkKpxQ3dZpU1Pm244TLBfVck/mPCV3dBVbB 1LtW0QjVxPuypiBcyDVSI3RYJHStoWvpPqLzHCvdn3V/UWZO4ssCixWsCtPpzIIaCvi5qhf2LU6u nqynBUGrUmXH/Jw9nVsm/wbCW3LxWu8WxCseKI5eL8y5iS/V8aoF3mYhJiNvJNvXVGICRVOkOyAI NGsHIHZZJ0baAWTCo4TBN0kXuRQ5xzWR193TBHnWNe8kzJCYxsh7qRoq3wHJTCjER0FDHiGJLiwG U0z+fri7FjCNJYVA+4Dhs5QJfFTeFKWL7wvrH8kf9sFTwwQdgZC+fYgM9NoDTvUya11+vfCUmLa4 v35OHLU5PPwEr9GuyvcD7Bq3OYVx1a9at++sGvsoVkILqq3r5GKMCVT4sS45y9XKjbF8Akc/KvRP Isep+/qxvE3wY+FJ//FjdMSnI1HKs63d2hdrqlLSUoyD8d5kHCzhMm9SboMKt5T4CafrE4fDhBxo eprkUv/Zvj0ZDntuJWqHtdBcR4il+geoKQxExOfcNBli6Dt5N0CJLh+hMJPNYXatFjy5C3iRalAi NBxu7FraTD3I8oeYwBGz1AL2dm4ZCcWGiAfIqF+hufGh72bH94E5K03euROhnMt+1f8Po/j8UMd0 46771rKGniMVeN5BO1TR1V/7ZQZm4WF1yZmXTza0lA9rKLZLpbv+fwhXvVteTMjEcrnaKWlylph4 gGMtaJms5MQZGqxSP4DWyeoUq3MCwJlK8BDPGe9Ufe6W2J8Vg9yu1q0lCbOCh/K5UeOdl/xdRbbX Ahv3kC1578ha4cuaojOJ9EiRROzX7X/z+qD95zQ5rLhoRiPUXY4F6D6zkG13GMzqYAUsDF+t/LzB vTYfQPQaGbFLdFUa8CHt/5mrBCyU2WbkDD+7w1YHoMsCkbpWXm9p1jkfNsykTsZ8hQ9JcxW1fgKY 1hT36u6YOaFdd9TiCJSPuzfW5WIHoi1RktricweWaDn1FC2RDb+MBkPXlPj2euJbJ6Z2q7Mp5k+8 rrvSYDQ7ZwXMFsjrw61QENdvDpxokJ7pvfZc9WkHkWl3cD99JnQXdZ0OU/6S6izaqaq75FIAzcIk ZJzKmgbmxipGefs/QJcGFOBbOb2XBk0+cQPSjIc9/h4d9RPJIQ712V9Aga+a3AD0v0JaN2ewtQMT idDcwUnQlOgZhRJljF4Ig1h7AWpzqwBAIGTzmDlAyIRyW2lZQiCLGlszn4fxhM8OY/mhAwCm2iul JDXqXuAXK3KHFSE8SQukPrBbgiybuao716Pn/MA+hrIu0OJuE7NT9y39U7Is+8SWF4E22o7TdFLX HoBVzdHPsZBsEep6stqF5UHcazT2f9yGzQDcfDRTiwZap2jofbRD/90I3rxJn6L6sZTFEkcDkX5i W87TNNVYYifp62K/c7NAXCxExhocmYMMW6oTE5g7SmMwXfDf8veNmLISAhy7dmvXtMYvQBa4T8Kj cz4oRozscWrgI7jzYrllS8b8h8UmKVi5dMG8IVkd2bmQV96R3o9S4j98yE2AiccqkbuCOA0OemDa CIZX5ltKuNvUd9VQyWUOnHFeBbjMViJEGrqGPIdbxqbsrCj6bmiPXCcQx2ASLrjKfidLBNy7dLfN wuPceewZwH46AD6M6TgmEmLDFfpZrNv238zIBqqD/jqckkaPsOHFGYQ4hjtriXYFRJeJIGgfnMb3 GTG5n5Zo1+0JTs2T+zIAjIXplBqJFcjoHv+/5R6qaAL69O7cJzome9tPxpHx0kw/rHL98P6lw6EM XeZw9iDye2wmz2u4zuO9+7REi2uRc8IGJdbNIEKMpBgChoT2+b1c1zAFm6lGFs+M5wLBlbiblke5 +COB6R0KDo/VnPN6gz2hr0Nqc2smwF8TuQd6NV9KtwXizOoyfHk1QgREZypbFQzElD/S6bUE/Lzq qi3MEKYtc6vbGP+OkKhW9xb3dlai7mjBMAFC7/pgaQ24p3fqkagquKY5DyvuAQM2kOOr7WnyURHm HH1jrCM7a7ShJ/VDlSnGjaH9wvIqF14N3aDOiXP3nMQ7rRqPuC2u4nfkn12K7js+IIh6lLrRVlwu G5Nglz275sD1PoIcQ8iMm9EPFwPhPtnga7adGwnDdnx5/+RnbbL2SHMIBBrqet3px/8gTY1a9/05 3rQ2xu7cQixc6MeMNfQc8OcYgRNvXOGTxSisn0PUQtQFXXGk7lui1UnWvZ/Rz6lSRh28d3QWP+6D izfaEQ1ABg6RDUvPUChH6vy73BJY/Gd069dKiRExkuaLwFnZmFp7J8yynID1VQplTCBmih+LTSO9 B+PjAusmAorlhh7X7QJqVAMhy0DErNL8mcsxPK3QecRCEILVeQt5g1RBq+9laQkgF8LL8DsoaMTG a6zhZImZiqEvtAY8vgm0Qw9b34geR1A+fjXd3OVG1gyF2KVkeiLuufvD8+WnN7MJCMAxteFI6Jnu jI7kQyEskpj85XeGWSrlD2/bdsdLMZqPw3saJBNU9cVZNXQRxmGk9tGHsu4iFhXaage7aR2q0CfB 7xrB5zJX0qM0nqPVz6xip9rDnDOR7BLmpi4teDQ5I+RISFU+jAFZSr9pBiha1Hsfd+SpHPtlas3C 4+WwvsfDkBxp226QBZfK+6tp+CPiMX724cXWIDIm657TpCHi+8MjqD5VjLsRA2BCc27dyAU0HnBc 2ljJV+Abr48YaceKv9KemdODBQXgCMe4xaZtLyET/G0jF+dCWr/0sTMyD4BDpbiQOQBWMy2f8NQV q53CQpVec0I8S49tLg6ynHU57T1tQw28dzzoMqnDgvaxdtKe60XEiqewhwpbNNxyRkPZMKV4d/b5 5TThkoRlCOrF7frcjUdrYvjJUlWfWCVgWO6LI6LvYnCK2gIYkFoOpg+KWXhL0iFMs5D95cxJu3/O U7q0v1zpln/JLjsScJfFAnXGxYPhLCdSSmoKyvbnqFOTGN/+pRieS2IETw1ZyZN1LtSiKm4aysLc mK4i37CWh2g1E6TU95yUW3aA0+3siyKUgI5e9cY2TEWUPSTml4lYW79OShfXT9rp3hdNyjcfBo4e +TyuYwF72ZFM+AMOKvjX758plAFEwAcF5jVWRzTZBCMbVcxO3QgVnFRrpTSygmBJakX6niaLiyLq huFGVVz4EoIU09VwFwGNnHH7LFLla3RTiVens/pCX9w9Jy0eCEQqTN+wUEO/5mlITwSE4Ziclz6V al4GY4zdEs/Mec++HlpBTeOw5+c6rM1B+9yQ698qjXZsCzE1lTzZxUoAg1sCgGhH7DadDSYe9thF GMrr2vdIBqooVwqcLCMXJS0wspBsZ0On/6+smVDzV/cA6DhfMONGNIlf8aw/WFt03vKcYgXAiCiC 5Xkd0CTuJ2qoNQwxQX/L5IgU1qKm0m0/1H7WBmACeVt0/0io5tL+Nd77+IjYj+KoRCwkCp1lHF0F AulomSbd2p1qru9GtbKe2VQ3HQOzx6GFpko6itIi6nuU6NSpuVO2r5s+U7hvxBw4UcHNxPfANn4Y bRdDglerA5Qexy2PtETR2QsWNdWyKbYmJSPjvwBXHl2BTc84MTj6hJ0c5W1SE2FEedakG+Y8FNzE NXjwNgAZx/Zi/vRrSYMbWyu1IVSZyFESbKtNvCd2EU/fq1QTEfQXCjZUpdlPqc7XpdXEigCY7nD0 kF1TK4H2Xgo1Yb4N0KNj0DGXGVF4igxABQTvrd/1Nxe2NhCRZ3yzPLpGkechp60orV1P+uEw9u4S cV1SB/G/gngseBO1Rzjexn/t4ZHSHQi5MJMGtYmkYfMVDYWCvscwomY9gei0QgTkL2DVn4ehXMkW gwqxx3GLCoKeR6kiRL1zugQGjtCU3bnlldYwObkarYIRS0MiGGorSClappMHXG/mjc0PYi7CVxP9 Tt2X6y6oCK21+pz8vXrPzdApqfMhhBAb3T1FEaIKULZIa8Bi3umM5heLcU3BGPjHob9ObN/HVjiE 80mlHihUyE+vh1WPWkJJUr/nL2i/Seb0xxQ7S4sq6nfaiq6vGL5bevmV5GTp/F8aZVnhIjx/t4Ci w+dfAu+Xqv3Icp7UdxfNG1954R3klVbmUfM9gQtMMbL2M35Vop5wj+wcd0g+uRV89EXPRvugjLBs LbTstB2GYOPnq9BqNRTxId8MRuVRRaaIb5G7fAK3bzZgPUG//B9F6vReT+gmxZJu2+BZ13jW2fjD EQdAgY1T/zsblcUVjccyAKoGt2LejcXhUBy3GNoutpH/xacDAd7sXwjyXDFM7zcqiI3PMvremwFZ k/m4lHSdPs9vmLoh6ArerH1i/+KezgA3xciknExBERpz9dn2Bl2VU7PMf4MqaGsY/XR1TCuK2VKI QTpyKFHhb0bzhmsVy/vF4NlIo8G14os/4VfvfjPSILL5nUug6GrRpLOZragw2AaSoIAXcgM7Kje6 C2X8gCMpUbeGN1gLaLiiOhH1wBAdWhn0aeAKe+xrceXXBfsxIXVzDeCmypCmLTZU9p3hxWlJhFgd +/E3DWVXcQfQD4CbOJX3FBKTlXVYoPFuUy4JxPA/mVXczQZI+DSNeJV91/9fLhV6slaHIr86SXDo xwq6jOJzRU6YjvXzBhSpbfnBoNereCQB6QsY7jycLsS6aOEFbZVaPciJbHCQ0/QzwlABOy90rgq5 YCw7HP+67vrBgnJC1PeshEy9pxQjdQjC5VK0mY0faROAFJAifXFkyLeVm2LXgV0/j9ijC5IwzmHS YT3ywqKbn127OE/509++TVcrmv2c1YItg+zVQS5ZUJE8qu0fOs3SkNlxUknTKQHFx6P19IYSWC4T mcwQ4qBKG/JUya4qTGLv/N63kFcx5E0JSUhL3TOBgqmQX1gbYrhQEDFPaSN3Sr+zVk/I9rVlzcZr HVYy6QlL3kDFko0spUNCLEQOaZL+aoxVQEyYvrnqpTgmF+RW+14inTbqewrVx7Scx/PFHs7kpb5j 4VzyL9BgBdgTB+vJ8jOiWaauUVaJBI0XAZf6uPad4xKCXM+oul8SsAbCHNUCekpUKj1tzLkKmokI b9Slm7rL+CnOhtoSaN6TVhgUHhBNdOZ2ZQyYWmZUF8CO8uog78fA4Tj2f+Bvj1DfCLAgKJtOd+zn SD8DahhWT1RMaV8itrVhA88gmt/KB63ps0azWyIHEIqfc8FyHQ7LV7w83FqzaBml66Wemc5IYeuK qKP+99fp5l1Ic3a0FTU1J5glHt38d/kF4cwlpaTaLTXCxzXZqq1sq/qcmzIvaoU0eBZBze1j3gk9 ZWDTLCLaegxHONBErTiFvgyghzOt2BKUQ2FapB/krfnmS2CAu1bsys5w6af1rPHdhjYAsOg7YdO/ Xj81e7Z05ADwtJQ+OC+LEkAo4hsNsjD6ylNq4jkYMNScTsGJH6TrQXtnmFq+SRM8GBt0tOZlrU/z 8SqYZFynev7elUTUOwJpkUPOY2w+JzzII+yh3cJth5DfHCBi8Z+fpxGzlxu9Gwn1T4UJJuCo/fUe hIKLtM31PFDJhlsQC1i3O2Y/xZZlJoENts0TKpVWpGCTWvjnuJdPEATqnunNxzhfxSyuhbArcdQ9 emE5Q80LHGBgRzCxTwh8NkS3rzNFa19452Ggm7Mca6Ofq9QOyW2BL9rYndPRcuAvfiF3ee2CFzkq H0Qhz08SWIhcKQP4hROOCZRQov0mnP7Tkdova7P85I12SwdfIKScQw3pizCKcn+R800Fdc3hc/yo jNe/k6Zh4pCKEnyV2TXuGax0LKONmPWs15j8+UjymWHkgXsSo355wb9xaD07VFWaIEQhC5nT95Eg Y+k0bu7/asiW5zgsMLPZ8RPmemlui3M3ke7sipOMEFL7ggQtT63DiFdyfFkMuq/rJk6mSqRMdApV HrDuh38+CU8xU3/nCJM26mLxC/xlQF4/0DDQfFPg9SK8+GOs7oEfIstTkGFx76ZuAkezOpMYfgdt 52BKvtWFogjI4F9UNTeC7fSFA24Byrynx0cckNMaMrEBG1smp54nHdHtvyZI8x8fs1id789W24pf HbtdMQucJXufqjw/h7pQ2D0fXMrXFNQU0W2PDJl5KiPnzPUKgOBRXzqkcV6IN4nAusitk7bL7esK fheUxQNINn5WjXH4Cf92VInDnWGu8Zf7mPN8us9j3odXHgISHQstBPdnWb/8zqxo377qlOr9q4/W Vsd94gEk6+J1vPXh1V2mNCKasUCfSlER5WaHiizWCHbLxCvoBvMVyvs/GWs/qjG7g80Ac/k5n3i/ N+OHMeTVfRGMfcpjO+a2t9/fabCe93nM+QLff4TMSyM5uaEL4fG6TJ4iza2eURwNSgsu+qhyYOz/ Hx2RYwE1oaSx3QiFTAi4TyUO/qat8KhaPy9LCGxFFRCFAS/CYub6UY+ckJzL84+GVpulJf15TKCF jXc3CNrn7x9tJq4cXERDOOXPoySHVSOkbOco87xKABOxdsF2eIBEy6w7u06DiN4VlrmG2IykDaVU yn3UWrVxmQ0smokBQANg7m8US5zHVtSj+FvqrZ896Hnj4yUD4AGAs7aD8sF/klcP2B5VEfy+McJd jZb7bN457JlJTZPuvvbp9rO5H1UqBjaFksnP5NaxGrsGZgKR4RCKDRytdrt/xncRthaiPuhbZisO V0i5D8yqSPvlBOVnsUSOEJWXV/jL9FSk86RQHUSQqzLQXb0qL1kBnxuzjjRLSwa4uQ2Wz5SJs4vX tCsCr1/kBJmVPgHANsztHRXyZO8yJaXuBkuNH6YslQA3FDWL+nP+Hcbyy24FlC+oknVkfjKd9InK zmMEjul7+kdmx3Zc4APOco2q8cFNikvdYQawFPZTb84KDMMk3cS28gMbiyVKEKKG5bk+kil5GBdS qWrKkrYdIhkR2VhL+CHIX5ruhhnYhBfUuQyHSKT38reBqvxJHcZbWPvoPFYrahRPn2Yfx62hSATe REkw1i5iCgbkxzUfAW5d65sH5UtAIW2HCR0lnpNMzSmsOw93CHn7OkXeGbn1BbzzzjFcVhy4wOdd lu7qo7Z/3r7DU0+OkZIEl79IX1HMJT+KL6f7Vjb7yHAtxINpoPiafWsmUnKnF7IEGERlIDPb0662 Q87DDWUEuRp1f6ujvTfmeA2vCoYdUWRPqKp1BJHuj8p/9uDrweJLXSFtNBDE4ttnp/T7oUEYXd/d mZZI+ErQJ5I0H0ZEGKX5kfokq4rol9LvR1d2a7bGpkuWHp6hyd4mek+Pnd2YG8rdN1VdAuJXOfuK B+3aNGaHoPAPvfY16vMJpa2DyRiCzwJBpXTYSLxxgGF9h1CpAplZ3lKbHjWtPk+b4W/E+LN2LVWF VBzWnKF3fR05j1o08V76L6cou2biCN/rgvyTbFbvs8DbfTXwF7wNsm58HBvl64e+naiZ/Acg6tl3 jNpY6Ouu+/zlrfZmW1h0EGucBzqV20KHEGkqtcYvfOxM6S3ZcsAYAOCtcr+8/3w8RaC8HDd410Fl 6ICviDEmvOhEthh0AguEmO6s65BHxONn1qUs3SQPO6mL6oOe+HzMMu2ohZlpXH0NawwEwi7R1JnV T8xw97S3Mho5W0g7YmVSym+AYXO6F7zsUndG3ijx//2crO3UXWJtqo35nVBLjn1gOlVBINajuIfE MMXI4dTQi9kMeLREd2ARlWJYeDdaCS6MueeQnte27vXcYSh9CRB+QBpxsFAuae2StWkwrjWIGhdK AHk5K8Zboev1gTNtZf2fZjXp5QBfWh7Gse5J1ymrxTF0OfPzgRNPhGAoHZCkrghIBx0/iHI8yWhR MzBd7xW98jGZb57fhantzUfKfEWdA/0I2rArxrd9+/u3C2/Xqjbd2TtNP98Ezc4p0MeLWBlovkpQ CgyLqhwXFBDH9cC1uQ06pCQNzTH0BuBPpDWNTFgVguNemV3FffAL4ST5BgBpV1amFTeL96TI2818 BNwWPQtlcyzy8XJ3CZOjYPYxZ9YrjhnEMXHvIgSk85Ny8UORBA2SLzSWmkeTEpF8uCHwzQ5TRFhA 7p8cM+/v9KzNnI9ZBgVWpB2qcE3CgzkKjZiOMhvkCrxiZ5/q8VOA0ERlMpcMwvvK5MiSuzPmLmD3 zPRzBh/cptbdtRXvBLO8XrAoYbHcDQo8giq4RTfeJNj4ArCmQ9+5T0GTCp5nveA2n1BO7zKWRwTA IPbTQTj1QHMiB8TpnCoJakfGJnY276rsiODkNS5u9n0HyNabQLDGZhnTGqG1Yquw2oyPhDPKcqbY lQGsHmEFfSxLCHZ8AJjijMdJJByWGrjuznTcN7tw0Rzxe5yogY7RyR9P4rbkwDDjtdCpJEUPABe3 Xu+hVV9AmYCw/Gknf6EOHYvQgv/XwxIslD0tUFti9TGgsqZX5NCmbCZPupG9Bdtfa2vVHeWlHvik OQ/3EoBW38nUNxGxjkoZTnE0z6ChFeyUFtKdH+TeeUdzvy/0D0f3CMjIR/nO6NGlMULHTbSpnz0S AyK+D5iHb8ntBxI45vD6u/hw/UNjQW94DophG6JLzHWuoUsqm63KZKTbl7YO2QlEUNn0L5II/knK R9vGC54iAZZXDI2T77eXnx0wD6GFIUmgD0MZSeB8a/Yz6Q5JMeR6wTUtSSkOjRlzxbm3OKowjUwn mXEVpQDbCjeciSqpZBY+sxPNBixBvN/zDbAqEM8YGewQ71pskiAoV58iQkc2EVfhuSSpGaje5n9J BRM+tpFtK/ig/5OIKWQV7efeeedpwVg5A7mHDkTKPG7QlBynOkvYxNFyvvYmZIG/udyzEklEAuT7 /a2KXkg8hLTmz+phbKsc4WR6tvgxU/wT5Y+0yACKBmsRIDQyF3ffs1RhcwDS0mSrvGXkNkNwCPkf Jg1fQ+AxGfbXdsnGMVb9BIKBHHW+DtBY+2Pbd0qc++aNJaNErKO5y7QfGwtripHwkteNTXE7oWPJ k6yrrYOt+C1Bxj7EJcd9nnUyXUFdEHL6Z8Jwkm4FosOcp4crZPTtFGtmsSCidCmsTN+MyldcjrPs 3hxsWkdZ5jnPBHRIjct4FaldVt0/yKSsmgrgar3g3aheHNaScoSWHeo6/X0HwPgxyyD3BBgtB81F uFHQOqqoo5Dr1SmmEy/09vvvjIelQXnECDFW+sFcj6dE69o9YfyvYzjz9K82HFccxdRYzp2hEtOp OIgoITLNeYQGvBNk5rgQ0y4rtxQ7Nr5ADvZtbgqDtsINmQQbcMtC+a7ha/XIF03oZ/BILzQbHhda s9QtONPAo021zY+zTj5RrVsaCu1DHiefPDRrASYVNWtxr/YKFhLUIxoA5LYVd05G55UoxsFnNKav fwKTfedUmKdVGlbKQYt4hYFVT5Q37DZ6QQHvgY0gQPsdR+IxK7VxffjPRzwrCaTZyMworbnykjLW A8Yg5pvNUgzCtk1z5C+ST1635mrofkahsIKWJFwbjdSZfW+pRxx/rY41Ew78koDRq8VRZqCzA8UQ xxnmOAML3WBGvRQ76OxtQxycDDZprhoN9806KRowNnDL1qdJ32lm/LHeF2wgMckMxQHDmpOuvYxD CFTWir9oVbJPbqM+6m4PpGO0Ai8PGAshCa0y1Vo4zgwvdmJFAn6J1KY3kUBYiTZHxMmC0etmDepA 0uM4K5vNfGEvOG19bQdkvXaBTlyGG+TUg4zu+WfJ0vADfa+AHIcSE3fH0oriIe1OEi+gy85uNeEY 1m1GQD1lPbtxlgwFp39r2evDpy9dc4mplOp15CIYDZ7v/jBmEItqe2SVl8TbwcXC2HM/JPXle2yF X+k+w6V99c1XzakYamWSBfvviRTWMcpj5bWLRTcrtxWpCikpiuTwp+8WT0ixTWlWhpBA5fa8Qxg2 02/2kgHnkxgWzyfXxi/Id9miVZp3eFH4Ji8nVRicQIcIztz0atifzL7hkawCaQxBIVyQPFccCNoo Yg/oXyOE3Neq1/BFu8WlcDmdKILX3SC/ccV6cE8Vi8QsFtHW0t/LtZsihPQxSmAMBw2rnFfLZwyv zwxLSBaBfURyZQrzuIsNNX7mQybENnnIIlrbtzTUmlwFZW4Jjz8BaoXRQoEXF0fhY3E3dWfqaTd9 5VvjVdKYpBUJQNv3Quin8rXUAKaYjud07992GD99bl5RWtaC2trQn75e/C0Iz7ja5L4WJj/jCoNM k/nrM54wbzGF7ZH/+YRe0/7YMWdN1g8GYr0R9xP26Iaebs1hLAIIc+V/lndx+epCcBT9l+eNz8Sn tZM6GxIW7CM5s6IxMAvQcSGCEOxmVBnPISGY2oBjTe3+3v198Dv/UUc/i07M7Ft1npFE9M0lOQNt 5pf+qrean0uFgOKZMacmZPTMJ/Wbne4ELXOp9b2J7VmHlSEylkSeM/1OLRZgNGdr23KIqYS/V+fE wj7Kuk95LND83Y6ROPSTLC2ExpsE9PBeEHAATFeG8af6I6QOKhwgFl5N6kHo9hZhMd4LmyUaS9B8 VDgK6hK/ACb5RAK5XPfIkbfCmmZKVNPfeIwgmlDiKYXvaGyDMIaWS4rQ3GeaF03G/hSGzCswpQ== `protect end_protected
bsd-2-clause
6078e7631d52b277a8ed115294889880
0.937869
1.866739
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/vhdlFile/selected_force_assignment/classification_test_input.vhd
1
1,451
architecture RTL of ENTITY_NAME is begin process begin SEL_LABEL : with some expression select ? some target <= force in some expression when some choice | some other choice | some other other choice, some expression when some choice, some expression when some choice | some other choice; SEL_LABEL : with some expression select ? some target <= force out some expression when some choice | some other choice | some other other choice, some expression when some choice, some expression when some choice | some other choice; SEL_LABEL : with some expression select some target <= force in some expression when some choice | some other choice | some other other choice, some expression when some choice, some expression when some choice | some other choice; SEL_LABEL : with some expression select ? some target <= force in some expression when some choice; with some expression select ? some target <= force in some expression when some choice | some other choice | some other other choice, some expression when some choice, some expression when some choice | some other choice; end process; end architecture RTL;
gpl-3.0
04552267e5cfa98b3fbae1300d9feaaa
0.603722
6.07113
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_afifo_autord.vhd
1
17,231
------------------------------------------------------------------------------- -- axi_datamover_afifo_autord.vhd - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_afifo_autord.vhd -- Version: initial -- Description: -- This file contains the logic to generate a CoreGen call to create a -- asynchronous FIFO as part of the synthesis process of XST. This eliminates -- the need for multiple fixed netlists for various sizes and widths of FIFOs. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- -- axi_datamover_afifo_autord.vhd -- | -- |--- async_fifo_fg (FIFO Generator wrapper) -- ------------------------------------------------------------------------------- -- Revision History: -- -- -- Author: DET -- -- History: -- DET 04/19/2011 Initial Version for EDK 13.3 -- -- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library proc_common_v4_0; use proc_common_v4_0.async_fifo_fg; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- entity axi_datamover_afifo_autord is generic ( C_DWIDTH : integer := 32; -- Sets the width of the FIFO Data C_DEPTH : integer := 16; -- Sets the depth of the FIFO C_CNT_WIDTH : Integer := 5; -- Sets the width of the FIFO Data Count output C_USE_BLKMEM : Integer := 1 ; -- Sets the type of memory to use for the FIFO -- 0 = Distributed Logic -- 1 = Block Ram C_FAMILY : String := "virtex7" -- Specifies the target FPGA Family ); port ( -- FIFO Inputs -------------------------------------------------------------- AFIFO_Ainit : In std_logic; -- AFIFO_Ainit_Rd_clk : In std_logic; -- AFIFO_Wr_clk : In std_logic; -- AFIFO_Wr_en : In std_logic; -- AFIFO_Din : In std_logic_vector(C_DWIDTH-1 downto 0); -- AFIFO_Rd_clk : In std_logic; -- AFIFO_Rd_en : In std_logic; -- AFIFO_Clr_Rd_Data_Valid : In std_logic; -- ---------------------------------------------------------------------------- -- FIFO Outputs -------------------------------------------------------------- AFIFO_DValid : Out std_logic; -- AFIFO_Dout : Out std_logic_vector(C_DWIDTH-1 downto 0); -- AFIFO_Full : Out std_logic; -- AFIFO_Empty : Out std_logic; -- AFIFO_Almost_full : Out std_logic; -- AFIFO_Almost_empty : Out std_logic; -- AFIFO_Wr_count : Out std_logic_vector(C_CNT_WIDTH-1 downto 0); -- AFIFO_Rd_count : Out std_logic_vector(C_CNT_WIDTH-1 downto 0); -- AFIFO_Corr_Rd_count : Out std_logic_vector(C_CNT_WIDTH downto 0); -- AFIFO_Corr_Rd_count_minus1 : Out std_logic_vector(C_CNT_WIDTH downto 0); -- AFIFO_Rd_ack : Out std_logic -- ----------------------------------------------------------------------------- ); end entity axi_datamover_afifo_autord; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture imp of axi_datamover_afifo_autord is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes"; constant MTBF_STAGES : integer := 4; constant C_FIFO_MTBF : integer := 4; -- Constant declarations -- none ATTRIBUTE async_reg : STRING; -- Signal declarations signal write_data_lil_end : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0'); signal read_data_lil_end : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0'); signal wr_count_lil_end : std_logic_vector(C_CNT_WIDTH-1 downto 0) := (others => '0'); signal rd_count_lil_end : std_logic_vector(C_CNT_WIDTH-1 downto 0) := (others => '0'); signal rd_count_int : natural := 0; signal rd_count_int_corr : natural := 0; signal rd_count_int_corr_minus1 : natural := 0; Signal corrected_empty : std_logic := '0'; Signal corrected_almost_empty : std_logic := '0'; Signal sig_afifo_empty : std_logic := '0'; Signal sig_afifo_almost_empty : std_logic := '0'; -- backend fifo read ack sample and hold Signal sig_rddata_valid : std_logic := '0'; Signal hold_ff_q : std_logic := '0'; Signal ored_ack_ff_reset : std_logic := '0'; Signal autoread : std_logic := '0'; Signal sig_wrfifo_rdack : std_logic := '0'; Signal fifo_read_enable : std_logic := '0'; signal AFIFO_Ainit_d2_cdc_tig : std_logic; signal AFIFO_Ainit_d2 : std_logic; -- ATTRIBUTE async_reg OF AFIFO_Ainit_d2_cdc_tig : SIGNAL IS "true"; -- ATTRIBUTE async_reg OF AFIFO_Ainit_d2 : SIGNAL IS "true"; ----------------------------------------------------------------------------- -- Begin architecture ----------------------------------------------------------------------------- begin -- Bit ordering translations write_data_lil_end <= AFIFO_Din; -- translate from Big Endian to little -- endian. AFIFO_Rd_ack <= sig_wrfifo_rdack; AFIFO_Dout <= read_data_lil_end; -- translate from Little Endian to -- Big endian. AFIFO_Almost_empty <= corrected_almost_empty; AFIFO_Empty <= corrected_empty; AFIFO_Wr_count <= wr_count_lil_end; AFIFO_Rd_count <= rd_count_lil_end; AFIFO_Corr_Rd_count <= CONV_STD_LOGIC_VECTOR(rd_count_int_corr, C_CNT_WIDTH+1); AFIFO_Corr_Rd_count_minus1 <= CONV_STD_LOGIC_VECTOR(rd_count_int_corr_minus1, C_CNT_WIDTH+1); AFIFO_DValid <= sig_rddata_valid; -- Output data valid indicator fifo_read_enable <= AFIFO_Rd_en or autoread; ------------------------------------------------------------------------------- -- Instantiate the CoreGen FIFO -- -- NOTE: -- This instance refers to a wrapper file that interm will use the -- CoreGen FIFO Generator Async FIFO utility. -- ------------------------------------------------------------------------------- I_ASYNC_FIFOGEN_FIFO : entity proc_common_v4_0.async_fifo_fg generic map ( C_ALLOW_2N_DEPTH => 1 , C_FAMILY => C_FAMILY, C_DATA_WIDTH => C_DWIDTH, C_ENABLE_RLOCS => 0, C_FIFO_DEPTH => C_DEPTH, C_HAS_ALMOST_EMPTY => 1, C_HAS_ALMOST_FULL => 1, C_HAS_RD_ACK => 1, C_HAS_RD_COUNT => 1, C_HAS_RD_ERR => 0, C_HAS_WR_ACK => 0, C_HAS_WR_COUNT => 1, C_HAS_WR_ERR => 0, C_RD_ACK_LOW => 0, C_RD_COUNT_WIDTH => C_CNT_WIDTH, C_RD_ERR_LOW => 0, C_USE_BLOCKMEM => C_USE_BLKMEM, C_WR_ACK_LOW => 0, C_WR_COUNT_WIDTH => C_CNT_WIDTH, C_WR_ERR_LOW => 0, C_SYNCHRONIZER_STAGE => C_FIFO_MTBF -- C_USE_EMBEDDED_REG => 1, -- 0 ; -- C_PRELOAD_REGS => 0, -- 0 ; -- C_PRELOAD_LATENCY => 1 -- 1 ; ) port Map ( Din => write_data_lil_end, Wr_en => AFIFO_Wr_en, Wr_clk => AFIFO_Wr_clk, Rd_en => fifo_read_enable, Rd_clk => AFIFO_Rd_clk, Ainit => AFIFO_Ainit, Dout => read_data_lil_end, Full => AFIFO_Full, Empty => sig_afifo_empty, Almost_full => AFIFO_Almost_full, Almost_empty => sig_afifo_almost_empty, Wr_count => wr_count_lil_end, Rd_count => rd_count_lil_end, Rd_ack => sig_wrfifo_rdack, Rd_err => open, Wr_ack => open, Wr_err => open ); ---------------------------------------------------------------------------- -- Read Ack assert & hold logic (needed because: -- 1) The Async FIFO has to be read once to get valid -- data to the read data port (data is discarded). -- 2) The Read ack from the fifo is only asserted for 1 clock. -- 3) A signal is needed that indicates valid data is at the read -- port of the FIFO and has not yet been read. This signal needs -- to be held until the next read operation occurs or a clear -- signal is received. ored_ack_ff_reset <= fifo_read_enable or AFIFO_Ainit_Rd_clk or AFIFO_Clr_Rd_Data_Valid; sig_rddata_valid <= hold_ff_q or sig_wrfifo_rdack; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_ACK_HOLD_FLOP -- -- Process Description: -- Flop for registering the hold flag -- ------------------------------------------------------------- --IMP_SYNC_FLOP : entity proc_common_v4_0.cdc_sync -- generic map ( -- C_CDC_TYPE => 1, -- C_RESET_STATE => 0, -- C_SINGLE_BIT => 1, -- C_VECTOR_WIDTH => 32, -- C_MTBF_STAGES => MTBF_STAGES -- ) -- port map ( -- prmry_aclk => '0', -- prmry_resetn => '0', -- prmry_in => AFIFO_Ainit, -- prmry_vect_in => (others => '0'), -- scndry_aclk => AFIFO_Rd_clk, -- scndry_resetn => '0', -- scndry_out => AFIFO_Ainit_d2, -- scndry_vect_out => open -- ); -- IMP_SYNC_FLOP : process (AFIFO_Rd_clk) -- begin -- if (AFIFO_Rd_clk'event and AFIFO_Rd_clk = '1') then -- AFIFO_Ainit_d2_cdc_tig <= AFIFO_Ainit; -- AFIFO_Ainit_d2 <= AFIFO_Ainit_d2_cdc_tig; -- end if; -- end process IMP_SYNC_FLOP; IMP_ACK_HOLD_FLOP : process (AFIFO_Rd_clk) begin if (AFIFO_Rd_clk'event and AFIFO_Rd_clk = '1') then if (ored_ack_ff_reset = '1') then hold_ff_q <= '0'; else hold_ff_q <= sig_rddata_valid; end if; end if; end process IMP_ACK_HOLD_FLOP; -- generate auto-read enable. This keeps fresh data at the output -- of the FIFO whenever it is available. autoread <= '1' -- create a read strobe when the when (sig_rddata_valid = '0' and -- output data is NOT valid sig_afifo_empty = '0') -- and the FIFO is not empty Else '0'; rd_count_int <= CONV_INTEGER(rd_count_lil_end); ------------------------------------------------------------- -- Combinational Process -- -- Label: CORRECT_RD_CNT -- -- Process Description: -- This process corrects the FIFO Read Count output for the -- auto read function. -- ------------------------------------------------------------- CORRECT_RD_CNT : process (sig_rddata_valid, sig_afifo_empty , sig_afifo_almost_empty, rd_count_int) begin if (sig_rddata_valid = '0') then rd_count_int_corr <= 0; rd_count_int_corr_minus1 <= 0; corrected_empty <= '1'; corrected_almost_empty <= '0'; elsif (sig_afifo_empty = '1') then -- rddata valid and fifo empty rd_count_int_corr <= 1; rd_count_int_corr_minus1 <= 0; corrected_empty <= '0'; corrected_almost_empty <= '1'; Elsif (sig_afifo_almost_empty = '1') Then -- rddata valid and fifo almost empty rd_count_int_corr <= 2; rd_count_int_corr_minus1 <= 1; corrected_empty <= '0'; corrected_almost_empty <= '0'; else -- rddata valid and modify rd count from FIFO rd_count_int_corr <= rd_count_int+1; rd_count_int_corr_minus1 <= rd_count_int; corrected_empty <= '0'; corrected_almost_empty <= '0'; end if; end process CORRECT_RD_CNT; end imp;
bsd-2-clause
0eb4b2fcf74d24ce7b5c5316d67e4f5e
0.439557
4.529706
false
false
false
false
Yarr/Yarr-fw
rtl/spartan6/ddr3-core/ddr3_ctrl_wb_single.vhd
2
10,429
--============================================================================== --! @file ddr3_ctrl_wb_single.vhd --============================================================================== --! Standard library library IEEE; --! Standard packages use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; --! Specific packages -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- DDR3 Controller Wishbone Interface (single access only) -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- --! @brief --! DDR3 Controller Wishbone Interface -------------------------------------------------------------------------------- --! @details --! Wishbone interface for DDR3 controller. -------------------------------------------------------------------------------- --! @version --! 0.1 | mc | 14.07.2011 | File creation and Doxygen comments --! --! @author --! mc : Matthieu Cattin, CERN (BE-CO-HT) -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- GNU LESSER GENERAL PUBLIC LICENSE -------------------------------------------------------------------------------- -- This source file is free software; you can redistribute it and/or modify it -- under the terms of the GNU Lesser General Public License as published by the -- Free Software Foundation; either version 2.1 of the License, or (at your -- option) any later version. This source is distributed in the hope that it -- will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty -- of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. -- See the GNU Lesser General Public License for more details. You should have -- received a copy of the GNU Lesser General Public License along with this -- source; if not, download it from http://www.gnu.org/licenses/lgpl-2.1.html -------------------------------------------------------------------------------- --============================================================================== --! Entity declaration for ddr3_ctrl_wb --============================================================================== entity ddr3_ctrl_wb is generic( --! DDR3 byte address width g_BYTE_ADDR_WIDTH : integer := 30; --! Data mask size (8-bit granularity) g_MASK_SIZE : integer := 4; --! Data width g_DATA_PORT_SIZE : integer := 32 ); port( ---------------------------------------------------------------------------- -- Reset input (active low) ---------------------------------------------------------------------------- rst_n_i : in std_logic; ---------------------------------------------------------------------------- -- DDR controller port ---------------------------------------------------------------------------- ddr_cmd_clk_o : out std_logic; ddr_cmd_en_o : out std_logic; ddr_cmd_instr_o : out std_logic_vector(2 downto 0); ddr_cmd_bl_o : out std_logic_vector(5 downto 0); ddr_cmd_byte_addr_o : out std_logic_vector(g_BYTE_ADDR_WIDTH - 1 downto 0); ddr_cmd_empty_i : in std_logic; ddr_cmd_full_i : in std_logic; ddr_wr_clk_o : out std_logic; ddr_wr_en_o : out std_logic; ddr_wr_mask_o : out std_logic_vector(g_MASK_SIZE - 1 downto 0); ddr_wr_data_o : out std_logic_vector(g_DATA_PORT_SIZE - 1 downto 0); ddr_wr_full_i : in std_logic; ddr_wr_empty_i : in std_logic; ddr_wr_count_i : in std_logic_vector(6 downto 0); ddr_wr_underrun_i : in std_logic; ddr_wr_error_i : in std_logic; ddr_rd_clk_o : out std_logic; ddr_rd_en_o : out std_logic; ddr_rd_data_i : in std_logic_vector(g_DATA_PORT_SIZE - 1 downto 0); ddr_rd_full_i : in std_logic; ddr_rd_empty_i : in std_logic; ddr_rd_count_i : in std_logic_vector(6 downto 0); ddr_rd_overflow_i : in std_logic; ddr_rd_error_i : in std_logic; ---------------------------------------------------------------------------- -- Wishbone bus port ---------------------------------------------------------------------------- wb_clk_i : in std_logic; wb_sel_i : in std_logic_vector(g_MASK_SIZE - 1 downto 0); wb_cyc_i : in std_logic; wb_stb_i : in std_logic; wb_we_i : in std_logic; wb_addr_i : in std_logic_vector(g_BYTE_ADDR_WIDTH - 3 downto 0); wb_data_i : in std_logic_vector(g_DATA_PORT_SIZE - 1 downto 0); wb_data_o : out std_logic_vector(g_DATA_PORT_SIZE - 1 downto 0); wb_ack_o : out std_logic; wb_stall_o : out std_logic ); end entity ddr3_ctrl_wb; --============================================================================== --! Architecure declaration for ddr3_ctrl_wb --============================================================================== architecture rtl of ddr3_ctrl_wb is ------------------------------------------------------------------------------ -- Constants declaration ------------------------------------------------------------------------------ constant c_DDR_BURST_LENGTH : integer := 32; -- must not exceed 63 constant c_FIFO_ALMOST_FULL : std_logic_vector(6 downto 0) := std_logic_vector(to_unsigned(57, 7)); ------------------------------------------------------------------------------ -- Types declaration ------------------------------------------------------------------------------ type t_wb_fsm_states is (WB_IDLE, WB_WRITE, WB_READ, WB_READ_WAIT); ------------------------------------------------------------------------------ -- Signals declaration ------------------------------------------------------------------------------ signal rst_n : std_logic; signal wb_fsm_state : t_wb_fsm_states := WB_IDLE; signal ddr_burst_cnt : unsigned(5 downto 0); signal ddr_cmd_en : std_logic; signal ddr_cmd_en_d : std_logic; signal ddr_cmd_en_r_edge : std_logic; signal ddr_cmd_instr : std_logic_vector(2 downto 0); signal ddr_cmd_bl : std_logic_vector(5 downto 0); signal ddr_cmd_byte_addr : std_logic_vector(g_BYTE_ADDR_WIDTH - 1 downto 0); signal ddr_wr_en : std_logic; signal ddr_wr_mask : std_logic_vector(g_MASK_SIZE - 1 downto 0); signal ddr_wr_data : std_logic_vector(g_DATA_PORT_SIZE - 1 downto 0); signal ddr_rd_en : std_logic; --============================================================================== --! Architecure begin --============================================================================== begin ------------------------------------------------------------------------------ -- Wishbone interface ------------------------------------------------------------------------------ -- Reset sync to wishbone clock p_rst_sync : process (rst_n_i, wb_clk_i) begin if (rst_n_i = '0') then rst_n <= '0'; elsif rising_edge(wb_clk_i) then rst_n <= '1'; end if; end process p_rst_sync; -- Clocking ddr_cmd_clk_o <= wb_clk_i; ddr_wr_clk_o <= wb_clk_i; ddr_rd_clk_o <= wb_clk_i; p_wb_interface : process (wb_clk_i) begin if (rising_edge(wb_clk_i)) then if (rst_n = '0') then wb_fsm_state <= WB_IDLE; wb_ack_o <= '0'; wb_data_o <= (others => '0'); --wb_stall_o <= '0'; ddr_cmd_en <= '0'; ddr_cmd_byte_addr <= (others => '0'); ddr_cmd_bl <= (others => '0'); ddr_cmd_instr <= (others => '0'); ddr_wr_data <= (others => '0'); ddr_wr_mask <= (others => '0'); ddr_wr_en <= '0'; ddr_rd_en <= '0'; else case wb_fsm_state is when WB_IDLE => if (wb_cyc_i = '1' and wb_stb_i = '1' and wb_we_i = '1') then -- Write from wishbone ddr_rd_en <= '0'; wb_ack_o <= '0'; ddr_cmd_en <= '0'; ddr_cmd_instr <= "000"; ddr_cmd_bl <= "000000"; ddr_cmd_byte_addr <= wb_addr_i & "00"; ddr_wr_mask <= "0000"; ddr_wr_data <= wb_data_i; ddr_wr_en <= '1'; wb_fsm_state <= WB_WRITE; elsif (wb_cyc_i = '1' and wb_stb_i = '1' and wb_we_i = '0') then -- Read from wishbone ddr_wr_en <= '0'; wb_ack_o <= '0'; ddr_cmd_en <= '0'; ddr_cmd_instr <= "001"; ddr_cmd_bl <= "000000"; ddr_cmd_byte_addr <= wb_addr_i & "00"; wb_fsm_state <= WB_READ; else wb_ack_o <= '0'; ddr_cmd_en <= '0'; ddr_wr_en <= '0'; ddr_rd_en <= '0'; end if; when WB_WRITE => wb_ack_o <= '1'; ddr_wr_en <= '0'; ddr_cmd_en <= '1'; wb_fsm_state <= WB_IDLE; when WB_READ => ddr_cmd_en <= '1'; wb_fsm_state <= WB_READ_WAIT; when WB_READ_WAIT => ddr_cmd_en <= '0'; ddr_rd_en <= not(ddr_rd_empty_i); wb_ack_o <= ddr_rd_en; wb_data_o <= ddr_rd_data_i; if (ddr_rd_en = '1') then wb_fsm_state <= WB_IDLE; end if; when others => null; end case; end if; end if; end process p_wb_interface; -- Port 1 pipelined mode compatibility wb_stall_o <= ddr_cmd_full_i or ddr_wr_full_i or ddr_rd_full_i; -- Assign outputs ddr_cmd_en_o <= ddr_cmd_en; ddr_cmd_instr_o <= ddr_cmd_instr; ddr_cmd_bl_o <= ddr_cmd_bl; ddr_cmd_byte_addr_o <= ddr_cmd_byte_addr; ddr_wr_en_o <= ddr_wr_en; ddr_wr_mask_o <= ddr_wr_mask; ddr_wr_data_o <= ddr_wr_data; ddr_rd_en_o <= ddr_rd_en; end architecture rtl; --============================================================================== --! Architecure end --==============================================================================
gpl-3.0
dfb8fd5393710b5a029b2828381ad5fc
0.397833
4.070648
false
false
false
false
cwilkens/ecen4024-microphone-array
microphone-array/microphone-array.srcs/sources_1/ip/lp_FIR/fir_compiler_v7_1/hdl/transpose_decimation.vhd
2
151,965
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block CW01qzJFeErNFlZry2qtuWDnD6Y9UF/wAO9Caln5uzkZqbfg0nZiqCFnMAQfSXp77X6XxYb/eFsP Q9MpOFodfg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block WIu0WpJ+D2+DQdECZu6xCZ7HJAgQqwbLipRIF33qv8XvWTpEMBKmp0KE5zglJ94fEL4ueEMql4c+ K9mJME+36se3Q66YUL0EFfgRSAuDnKV1sxtyeOprIEDiPuU2odPQyZd+ADrkejqxRUrkU0lQD4ZN SmPyQZlQDYUpR5IAzoQ= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block n9IbCTIDNa7gyFnQdNZIshIUQuZBsDhPO3FfvWKamye4AsD58+lp0hg6RiE8IgSy3t89J/o/u3N2 h3Jkothvq1yw4yA7t14CFbXcjzISDQ0MxKFn1jnl4y4mWxAbsoe/b3vc+cAojeYLwEDKGEfGe2S3 37uitXr2vTMSCcnWUa2Gopzf5vCzyY3tLCQDFd8zKCv79EakVSjvCN1rPIMldXN8+TfcnvWAamKw DSvttLum/+qnJKRFRapumTe/C1w4H1rpvvH5BevtLxxL0BxlgXt9SozjxWHEomzMMkRQRStZiAiP stYjiwIRhH6oIbn3qRM8EPK0WothfN5KhGb16A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block hM9ONk/DdUz/oYghFeyXDNwmqCMTMQCkbpIbRSwX+2cQEc8XPfMmmRdB6SZwIYyz0fuWNLK4dEnD 2G38ruilKWenc4dabDThZUTUvMjSxvQvrkHCUyizvDd9CmLlBGmzxnm7dkqzIlhtA9aLdjP0ADaY nsD+5/iq8nhgPPK7WI8= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block NRJkA+2FC6N1qbxO4O8MZfmOLSkAK81vUFabVXlzO0wgPJA/y6LozbNwNO0owg0HU8jj3uiNIVGc 8s8yLI5qBAKJj+/iCzmvcB077y+D2rk3imROCoW9FOM6x27jyVZn4zmwNoHEzdZTBIXP+CQjTkOX ahAwcZoee8O8CZOi+BpjxPmHE7jhq79asL1m5zfFvWH0TcrkiNGCozbrt7tNGxlNlG0d1PQJofgB oGyRF0Pmxo9HQkjaJOj5gG9MmcRrwe6gw9N2PNgbusigKNoz1n7ATi6f6NmMicacpueuLD9phqFw zsb1GsQ98h4uL2omp8T2noTTi8JcgxrsdJNygw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 110752) `protect data_block jDkZAafVNrU5Oh0r4dxrnQlz28f+8A9N3ngjAvT1bul4Zts2JqotAcObwhmOHPcfggftWKKtgJde x3K74nm5OlDRDLHp9hd41FdnevYwb3tFMszaDwQqwbpBrMv5CO0to86IcsEJ2WY8E6CktLOfklbD 2zNitGOmRjqaL1tyDFBqxL+lZSz6bPP+y2n6X06l+LqSlX+NdKAnFcdKwb0fKN8rc1RWofICqVfn vhRYTvdx69hdfatrYALdmVtCzWTrZa1d5GBUzX//fZmC97BE2Zpxw0O1wScmpYQsfZishlAK+vyi xpCl84tamTs4bVUSvNxI6Gba7tizMmv1fglaJnmnL9Nik3H3zitwuFm+KMqGor/B+KsivhIFgC3E 21JPxdlRCVbhatwZkFhmnB+wFQZG/6bXPUer7lcg9ogMKAqbfrqJGGnME5iD5J04zTOjzdhIuagL mN+UzjtQ6s83Dg+gWkNQBmRC9KYbbuuPkia7Kb+J5U5cMpCkxaip7T28ZQlGlq/8qiRni4It40fJ FmveDeJHrGjcUTCRJaF8pkFZdRDre+m8hjpsk5S2H6D1foKbjzblr/Rpko8XUpEGaYWqI48KwPa8 0aIkXOfiDcPfsyn4QSMRqGb6D5/bKnNYpH71bDScPczNDjWWDP9KdcCB2IsvYjshNu+tbr3kzV08 dcOT0jpjWZy7IEkcnU0gsk5Sxw9ho0zJBbg/F6hf9bVDgvfDynpuIZ2kT/un3EBvqJayNe8H4w2R tcipeadYaQXSDHckg1vFfxDEm8OUkguAUMVjaakTwESnbgs3yyE7Yqjxn54P5RzVa05D55n2ubB7 AE7MMuIZint1rosWOiM1p1hiFEb4jYXLSxKA+MaqCEBkrcSCKnB8AkPRntyu4SlZAoLxSYq1tyzJ 8yi4cBUAsstLVxzcYNepPNmkfL+pHXe6tryh9ee8Aa0TmI5H0mH6jE4/eN5yx/OSgtp4X/iENhUb X13esFXdjxJjXhoSnIuX5iAsFm5nIaN50ffuqVfLSVCXVPMzhufOSDYfAE0onfo9C6NmT3IMa/ML CcxgDYeswRjbCC3v9iRZ7JGp1pvjzjo64I9c6/+IPQUDWRTS7uDuVo3+qKo0E1A58cZ9PF5Ll8Wf Zn5NeYMutWxswrNlY/N57Z4QZE4SXfoeSrtOJlMJOSPThgd7H9SOrt+ZBXTr8rgzlNb5xSvzRY8/ Rljj9TMUBE+KSTK8PDL1yWCaSgg0zqEqtno03/lYGM+evT60bNIK/Ax6zrXynxsEyXzQw1EJa76D slJeEQyDKlz6qDkTPlpKsmydUFnYpwTR/cScsYxd6f2qSIBKbu0u9IOxDZitYqPWTHlsLPh1Fr+C R4Oji/dV6jVURjL8YnGD5AViSDa9VWBjQ/8l6O8ALCL+cE3Z9q90O7UktCMV2R44luuKo6YnEVVe LCkdiMGvWUdXRt3cMExQU2t4vWtYMe6tRwu7/l/RtCSLPAA2UtDMsG4R8971GHCm2Bl9Acd4y0Uh 2gug7EsgrmhY4wUabNU66n1t0TNi2p5zTDKmaiqso1QQoLOhwNhARTGfpGCDYgTo0LTwWyd+Nk8y Ueu4gvQet/HKniHXvorEbfwhjAqdixQSiSeictSTofb2eIL6kNSR042aY0bH7pkT6ZcPQ8mzaieq 1mJFyO4JPKVh6WBvK5GOaGivZohhGRg2ljaDj5y7yKczGPpwxlGlx2Q6JSB8pbexNBwIyQe1rQ7T Wnwr1UZN+U9K97xn13uUh/9sIy3C1/vtvofYm2qb/Lahkt3EOmDuSApu4PdF+OdGB2IXnYkMkmtX tlJhIGCNn3/lfdqCQzhyydb6zgd+9GgZa0nlcZx49vJPHEqbLjNBIgv3e5Gwvk7XlnneXgNr5UV7 YwfoPKR3kk9Yyvj+jzNtLxNSNmV3AgREEriAVEmOQTCEyMouem8f9F1UOmtouckKqE2FeMxhoQzc vd7NuAAnFxklIP5LAfIzq1YNW9twmPgEb5R45hLeUDipbYW0A7ohrD/AbN3nCwzDOw/g1V1B6i6b xHaCGtAmjl9zFy/DnN8wtWmrIHCb84HOqXZDv5QRGzp2A9PIFDoCzKK4m2pwVqqahOxFOFKIn5Ih 8uATgtVUaZJtpGs7krlA23WXXKCwjyyC4hFx0zkLrPP0MLsSDmxd4meHeGjMBhSMUadNCD9O+uqb P9wi+c6ABvy/TrgkZCOdJ6LmF2U6COp3L2IwY9Jjc/G7XZ4XE/ctaTJ20jOsN+WsSzoljbJLIrzE Sq0BGjZ30MEs10Amggop60cU1hTS7JLbdG0Y3NSkDGvTwAoTuhESZjuLZhqnr17AunUrgepbTq8w jfHs0pqumjxuWEwawHldX55NMb4GRq/g8JGqc+PfZYT8qbpLb36gBINO/Fgn6WKJZG25CopfAh6x DgZIkfj3Za29u1Gliy8lcNOsTjpVTfs3rbGMN2HqgCdctNshJY4BLf1+BIINSsID7T8B7MRIjE2y Mdfje4i+I7AMyTUr1tmxTts5AnDM7l8jrvnwxCqFStJ0TeAZxcZSsNQHqR0Eh0crBr9M1GuA30sD HfjdjOqUgLhPatZuOOlDG1nmWgOJvHMk+ghuQ4U+DFdKeazrMjr9+V0vOaGUMwZcKFI8GyBakJUh vivxY82qQFQLZq7d1ub3Cp+zylma1dzzFeGjXWHeKTjBe+naPqELLsjL27X6frPZ1vV7lUDM+Amj E7N8RZmdLKL7u+2K7kbGDtf+LUkbh//i17WxpeQx9HyQbhWtANpoiR87+NKSeTldvnUGrtFfQe4S HchUPp5sulq3lcWIlITrZc9t8HhbvPMRSxIVjxYR6JOTZ1XHgwD5R9pT+E61+WsZjg7q9S/wJt7a yr4MweNckd3xGQvCMfoT9pc/UblDiga0e5eRqE3lAbdMusAWh9h0lUqPVYq8O37E5IwHuXoGygEj 66AcjxN7/Dl4JfdiDKzdzUB99grtseWi5HkfjWdzIlDRJYFocVURShqrtzi8yDBSa+deoA5WaKGV q2G3cp/GgOLKs+d+xXub9pw+CZcsPSON5RVxzgpfxPIc4sA6rbVfdpUBJluUevleur3M+TNpgl6C K0+lgt1ALjzKyrraufI8+vTh+5pA/22WlrVVgYFdvERFzkK+yQuKbm51gPO06C/jqDN5RYMcPMIl n9K92jxGI3JkRG1t3Cj4K/tPudoZl9c550yEKIYIqruZU63/A6v477oL5yY9scYGueooY4FXh9s7 2u7oNxTfINmsQMRQgd3v7vf+1FX9u2qLvvL0IzR47JA87wTepTYM+8zagfbpg/PRe48063HZvCGf W7kD9SYLxNlAOn83j5zW6uGenL0gS5jCtzSV5TYyZIN6Q0KScDdzSgC5RGStZoyJlOMo+n2O2Q9d BOTTvnPD28leHGL/te/Lml6AOAc8/Wj0xwmfdBGc5fuOC/2znl2jbUjYMY76rU14WpJXIhpzUmGj I6pENCocz+Y0chpMr0fR9EFk2Ffu7ddqA2QIxf3fbRW0zrrRXnNTXVZgkwyD4tLiUTnVKKAJqJDi joNI3XRgLWbdyBmFeDKF+z6v0u455zrfjoanrPfQaXh2Od9NCyzBM8EP2OzJ6zr++nGSXij8+EcJ y6XvKnNxkkvK6XOW9b0zwn3O+MQ09xizxICTgSz2RA0csImLomQf3Cdeihm4twbi/TW148hBStFt 0t4jEts1ALey5uVcQGZpmDOPd601kls/Co7PMsmeLfnC3Ir3pOfpFk9v7jVEi8765SuQVR3djGlp Hsk7yBB7FAEWVfH+RTj/09PqKWyfV3ngO8ecO16/jUu0CPDiMFRIUOGfV4JdXdsfW9Kzcb2jFjIE qFBx5xe5q7IkF6HDRLpVYI8guPjBPSL/cEwkQtre0o4zhxtLFTexo1ioUy2wGrHxWN6Ut08lx+qS y5+XoJe4FKX7gBQ31Xm0G7sZD6ZmsAyYzHmWhyggAJQf3tVlCekzOUfFjyzjEfPvFR8LfcsJS10g R8pQW14UmQed5x9IBJHHptBK5P9epcYwzPZgLsWft6Qm1F43laufH8hvqBdZz7PqLeYEErjsX9a6 DwfhHicRCWuk6ZDhd1Hw3E+AeB6gwnRhz+6G2864XYV2+sJvpft1xPBTLlnCZmTwfrOrW+ybhzRq TdgzXcUBIDVofI599N3aSghbpSpJhl+0y6CxsUnx1er04SJBGeO9k9hpm+Xrh2EBYnkEqXMdLNFa mol4PnsI2YZyPVFnSrPW3jj/AxSTj36TgV6EvaNKmzPYAJg5aa5BXAo3Y7p54qibrI55kGEaHh0k Y7XymasnzRU0nv2b5GYNhNh834i/Ydww+b5MbIqPpuh36Ga0do3CHH1Cefi5jhXxD4D2r3al3S4P ltTVqyqvXkXmk9dovb0YAEURmGu1Cm1DKGMzoXAYMM7IdOue9n2H4DcGV1r32++3cWVWZdaU+teL Z9NyFI+rNX0nWd6QIiynjgNXnJ0kUVRVymFRC9sKQipsPapTr6waXQFgedsU5oUOtmDUEZgUAVCW 2QQAVo6v1/gQxBj0MljgGkcEQF+ZXEOmYJQKRH+0YFNXBJDiFLIdTx5kuWQOSHujfiqn3cSWqqnv ZFPHOrrLwVta7DtRgILYovgsEeZ7et6RPDn/2fXuDq6QW6QEH9HEd272ty/F3ltPW2WT4UcQjqnu 0IbpcGgEU01y4sUm3HD8I7nV3s641CEQ4mpvx1blTFx+KhAB2tRjidAh2GOAfExjeOt5hXIjq7nh v/DsvqA4PMk3RF1blUrwytLHq+KxTWWX45LwHj12hcW4QSTYBWpXbPNn42X2v4XJ/IZ+eXEh1puo Y7QLsKbqIg4JCwR0IoMh/xMtpGvsP0HyeeQvt/eeG2VbD66n9iyAbhyRh9IdRChkr6UpPLMQ2Ybs bpmdbYB/u2fF+ZCqGI18QS9ztCLJJvTIXqcJOdoDQs4S8FyGSgcs0aK/qcipTDOMZziKfdhG0NRH 29z+IL7ShF6JPeYr7Q3QquulOKJWA5jbgahDKRecsYyKCSNoaQ03Jj6cyyBwZlVDSW2mwFMqOgrU CBs2MmmidAC/DJmyleb3u1otEiKY3iKLAAFi1SzjrSXgnXtsLz3W86kFmNDhcAs/Sa7TS9QFohRJ rnO1Y4jPNbeFcMLmbR0udwAgi7FASliwsjjMx6Vr+Vqhel89fjXWpqzIpmgs97rmIPFfa/lF5C3A kwZH5jAK1Pmxgb1kbGctC7VnZq0TgN2pd7hUnUyt+V/cmbWLMnEzynnbGGrldT5CroWAaMDnCpPZ g3K4T0M3pySVsX/Sf7Q6frlvLpWF9LIttREtv39ho8EoZa0FP5d4iQwNijtGs0j7kazLGafI3t9W xU9t04vqFvPmCTnEZdm6/L8ImkNigUTW3veu4LyOyucaXSKr76OszgaUxghmrruktIY1en0EOfeC jZHWQ+JKsX1BkO29K6l3G/GAdz9id9qFybAAfUsI22OHzOJB6cXK6rA/D09l5YZt62b/K7yRIQRC B8hTZ9RER6xC/vumZNXI6DvzSjv044C76vK/eeCZKPVa2TotNYJQJ4kcwyx2c7Yn0tBvcslBRbzu suB5IRGC4Tdq8LrDM41Ol5Yw6jfWLN4O+hUlzvvYnMospHq67WRM74sQ4O1oxlKEUPyj9ueqW5qL GFNe9xffsCiCo46MnF/Fasr+FiG6SvbQ5wLooycXsrC1kgT+swCZd/emxeEwxdoOJCckWl7vQa/R XRyQyuy2lGYFqUTSHUd6tTNRkFfUj89DQ4DOy7IUxiFprB/ScL7utsHIqRxaQX1hcBZNMciioPAN I76JnVTodVfVlTpvV6EotGKOTTAIrC4T6duXBPK8A8s8sMPzObGEpHGsLJKTaRSI0RO1973ZfLho EAEoPPiGTVsATU5GgekKl96iBWVeO/a3a8DQ7FgQqhJ03A5y6gKjWXys2sliIVV9utKHmQtehbkl YtqoUKZIuYubhcGIwKcEpRWHWyskJavPkLFhLz2qGDFnoiSCWrn7L9K9y0KAk8g/iz0S8l9kwalF LiVojGJM6mOFJdYRtoVFq3kQPps0eBwLShjwDgqD70LgCp0JOaHDothyYtFKkA2ykTCBcyNXyGj+ bgAACaKQ+93xdNmiuNQ27IKRyrMTogptKupn8tjJGKRCERlwgijoKruuUi2xTiIRtM1Ub8QS0/5W zzl+jBdSS24AVGWp3/6vOuqMB+Rl/7Q/+x3wbN9T+sXz/OEpE1vPieAUFexwcAlgE/LrqtrRWBfn 7OT44ZFLRwkww4UT/w6HU44OGA5GnRjB9RVAeapNjrMEOdMM5GPhI9y4GPcLNI14sQ01RVGjMcUr tUbC4ooPonTjKACLWJqQncSAuWVlug/ko+SVLrTSZZw0GMgkiaqQWCSASqOlAByQLh9Z1F3oPxLr mdysZFa3WbiFW0RvdRY5w1ORw7zva3o9tPHxEWJ1RUa98If5cFl6AOGbeHkX6OYETyAbGyz3JVdq GK08w9g6JyJ3h3M3uOIfBTnZOrqV4AfuoyDxjcbkM6KP7bRCkyp1I3bnb6DRwOdDFst2Wt/r0YPK LLrz3K7xtCJh35DeM8Bb7pOxjjYI3yzFED+PE/8lQMJWgJOjaSlv5Rqe/ArdWUdD609HXsObULEf tuu82y2TkThMqnZiBs5cRbin7QE9f4+49Lt7Rraic8eTyovWiVgKjtfxhjYqirZzIUyIR2YjEZU4 9xqeEruUcs/Lh+xkS/4fwJaXrZq1wfQVvcrFQ8PlAP2XrfB2fDsNkzI/bL9qBniJnHUv4CqXO2C1 dh+ABiO+D8AQDHjWS1C8QvqhIfSUnQOJDHxSXTRhTmdfQiL6IpczzkW8boDRu2zz4xWn/DBXr6vZ Okbil0nc4zywZJnRivfHlmmCpRddtYxaUYCB6fbsmlmse+w+FV7VOULJgGvHzY0Ca5/Y3ZqTFSDM ie74vVkMmE5JUleT2HsDGrDi7IrfnZocxvkn7tKUNNoi4bE4yXHflxfhmoNaIbtueYZF2JvPwLX1 2iatIxFTyNB21oihdOC6hIJZ27YzC3cJDTrQID+MJQclNFg2DjPaVtT1oqZ6XfHIP0wlPfhMw3GS d6YKBhmQekzRZpQvwHsQw29UKzH4M7mRqAnsq8lx5SxtsbA30xkJNUyggz2svUVzYn9iWxT+w2KG FgImrc0lqXx34OR5LVpQ3Yj7ihdvFoRJBbo1VwLEQE/dCnsTzQoQi2pboNS6rqfTrEilZx3iDPzf RgAuiATOHw1QzJLERqsRwVNpYfQ1Z8KQ/HCQAHz4mJ094VuhZ4yJba/cwTuA43tWXy7oFCqFbToL esolyaoPED/bId+2l3gi4aRv6Ufz3O85m9jZ4ONdg2kwnrUQ7g5Jeay3oegH+rELH7Pt5iVcY4s8 Sx1Yf6qbttwwwgXwN7D80Jqr/jgGXL3D6G4FVtRXlQ40HG1clVWhPraXq6FIs1wzWIlXobzHA0vB u/H+trFJL+HsCSuTPdT0h89dzIDmmKwQpqwGDmFYh/Sk9n1FWmCOCYYhxHDI2oo8YeC09iEe6KO7 o55+AZ/e3gzOIuPjHSY4jH/6rmsoDm6XIo+R3t0LiMI/6Krbi6lXLFKDTxeXf8hnUAV1i0fKl2Nr k02azd+D01ED7x6uHi5mGW/ZVhOoo6TWMK3RbC3WFbLToN3wp33Qk+OvCH6HRVMnsRMa3F01cmUf ZSvG3YuY3YyqVhp2uIwtEG4az+WFyAVoVp7nz31eA7tV/fbigk+TmFH83lioRaLR4ko2s1a8QLAi pccoA+QwayCp9bDfJnw5wNY4+9kx4fSZvSoYtxN4NxOU+Yv/uyrxF8udhuhlOKOsmdNy5Cr/wKtp S+7JRK9db1VXykQJV0XkEkTWDYG6z1LMmpwNl3FX4q1hvuAVhN68UPZH5x+k8Zu17l/bp+u98+VA 5sGcZdg3YPR1qSpYB5lsoJeKU0HRgB8dFdTRuCxPvT5H/+lIXmnj+IJUQXJ6tf0Hby+lkfwn/00C 7zZAuENcR7Xpu0iWVsg8dvQk8uP3EesMDWSQClJx7vcyojBofaMUma2ihFSLSHuFzMrW16Qwo0TC c276QhA0pIql+Xkf6j9JJahM4/v55vCImZZb7Eyc3uK3miS7P7UH57r1NEiMK4yf2m7YBYCdT/kP 9lMtkC/XnaUIhAPhvjUObQ77yrloyVxhbE3Riudzgvd7xjXixMAli8uRueuSWEcMoqT5MbDxRz/D VKJP8p+na8u/OAWlavqrnW/fNmn4aT5q3D23UXMIwad9kiT53fzNKErldQ/mU64+2CeP8PY/MMhG gl7p6CdDZcUOPNZQdYeGW+4NfmLbd6r9f3AF082ndl4TOIB+KxMQqFEKZP2bgneAnpMCfDipiHBZ EulZ60xRXA+v9174qvOzaT+VjtSKed4H6wewY4qHoxS484/3WFvltt/4bb4Q7D0tIkYwckhiyaAq sMJi1c7LnrtAWk2aQ/t77Q00LbmskOcV8kIzjNj+lw+mkwLniry87VYrqwz2PVkUy1RHePRvVNsz Re4bRx25ccdqXTejXOiyO9EQyunBgXu1zs/RG96rSbi6s0lJeC4n/syPhOimEFs+6Siprh6OX8fo HYhOc1Nhk80JPrDJpaJkBorEABjADuZDQ3MD6702sUQrHbinANaW2fz4+VwGpl8erckFjYWqVK8V 3RFUT/L4aOExpOW8EwHwHkYP078fWCfd00pAzChCyhslQidUKTo4md8XzqM65rMeigQP8AoSLnTj 0UteVotn1bYTm0GtM1Ppq8Xz4/wepr3GiN/tAd+cwm3BxOLnLP6YfUxd3im2CMsuzBTBKQHVJWZF swNyN9j8t1aeJcN6YvEvMkAG3OiDsQ/mAIsHAmo5bRT3OF9cXs1tBeEPFyYfLLX33CS3ETzYH5Oc no9U6iqzOd6sybggGpIWt9eVC1GmnhaYBCwLyZLhUP1Nkjn8elL60g/Mg4ae5ShMkP44GrvVpiG2 tebC4Dj1FarIcjI13fXLmpK9RuLGfHki4//wuOD5FrZuoS/vxpQ57rtvI0HYpTK7tE7iRC4ujyCF WxQyyRrbcZAfZJO4dqzOjWkjDLnKdNBiPh3xTx2IeoR68G541o/rbV6hauj1p0SiNC3NuV9S2D8p arz9W+h92B07EZwPLcno4Dbz5k6uL72/piF56YHina9Lnzu45Jbyq36njgFg03Fr7dCVTK8iEYwY Vry5Y6G6gZ5fptmAs3GFK23uZoHTE2zUKIXbJRireBw52sniZQMbeTqWkhFNTIJIDLt+mRG1fYaF mwW7pItF34bn3HpSgXKYfuBGAOmesmxgqzhCVjM0J302cIZ9scPGkADvQJjrAKHsgDN0WKAmnKwv ce2oxoej0w9N//9X521pZh5WA5dxl4m5YSGQeS56Ncw+roDHLKyaySlw7hjA3pKsvC28BE2ECzgz YigFlNjEZdvnZ0m9R2mTB1Opi5dohh9aUhKJZuqbJqxvH1RmymQxqvj6XwOY7TUyWBCX/xPzWwr/ Mfp7TdekbPSw4Yk1+iMvp3X5w2DsZ5r0E+PMNfwF0Fy28k+WJ/wB1D8RPvSCqBsFa7+sFZIzWk0v PViQplejZ1oD+AhSuth7zvH6em456FmMKM3Mn84Aql4uTwvZ7GEdvEHdWsbgNy9se6wGeiKEfoUv ycuQhqGHC+AQECMbQSQOr07KHybf29omoyZP4Hql+11dqpr4mhGXH9pJdKMCs1NUDjtXrIP+DqN4 mu7DE9mWgC1eW3fsim40ytwhJJyGC5I0jng7fi8RRYhvHVgXcliPf9GZzj3v0n/dEqTDisQ+etQ7 qc++AAyvLYRn7qhMOTKle/cTGJqClK83XG7v8/FqlMA2kkLIQdIo4h5aBxz3OtAKEMXVENtYnrGv GsQZHh4TmAy3DKXsHEhqCSZ4OwDD6XCqYzkOXVmqrUQaW7VT7yOJ20kKIy1cR5DGoFJcIIpBGYfR Ku5bMr1HLFLkSds88J7/2OU+1NUiAVW32uJZivvUztRy6HMeurb4iCla9gZeWEBTVr0VHjM16eeE ZxJx66wtqQbRBMs2V2UkkNVXdt5lIA6F6d1fuzaia2mmXdNUZyzk3caTLxFJ/Wr2Ab+Lmqp5JR6J dGDe3ct7xUggOzAp7mFJTP78cazuUae7yP0wfrNUzoLPjk9UlkjizEF1gL6s6Wo40ZAblCEOS0CK QIbmq+mNisKTb0SMEyG4gRIFXSD4Tv4hdmjZemVaWPOS7C8hGJ7eqXt7ukWmh/sC14uTNMoTIACN xoo/y/Il5BcZFWMbTSdLOvCHJCHpU9Phaz7BuUgQDNHjvqjHXtNV2x9do9g6nr+AsmTOswV50uFP K9fhxjEfCgVflb7VOPgC0njg6y/DpO3BAidn280V3yuecdhWAB3G9bkhLO/pYwkwwgA6kHmBuJ6l dIUy7/1f8xtDKNukh4S0QcnsK+A7LJp52o7GPn/ajbXdCd+126q0GYNhvqIIFPPire5JGXxSL8qW GUfSkXon4OomBz56KiZAn6Qs1X9CXCLHAYDJMSvfnl8vxPLumtQtFcJjIfmyLVZhxfVZGe++gHWD vRoDFd71jNoCMP47HUU6fAchIIfGZoky2BNkhRoij0FQQLXU9GznnwtXLmjooUBdaVK27QFMa2aZ dI2/Pbh+h75W1/kCYCaWUMPgA0/lNju1xWcTV+ZxslVILpiuMCDV3NuJrrJjbLi/StA2xZsweVxb MdMomcv9b6qNNraPiVaZBs6dRY+iyIWjDyhEOB26GwQnSg7+WFQiMhDPX8+k4MnZnWP0Lc+pRU+0 JCWL5KTaIYgbNUa+BvvlFQ69Equi/JSuxesKCyq/44sYC43sYyGkqJc/Iy2+81iOnQv6Y5W2uLV1 q4TQHBgFkywKnFXPTfd80EaGfE2VlCJO3dMFqyIbrFqeS3+N71QALZmZOqpXRcmJ0yerq8F6rUNe k09EEGleRa4fXuhWQZzZeK5afdAplr1EeYyKI3HrZmUTVwE0LtL5CJ1LLun4e8eBwRykiAyQxXAT JX1F+oZxcZVwANcwwka9bGCjs0zh9SJNKanuOd5jtbt3fbTHJAKeROW5CV2k8T165R9j1I6uTH+W 5NkaCvWOcXcwTlBfCtXoa2xG0EyGMwkpFcVP7WI0PUBtbDBhi137TJ/lTg20lnCl8odDj+Dq6jMX jopUthd14MhmyuZidtpStPr/7YUduJgOzLBiKieZTZiXiKCV9llm9/caw0uZaI3X0VJaHtpp7ZYp 3tZFgpw+X8FCpAkLeJ/GhxBHuzKHtFck9JEX3kGDp0Medag6mPnk5aOz2CH6XWDf4N0AaqOrJVPz b3KPvMXFSlZRBVA/ee8sFB9ichePYOXeyNjamw3skZkz1eZo0Mhqa9wZ3PrCRmttKeJ1J8Xce9If ERnfO9qb1aeV+Q00tBi0hBhW9mylKhU4z+PSCcXqR7f6x7sYjk8iSSJBaV2DFGRipo5c+SgxQpsQ 9p0fVJEp897C0S94xC65qmh03CV/pjXOlWkFdL/9Dgwrt8JofG1tZWej097ehpvNDvT7UYZxFtsd fw6IqqutCi5j1pxpXGzg/efpJtXYnXykTKf/NpF51p+fA4wKjdEUYGeHO85QbVyH8VjnmXTiZWCN uPtRG38j1Z/almDIJZNleLYLW/Ntdibxy4t/u8EFQlu7kzHDbqxC22j3LoT7mwWaAiSLWCFw6WU3 fe3IyY0igljH7OvkEinmcdSb3EVJYG6IVEHTC4mqMaovEBn8FOVuN7NtE2z9H3C3gsuaAely2Mv0 TvnnzDgYMx4dQMN11rIp5OpgVhnhVYhUq3xGy5ymtoWIxCxsYXLjIUL4eqXISQlZvk4HHnRFvPiu 889q34N1SH1UI+w7llcoRXkkUkMh6uwSMmurSeWWLfndhAQBEglts2UAfGoEmudbrte7Oa78xTLH XBJal4HkvSvMp2Ev8OnKk/t31cvMv0N7GvRT8LPTNc+c6RmwPCq3RB9kCwWF6RbGCoy/gmqdH/GX YKt1lCJ3bhVVkcsfEjhd7z3Qo5U7gn//CRgwu+1Gjn9tR0BOPJcIF6l8JJbaV4BQFJ0ckCV0pBrW ss7b1mXMkNUganUimJBtHZ+9K/rVc1pQGbl6o4KbqDZbMDeGU1bhTKV24UfW72/EL8P/ZY3AQG2w AYb8h9Ffuu2QqYFVI66QZuBpYRgZabgHtCz1wlKD4VmKZYc+K8p/LDpRlILRRyrT4yreEtXTd4Di kY4OuFAMtyqbdKKVFsfz/290U+BnScJCgEEVQXaV68Jb9fmArC/QfS5yg/klHqAbAVa73UsQn23r 8L2pGRrrwrMDmrOwSHHsvq63ttxvd2f4xhMr4l7EUmfpvn02KbOW+BVw4hvWcDhCf2uvHKxhyhN2 bQy9HI5g+xRsBquKqJ1y/6Ucvo+VuizOoluJRuIKsmBfqZPueb8F4+bS5YJE5wGWof2+hEhmbPmx 2Pc4S/lJ7exiQB/Xxr/SqJhY+vQHiupvwQeg+BXLc/Omr5K16moXhhsiZ19vZkHqVV83Ov99Y3BE xNfdeUgnnDoDpwBUGdktUoDLS3nuiZU63VukXqmrXm+nE/ICLzOFDi7oThmWoyWUCHRHBOO3b2ML 4TS5uoDVLnZ+Fya1wr9eF7AgcWx4h/Ikl2ept7YcHIaS+XkpbFvErA3/X7pnWrdkvxdbEHxuHW/N fGSVBePwMRHJICIhmG2APjFdp8LeVvi2aMaIlm2azI9JdjNuQYIPIu1Ge70AbEISP5+qLpfbzzeD ITeWRvxe632IGqjrVs7c3/zl7+b59HzUhfVeQ3EtVEuP3ms7LzeVW9+3pVxAU7sIs1zrPHL372KQ hOYwl9bprz2gMidPv4NM0ly6tbROdTvPkpKY7O+vVgPIY1FxaFhrO3MVK2LXQk4OazagGdKlD6v2 E6neMH0VCfqWX3tzmNJ3zNL7v6sLWzOydvTQGXVurqM///MmdERrrke0Fe9CU4ZS7dXsPL3D0ePu tVRoGlmLc9Lm/XEzR7zjvY5kpa3DCdDpiHfMU8UEcBIKYTWvTt+aTNvpycIZvpo9VeXJtlPTN8K9 FdkPUwtIGuTc1HAM4bzYl5M44LajM3K8Pz2nAu3XBYWfcGjHOqArM6d/pumtWiechCldYLsXUqTe Zz37TLY48ZmEuSVPNsxHwb+fqYxemFpsg6b09P46kor3xP7k3eNLOogEWeW4jlDYTjTHpVHNfFYd Qm6A9AQIM0NUH5kqq1heRvObl+zUV3NtE5uiAbRahEdS4DGRyZMBuTKLTlF0Vj8O5s4iaGv57VmU 9mCxV1deVFM6AIjMqsByRYShW87UxLwvvo71ileCmeDlJnOXXM7Qor/QniWzfHoC5BcdTbaDjBeU 9Ez+CT3EU1PVMig1VJNs4B719OyjnOFLgLVCrnP0Zfl1ySffrwdXEj+jEY7sBtAkcbvlEvO+ubpb OTMKwHy3JFQIH0qOCIUFM6V8NkPf7gj56/nj3i8yC+ExOBqico9txW2ui6cgQTKgt0Vy8RjbJBKL l0snpZCJEXY20ttchSIbwiVKsjOj8IW8OUiouW5G0yS/hNlWDjreNnfrk/Dn4C3AumxpbF3KxM0e 5M2bkT8eueQ2cNAuMgMlD+vVYLp1iu0/xCACQe3/kmK8IdaK2v+WVlhphODCvJtMemNfv3s9pwjm YUTwtoh396uNjPmOwyq2N5EbbHtN2aEd5ir5gEfyJ72POVlKRek5iG/OkzeYaINiSNxJd2aAXDSu ublTd7sKUA7L2vaao8Gvm1W/zS2Tw1pcP43GCVXrk/PXiF17xSiEbzyEhffyNUX5aZh9jdyM1Fw/ r/2oVn8pVTkE7f03osCTWsjfc+qvgUErarAEk/6q355FFtWm9umyp4j+qDpZxoM6YKCPYkKm8qnx W3Bb1pPDm50dJ1PLAPwwPs0kfxQ0/oojGpYthcyaaOHcBkXtNOjyUfxTC+qiX/r1OE4VI04wm3WV woYsUdwCJukCJZfCdwsutX5xqRDKbuPH0bXK4Asvf8GKCAQmge5hLQp8SyCSpDEm52Bcfb1DUS8y le6D8noLa2G4bCaFiN2Wrt+XRBj3p40A/Queu9z4eT60s0oRgYkEH4dFaM6Qr4MZiS6AwanONCM9 LRi6x+qxo77xuk0V4/kg8ai49IiS40mboFqDqIT/zg6099uwsgcBSe4cpg5+XBkojqCOjmAh4Pgk YS3WhgdyghHWROFDX/6GEd2EdgLL5rMiKYJZuXzsOflUtBX7QephI9oisg6mxI618Pvj30CLqryr C8z+PlfbLsMzEPlVw8D3oLLmRmAEwLD1l1PPiIGx9/Dyf0XIwy0zdPmKyWhNftk0JfDeCz8SuETz 8OXwzhL3+HVWr2zIQhYdMdhEUcNIpMC8+Lfiuw4vfoEWRJl+NZJV7NRKHOfyqo6ZZ31PgoeAfB62 bdP6n0sAMdsFAsvCG1E1G5RBJci+tzM65PGY3XiwF5In9GzyeqoEAp3up37f98GTgSgIOoD8QYmz yQtUTGBFPjzwAiHaLIuj/Yx8eDWl7cv0Inw3NdZpNCutM6BA5cN1zlzFtAyI7oAj+2JvwaJSA3cg fzW+8iAx4P5URP+NTSs3wAkoGgZ13VBapD/ub0of4IsZ+kflsNf+/TZe9Xm6a2q3Hw27eIOu2uZq Q1CFKFgNPKoyFrEKARpOwuPKf7LPSawC5XPNSFFxbq41oo4h0DZjymVFaYG+a5Y3HQqHGaZdUHli k0GW2X3JNfRFFrC6NKNSavMUjGX6Md9450cM5HkRnPGgmqHbpXufJa/OIgc6IG1QgHnuGrhwITOr 0klAHOnnZZ/ZONI+5jhRhHS7lp810B1mOwK89YceJsvIRs0eIdhMjSw5DoxLJFbxIRilf2qGsn5k 4j4S8MKCqvvsJH8Ona4xybwamyk/iJr7YjqeRn7b/HcFftum6IKiIe8o09ysV35NbMURU2VCuiaU GdszuumiKd8NitD6n5eUM+lryiuYEG3lGTm53J80TP/Ge+PIH7Kfyn/noGfv5fOvXMAMsh3tQmX5 7e86RLsoMCs8jcU1+7czjSgX2er2ECszvSkbAsOClLwhS6j/TdpzA3d6DptQUpHl9vAooMq2rsHV 4tVYu4kSg3OIbYar+A9+yLBqHkFJ9MalSJHHWEJ4XcbN+QCIztUvVlq70pBbIKzGMDq8M7cWx+3/ 48dBtQlTAaVte5eRSTs1jyGdAprd5cYYtZ5btdpdDn0nQN9DaNEUydxtvos62EqV/c5jBzSLtLZ1 gVRSPe6HW2h2fCyrDoady0y+RjOeqYZS5hlsOPgydja3WVQKVipLECe3RtYZrPyBTuwS9bEC6Awf 5slOfMF7VnvaIJHiUcs5SkyzeVAFRYTp4BREdaIowi3EAHmt/4NX4YbCIaHhMcJNvs+T6EGsnJs7 FMqEoVRlvUNY4lms3PWfb8TT9ozVe9KwMM8RLsHNYWuFmMESULAYfuphwo44ffPRSWCWaWc8UxfE SA4QUSmETuVLCtUi3TMUKs8XVuUTd7ZkQf1uBBzgbD9poHELLb2mU3HpgTZBTQLkpLBhGv6hcdkv ZegtCdw1VXsPM2X4vqnICIpiHyaeRFTm9bJqLLzaCc1GRfHb11Q6pGwwslpcliw7LJtZuY/EpdSX bV6EGFpbzlpH57a5aorNcxqyY1TBfsiaw2de0zt1nBTky/lM1gr1xx+FQWXSQE+64kvr56D3Ziw3 wIroP1QdYB16enaFrbDKcKI6DtFhUT4je1RRyP2w5t4R4JikzCtuqg/BT6qeFWv6RLSDWw2M1zDL kp39Iw2GKQtvCNL/sdocf6fC4nv1ocUMk+zZ5MAPXEcsc6wW3z7G/CdIh8UCw3j0ODb9VKt+Xbkv oDfDse1phUyTMb6Q4hIBu6yDs8wW2x9ePuV8cML9FhEiXKMUMUXEuELBVzEKCyGHnDaQ3wM0GVlc bwq51zdX2yKD35N4lsIiMwPJZTxNqG8qkxUj1S3aGtXcyjB+TC7Lv6YM1ZUEqZY6sQ/9Zcs0S7FV U1GFn6pi2RXWcn3INKdpU5bTzmZJXwwfBR0HhGf/1dTz3okAdTTI6KJl7TIoolr/gOTgsHbM0wCd C+Gz/XDPOHhHTiUsJJFopx+53NTFGi9hMTI4fT4QYJ5YfRm5XQTAsR0m4m45Rg57PlhhaFCHRO2i bR+CrMR2lVFvC5YLrk0VDuKlDoDqFVTjB55aQW2JH+coLBPm9J8qLiCnXp7tvPIRGDdPGzhlDHih OH/4gfbCY6meAtLdtmbHwKrnUiee6oo2rCQZyeLgTn2Tu9Uvxq4aP6MhwW3HMPrSMQtlUtaBUzFL YDqumtAbwI0SRR6AAI78+bAfEEeUyrJoRWsRXK4vUR0YsmnGfv9UIvwvbMQ/+ZmcFbw88i12aSw6 l3ZtwhW7dpd5fzWCYsnxLyJ2EFPeG2XH/Slnc2Kbzwy+6UOhIOmmmyB8q+nq+tW4WyGk4CNPcVdD 9Qkh/Rtk0YkL5xihKqNBxQMf/oHSCo6H+2aKpLpdQUIrjpqDUFbnY3/jtZnZZ6Vbmb9A18X/fidr XqZZ0BITdm7r9lg+p9+SiViS+j2UpwWZhKIeXTTrUpAwX2L1DE6Akjmavji/NQ57tIbWy4zaEqYE TqvHZHd9WH7fAaTRcw0DHn9nknft+TQmkGoV5EvbLW+f+Z6ffglbRcWJujJrOG9sEvqVFyGuDcXQ zDEJpxZzHhvGnhgKRM4Rg8OGu1e5gUHKxseiLTaGFzCTuAO0KnM0zzwbnC5GFjTZqzTig02qoDa/ MDkR6XLeTvHoPESohNjCrz18D+/tNmjbS4TEOx2ZcuYRhgk7y+a38yWQJkhKPI2POG5zJ6XwSPhc q1myTxDa2RK1fUHr3zQ5aFor+2cOlp8z4mrTyq8yuEQf7bWKarPDuH/DOTbPv1uXvJO0fw6yzzkK ONaHCzjdgnvwwFHItkvFK5v3vXd2ogGnZc4nat4MQWP1ip1E7f0uTs7DY8FhQxd1AYvhG+QdIg6U aChjgwyYEVGUOq8wRdjULl5BQNbyBxMCgvPxpIQUn4mOZrillqaOQAYyw5vDKfOUrAfsvMVmgYVF XpmilkMRGrzQJGM3kzvgafsOT+PG1u5dTW8f+4BxE2ATVdFhftfByLkg2XNFOZ6X25whCaGGjMF8 InaO3j3p/N5GGCB4dYWl2rpQFdfXCg/UGC4fjZg5q44+FG8NVC0Tcho520oKcIfl0xJY1FKQNfxv kV72gncWOt5yB8ke0QNW+C1bFrz+p9qnIVP3cMGv8UpDWgMpWfwkla2QFnROZ0Z5pzZClhLDWnF4 6o738/JE6XMLRJBI6zibkLCvN4sJADtzvLoz9aMqJ059nu3dYqij4T8l+xWvq+kE+WPBvXEmOIGl GxxP7XNRq51N+DotwNZaAbpi65SoasTD7P6pHWJ7Q1J9YBunKRb7JxWl+w7w/ZrysOGNQ+i1508E gfLRmGvnuDMDjprM2l1HL6RzoGR2PXXxVSlqsdf7WNZS0c3t5BtseaOL+z1CH4j1s74ZbRq+L/o0 ELJd+LxYm052otLNRwZ0LZ6P5LtGbLOr47iZ6nrPdKtnISfvN689bJNlgGzu0Knp8nVXc/CYEqcS BvrCglcKivXdd/nCenfKEpDMV0awgXYWp6/8WPvYaOfEuE6BtfRV16gSdYLBvRx10hp2zFg0XUSP wrN6xf4jJk/dTkrtJEHiZFmiN4oDuC9bwQk+q9PkQ2IGweshSHWxCNXYyxa75YmIA87d4j1mPvj5 j2Uhw9pqQqEjtADFYhYP6KPkrumbt8PDbAAQp3gjWL/6Wg8ZsGVFjSr0cD+kQfAf/C4V7AvGTZND mcrDfqhhS47fa6UD4hlUPUt8uP+AOzt/28opUnzaY05KNo1Bz7EkNuQbD5veu283oH8joEGvBGG1 RPSytT6EGKtfBGVxxsOX4lfVomAOIzWyxr3dkPltBWL1QbGeDiVvQ9C2hlVMmHEAWE8HBwz1+zSe cdXkk9cmkR3NeOzc/euw11Dl7b9xkVOmdDrIMTKMtFxMm7uy5TBuS8Q65j+zBlZXLlIaSwuEuhWu uc9rv++HwGxIG3Vqt2Cf1H/nNkg6frrPiXaIeeWG8qDWJbFGOmqZYyddd9woGU2haTun/f6wpKq8 IBYBW5KEeQgpaJYNt2uYzcZjiqSywBu2vBlbpuXtIgJh2QFW6icR0EdgNPsuYBpFTLCLFnK01DiO WGZ5F5bl2xTIx2/goUe4aKBhr+t+AnwcYKmxln0f22ZSfNRDfHUCtUzUWr43l5u3OEl4IZFG9T84 D5OSwIJRe4NHdjdOw5ZzaabBlaKVpWHc8OH7P3dDJ/wYUe1csh5aJBGtrMruYaIKf/ASiJxDntlC r0clzxkXu0nXKAf1h89+gA08xG5B96XMPcd3CPlr8Zz8rbGeUhWwPrjIHFkFHRjuSfoGgO3AZGsl lckArODcW9mWMSdKbiEjrRpnEuq6BBYTran05jlYNra6XhjX2QAiQK9qB0YVLS56qKQtgUwMLUOQ wuP/Nkb6q7URPTBNI71RLnGH703TAvr8EG4cgS9I76kaFCgnwOz+ZafD7dinrtQDX/R5nvfH/rKV AHIt0mQ6paCP7pL5lMHx5g6zNcrF8f1Kr9asstY6PqfGFWVM/rv2m6XfhKbV0qWwyJxrUh8jWnGZ R85bojd5bKvkxG7AhVaXvwwoHkgizQae3ltW/yX4MMC65rarIZh0gJ4pGXAtR1LYz7GEvXd/rsR9 yPJkzA4mWx48gkAp72jzoy5XWNok7NxhtxnPeSoFcAHTOcedvxJYLHOV4ptiynbDaiNHfzwkT/FV b7HzbTU+T5z3DZQRF3S+2jiNYb1Gh1wWtJLs/aqN7se3g9XqOyp/DmjA5nixurABOXRbICIzVxrM ixgSb6R2m1UzHHUq5e/NT1ezp9IHh6xJEGblpUg2xvkddK5FCYSKpVFH9sXPNZr+5uA8TjQBtgCq m4bTGrx4Z9sh3A2Wv9U9k0S3VxhM1rbXMvJdhuY9t9mrliRIjUMdffA7ZHTK2h5X+PKJqdLy0caX blNIkp7LVWBjUPWCprmZhgRjDVcgxN7LZthiXbOzLwMmD3VguHrV14pibcuYWv552iLQN5n0HihO 7YLRR9/kC4WFGmuzPHaNvXFfR2V5lnf2/BfNyJnVnoWkvs+FAhsbsiH0+didvDQ6C8g5sXbKxX7x 3DZbV+6JUlZ6pdd5pasDFSjhsP3bFHUbrpyQu6i8E/9IpLPgzbz567pScZf1FTLGteSp28+1FcdE ozeV6IG/zMY3i0mES10AkIMheIsktBs0YIGQJKn/3ezVoYkYDigaOlOkFV9Ozp4BhMCx7ZH0llzx gD4f0uk5VPBh1N01xUWvTXXT/7PFT4Y1PFrxMgcfgX4JxVcP/o8N/U2Bhsm5UwfwTJjKy3wF2xgM pij6T+4UyINbnCPQf5GMVmoXXkDYIMmFD8qf3nazbcsSX0o6DrruIJ4lE68N8CLIBEH7O22ZX8dn ZszxN5SBKDDqw+2WhPkE8SDI/RRkgxk++8ygwwCaYpPseGDoSikUHXdbkiY2vhGpsSi5DfDLqbs5 AdB1qY8IYNWoRK+0xA/NNMgIFxzIfeS1opIjEv6pyq4J8VM0ZvNrRmLmvvQoEFohAd/HHvIjYC+2 skcKo+I7JCuu4N0GN4jCJ8G+rcmAqPo5u848yhQwG66FjM6nDAzCnLnaPKu9pdx/F9vZZJZ0opVJ 9xCgP2XGG8z0QGCPMBI9ZXDhcfOpbjRsm8Z2ty0bGgWZg5Aaxbi+uXmOH52Wh77Y7Ksz9XMt7MuN gcN1NGONqbItjSqhwpJfG96QfZowdL7JWu2Kn5PdWfN5f5b2aFT1ES9ELpxYMAkihuAE5AT+JJ74 I1Gr9mOKi4QpN5/+7vEHy9GXHoFUjiKHHbZXGLvYTE51w+KMx6Ywgi/kT54ssXl3rDq24yHf845b gYsPPeV/wrWz+GXYsQAxBguN8C41ZVD67yRBXVVq1bIvGXF6T6TH57Ye7Sb87IMI+OYowfe8IMd0 OFz/jOpIeij/JpuOwbThV0Zuyk3WaJW0Yi88uEXYBXH+BkHfyxKOYGWpzYqdzdXHxcLuv3fqTsLo ca4hs36U0wE8luKnVh6+6XPaCAgg25kDEZYgbQS5CDVHoze+Ees56ILgWeMpbsisZqbL1OwWUOnF iwQdmnaW1XrkOdrvPtfnNJWAfjVagAO2OL5ICpVNRCJZYDxpZFA/21nuHlkisWjetkU0sZ/4Qfjy msZklvDa3mXO1BhL/LarCWf80sUzoYVPg5IVwNpTKYtcLlNJBOc8XIp2jGx81PKT4wENyUYrRPsh owat2rkZpMJy1Pl2k7j5DMJz2WKW+w+PdkTJgJcSAas2Hk7uLZqF29Lcv3oshlsnM5vplA+hOFDs vBOqskq22VTFQs2g2Y73jJOEqdDivThNhWDqNawq3P3WRiwydw2n1dRY+8t91aCNpDg9nSYiVB2v 9e49j6IawJqxOvD0JvCvOUArBWlnzVjOM312p173D2PkvMXmoVYwWhUbSylMhuo7HM22bDieNiUD x58r/Vhx+Yd/A0eeUnlsgrAxXlpedOF9a947ixL9ewrCi00Ofc448n6iDa+sCTCdJ6h9EBrSAAU2 QM8DOGbrlaih7cbA7RxLNC8v5/5jzgsStUZJWx5DcLLH71iCiA6YqDggCqkQ4fJN7uUd3IlqZhLu Mz7/q9gr0YqGHO0EuaenZeOWhrhWTqHyLhfMHKxTm91el9Bi5AClUWNJrQ6Go67C6GNGd3P4fZI9 uPFKswf9/d0+HxWqW+kzu49WzYjoRmzm3nrebvHQRAW3i0q7KQpTPqSEjMeN8qwzD3ErV1e63q++ j8/z9mQnIw3Hv8rBWSliQXsI1cQCdz4H5qp5cQEpAeYHB42UyNZ4sgUCjge23QPAaiQyL67itU63 py5rwCvsUH+gq5nhjmO5UXBeVmHUfeCDlAO1CeqJIt91k+IbtwaXVNr4FO4Hn7h71EhkWXTus0OX NnSA35h4I69DX5iR0wGNmM3W278CtAe2JYGz9f/6nkMv59ReBPUEq9JM5jB7xlfCsgDKN1HRNSyi QvRgmGqd17Qj/XLouRlQZFAuzjKddu+uVxt0Bb1rLq2YvMPB9P3psdE2S1V67OvE0wSsRpVtvToD 15dxFba+QST1y4wOJb62HEw7rOW2H+RvrqcO4gXy21KK9/BrSfXkJQ09GMqvNZtHSXf8PzN8/cyg vMVGmw70N9tKjXIz7EnOsOMwJlfIQPSQVnWFlnPgiM/bhJLms4KwePKaEQtO5tuX6SFwgOHiBwq1 5cC0vjYj6C15EFQghENIgHNtFqorx5MZcCGZvJuCu6A34Ccz7bYwDY+3gyfjaF9UFSt1rAYgtqB/ +PGY0J1uTK2JypwTcX9i5ONhYUXUSMZ60YjF0kWNR/HDBQAhqaVuAWlp6aPlqWrscIa5BElqelqA WV7yx/TTjvzrEkDUM1Iw5NZRNRySJbKtrY3PAD0EqO+hml+POi8hZimS6kvZwU269FGON71inH0b AaWYMqGxh5jVoqUGuTXmpUrKG6uK+rrk/y2KS0sKvtVZihhJQvWWEoTPaCEdZTdKll24o44V4sDz p/tid5HrTHINbZyoIhoE9/jnWcWcoJdLK1W59RcHlicMUbNKm13b4OxB9JSSGqKjHG7Jc2RCjS1f rh4yg/SO96HkicwKbR7Mq01ZCoMUTgmjzfYd3OS32MPnawjleN6YlwKWUq1VCtS7bA8fj+D8tVNe g2a5oMBnwCWcO/M1kLezyxrb2Rsz/fxFr22qik6CB1Ah0hNBUQsu0euARxYVcqnyKhHykc3zVj4T Ad7vk1Vzzwxjc47newpqWSjb5azjJbaRzd6DrtFFb7i3mGK171Umgt2eszB+UEBh9L9rGP7Q8hdw zm+nns2ixS7vP5JSQzgewv6KuC/0KgLos8WaIXjyoap76hyd/UJywO6nmTPyRdC6xcU36OauhDgq 4n6V7HWRP1XBA1hFpvm5Nvych8tP6iJtbg8qnWZIBYfUjHlXztH7ms6orWZprzCLbid3BY6TQIuK c/6U60GrM6BlUFcqyYBNNyYbT/kE59OPXDtqC8KWixU4WSTygJ9FzzVHxITQt55z487jU/76otvs cI/xIFkvzvGZJzEIeDwwVTuTUQDvStSbpAuP+jkH214h/+LekCg+nCgKlHQAAGmYf5j9EhRjZG58 EmirCDeACEdoG+q+PIPfCzGHN7h7ZGTahZ/lWuYBgID2aTkS+CJrYKdAFDCpvV+KPHZ14pivHOjo 4s1H5W1xq2CewX1nkOmKSC73A7Y7w7O9lQPtIdjaPckjHm2fpKs3cOjVUlaBU083FbY7dnwFc29X XtRLGQPPJWVrEc1NTXMooDxPcqoaNvpLD0ey+ao17BT5yrtKv9kgVvs+1twTzFJiuJgTe+PGi/Ye fUxHO58eBob9Non1eYuASM6mv6BRIuL7bX1Ck1hqmigQRSnlqBDr32ygJcBMAGz50Ui42uicscoD GmUkZHhUpiTcmtLFVVfnxcdXHayMwBNBKLnlsDzzK0n7ZTC2hdyoiVoSzo1JIyIayRB6f43OVXqr 1Q5zq6fuj6waLfGofPg7vUrEu7ybPh9ejT2jNF0+zGcD9y5mN1mgznxBa+r8oLHeq4RMaRbgrZd4 PsegOf3XgaMYmSHa+fAnWS2vEnICm5FNZ+3CZyWF9wfrwAP38CXnW2iSzRsrr7Sduj3Z+WC7FJqB 4zE9zXTpGbEZtG4AMKPVqG38QDo00yVPJqJ0bLQTJDgU+lc2eFqVDLRC7JQuvC5kksn/jzXaTtXO DLQp6veXuH0gDUxZzP6i2kq9yos8gz/JJJUQjnHgMEW35B/Korq5OSu7jZ+eSrTmbNgqJLLHCjMQ vQ42TON1xik4i4gEDhwVksFtD/4Vh9dJeyszb4Y0y6GvXZxRCnx0tSok1fN+ARqP0kapnsUtKFK1 Ls6CTWb90Wjf27zHb89FP0sJ0oxuG82QVpJSgdgNnP6NHWfyY5Oht4ZlDNSTRRtrBrDtLyA3I7gl K2+CWsSW+duk/5/48iKCi6NyYZBbOfLbMIMUSEIVyfGoYGAl6JIKFxdX58nOpg6i39o0qwVcPT7B IQADleemDYrI25LXmgO85xYgS43mm6UB+pvLkaaO0GYQIWZfiqzEd3lPCCQWjL41vIVoJEqVQPNY zSuUPq+pdw/FvXRUbk/mXkvAYVE6kWIwDz4QqrwX+5wtqujE5bh4LHqBRgmTHwsh51Vxjmnb1vyq m+MmX//Yz8dSxqnF9DR9Lq/0BdR/qWrmVgWBs/pbOKkFIyi+YPbbXKMrSCy+HaVDyrHHh4FJrHQN ciCuQIErSmLY83MyjZvMqIeCpSCrLW5VYW0bhTY/Thj3BlNKsfSEhScVUgnq7lEISv87SZtiKMXy L2Ze+nap8kZK9d3qvas+JHLvezd1C9DLnvPwfOSE+HMQeqvmo3DoPVdCoURPGCnMr114fs7BgI9I 0E9gQ2WNuUUprLn0D++HWkyb1lc5Jx0KF8KvIgFpY+FT7EsLCQGDP6dvqzwSAhGUxdTbH8csqZu4 48FrGKlVTNxQE6AJzj6SnVYOzHC4zE+rDFtr24e0X3xG0P48NVDRDpGn6/l2LJ+jvyDkxcxZ0zRA ttpVzZBGlR0f8hB4efUWLVO4B+i5Y0hcs59s9L5+TE8d5MQw1y7v5bsoox6UkxtJpukABh6DtvaF Gzx0ov1q1nyHXOswR6p6kIdKUvvoJjy3a6UA6EG+uH5LPL5UJ4yfZVRYi6/K6tAX+8epQoklYjp1 zq5vxyjZe5Xlv2C50vjPsqlrnG3xWAkhYSIkr7SkPs7jwHCN/iCeMerUEzBcao++DfyUtfv/aNI9 QuzjRM050gD4wOdn+A8OmhNnfFeb1erVLxCrOzu8D2qokdzvlfX6nCkJmeJf/2U6eXPndxjL2M0e tin9ij50idZ4iBQoDOyUC6CP41U9Xj5GX3qDH8L6/hRmz5gqSeUufZxYIlAyZfAszhfeJXzEuHCV MnpYl6m17eh7Ej4dK2cswzOkiQDswT8cC+38hb4x14Baymt93u6zKvmIUM19l6/v1d+qhd2ltbPn qg7+X2JpI97ACK2gHbGZCUJy2YgF101JAz9mv33ckBy2XNJNf6997a+B2V6MAzQZjvXD+6Uz0QtW /JvG++L8VNsPyw/yUda1Wh/fatqTe+ZaYu+wCb7sCDv4q68XOWLu52OqokvYpK7luFQOVyTZQH3E HJd4SFJ+6lFQlWdhKrNi8TTTBVWqh2PBpdTHvWHuAaIxvE3TleLpIxubr3mv83eYT0TbVFCyc460 PC2X/gCGc1a9hnc1nk4+cHprXK2BCcd7F12vaS+IyTsDYEN/41DkfunkmPCOxXoUaw6sZp8H6kFL /B0i9m6EkTF4cXhya6/k9WSHxBlMgl03WAr20Bv2Oe62/VYajMPax0z6W4I5Ywst5PU+rTDj5KLi XyTvHScDTGvz1kIKuC7yAlvfT6b+PqB8IhtMVk6Ou7+FsENJ8HJ4/dDP40yeQgeIXxEuH9spvatI tbqK4dWm8X2yw4LwtFlVFmZm52olKgPmLyFDykbuIrCBGLyxb/XWPheDVd9GtqzVLe7D/v3NwDOk tdaxwH57LTYVYpfvbxFQiq+Wcc/MeA7c9PpmHvyievhzRoxS2VQXK8HB8T17gABxGjBSyPL+ZDwH BGG3trYKiion+K3QnWzDGdIfBUJhdsH9p9skt3UJfJ4W/xIvvqmF6y3YllI3919rOcwGhkctD+Gc aci7Ic4v6uTSZvqZ3xZ7dfu1MaUFIJE0N3C8mt7Bj85qy9XohIUrxp/vhbLA8h24X4TxfjyKKzAW DZGkkgYwiv3FkRx9WsZDsVHsBl/dy71rO9VqqY6XLNblBY9zKgUU0ehMKpbbF88Zz0frYKCW7yeL +e3RzoBwzkuftTYlW7cZpENpUBsAvvYJX9t37xAqxY0qFXlGU62oXOuRy4utC/aUC7bmTrRgr75h 7BhGD2kmaQ+7DnvZ8ECX8N/bgKu5cGD0+TkaIdgrY/iLffML7vyIvKsCbQ8+EViaxM/mKI/a0/zF PJOwR97LOp589U/r+CIm+ybueggVVSd1+OvKiO+AjtBQut3TPIk825z40qLTQLCsgLPmzftNMQSu 2CausyGWHrGCQ8DW9snS0TZW6vmNyuGq6y6rnwn/88rJSMI5qW9t4OMUgQKFsvgAW9HAHI/IqXjF ZtHwhYif0XlzlbJBzInaSddGGwkZUMQq8U5pXRZqlnjGZiDiG+pLn9oq8I1pp6vZjOp9uiGS6nYn stiDwXgeCeRzzgRUygnvhF5EDw3Itr2WtkECzXWOv6yf1DSukcNKi5rCBcL2OwbzQ0jdoZzTi/58 B6FZGPyJUwItdPv90HmQaLKNv5M3L8pH8ug6fCPRchlkavoDXeQgDoeZV4/Gzm8o7Kmep8eMzU8e jaN3ihcPf3F/ZajWpLXBwUAcIscVADOBPTKBeERCQCOQm1n/kW7CZ0QrKLWTOAGjrX6upCyB/NbN 0q8oD3cfhKN+e17MGZK9X1EUanDE9i/Qu9a+aVW+zeCdiEogzmRCLBxCi3MwPu9maN7tPGD5k3RV GN0HXkd3zDsGE0e1dtv2VRkKKFvq38a/urWJ4+im5O6K3kLgXd3I5oAe25/zCdFSjjTHBKvskMht DDp0nxJm5FhjRPx45lkDLr8Y8SRkwT5WqXh8A2e7u6m7HZ5S8Hkwoxp2FS8LpDttqMQJWHjknjuA ofx4YfSrRXdy6PMRmA5lg11iVp4wdHmIu49drRjthjsmjbxHPChL1fCncX2zzQKznwkokhcpN++m 73QzrV4fMn2oQVURS9c8fi31Xvh5Z4RPSQxzGQ3xPskV06FE/+nJifWXtE7V/bzf0Ytw/lpQhHaE /aJ5iIKgcCmyKiFnR6Wl6EdsUJNrStt0TaEcQ23cW6cCOVF6VWNiMRDD00EogYqIzi365JQr7qQS 3s6TdtJg1azseg63R+RV2k+KN2FQTMaFv0bwNXvqVbFa2sN2Y98mkE637D6fDDxyHGFAh4sxpuAF O8Z9npi+Ko/i5TwHZ7b+zRojuKWcL9J3iVFMJ4s2gaTjkfs59FqFyh7IqmIN77eZ7RfKWK42IcmE dhhzwJQevp+MzXpfWMOzRQBglH2gP3uDkmiDiu5b/gk29f0e+tNzEV5H2gKeuFEh61j5xgh35D1Z Y3A2FWvSFZJ9tucwjxmrGJVnasgg3hNcyAKmUyWcKxT96M81Dc/JyInE8+j+eNIJ06qRwgyO5p82 lzB7QOG+k3N9yt1vHBWVBNPZtNKu2tgPAqVL1hbbfB0HN36ApMDD6mRD97mbvtphPtU0rKEk62KD XxBocKDCUF/DvCOLDtZvJsAYcXSBhikvDg/pMFnsWUhfvEPn/87DTZPv6oGXMGSaLNNfIYzgQelM IXcwSoZp0l8E/Y097OmQDqit81OXdgTLksV3up1sblUGsmTD9EYJIH7jAvDKAC5dCgRaeA57Cct9 iQcZHZJzEzFCssasJfrvWv8cNC0MejbA8spcBfk4797Aht2wkbFe/vowTkiGOb/sYUX70bBC9m19 4Mvw9tDLsSZAYT+dXCXocxkAjUkRRvm8iO+zI2+m34Ilezjfrk711ZZ/ypdor3fUHYO3/aRVRdT0 Ap8KO81m7H7ka3J+lJ8A1BTld6ev+RHLq7H2+n1Fi18gPSSNUzJ9LhcaizUKWnKmdb2Km1frD65M /r3p4dj5FCNVsLkBTwz3FMqsW3IAQGUZENMsXi8vsqAl5bqAvuhcOfsalY9vPLUxuiF5Zj8u8REq Gw3HOh23lfyaP6D4IA4NsQVT+VvIWoC5PI54Dx86M28xCZoVUOJi92V466nutBF83q2uLg0vay3c RdGqkrM6eLri/muTn3D0gyjPDmSonakInQXjOblv+Y7vJv6EXaGP0LC44Hdinxr8EmEN47yjKj6C JzBkYcBGe+Zg53ZtEfPPm0RBDQoR1ucQRcpazVQROKsD/UtQgcQIkdOZ86DQrxZyT9EU+oo8FoN/ u0cU4QHznWb3KDWIPVqKuzjQ06kazqR188MX/Fo7jqyMKn4tnHcBzLMK+mR3HRB6xLsWQD89ykLl 3J699op/DMcd6phjViVQDyTr998uhwZHcRI7iOtSPuL0LxMR0ji7YCJj7TzgQ4S70u1Fhx7nNF/i Uwp9cbto3Uy7xcTG3oNqp5S5pOOZ4yNHNF2GPt5U7DShQE4aXTS1yXHG4WeSDvquU2LqyVzSAln4 xQL/J3nfCIuCxGZRnJqm9e24yoPkoeA1CNgpwZsygPULjrT2Ja7yRCUpKmFKqwgsUtScgivCRUaR QwCcHltkEJUBPTZbPlDHSwPpAeID/EKsg2D3f1aEaqqAWMDulhl07fTPLk0glZhZ0DYuw+xRFD5s +b9iJeP8XQns9spBtK00ODD+YaniUUZBtEnTM3mrtAg0+fX8vEYqb8FCZg2XJx6qCT0faHdxSixz jj60iApYcvj71c8VjzmWzqIQBHf7TE48TwimyOwtEuKG5N/zfB1GrN28dr1jI6c27r6o2IbjJmg4 cXRGEhtUgQZYo89z3IjuPWz84vExqpPoebzqkcm0bAvarMUzuXv+KYaomR1zze1SEPekWBiSfuWk TH3Ps2erI/jxqg9aMBXaS7C3MPf3OmafBIDEjnnDgzTitlNewFROZvpxAYvMMkyTWdDw/e2nNGPi SFVXjWAPa0G7wyh6vWTqX/5R9AU3io7g/HKbmwNP8TmHBcxY2E3lLOFOyaXTxUN3Po0UF6xE981J TUhqyD/pZLkL+nD/kRgJpMUGLWmQsB3LSzT5kQ4hPboPSZ2TjMimWo48McC/9w0lUG9yLVGXZKIi Z5klmO6ZGfIIkmP1PpLIjK9Sec2hoeH3F3RBE92UwoILFfLQG8YyVtqRTb8jXCCM+JBih6w2s5BQ idcWnw+V7qCsXRIUZ0NRms1kq2gpb4mRwADvVXKOOKt8Z7XaxWqfo9eUH7fy035EUXIio8xjRpQb pQR/7OF+Uclv0sauDIkBw2dV5BqphPqxzW1CaavmNNQ7ybES7rVCnjH3c+gaPwH29LpIjlubJToi aS4N8Wa0Fe6m6/Oi3cwLqXagt6uKGMTVsrdbu+xcaHl3qGvFwt7cVKNXuAjC+iV6/gG0bho5KHvV GPxYnrsX1UkQGiWZyDTowTxo/uEPA8cDmy9duwp0rIGN7RMFoNOy3wGLF5/lyCQjlOYpSTv0pMfp Khzjrp+vOjUHdZnB9seTpa+XFX2+oK+TMUqOsuYE3umrH32PqNmUHIKVPeLSSwUrsAuFgPEoJL0/ 0JTZGcZ4PSw6m84PtfRmqoEwX4TnH41vC5hYuQlaspJUH3AQxSmig6Bmh/OQQ4GAcn6jqXKlhzeH fNSAj6qBs9mGRC19v9XcLOHqvuZGmtA9BRWxkK9KaE6/pmoBzGQUOcJXJcRT+XXhRv4IR6YnViYI DHAHQa1HWDo5uzIbox60SCPCfY7cYO+SEN+lEDF4c8ckWVuR8moAF9S6DL8bVmzNPISnp+dc6mlm LI7uT7fwpnWKLpWyd7lnksljZQGSTMNV75ME6eKLbz9wmlOu6bhGBHnu0ezvXNhB+VQnr2hleslq j08MY9taiETRBtvjiKHMVoGkvgj71Y8Z52fzENlbjWxon08/R6R3MMsrShSWMUA4kufyhZV94i84 ftUllcI2cLc7AOt4Hfy2MrvXw+dvzqtyg4WErr0g42+JP/N+6iFuKx/gfBHkWG+RuTDyYpFUULPF E4fea1DCc5+YsLWEpA7ETb4jd7ijC9JI3fHBImgksKIDeAmQ9FITGOsZDNik6jA1mqYLBdiHVBxY s6oYIivEwGgV4Qu8JJTl6UFsf267iqtsubqqOgEaTgFAr/u9EYk7odBwhI3O5JJD3eJfX8Ej+C4z V2HjKdFveMb1yag1DyBViATmIV94M9IWqlG5iB8LFQlqM1qVtvSItzYvIRSI0K+b3e3RV5aKnqjq 5Vb+p37EjZXXdRW4tBGj1zOvmeQVU339ItwXbG79PTOvEyFFJEiiG6SxitJnM8LNTf6sbKunI+J6 WJS2RewbHuAjuPBLnVZIwDe888lSlTszviVoURgH7U7vHCluUQ3HgjuzMI7Ipd4zKrSgRpysGZ/O 6BVba14IXInLsaRY4+utbnJM1oT+8sPyUDl8v7lI1stn6wE1F68q2FBnOz6LpTiJj4ZhBh44V6Og BXJJuEZs9Lam+2qpVUX2AmwCB1KFLK6J8JO3TKhnIfu2m3gOcVxm5/bVKZJsUtD+24LdOySUqUUB yvi48bHgGoKAQo2isPhqjV3XgxnHq/4skyfeHIPUC3OLVzeXCZlLSJFiAJHI32s8WmzOskFO11xf TSbd11qQfPqDbo2jvKafZN8BYA00rhRDVcih6cns+lHxg0C2EDJd+anOodAHmh+dobRHWG6ECHCt egXlwz2X0U/ImIJEMLFYG1z4wRPMlV9FdT6ThvBZDH4w/VVm2Zq7XUBxEhxyFpaYgJTCLLtlFnAJ fPsfUD8XWmGh2cht1HSuJddPw1xrKmkji7xig6NZWkDCPidg/6On+efX8FUlppuU7HUSInyjqKLg nK7VsfTWH5mNEJrOHOCm+FvFGIbOB4t/kNKkvUpCde/YbmfOhEMNcKwyYi4MEYUQl9oJuMwlAnMN IznueKw+ppj0IaQ07LrZ48GpIn3uqJabaY8EOa3pk3+L/uS69r9q/Ow6vx6YiuuYUmu5kCoTCcj5 3BQFe5cGGMX7FPuAznuFlhui07+aB5uiHD4+Wsg557JMXsumiyGBr7QO23pI2eNZC0kItBLE9KEM R6F/zJBh2ILBdpR+97rc76tVwORMe+gBYgb4xymO2Ze0Nt2mDm3fMtuARh5XA7f3zRx0KZlzUfnQ JI+ZmMaby+jcnnD26ctTT+Yosbelciof55C6Atj6Z4Gg/FJyBwgUg0sy8QdO96wqJEDPUJow3qz/ j26MdQ9vt8sDV0Y1GuHDucdJ6tAPIMQI+jzakf1YN6xptkTV61RQ6v9wzwV6VV3V081oaDegt6SC yy6UVZKvxShO8dVTi2rY0O1ARtL4bQ9vJN7eKldmdCAP6DkG8ERqHTBKiyZtJOZFI0Xh8S8Kbnib uVdzaFsIVhTN1zPRV/cL+oSikouH+UGDC88U3yJ32sHK7bh16LsXQJRuqfyLRZ4QRfpC/3pycUuE iYnC8MWyicriMbJPUGwP7UFGpbZYeaAU/FlWTQ8QvrcC1hJHm3Quc4OVOZ9n4p93zzQ1w8MXWBqB zShAjF7cbxD3IgHrWJPxsiKA2F0vNcSlwBwqn+6BedKo1BMoYYti9SI1kTZAmRTNKPgZsXHTjRWX ieprSepClcqsVn7YILxSFbNRRmMN08TFnjHlcCSr082iPQOFFEhbIxO5V2q6DNiUabFN1sM2NWRP YaphvmXG+dTD80i1BGe9pcOiFvJSdam2p4nvUEdFg/itH+qemvRNpJfgXwsdEO9QDQXRohhGN5ty xoY6dPwPesAxZwFrXCXZtdbLnd1IIXNC6i1r1rdqxDPpgT5VGyasK86jZkmunrjxIivbyRNVO3eH rOoPpQlHWY52ZaboGXX0mgg4BoIbVElx09ECh+Syra3l8qtegc2rhEUWQm7WxvNUlNmA7w94t15y kWbOtTgmqC/i77TUWvCwiiq1UD86pg295ImYDDdjqjD6bLs0HhEqEtRHU/IYG1HDbhUSlBMMrzkx McjJmmcHAgagoVgLbVIw9UCr9wNrqW5XzzIg/vPfOXDjn8sBtO3qDFE2AEKQx9MyKuDrY5isWLic uYYV7Dq8r8j8a51I3UthpyCexkna+nX1W5Ixk3DYusfriCg0UxYT+c/cqh1rKUoJvuJ/RNe53z41 4vYYb8owRVM4abII/WH6hkRmR1s5fdyFA8F8eB7njPmyE5aoMb62BIFevoqH2laYYENFEJapPoRi PZOJgnVEPvUtdEmo/LUbbHcVTPu0zp7q5IDEDzYE5Cv0VGIIlAfZ9GAydYuZYXhXQHqXa+YVHeOT 4Ly0vRLsP+bMre7uSRKavXF0pJG30+5b0TmfGU5MBcY7ogmJVD0yLWVTBn7KZsvNE8rmM3SLVIvi B4jpqqXQ1B6tssKXaEecvUnEroDUKnHGyNW7Is54Qb+7cz/hDluHWjPN5gZabMYXr8p2dob9WHba ur4Fe2OykSZ9SoknDtENZA1z6HoLgj7V2P6e2FC7XP7sKts0ZAFOikmu+CY2DurhsTm24dIAkG63 OUHxV9DbSG5x/mBLZ1uF3BJJOwSAkxu8XdxqejKHj27FCPge6MLZAaJ7a2FqL0FxWRA8bw7VItQn DRWMeHJOBQ0INKFHl0HuNwU4pjTOuDkABnWVPCZDOT+zBhDjVdsvXzCjnbo5znu//M7Nsx/sNbam Lp/UMCheMOcnF5y1dB+0g+IDMYwiuXDs95pqgv7OROHL6d0/vN+rHlUUd2GSMJZKzg+oe2a/1Mnj sZQ1h3oj9Icy6ZhatWC3n85gSennVjiGxLjL+GN1O1HwW6EJYGUJG2RD7pEGEXMPdGt+mux71BcD /qF8xw1j0SGALmCauMH/LP9ZeTcyfZ5mirkbyXe2nZA1V11xEuvvN+XdNl3jFcTt6ioVEM3KW+63 EMGkvOx74CetFt8I8M3YwnLrbCD7fgsETY/d9TQ/S1xakXWgf4afktBGBzFS2O+30K5jJ/eE+8+c HwN/9a/u2RjJwsFhW9+vev1jM08wVZ0++BM814bkhxbyGiDs010GECB+tVcojNT8XnWzSkeuYwuf QlGpD7BOUsdzVJIS3hYWVyQfDEpv4dPmGoL9d6GgQi7ysoz6kFmWhvvSIm1B6GnZcnR2pDLZuPoe 0OCd4X8n/seNZRFFOjjigQmYa1WPlaj4c9fbX+4QuTNbm2rn/xBeI8pNJK8xP9VpOuaxNQTx9ynr erCuk9tDmylg397j706nBVC7vu6pTYDytCOF954dmdj6qD4eWOgHNyaEYzYLC5T39eCxU/xvoGJ7 hradeYj+XaFvdI59BXpuzf40oH6Q/W555ZSiL7+tOMkUDHv4Qc3PubDXIzVdgIvr9N18Hh0xL6wD tLNX+aSSNQddSiYrEr77PbjiNNCKaUIjdJFFFg08LZyGSx6siUBzT3ZuegZMx4D5D9liXRsPWV7E eEfEdMwlHPQJUX9JLHVVDDYq9nPrVlAh5nU4v/nmDjZxHlb9IxDW3/vr/Q5zBMbr30UoaUaPq5zA 7VuBJ5L21HCVyzT3f1rzNNpzvWJVgeWbYF2E2JGwv3eaVDN7joJ7SPiM/RRqseOAFY8GmaXSfmJ2 s2LwjYOmw0qJPF2T3oawiwJjH2Lv3C/WkW4x44qcVnRO+jDPVykljKQL2XTsmDqbUpA4o6fuv/S7 nBZWPNuctKYezSwMXOlZLKNeFCgausy9xrrIsYKRfYnRKUzo6T3l7a58x52uK7ISDIHUajer/I+W GeXJhg8sXE/Rdl32mWwAvYw9pRpTsoMrG4blUMADY+VH12c55qVNwaer9+NUBl1Y4rZj0xESLmVw QQhdyrtIF1oIr6k8fxmFOk+83GjyoGNnVrZVyAXmiXmCyvWoNmROICzYTuPbzVJtDueg0lXIVNCF OlyNvrfhOjPyFGRVcGyNBnP0CPy++3lPIuq1EaB2NdKOmBaTHXofsYefeeG69tr5fbUvu8Mnq/Ui QYf865NQF6Nm4fiAY5w7ERSL93+5laoFFw/zrat3yX278c9nAjD1gp/si/D9tftuufHy2gDYiwDw nRd7xiKj++SdQMPQibBNV63UBun26HUIXnz8q7WmjGPuqG6vPMC3YxPkPjSbRkedW9MXorVwq9SO D3plJCyNN1zntnRCy1QN8Z9EDU8pk5I2NmR9HrG3MgOLjMiVTX3AjZgaFcKd5Tvzgjtj3SkZT4el UvbkkmNamUvf/fpOyNjeQoaxHn4HcIrNEDp9hJwfmpLgj/sfDvTtfZFhXhPQWMHFsJ+UOhherThW WfY5RiUmZua2mFwfZA5lczDTUltiRyRohMMK3gduXfSyQidY5LnOG+W/I3qUxvSjHxecpeCgBlQC WH3un8jQOXgegFCUpiML6aC/dfZQ+GWjK7vMMCZ3LysryDD+tBHAuAzXCw+ukTk/VLZib5MtIs0g rX+Lb/lvRvLMMtcdQDVmSiZ7UORY/Fn718E/MqboXVW7t8ZPzVH8SmJYHVEfgdL69urfsAJrIqFy LLcrsfNrH8H4FnLh5j8BrqsCRmtKCf8q1lUowdHUOjPul7hpbgx2oPE49sFpQ1Acbh+78wY0q+jy hUPOsq6P9v4lL8xTQaPBzGeSGd29qDP6FXhmSDw465+f6lykSPhPmeon4PXdC6Wnyb/dWyC7nY5P Ksi7Xjq/mKBJiTv4T80eZVGbmtd/oIu9bjihpCv8mMR9fO6eDoW+Mp23fqW5pfiJUEJYyc1Pd6Di lLavs8fV0y7GMFLoXeLy26gX5stk52XNdmh17r+r67jxyi0PDD1HTec6nVqc/6v6fkV4uFliA7vA KIPIuxC725Zzqxprvi0/JU9pKMsR4Dkwp89Y9DiTolgBEDreJKvt/Xhxn9F3vb9i28hlbqwsgIP2 aSwr7r1FbDdRX6V7hDKvjTCJGlRwScL+jgFak0Qb6bZIx5pw/scAWtC9EgcACZQcYp/1jboPUjrt HYi+qPx31Bgrgc7tncHyQ93mQeR/bvL7xCzUL8Niw2GghLf8d67D9THC2lUw0deaY/LYf6tJ2bVI MnkAw1FYgaCHBCGzKq15fBj1CrzSk0xOv2Aoyzw/5y5iHC/HijzZvcg3lpsGg6O5SgN3/8OUaIxc MsSCgJULr8PPpUHxdT1lEw+8dfdrC5thq9805lofVXpgsR0Q1DwRrtLBG+N+HOjE9BAuv2HGnuMd pE2G5XHYXq3PA2//ffwHvYY5QB4cVGgLdFt+AnQOi8EUxPZIyRyEF/quSdRjhOd1pJTISHYgPjiS JbOJOrC1AwqSDpg/pF8RRSrkgs81Yksg88bEDinSn+Qeq7BNcUIae/Qj/xFvr0otgSNZR1yP168j WM1Qzn4PrwUMAmyjufZ0qo4R0pbNzVnCsEJaodV8KLpKZ+zbhDaCZcEtXqBMCahaA9a0hY9ROvOY SF6m6FFgFwjwQKnIXtn8u3Cp80YrEK3+WjPOersHkRQCPbHvWVj2zOKgZjurIb8NxPrfn/r7QwCq v4v7xJUagGBD9tG/0HqoSKURI+p4iTW408RMtsdq4cFlBO8YJd/uFBPDe2TLH2grNSFpixs5ZTcg FH2EqBJ4ykYhwuyHqIZyIwRFRnCY3NNCHwWI7DfMW8R/CeZXXVckOP801Dmn32geZwK0DB31A8tS xCSMTcE/JX0yD8R2NRZ2DtItv3jAmjtOMRXEtlORY+Rak8fpbZ6tMcYhuMUQpzfwwLbdtGB2lxEa EXH/vPk3EO9cmNNj4IWpKV4RGyUUwltqyFJt2Y5GCAlbXV9hDB/a7njm06XaBu735E5zvq/PA1UM CNRNmdjoyhSlcs3IMNEGyyZo87axQ0z4o2/KpeD1G2njR5gc+/h+nc9XYwzWxGXHLqnHnTZOIsbR Vk6ZWDZhp/7Pt0HOfpHvSTDGNeIljJfaynn+sm4cduKN0ngZ4y5cMLgCO+LVyYxGquvNJWOf8VDq NKGk5j0B+y4170iVMQQ8Mg5w2TtkSh2cmEfdPoTv6GHbSihK5dywrCVYQeQtWZ//MLWPkw98A84F /LI9Qbr/mHL6fahwt4sAssM0t40P9jBWhOv7q+GC3yjqaqz9X/OKNojKO8Jig/O7GjXkNUg0Ve2s fTXHPZ5Gsxc05YTUozfVK8Izmatk6FyfSt7rKnZTkTGqKUf4op1qIMQGQUlGwwdf2rAy7oD8rAbl gU6DwjKPkijK/rw2d8iR0sJHFtgQJR8XAAu3+pYX3nOjJf3gKF7hfsQUHPiLACSCG2oKZadAThQc dIjtHsYQAd4njNiYo/YP8mNPG++QEO2HlDbK0HVw2fout21OsoZdkzyx79+xhDcs7YmX3bqTCmEG o0F+xRNy3NgXd1iwAx4ntFXP5Ng8id4R42pKtIDGN0cCdiVJavzYfac2FrZpZmbhxFndsMgej+g5 Cn2ethhKd1lN+6E3rLMvKVgffwx1UjQlPyHKD1xjdotWJ4r1y+u+pIOyi8SAtCuo/3+Aiuv1yJ6k la+Z3tjXK2AUTu0ZqKrgGd0gdBU1NHtlUXkvj9AYh70rfWyYi/D+M/5n2QYHtVsrrh7L9Z2TWFbr Y3ZC1Sv+vB9bAMjLMj20nY50zqRdE/z7E157Gn72pn5g/RIKh9eORlmITxK1HG3OvX+4XDYm/QTJ SyTx3kSQ6/PZT/A1eZDrP0q2IaHlGm4BPZkZ+0c0PgZRdtZLm4EBqk5ur82FiaTGXPDQdaLA4jVa 3X5jFTU5BI/YzEVrgFXM+8O7phj+XqSWat6wkMgDhGUzcTNyr3Jit0sat6p5krIBEfql3YVpdd0B r1faVlE314lNGwUHFwpLtLph9iUAwlUVVn8CHPOpjXimv55ud3VRoct1cJtspTQJn21vhffuf9DW Wl6TKr5c9epVSo9ky1/OIzXbRguZ9xESFLYhpPstIhNVYJWZVFnliQ9EEC2N1jOQDbnttwiNrbmz HuVpkm3VQ0C9hdGx52HJSVzWKBsv7JUZCPnm7ohEvUz9UaPuJooWsuAF36HI6oEe9FVDEDp3tmd9 0fgZqUBSEvWc62U0CR2bnO1OOLEr5ZiKl6/PCoTEWRzr21pbRmonmg+huAvg68xKDeUAgU3IjTod bv3Ed4apDfbtucTuRetQR9FH3PxXyk6mris54MxzsuJarLVha8andnyV1TxNEeucmwvZ8g65Snnj ry52WcfZEfUIJgO8WnL9ZbPVnxjIXJjckGSmlfpq8OkzYTNXuhsw7FMs8OSKdzvyCOW6tI6YM7a1 acsGGIBz8S6Bq52ps3v/beeVo4qGIfpJqMx14G381At3BDvggEl0G7L29OdwBLV/9mOrwPtJKbFN DYjW3d8jQHrwFjNzxMAx9SH9E+I7iSXx3d3hA0hTMtwnkjxfg4kTvw5hHjGIXMvfPLa4Dcubz439 jvY3rLc8d4hDrth3hZK6DrxH0ejthx7CtflhCjADkc450sYd8otHhRuk/mVBM/vqLZfvQpuJ2LzX /mFGtIdUPHVwORpZ+XNKdsV5Qm0N7kUtRAtvubOHUzbPvXQiZ7HDO+bYT/qyoMQ+OIfRZI8G8ee6 g04zY+XgVM1rvmTmFfsk8BTAHAljVPrvMaGTclLjfbfsJDwYAYAWyIqvFm6CSdYcQzT9Y+U3CjtA LXYU6qeUItX8PYWeXcx16UyObHfv/zX00oMOOII+cY106ol6NE+qfKY7pls3hnv8n+hwt/0z+mTk v16eZ4uLeqGNkbvpo1dtLLQeUDLQyY+2rqgdD1Z5z9+WF658ybBXyh+5KB+Wdo+m82D5lruWPpCG iXejeVY885GEWfJq73MQ6GqUYoYg0ZRXIgq8Vckr8D5VsVrgG3PVYjgZaFqAfRIv1+IbX5xUL6a+ NMflBRZ5yuL70wUURKLZRMsyMVyUhUkI7yLIt20u59MFOXtCby1gR+leT/bBF9EtqoC2ZEaK4fK/ 6/SnM2zCKI3uQCXw5i/37uDpbBPJ/UYbBjQenudr3U+KUbjs3oz9PUYtjiJ5LABnrHDCmXo/xCGg EYeXUN4gxSB8aNUCo36huTVMj5LxvZEl4FlHRLEXWA8Xo9MHZ9w+ekaGeduXb52vMP0CBNVgjo/q M6Iki25jgAOSn75VToddp1DOzKTopwcKf6Hwe6SsYN+mJULfB99pwpfagBEUnAYwFeE6HIAua1ER 3eLfuzp4jjMS7ws3Qbr7pVClQwBFKyPg0OCMX893fM9MIQ49i0u0dGDOXWWItKinOVPq4OigV68R VsBqo8M0aj+B/srsrASX65e/MXk+rwEE4up29k0OUmRFyCdRhYHBl2wG2UF89p0R1oKY25l5qqXb zQNZt5cQj+PQtPq3GobmxJx69m/L20tN2T9tGyhVET9O2+kdab+CxuhS3l+KBhZ33A6c281jKAr6 HWEHWtkI/23aemqMg7vd7spdUiDTKCjxS+1iXkVffO0wKqTG/GJ27JQM6f9lQYD9wa1cH+SYyqvL fyHDVJS2jaqnjltDA+TvWmY9afWIl7FGyYOReIpBCk6kGzTY04grJJZW3+2bcSj3PStdveYwmgMY Y7d8LcsZnw10G/YtOpySwIFX0GOm/dEfgKMdF59F9Ys6XLvoM2UL/KwG1jLK49n53auKvzePHhOX bFNSvJwMVE6dalA7E4cL8x/zdoiZVjHe20RFkWn853AmTsH5hNxQQstVY9Ae/4tfPKAzfDNF0kwH P/YT563EC3/IIF//u79VXzeKIXlqKr/imc6JlMze2Uda9sgRwDae0L4oUybxU1dmeaebvUp33K6I /YMNoFL4WQhmkt/NfeUOfClHX5jUewxtZ/QHcqsbfc91mGQPhXga3pjuolHR3aOFPZWygXC+N+Wx qvwVI1GkF3tZEDOFdg6niCpxOO7u5DOqHnwIC7y4blyCqOYIKU9z/A2EBl9Y5Myl1xozCyHS+Lca AsX7tz7oZz2dzf+nrz/dnOULYJ4lQiX4UXils/oNokaD6Ktql7mdTlmLg+G6wMt12ExOB/3WFmjR fU+bCyi47UmTRcbtkFyTkcoRHuD5LJOmQfW8ehC2JXS8jWBH18LsEmaXunFfm2I9sFQChKuGs7Z6 FBExe2EvYhAoxxzR45Eu4M0jfDmGNtjxGTYb5QRyAxdXhfSqdF9BKJOAVYSU90ota7IYrszEHqsy rRdNT+hns4a4fHxdVEOtX6jL9GpElvq9cFi1BF4vpQ7PPcdLPWeEUKxmq1lsHtMlkLOG+nb5kXEv enF4vjDNaRlEOOvJE/Qg65F/4rYIkD4M6vLCzINSagjKxRbWSLNH8PTI9POc8tUAnROnn8cW3lWu IyQg89z5sQTVob7/kiYE/Ez+VqrMseaZhowVGgVPfvPciMwOkwfJ12QM0rk26A3v3vBgdrl0zntR UC/NbrzXpMEQBXhnpKFJk30AZ7vkAGst86qyxrm8lM0YOsxaA8FB1wTlxuzCQZkyPW+SGrSNbWgi 38UUCpwoQ6wC3HT6zbi1b7x3lJA+CP7rgxMQ4jh9I0pyFfFDVAtUn5KhuJccX8ZlkputkjWvbPc/ 7tNnF7m/3rJuo+BCX7to3wdzwpbdeo9AM+Vy9B1tK0Q3RVEHfhLSyT/WX56AZfyIMu1c1j0fozXM Pvnnc9/1pplIvTfXr7IYiYJDVJptK6uN6NOd4s1hoBBibPdYNEROqXRfiXmIlkMli4YAhz/iEufv vROuN/Qc44ig6z9mQRSvnA8ubEKw2DOTysLpSGyCQH6L81IFiZs0wSYCAl8OhbcAofGIrRZXRrmQ HraeTwe1hLEWMmJGK2xKyCFz6kgbAxcyXqvfEahFwjVOTU4dzhlG+L6rv7wM7jt+EObkW5RHcRwI gRrDlChNp3EVrHmEsIWot1sbEvMxSZQnGw/Npedjny1kaZF81tO6PxHR2Tb3FsEv4a9SndaOF/nH fKQFZgqjYqVc+SkSC2nd8cu6vOSD/dcTSqL/68x20ivp0re/1ijHGyqr4la1ZpwyBjpAwd50YUsO FVH++GqD6kn2Hf4VRDS4vgp3i7hUTacYYvMPtxqUopMHKwZeAB9HK0CZ0IzknD/CY0Az0P8tQZt5 GkjXgEaRRbZ4F+Kh6BnQtP/ixQ/KkjNUmfjahQaCiXZyDvRb9+o7jBp3K60nOZsPnpQfsLXHo0hP Rl8KjaEoeeQ9XjcvQKIEjnblb/qRScNIc9LnWVi5xk9YE5euha0dtYDk5kHeOYFvDyBN/6BBKPiZ Me0BX2H+QktHwFHUapODDFQHsm3UTaCtF5W1+rlbVp/zXM9T74iELx33erUJIixMnFLsWVgSn8lY uDYHI834WzxcatRAM1uT97VHRFgyPm1XpK6mYJDazIjRZWkqJiKTqTAkguPlJCaQu4OgmEEgerCz THPh4D6UEiHrAJMn3wcctfONLZyej/3p/TRUwITSu4ueX4akxwCdwTkqwUqfxkTVgTudOFR9qelu pfcjF9DjhSIA/MpVxlDoZCYYsLQWnV/L6XqIHDmmPolxDlBOekweBHpv3yqPHVTb3TavbrAGu4fb Q4RMRdbwE+O6hQpbGzLVpcfIrLnWP/wFp2M/AbWNrbT8oaJNHPLukUE1aRFFnWByaiKgblEjRtyM 17Rqgv79c0D8f+87cpS8zgYBYHzfmJF4o8M0cWV1gGfwhmBN5FztTVJKgConxwaDPhalelBURz+H yLxpdFPT9VDSShvgQTavrFRaJojat934JRhxrpJe5VUDwJ5P0EMNh6c1pNHXcTkh7djGV25fX1jU k2sxszTdNMCXcrw6P3fScYDX/wUF9ev0AEA+N001idE/fiJnARVW9Mjd90hg8X1SUuOUZ5ZyRlGu RDEInrJlodw7xA18daObc/BHVR2dyEsFmuM+kz5/285UNK03HUEhBthA1CKx5Ta5hIQqYOZw0hnh SJ1k5K2sXu+CaihETfDcXSo933bUwCp0Fphu8NQzUJKHg1Sv1zY+Pjc57eeGDxyfU2stEk1P8lYd UW9qPGUYBLVt+YnZlVnh7SMQIKVz98xvJetmNQLWboXhxtsd8BV9eTQtKG9htaQszpbBYKTUKW0h 1uyuRGw4WjVPb+duD61rqLPu3+Ni7COmAnPXrAskMgW8maqy2fyfo8bUyZ/szUVE0JPi46QEY/YT N0ouEVRcvkDiYrV4tSoqqCOLoKj/RoOVGvSHqb5HpC++5bDT/sALcn2yN89iOqRNk+byLVibI7s+ +GboGt1vvPMVZ4tH5ZqIZC98v6QjO/H9Q/BSEiWs04YbnBu8z34zMFAOH5KnNzEQoDb5PoEYaqOl PoYfOyjWXZL0wqL9C9WnIeeZWivJOIrswhynAiQEGDvozuvS73R2WZZHfUJfIXkuZlBYdGCiIBU+ 2mlfSsjbxIN2aI/MT0fcWkq/E9JNV/s5/M7AsSYL8FpKCanTWhjYLD4O3wdp2DUuFMq5fEshAvJX ev5YqH/O9q3qPyK/OQqF0bRkPMuMK6/29iapwW5YLR8skhvlvgjygAigyX2yt5c7KmNoroiOS25/ TNTH9+9v9+VG2DnfhzFBrp8tRQmqrGdu423E4CriiB7GjDz9rmiqNXteDE0/Hwo5WJ4fkFE7YF+E /OdeLR+SyjrmyyYWXVHMvyd2yGJE0MA4uM2yFGSBhDqruDVGctMeQt6dVjcBNwZRmaqpuWyJGtJS JyR12YomujhScDfAlmPvXOWExU8liuAXkKxR/m2V/14RG9Xh4ydWgOTvBHSsCHye4+33PaxPiLFl QOVr3yj1iWKzzp9sHhUhRMv98d8pyUMsQZD1Q2SF+Lp3VMMNIrCudZmwcc0wiV0158QzvyFLZ1aY +JIdmB6z5qfoEbjy4fs+q8nTWDUD7ytTYGwxpj0Sl+zQkTun+ufaKFIbl5BVG2AE5Yk+i63mzf8v 03+rO7/eAaMTVYPGb8vV5WivqMbqoG9vSV8XXkKhr4riO/ekf9LTgW3Epb+XsLdkXDPFzq6hpoHu btvLkBHjaa3FKpwzBIvZoRDMseqRVnSggvu3eTm89hjkLzdA/THYAj3AVpfbCggCc032E+A4FaNf KsOEu8jHuj43c5d+luwhsqs5TkkXCiXfo/ArOZoUvwqcMOdUHx4eH4tTN87W4kfKEkSHi41Ax2AP hjTbK+zuZRXu2E9lQ+nAu9fEE7NmYSr0yXdv5BS4r5j3VnhjAXb7WPPFzQgtI0Ad83WTouFXXCLg 8IdcoEewW6cAIt2NZyRcND0V5Z/nUYnXZ2CRqB+mPWTJ9kuf8Ym44mwMG33dUVDhwBI6UJckgToe 3OewYjuBsrgM4HlOt36Z3sHx2pE+XOMUjbAHnkeqvp4MmtyzhHWK6S6WTUoLW2lS911PDnJFCXOO rbrFw824UG8lwXFJywPpUMiG51PSrG8okwgBNF0JZWxbbeVW7KthiC+vtyl49CoZFwvzKdl6A1ja OdxsS0aCbFiwCl2aINMKUt63GBi1w1DjEPuLdJ9Wf3lUfDbXcGTtJOuLXijGNRwpLTzYM56Iw4EN r/9c95U3opCu2AiGHRZPE2T8cbDSKjMd8dm4ub/USrENB7hiUtK0mBY8K4Qj+92WqNYbQR0BgpeD YRlbCT1Fawm5HRG3PyerZ7s7cU51TxnGG2gHUg1sJXWEAaNPfrLC8gBoIvaA/oJOGwv/DdNiwthQ RBCQQPrxymDurRKRFPXxOYfbbQ0VEEEirQVicGG2sHm8K2sepZbK83WOK4P1D77yuzmasjoUFy3W 2zFPlXz2KgjW4FExV+WIQjslD4HUrs6o/Koqt1pQvg8e1P+INISCUyTJ9bFk8SgzFqlexr2oTFIx e76mUd8Kbw8ypMogk9ZA+j6cRjWyORh+tz7sR/6F99vOaJtOZneHaT7l7CtsuCWYvhaH6wjxIfJc 4Zx/A6nGCTV6cbqKp5o8Kvq9oV9lmSzW39C1szXhQPLhvuz43L6ybmY1cl9ej7JL/ulueaGOFca0 5GftWxQVv24QjubE/oKINza6C/+JjrcAQ3vvZSXOO5VkXq39jjkomGj1VxePEGCuhNlf22mO7F9X 0rRfSPRnGrOtwCQeQ+q9HdSGvk20S6m6nPZCv9WXqK17CLWRGQGW5Z6Vio6VzJhexLSSmmvDpnmj BySVtlY82c6UqFLtC4zgoRpT69qPW67L2je6vMy/J4GNpQKCXsHEsX1NxurjZjU3N1sVCTgPyf4j DRRJyCJ3fQwJ8JwGZOn5FTlonSiyUCVIL7EVIFCkx4ozBKaCPNirsiOMc7x+cAcVqop1kTdLwv65 UmhMhxuYXo9Mm64fsnHNuG9QEwTvouKKU1FQtWRgyicaLNicbdzruXMfur5ZcNvL72U3zMzvp2zQ yBECG2kyGmpXqHLfq1WUeNna2xzLG+Lin+YhzXTp8j40AwvKiK6NtOCtj+KMLMujXebZ1Cusu/Yf cevmownW0Nh1E9fpkfhPzsXZ3w0FdR4ZWPQaAtn2X9BNwnTruQKJmFf5ZeH6oNtBqBJqq090o3+h Fbxw4SGKWbyEBqRRd0v8JrMzSVdUt9SpQDAe7inDsU+jfUUDD1YQeHjiSPjRof61QGs9A+twd+fo Kb+TFJTgD5vjg705pTUPLRFdvavJofTBDX941t1Y9xMxa89vu8HCie0xs+Gaohmd6BsdDvV3BpZg HFTrdyAihRzuiMYulBF4j0yCbbHpXJ/9SiphVO4Pe3w3YzIT7/W/TsvYvYw/lYhbcw79slcUA7ap iP5S3ke4ZTQdMuEZb0ArffPLrU7AR5xvZtsPa4ZpoFEAptJ1ZND+zXCJRazeQPLy4TCchMqtn8Rb F8Zp0D7EraNLFTE7VZPp6ySAANnd/OkZQpmiUGUW2sVQfzDiDR6d2NSeukOWt6x3jQB09AdGkBKb zKmxhrtOlU7TS0JPAky4A1X5f+Vs1R6l0m0zFJrG0MIyw8Qp4RGaQ6y1zzEeQc6fRP3d8C6f+T/m xbEmdzamfgtv9ZfIiXZ+C0yfJZZwnTsIFWQNoWhFWw6QWvL7StlktSmRqVCMvNa9rGxT0qKejdQF prr/GHwCZZgJ+flfMW6WiGMWNihZwfMhgAQhYJrBdhuAU0MK9Lr+lbA0Q+rB91pbwv7E6eJ2qSo7 OpnpvAp6a/xB5Q1kwLzp7UZ4N+++9ZSWSTXvUt9OvJ0p8dKJDEyneg21j8ZmvD6n1Q/dzDOG9pav UDWh1mPOud8ISFWcvB6Pe8E96SQxqmpK0F4g/4SnEm/PsBuekDunykzmxLC3KPvPdTYPJy3Uj0Io swQsQNS+O0MvQhnYiLrt50bhKdF4xcm+aJ5turntz8dQxMsl9nmYiDAaIUVIJK+/ELNmG8R7iYTw KgsQAD85fekZLOJqZBNdAF/kyrLia0T7QmyUocL/qsRjB8M84X2b1AD7YQw9lUDKJnIxjSX2CK43 K020EO7o3jK6LgA8LGdwFjT3WKdt9iEtx4jREvCZllF8PliEyFqN5WcY+aLk0kkFetN9uE7rZSrB tWxNHPK/3GG0kd+DjNPOo/CgYSDWfJm/TH7xHDbk3etKtt1xCOqZn/vdTeEFj0pUYivLplU+nWWM b+yFaNGhT+mQbbvuvfXYmMCUHJMkknNtu0Z7uxDCoa3AjJrcP54DNoVUA27KMlSA6j0Nk1sQAumW F0g+K6NBCWSGIT+WHcmFF5xg/sLqOd5xsRUKYxdWCfTPghht0Kt1Gh7xdvmTx7YJs4DY5HJmE77d OkDZ0mk+MTd/xIi9XQmAl18FQgoTXts0ua/cLHWlFE9uajx0yeUVnvv8Hv7bSStXnZ1w/aEidFB0 hUiAQN6OQOiqCvwy8NKqt0nKFHW2GQ8e/F00gb9j4jdaQ30o0NCCSCSQ/mwWn/2gE6iTRlnpn7aI PsfNen6zo72EHzdcl5FLErRwQ6bdI32iAY6vs6HM6/QEJLNQVKBTL0aBqBEdVX8HIex8ndSlrNxx EVgprltab7smoFn0cTAam16cFjQdRzd6v/tRfZtP3bPy0fKWt++PHNY9iMw6ZvVl+wagcGcsDJ/c Dd05ljPPrI3Cef/UBTjHHfaJ0AKLyP7firg1Fdx5zcHD3UmJ/PoNFK7wjk4wXPNPUzbWD383tSSC kND7QvfhP8wV/s6EbQiDh1n3n3FVZJV4yKDPfhXwlq+Pgem2DTe2VLZNsK/vTViSq1LRs8tXgBZH iYeUQ+afkPeJi0bKGe/RTjfFVMvdV7kzOSjmMj7cDHJaQuANKefvcqnTzOBQChYNy92jNY4TiFR2 TgL7hxE+OI39r0qAgx9wz+6M3dAyJ/kLIBrvpoVYoD9gCEyYHM43UcFSANbT+X2a+zwDqMQWZL4B vRB4peKiXjpy3wMw8+FA0ds9FfVHmvu2Drdd443XMr7owbk5V7OyX87jjhZ1ess3M+i5u6RUyjRT 5fW+Cp8o9CnkhdpiTmKKwn0fwsC/YzpxV+XByTSsKvDDsNhjR5zAMMtGjvsK6DN23OW0be6bPSx5 VNgVzdWhLigf8bx67eTSQffH0hSZUWZsPeLjnv3wcFSUuyBVFYSmK6b9TitZmbcjCDhPl2Fesf2S JgraiLBa6NyI/hdkio6jlhbWYyWP2wD7IjpxH0U8gLWESDr1NwHxVnjWh0t4bBnE267qkwiwdNQJ mSn+EKtmylSCAyzVnlgmMscw2lsmBQAsCl5gNqI3mQAprboJQVtLOHDyaJf4jgCYGqLrSGL4B+XD ylYd2+MEkiqau5ZnXVIDc0Rcf76lK1pcWPkZAQthc6L3vp1BW4V9Sme+zT6vVxAlqDfws7xiBMHC EcbMB2u7dQBK7mdsCa0CJBMEUtRRAscZN7mmIu9tQg4jT+DZOmAcqRRs8YKxgpvL0fmL9cPSZHHQ 4f2TKL24qszNz0vE8ylOqeuhRXbSXStAJrFCjV5fmECyspmU7Uy0KxAk8PRkhNSdmRrhRtpchfJg Fc61xYJeYXroc/LiuXRCbUko57ce2oBuSmuFe+zuRqFWv+LL7GzKSo4ZOzvw8B8nyKmxGChHXTkL gCzooS6gqN1eiIT7dhfxLfbhkSrrpgHAJ/FpOeysK8MhC0AIZYpkpUKHLOuf9oSJ9LAROoYkvZYL gFeiBaTErDG973QJ/7BbYId4sGM5ZtLYeCpAE477zI6xm03pA2k8lXUdBe0c3L1BNUxGZf3ltKqo 06qV+iW/b/QXNPjktABEB6N/xyOM6ncN3TYjVrenmN6XWVENX7BXPypksMU7Itb9L8uMLiFRiNzc ef+2MuuMk6B4+UlRA7koOoqMBSHQ+AMLGX1PKVjX5R5dwQlvfMMv8qtrLSNm1amzM9bbaUDvSrfK sozD6f1O8d7CaXJfbXdcONLiWWBa8EZJSwXjkpqbqDUi+IH30e6JaxgM1S0QTN0jInPopED855M3 mXbjTAEkm+RKWkXo+QB9qRgwELVwSKEy6NsHmQvRhNO6i1wGUv3Ah4Ft+Ki5fZ4f/jMXacVVOqB6 Zu9WjoufeyR69EY0AvrkPZmOBzPUgYGAYAniEXdmmJqiHB6no0QaVA8GkJyd/GUipB9dITVrfD3D /rxZMNSwHqaGyHlVNyysJRJzMXpr/P35Gs3x87h91Oz8xkPHFjmn5/EcI7d6LyNHK7ytGD4v6q8f /HJurb5oP+16FDofS1nIavXRs3z1tevaFW3yEqaqwN2/OcxoRnSb8gZIenzoahgzCY1w9P4k1LW+ LP3/kPgJ7kE+6lFoH9GmJUMxdVlKm1RplfVjSYFjuhONE12tiRqUU1RB3NX57EqPvs+/QbgJwhQh GXwNzd67FyPBbPfoRXzK/ETxeHtsOQFipmSgMGgh4Vqf/UpAsZzi5Ggfx3ffLKzU+yF+AHqYAamd M4z5Pdw5cvRqwGUolCLRQ9NicjqYXC36yHfCTcC+ngrIFKaxCsOFLK2sBgeYfrH9ctX9zIGe+eO+ 6B7yId00ssftyaZoPCbCFWxu6UXADaf2d7L/qJAdbkISr42f0tJ1NQfl3XuzQksO6LSyIngQ8n3D 2UL12HmrQy+fxgCPwO4VhNvWfeLqaUymlaPqUALg1Nyg1Wvma1vThTXlSWVyjy/dFOXmDilUf9NY HvHon4QPPoer8epONTFCB6kWb9sGwvNCJ377gULqvntKSMVdYGUqgdnLwD7kVSOWkFhHcjoaN2F4 ihI2juvDMNTJmMcWP2qS9Z5k+6YF+zDxkdlFMGXQqGm7U5PQM2A5ANzFzMYMomv1/OIDbBwmQePw RR+7yYIW7UM3nk5/PcGVMhXsxmyfkUYDlkZPAI4aL13v89+jnQXyeqtNzokJED9tce45NlElsq+x nlDP8ZsNgJck8b78HNvfH3TequSLGeico60Yka4xhczX9pWQwRBk/XStv7tTC+euW04/4WRa9Iwl /lFIsnsX+bUFIFzdcuPhw35EhDWVlTvPrB2c2hp+3Yt6IASn2MpTWVxY46bn5iEtq9Gzzieh8Ju9 Ql+aSYQQ0dXaVYDTlSTdlYuhug8iccWwxB+Qzq/bRloqrG9GAsd3B+vWaxRoqC/3oGdyMoKxtxtu ZU8FTm29sv1tqEOTiA9yCm9wLMdOSSPjH8zGTHEiFophUoB9pMh+CnSjcHt3iNz4AQaE/K2TYTfe wmhDVLRcqCn8dLPQihdxTjIBikvIgYbY9XVLo1WDxbtRMjUYMc/38OBpe6GRPLcp3hUSTXZYQlO9 I8VymAyqIqr9LiQNkTEwETcFuTj2hfk3oAex/NmJmBRytKB+9q3LyuJQlTBNWumYZoi0x62NdgYP pASBpFfV0X5tI2FBkbRDEH/3aePE+UiPj7YTCJJxvgrjFsDGj/G8/6q8itccjskWglTFeumQkfuf Qt2qPi0JTHaEnBiDRjyv9wJoNhZm9rEH2ZETfjqR2i4BSIrkOXCIXYt+8+vVzVdHaWkKqKrlPyBL o6DyXmQ+9qPTahN3Y3q5kNNGvRmS3YsSr611d2Ln8UeS4JfoEjd+mSqln63xdDbZbWGagJrVLQGb s/mhGwoMttx+UbaAkFDAk1eZPkkc3jWoyOJvt0DETUdBGLxkzFGLi3vaEMHEejqSZzpCBRstqtQL jhnmp0J9d4UeBUykCQYadTxweOPg3RJCcWNsALNLcN6ymIerjOVTYASPuwDPGD8z7s5zwp09TO+m 0l40D5FNUeWBMaoinLY+HMNjSZVtr478HueapTHnR/g31K6uOxBHVjKqJFNBUnGVli8pXafQTKEj JGYjBvHz5I46elfMCp9wGY9/oV0/rIoNZ/+z0QAOwKZFNEYSSP4R5SFnmnzk753bh+fxW5ewJPRs 2Gd9xgb/ydLzSBDyneuA/p1AEQoo8QMpWZr16B0h56p8zln0e6zhzU8WhGrmXDLpaC/JJgBYjAyX KvGdVmGBeQVKYi1MxLUb9u8Ne5XAdh16C6Je0gqih5Z3RSxPnDu7k8wZes4IXzGaBkiVCktx+Ukv HZHIAO6+L806NYbaxcuv+E98CB2HtIaeJwem0RwpAK8mh6C5xdXU5mcoqX4G7/00oEEfBKa+XhGe VAqtuefiT6tAo4uOdy8c1tSFSEmoRheriViThiubIawcFdpmvZqV34SUXgVuEirdUSIFdAHuGlQX vwMC+Vdy4og/VBrzO8rx4MKZiFTJHRNkk0Ipf97prYXcFkFO5ss5+oqTYmjwTYlHuIeDgq8lYsGa Hjjp4M7Za21DlEkTdklwBv1qTc8iYe42kPIykMEjkpMeYcq7P839yg5cFxKdQxzeNPk2+6GFZ95p UAAiFlxs+dRli34ggMkV1fKxWfPuViRHhzfLf4xq04OyuMxQfurotH75auavlsjuqkSDc55M23UQ kl3qonWCe/EUcFsLu8TlAlkg9hFOAAxykle2WPJqXw1hMC1vK86mZTD/ILBX4Oc3SALAFeA+hukf ocekZyPJdcKzrDdyeMwvA+wHCLIiF3JUOhi49eLxIt2hH36yJe7OHerty6BKUlV06g3HDTnR6SzL GPG3wYivWf6UhG+ZgT5L5xTSOPn4vsESSPXUmdcc7H/u+p1XdSK8wmZV6hpWCyFGoIjkXbU1YWe6 vCJq7QtCXcs0g7lvmGPG75oB8FImptf6SzVl9gOglO5/JOciOO82KS0CjvVBIVrftzUcVY7ky1uL q5ZD3VMQt3+IUmv0raEAaSnu64Z4IZpAt9YppQsDANbe5/rGv9ASnLuC0L+Lmz5xo0rhYaPkfBN+ QsGswtNcII6g1sCbopGhFA16AIh61pEN0Fml2oBdg3+W9B6L39TCbXgV1VJoEtZIkqaqQ1nuG8El pajHoWLQAaA3QD+pvgmrVxgNR4Pdipme9WEA27XUKOk8f8UMYNf+auQ6DPgU5tTCV09eF4SnCnCg fDR5Fbi6VBZRuEGSfkgAw5utaOtzFJsoB2yjYcOGSH5AkW3jwXL4ESZhEpDCPTjGsIwNuQx6MdvP fpvPbR3uWq9OLJRASC1IJK81zMArMfxtvPmN2CMlh0RunpTTCn9C8co9Ik6peu31iG0h19tGeWOW mumsMe3kCjLoAXAul1zMr4SZWv34n4drBvoJO7oy012Lmq5EE44Rd1XI+Y+bsCIu5BmK6t89vsw0 pxdTw2ZXeUPgwa/eeOh6V1OcliWCSLHRVmdpAjhfxXY24sbIruZgKcRDyL+i4pxHQiTyZ2X6tITP TGDNir/hSHi8Ela4MXvKmqGL/CUYYrv70n32joptHRC72JsqmaOTKHVzJkdVQQz7TIGNT7pUA+yJ Ce7mSS8vuUqcLFxqHnrCB1TCDM1YV8ux7n5419Ce9naX2zh2YOp3mq8QcO4PRoRBLBmFI5666wQv qW1xYwmwdHwXuPuDPzI6fktO+pDzuxDl7rAK54NhLnvDa8Xkm+ZY6Cs633z5a0L+5YLzqv1XSpUP V0ix1DynKcM4SJ+bZ+oOZ9T0KrN1U+FgvYaFmRGZt693DYbZTiV5u7hPmeQc40VsON+GmshYqMub 4fo6bvb9p8/TR6hB+tKBHyXfF43Psqy19UqKDYh3B2ofYLFqG7kn7UZo2HJE5WJusdXJHH+5BMNk JxGUZNgujP6njA8XNDw9UZV7rk6pHN0fHmGa5JEiLDdafYJ4EcUnvr7ehjh5bGGAe4rUq3pbKzz4 kuqURzvA/TBwZMZ8PYA7OO2kr+TBtvZgi0bDoYusFlkqCE+HN6xBsdEh5Lt866KpOqHXNEYbbhAn Ur7j2EUoW4qwutBOyUVhcAJVH/RI8Wa3GZTYQ+Q4J+EIT3UvM7XxcLcwsZBx5gL2+BebIEE48iyE mysIa2zYNrh8Kt/Fsy8lQLMqPe5ha7PRmN2J6kDqrZD8t5uW3hkNVAaNAblg885QOyrii4cod2yv eXUXLr0Bj2QQdxLVRC710V/XXg49Fj/efl7QI5QMeYtyKcS9WDsQmLuaOM9JogVJ5t6Zv2XMWDan TCyvl6hF1h7FoZfpyw0ipJR7ZpAK40OEYiHsC7UnOD6itg3n3B+dQzDgjfxSX+6RW3amrwaLyKPQ foR0NUsnRTifvq83YUvAu07isCIwdrhwnTwCwsJTkj0Y//tkNYerFiOEmhVET7LASPLMsRlr40Dk CbxEW8WOdoloOLzoalwM08CzXnJzk5L8ZtSxhLfdzDJyw/jbsj2x//+mwT95CNJK0Qw9Iu2zR3Jw qCqp63yOBs8YmKzBGUJvEQwUebIshScjqEhGpqnnSxyM2E2MnfGR6VsxoDmi8CEt5CnTfwkggTyV 6lczLXhNemJY3S0L2b3pKEre/rRMvzLJ5NQ0B4guG5y3khgte7+dQXQZsvAniZ9iwLu9rl2X0KoC CB1ManfscdDYfXRoevPY1Ke3nvjWvuiJkVKHhKbZ7Zl+1gMwGEGibo20giiHfCmg3+E6EKlI0Pls rb+ln0gxUCmTd5kNCS3fH4DWp2dP6nhtl/I3Bg1371ua4APEiKQClJBoNLXnFAsjpTOvSbvYFEf9 eV1AONppciWl7oz2bkGRN06OvztYhiT74DTYHolsDN6pyfaTYV50Ul+1PtzJ5fP7fxJrGb3D2Twn UmyPbDsVYz3626voyBg/msggxb1jl6c5o7hxXdyM1XiWdl1obP/Mp5/jzG/6kiYqFJ3mQgP0rSvh hlHtMJYDVybCa2mlfStYDIaphMzH65mn9fq9nM+wbjQLSMXsC06CXCy6HMz3EfvDEJ/SqQDgFeQb dqngTqFvmPFezYqcMwZCtqNvdapkxzRY7it1ESmCu/Ks/0789bf/pb1oK2e19QfU0+Pf0MCSecQx 32IPTwShS9oaAE4F5K8MhtXNNQkra8NlY5tE+OIRw0pCsMaFBvFYdMJFNkFV9ayO5d+X/6yvy/T5 wc4TTWuRvd+K88qfR6otzKPIubQgx+uvEA33jaWcmecDon2JfPX5/o/SubPwCT4OWmBCj2SrRU6L EkmbuvAZmwULkEeNxV2TgxN9IYXn9BxANt0amyd3dz0TrANKVTqjHnKFE8UR9upZOqk1o78QE+qo HGMQJrRllcXMyfaY3Cl//KbCpVLucDj8STTamNed7t5u/i102eF1QqUAJn/H4hT/TCbTHKXuzRNa i07n+vo7jeKgbWDzyUT28KYavDMPjeN/x9Gmrezd26uA82/9JikExCZw2SKeiMgK+E3xmYrpDM+/ qnEfyfINtglwYT2ALXygEA40blXV2myouZkEMQtUzkuHowXEmrZNSkdYAAt6W6Wb3OfqceYdKkAv CPGtF8KuMxRqXKRYNfww1qS+QVlscovCi5vOHb1+F2fmNHm3BarwXZaugf2e/mgHgcyGy2bDnVSw Yk2dzhLUO5GLHnOxURfO9bjeAsCxe8aA1/5wfm0EbFPxHHv/0lmVzJMCmJofytLur4kq/cX28TQ7 5uEdeSSE10HKtZCMH/WODHqd8RX+DTtyVPA++OW1qfgcfecgRnmo9LYKsq3lqn4OCoBdAbXowmya 0PxBEm2AeTjwvAhIB+dCEJF/PyB2keu1zZz+AtvxVR+Jt4pnzU6qSEDoiaaw0MXbMkTcjPpoEAs0 P3jcFhNwk4bQlVrPTkJ6Gkm8mMGHc8Rxwc+N+QtgDK8Q8SLb15NGu/eZcLx2s6pFE4rkKcRQx7g5 pXCQTOBKQOZG9QJqDDNPQFWEh5JknxvteqI+FHRNOc2mO99Nooo0by+hV377JqW6BsAlQ7Q/M10x KpcZnI/gNfv9rVaHI2nWxa8S67UkqkdNedmB6U8NhngTDoYfNpQLnGBfk4VcO14FMQrC3XUi5uTu fegetLZb8Y3oubKgKE5th7uo9lIZAz65N21Jcnt3SW7OEdWaqIUBQoTwxQGM6Jrlc6gNhxSpo7iP UuvoRPVGO5muIDYejqUNDMVqh3tvE1/mx8XPBjdAwTEp6v5SXom6xzMrnhbPNvoXytPpgJmDdw0G RzJNqKM8Ge8/7dh5j0wqq6mccyHXoxcDoDXd6WpOVU4I/dC7UOJkLmQVTzn3NLleXJ75ns1I5OOw PZq8+Y65MQdHCxqvxtl8M0ga+0f7RfUGqfjdldc0oM36t8Srjsxwb2BafmB6rinTkgktEDSX+QrX ozkxVfTFjkxhCRwEgCMJPGcyMdGKcOrgh7Pmn2ia30Vf7+o5OUevDfyYe28FUDvRuJuMzA7cFTR3 gagZEN+f9hrWyiC7YkIokjQMQ6BO7oaCoHuYu8OQ32TB6UasDdeAG1di6I/bWsMoi7fs6GbC5sfn qLIFKW1NqCvdY+fUGYpZIodOWKPih2cpgQon3HynjpJNu5x5crkaQuPi6BLuIdkthA58ItJ+vI4D UNZYmK33+ZCl/o0blq4sf+wAN/oC8El+N6JsreeFxBjCozFb+fl3IKLNr5jSys8x24yTtGK1FQs3 +UPKYBMR8s5LEHZYmRddkVyEa3YTDpTDwuyibKBm6J3LTbUe2FQh3w6kMuLKG/vwK80aQKnYDg+M az/1qKSHc6PoGD1iZ5Z11F+AMMjBluW9sKE2ruFyUp1S0hnXaGZD7oH/41ieAhqTZZGzmnOiyA7v 7vw3nLHwnCpiv8f8Z6QH8wr9qsMKd8eURWqEkEjhbfYXkqFSZKqFY4gChQP3YIf65Jf1RcAuLVZW O7ZV/H3uME8Da2WGozGCVx7r/XwGMksqkoDjNN5lkh3d9NsBQ+gEvsC9XqGHJQv5i5OND/3J+u78 Hsgb4q6xs7tY2+o+RsDhXtwTHzmSzTaGr1I3XvQokKGgzH5/gtDvgstVbOGRvTXk0YDiF5qRHY5/ XAWVEpDAFnZmYe1kcti8b+kPZKAizc5npB7lW7UklnnIs/NGQ1iwLTOIF9pBqJ3hoVREDJ0hyy0B LwoD9hE9YkJSHWHebmVMdnlfCZdKKu4d/cthTfKhFUILfnWdmpkVERBOZvTGIp2ZfD5wL7M0/Yhb nt+QRSCqEEYMYrW4gtvzDMi1i0+5wq0DCyrNOo3i64hHhSSfNM/P4WTepAAiBK+naZXijZ3dwipA THYGFS7X2RnxSkzlNrmiiVUKT4eIyAwKX131WwlEuB6sVQsx/Hqcr1eCbw/pJgOpgFDCcs3PXbsF KRx/PjwLOq3DEYMdK2nqKw19aeAHclAqvStjQInOlWV/RaS5A10iNw2Dpd9HhsFMNq1eR4uQg9ID 6f7dFgqC9KAqwNE/Jn7jN50u3vhhMsBwLSh9BH+YknnNV3E+kelcP2RqQu+4MmeSU4LTrb97qbcM RMouLxznexBRWQ5vo4EjGl8yO6qkMa58upCf2RLpJzQ5NrTLN0648bBQ5E0qq0tHHQDOL3ZSamC2 pFZC+zpE9ogRJRgY13+/sP4j/9/IV2Pe5IIcmjGE5UCqiDbvQ4V9KkphqQPfB2+tvHxPHygGgHfl UGs8rek5L96z1JZd3czSGNY2vT3VHqjtjcyMo8fdvajrA14LoauSLFHs5ElHbse53nLyERcmRCQs czH98urcu2h/JaNId/sFkFCLeZbpo7zrvMh4uD5Q7dFMfkjN6hKZLYXlBwGRxQWnOuqyPSr3SU4I s1PutAN9hsuM8lClUxm5yGh+n8iSdPJ+7dLdYN68AabJzYJ8G9TnInPVQg4nlpHOs/XevBRpzEZG r2RgHM6xTefY+2RJeDjwbC4H/w2Vdnk8BG6Ol3hKGtKXbh67C3oQtOSa9v4d23p4XZ03eHmGEtbg tETbqxvbB6bKAbP9hOA+X3NgGpCyBkUnwfpVFSFAEADpMrP16JPZpf+XsK5y3gxx4ONemhf7IHp+ LI9uDDlBkJWzpTHfrsNiPEbQ19CcU5DlnF7eKWakuDe0yMVPhkLdTlnRO8NXB9Y4GrvgtClXMxxE V8ePb/IIsIesU0IMzQqddFYeIpff+ZyTywSL9GHSa4lJZV1bd7a4DSmJpiNrt8hhuRs5f2sXb0bx xwBQyIA+RRqwxOUnK6idX9W8TZGhJlt9jOgxafLjw62Ox8GSvikOyadoy+hTMP/+ybnUakvbL2FB igSeg4RFCl9ZqbPdswYikPd87mjBMUYoDZRn/tlQ6uBSXa9XL8NZ4omzeK32XBieHgV2zGKwBfhg 3eIAkUufVfqpi7/YuBsAyHW0PMuOKRxeDVhym5bMT3PR0X3BKa+O6+gONC764MMYdfBVk9RC5hHv cVqZVIRobxk+y5c2J7GatL7mMPko31I+eQfyIBWSVmUDzlilkWEyJKW3/xVxpPav1FLLUKsiyzmZ oYS/3eLT4El735bpd7sy7UnoMe79SfKd0HBNqOejM900cMenQXjBSLe7KU08p+SPi21juCnj/jXz ptVYojV2IasZl9LXsoG/JO+iWNWJC727M/lCMPs/BvWrV2xkfvS6VaB6v56LW3KktPGtmoeK2IXd 02mJicarcAdhdkXUkQmnpFPOTOd+elxUjysfICTXxVTIOE3r6q8B+iNttdkUh6wBTbggxmMBcl3K w4nIOYuSmcYKNKjlJ+F4B2nlIjbejfpTH1o1xNy098vx7oGkV+/eL2ww2eIE4MtgVL2PLP5J5d1x fJNAn7v7UKYd2xgEvAQ4I3zCegwDIOQ20gI3xrbh0ttck1StM7YehWqwP0Kkv5cgoiGQISYdIy3l sRnxkrEEzHpegaxRPDNMGjbUP6rcuz8UO1XFOSsHuvMY0WcMoAxkGMhRpnThEhWokc8H7q7idGQd g7jKfQghpaTew2uamcoUHKF9dnfa9deMOcJEVk+B+opzfzvAXhXZB6aaNNEYTMpwv5Tfw6hvIfPk mQQUyq+nMBnXRvg1zK2zZoTqMLXtJBFLfdTIw3g5Q1IXi4pjsQf96uMq34j4kIEE3DhdKfBb/C3E gJF7ZjgUt/y/QoIgLcMYwfYkYJ6tc0Na9zLL7UhbbJCDuGE4DxZyasYuk9OCpgCRcwNdrwVKwrxF xUiTFJH2WUFlm/B5EhpdHikfdm8UHrGWR/duurfNyDAKlH6N6t0/mbJCshZKxRrXCk9UcyvSa5nM QsUaErvOB/v3PeEnAiToP4wwKZSFkY8MoQzQBuwbYjNBnSZW65Q+xqCTxIM1hWiumQ6/0cdozFcl AvR8xcDEwVvEZfhC1MZv/1fc/eoqQhnml7y81JdIa2suLGNigA0eeqaSwOukHTCHbNHCmiJQIVtH Yi64cBaWNNURHM0F8EmTJm3VQzOytqhT44E4/cr+x1ZuWCLhdHWbLWzU1vXedhJ+y3FRzW7jJiDa wJhk29nLj1RfvyS2RXrYZjoXEV77zF46yv21DZN/etZT2CRl8sWDVgbVsHYUmqwoVO3cU52ckhCM LlkdzQxEoS8w9XcLrBhJYw7YTNYlQf/hqNW7fI3nWdyDC1mHSCndlLSFnIvnVqObASg3/8VuFEYd Fh8c6OIOKT+1lcucOyNBZscCB0UyLFDNGrlfEQmBJMNo7pyxeYubtplQwm4SmBX1quKRsezvcPfe B6ntMLJ1mJXCjNKlxwXVL4mTAAw/Xntr6DYkpHKTgIPR6KFE+fMlFxwZ3H217oqNAwGmEdSBs5X+ Q6hkjugWdUGT+5MdJM1sZt7UYS+Kcdp9AZNc1IR18moZJJzQZ8pSf42UyVwX9JIRmvlY8pTMtbjU JUkdnuKHLyXBe1dfFkZWg9VfrjNfdlQGwHJnw0xTFS00eDoV6gHN4AcL57Auc2X6yJO9vKPPh6Yo DlyeGCjLZjcnfeLlAPDBamBruieYWAq8ZuBBLygt0yVPcPPNdGJS95W1SiNg7ENfQBQP1LPuh+vy 1vp7m8cN9DkSP4HftE2BlNnsYhEQukbhDi6JwxkvePfiCLkhKjVx90QKJmYwZooHA/JFMvFSR6Ac 6njyY326C013SooU1eVCK8Y2cj331pzOXPSlPSbcMkySEZ7p1FQHwe/IDNIXi2eHjzeRildJa1pD L72MoOT1/I7/cwmBHJh33tpFxUaHbemzJpfg3N4Dfl0pULbfiXJVmHY2lVjHhwGptiKlN32YHJZ8 EPWG31faSIpFgyWurtKXXX0Wr4YBUrIZ3/d37LoA7OCWyRSA81eU1mBe/vmuY6r5lJl/JHNP27Yg zd1On04F1nNerc9LWjjsWoUeipE1rVgRBTqdqFHL0xw+hpsixhC8eeTgM0GI5qaBA3tadW2qrjBA ZPEsFJGs69hKYEED+/VNZMBWVT2I/zJ47eqcu7K9T+N+wXLrgs//biaIikeyw/hq00Razyt+1CDL /epVC97ZwqQPLgRRpoIsH95r9xg8W3ZbGlxnBZnpYUImkbztkI3rp0C9D5tg5whvxpA2sNqoCfDo mi4muacFP988lupx86SljYgPVx2thkEURnjNMwtENZP3nlkYIfQP67fmfcsdjNIM8pnhCZeAWBqW DI13BInrg5Rrz27SLMRGmktB0Hc4DsJhw4hZsL4pFyC2yr1hBJdsO+Kz1UvwkfqnsuIDNsijAWS4 tmO/4ExKRaitxTNgJqCRPrwHvlzp/rUJyYwtKSqsGrc2eiodC6mi0Z5IhL4pi1wM4tAApfrD6LX5 Kqe8VAg7tZOuUk9aNe7RFI0n7CH4ulOImO7vQPa47ueZkYOB4yKA4V8gXziZ1MCc8oiV2++i2RWY 1PjmxnzAf2PNwCTjIdXEdizmKAeuPFw1cI7E6AtNOGa+gB6/8JAOLJx/04V5OgKCfL00SkN2N9aX 6hE9D30IHcImo8cHFQjYdm2d/1HcmU38Zufo8t/E3mb3JrMozvF9D326UIuzisjX8TD+Xarfg1wl R72e6FwCkh2gcdZwLQ+lHOMqx3aAVtHS8NxwXFgDn8HJOcuQ+IyTaGbLEYzj74Kr3BZu3HklXwGF 2yJWEwgLnX/7gfwWhl4CLKKR/l5FNOo0iJAjNIiGBx5GyGilaVfFJQztOeuAWAHd4EJYQZztmFU4 JXqlD2+E6/OEaqQJA2jVqA12AIcWEHq7WGn+5mrAOiC0Q4XsWah/1Ozj8GOVYaVHvBgJVFEGNGOP rn7oL2gXCEkvyNJlGxv6wV7fxpS0RuAkqTA/I36Cr4FfRi8IhxNJFSG6yJGTM6awby/VQP3rggFc GxYlIbfBKrdGl6Q/k1thbSmBJDpSc8M5XfaxdFExWySFDqvrwsd7zHBulY099VtLh3IHg3LHAJXw WqiRgNOa9etGIKD4IHzYdODNsWXn8BtiU7KjSPi1f0359oJ7Tyi0E5tsH8YL3RLmCNqW2b4QMzhg 4Bnew66jlbGwGgDui3To2ElHSFpUCz/NpLznwu4RqiJMKAt3Nro2ThcnCYWrm2pBX8l2h4cNsnqg w0Mzpwyv8dL6S3x2f0Liubi2jY3P4945AfnN8jZbavLvsXebDCOP9B7me2a8X6Et41A/LG4hFN8p dSgtoUB6vhJwub2LVXjapC8ptiRdhbfEVqXkF1gDoATVX68yk+JL8s8YOZ/Tv/QISLKgEUvtJhTl mHquPZwXUBqfgDTfAV2rt0VgGV2kBnuh6hVKy1m0nHy2vW29oqiEdu56wToVYwIVqCBP96HQ0kaT buWbWWSx9zoqb9fAuo4hVr4CLBf9YOwfR/rbKfc2dv2he5JuGhiyGiHJl3lt0363YA/wwy8Wl6TH +y0qgUTZpH3EMh+gdbuwaSoPeVGrQUYSWoBj0MEAI0z1m6JxexNPauAkqhTX+WF324n0VBcf2/7R vjzOGbAAq89cRAqZEpEFjAFIz0tYTiQrhkpTI+eZBt+Cz12yfIJOsmT1kAPtAiXhwW9JfPNp7m5l UTGIaeRfRX1SADKLmo9iXN2yHli8v8yp5ME/2fnvmJGcD6nf/ebYeJdDw1/1SxaenR28QcoyxSs5 iEkfsPz3BqfqGMKSQoAS3qr4HKH6b29mRHHLpV9CynwHZdnvDbb3x4Ti0ZYjPb+I5YzbwIA0FJOV vsky8WEtFEueMcBcGUrnd/51jEEhehlyd5bBQ6aj3dxJdtJk5DX+gGaUPoKoebZDPlwpFYsDiVBX yWN27kPeb2lQdW6we32yfpAk+2EEXW9+ivHkpAmHxwC1zoRk2F45HgF8S3smH7IzPpYikwGpj/yU neAaGNAU2imyHH76bIpbdvIq+9vxlqYiCwK6ODOJuSsGhpavkY/ftR0QKnZMI12VhbmG1UNgxVqC 8NMnInjNWj5M0jeSltA7D8U5G0zC3D8lrNy91T4xBMV8geyqr/+KdRnA+Q2GD6sVjJ+9tAyJdITg 7SfOjL+12C7rT8rNUK0oHoPeCKibYf3OoxPlzd03B2XAfyI5Xbz19+cMlx+Id56ShDQI2NQHfP5x LTR+qb2/rHs9D1/G03oiDxfqj3CwfOkGp+RRHMgzP9AvKRx4ekrMoymvLYLxjBJEGS0jQp4vn5Mc x/OJCxWHKfTXRjoRxDhJBJrFpTDH6bC1cwJ/n6q5dc+sxN0Ghn85DsfnMj8WdXn95vyAoVlOmRKL I8r9nn5oLC63XSpG2W3Jg2OYQy+kmJwmDvtdtirnE6/PEIZKE3XWty22KAn0ikeQxkphSqfBlxca wlN984WPVzobsfyuP/uKd/gj9GFWqIPY1AmUWGRgBtDUhxRlTxT8GEkQ0y0LLEVrD/iYxK9avS0S 6Pube4Jdk8410nC/q3E2IjvVOwOrKFuRlT+6EML3HfzzRSvwdILaQJ7HNalqv7ui+wKXIAftM5gy 4o9WDpLKfOEBiiRd2WtnVp+g2O0tMAqJ05mz8Gk/rAqlwFsukfDGbtz5D5DcBGfQfxxw4xzh5fb1 ++KRhV8mDBzRZfs6ppHEFy4NaiT/CaneJxIHTprDYPmj6fP1JPdIfeNn687aHQnYuE2Py8yMHI/F 9Wc05h+kSdPUfIaXHnzmVmT0vAHFJoywnHIByfSwvpS9+r0t1HUKYrsFO3+0JBcuR9gW1Vm2nsCv +Si0MjC1Kli7CdqXdF1OL+FaIam15+B05BNrDluEShyaU6ZxBe4alepWUTcrD6+470Lvkp7UI5ji FLrQ5Lq/H1Jwkgkj7VYLPLe0y8xYSlRTrvCiyenzU9vOdMYvwN8KHw6WDc+vmMFdIMHTLufWn+pJ c26tSZ+zo1IQ+V23qxkTTTRgicdI7weFFTBGyPQzHifzvlj19liQWrkoqqjbI6/2MMUyFCQIezAm pvtv6hAU+30FrUex0or9oe31tPv5zlG4Z8E9mJTLzgsyQCtt1hAk5iJL46Ng+jUpwSc3q2UqSBMr sIhR/TyE6Raf7AbXTQ4HNoPA85KIUJ6a59yxrirK9SPGw6FEu2HgCkrbbCo736VaEARfaTHE5rPh +2uG213Xl4F4kbTgA5LAjG9oG+GZD5Ug1Cjm1hObuBh+yIQ3qrT4grKtSXTh+sAYlew2ZmTHgBsY rLYYpuhpTWYILi60Cr1n7sronqFkIa7Llc3E3UprfbVHTvasZR5r15LYeV4aq9GFfEARk351TmU2 rLPYMbbGWpTadpXJm6TG/SlVP+oZp+uhYxcEqtepx9p5DtcOAQ0YQg97MO4DSwwvVo2FMJ2/xl/R cILN6SJNZ+zIKMbQCHrMfnmuQ4RdVFXjKrWG4MMB3XW1SfY8xu31rIpP/xw9RwGrwsVXIgVytuB7 we6tzZJ2ikhdeBNBxL4rU6UQGS90wYQdKuKlqZSfy4gvbA/7xbJoH/jvG7XPiAy7yHVzftSRh6/1 Td2xQHDtEaLOU1R2fk4FTSWJqasTDczK8RQYZ7sYmYeXTOGcs2Gl23mSZPGJ40ww19YrQ2uYggDP bfMKeTw9Ye0ISZnPyUoXQYfDguWi+uxtG7FUMbqcQ5not/cRt1DHPcrEs9ePYrE6YB9sB3V2vupf IldTp7vJEEPHYz/zVzBmDw2Wucag0EXrjJ5JhJLyf0TuHraWGtH/nxK7GHZMAZe+yDYydxSaABoL zS43Ih+czEsrx2M2jmd3CoGQN34H63dShrf4cqc844q2WfQUesqG8bEzdsnuOTjLOZe1/WgD8wvl YmOBz781VjmAj++LoyIUraBNqKGOWZxaQnWqFj+ww4OAdNmxcW+OrqWt3spgtTH5X2PxpSB1cVQK FM8tcE3IQspBRla/rurriFRGiy+xObhzGjd+SK60k8cMjpfjnD2ziUTkCSpINESv7t9tP+2ZvsWm CkNEoKj+YUJNwzZmuvXNdDh7G/xaHUUou9iZUW/V0NJThG9NXxBAvCIqiXf7628eVfUVZi96nRzp Ph+dZpRHnLYHwYbJFfAGTdhZSZpjbKgkzlI6KtjPp+dNCJysfEH4JDkJD2hm5TO0t3T/fFDnIIds 0bICgGaDBLqvgSqWB0KjfgFDwF7nQp+fVV49nwnj/31AkON91X+H4N8XVU9fhiYMzcrVVDLYjniR 89VBcaEGgxoevhZlU3Nun1BYWgE2lj07v0YyMAuBOJLQ+2MpoKsqPC3JBYKprOWtUQ+fKevasL7Q Od0NiB9mfyhU5gNSvfPu3miAtVVLzq88akcK7h8UAH0PM1PFPEYYSemGUv4goTJqJsRqSe2r5+7k JzkJQYcCQuc91D2lA2GiMehHjIPcvQdhFzQa5LEjPU++n5Pv9uG7TtF2RBSpUD3Xep5khdda77UG mmwuHN+vAxV77ZZfREDhUle8JTLh6qaV4LV5U6hRt6QNA9bS/2unbiiz2ofEb/pe68vmfi6y9Mem +AcVy7hWcfqdbPKXlzx7hBh1oqmXQZmzmpAhgXPU9eMLnvCuIIELxxcBS+63GtXnzOY9uEyWqOv+ jSQDyyY4taFy5V7XbzcxZRAARasJwh07K9O0it1O5DqDg+0ci0zRy71XSDICP4HsEPXAtFWMkff3 3sW2CWPUxyx3xWC6/GLZhjXMdeiiRmov1uyEhaOW4TuFmFUNqp3YQAKMZ8ygj65//tZScrGIxWlz f9/DJnvOMiBqbd4NJ8+jJYBstB6RdhBxY4Uchw/nlE7xSYySYfgt8YDH1+T51d521RtE/hB+1Jxv pOF8PZyvwPCLit3hDwa4bRhwLJCoOxKgPlrB2D3mMFQ5xRe3lUsMLVHLPV22Aqb8Xhx+zOFCI+TE jrn87xhEUspARWM5rYMPGbYlBfpOOkJqVEWh0eoXGKmRurIzqFVF4ONLE8t2kESeSK3c8JSwXZeR Mjf4s54WeaaLUcuICH2Kbb4afQW+yWA45Qjy+8TORjGsCsxznI92fIjTg8AsJaqaQtkUg+KdQj2F oo9k3rM6cWIOC8y2cjJ+7KZ2g4x6ANEqAlKu3vp9pMOxyFxM3T9rCRUnUaDCuISZqVBDidQmqXUl 97kZKo4zOQyWoCucg3aY76N9tBMzuKmZ4F6ZUN1HcjYf7y2V1t0knh4IJOK1ByZZUee5omaqy8q6 c4hlOGwrOh4pnS4N/G7neRGxxYa4+u2y5aTFAOk7bEIuUNH3/DxbwscH1vC+8x+n34hu0PDWv2hm dbYtDqERLpEo24JklWhl95YpmlHc4AE6T4ffQwtsE3104w6+0lJ5a7D6noQO0Ty+baQeqVOrvlmx EJ6R7Pt3QXZdRzEyLkDuX2EMvgXfUJofFOYbkuTaThByto07xiAoQdNrYQijcAijE/8kO/eTTr+J eqAkUHrRwXO9ol/Ekw55sWkP1N52LfU493ghWD559AnNsKJmasDcnK9hUFJnSv+DfG4sUEvtQThC GWnfPEFJFDU5RrnO2kTOQi0nuQoTtvkOhTmChUoWqO1qUkzcuoT8abzVy9OK1gUD08yus1W9ssu3 S0xkiwfYr9h+xrCQ3D7wmgH3E3KdXO4oXqvCGFdxwsq9lTd1dwJoqbkBpPYOojIwX/wrjE9ywaMB O6doUC+pT3Q/rRjByaDjBbhqoT4BBV4qH8WXRWutcKHSqkdC9VMpasqd7N6u3D+vtfRtiIMZMQJg Ht7f8O35rAo/uwUTUEvz39XuTjVZD5ETmbyd0NqyL6tiN9Jx984sQtycwzcTn9bwB0Tgakj7EC44 MjA+wJyShRUGowOZH9MUMmHEe4WeTOjvrIxQul0dpyfUklDPu+YCZK0D+ZXif0stpoXvc06G7vC2 Ah8Qom17Wp6sJVIKgt8vZYGQUr9CqWeYB0t+wSwHJAwSsIorFDuC/uJDW87gRDAJDkBqo5nJukDU yaD1VELGGRoPZqQR3RV+6jeb7rQMKNFMKfypXXmWbuiPltI36tN3Gw926kl88lrH0yc6RgNfHVa6 P+tCaigEfd1HPJ945I56b2Jy7AT5yKGeHuI3d5kmAHfJGz+co/Ml4Lah9H5a9CUnz2+gZQ0aKKyN iYW1ZtdGFxsZVYDSRQyGtsZTZX+CfUd0hmoE8bWly6xSwjo3WVRCIXyMWWwAmPsYyyiXOwsU7krx cBBw7NgZmEByRYn+ON8nXI7JTxVfyipvX5Y3Y9gTEBRM26rK8tC10ACfUcBQsi54+unrt/SsfgYM hseJQZY45/l/2FNQ4eLvvSUVxYrXVaPfHWBVnA+16uqrKto6VqCaMoEbWC2JYcbVeKUiL67nIli5 Zxx5o+Z2PeZQ/Omhe7aASq8FtYsYO7JLdZ4YvMgrtj1wAhI1IuPhOo9Jw65TZar5z/j3jI1TxrfS Lx6u5cZzEoTK9yXi5yjqSp6fnGAVuu+mFiD1D2pceIo9edJsePbm228EFggzQgzkV3KhzAKpLptO voTnoB8Z3CyP9WzynADJKoOdq4GtwVq8DjYfJ+dNPot8ZKtbnvW1CtnwqVP3351RYa2SnIxg5A+D sFHJqne/RxCO5TDs/CVGprR0wWaFMcbCockJwuYINaZT6qrlNJPuBakuXSOKyZItvu0CM8XUoy7x ofEfRayHKVc2dShFZgXg+emDEFd0dxxOTSgh0NG31ubzlH2wqEnk7Rb7E9lr6ZwcYJFJ60ljFXW/ 0JWelS0gIvawcpSOhQXgcz+0qgHdXv4Ox9TvaogqaRtr6cjewZ68v2KieF9ROIYT0T1AN30Z2JCc aLhIDUQGsaVWUVnnEUuXTGPZlHf4Eu7+B+S697ZM+ca9vE2F3lboBoKDyoicALIvdakWuocyNsYY XcFEbxUqeeJ8wE+oQttlWfDOJSAwCFWNFWHQcP6p0rW0aUn3sJVaHXf6xWgBivc33Pgd5egIBVme HhF2Tb1KiQr5KGnukAycUvCUvTgMkC3c49N60iTVFROHo4Q7s048P9/cLhEVV3VYlxoHgSXcTQMI F9GnGQ8WGPYgUPObH2lJdShr5mURrq/Emcz3lyK5EoTazOy5sVfeYnPb77uNbad0q4w3AfUEwBkc W1lRYQszO5cCkzjCLsF1ztTbxflLQdhEesAM2jDAuH5uvN7WsprOzSgjH2qIXmJcVwj8/bRlV8eg SRPPafpPDBTmHzswsjisQAbKwzlfto7pgdFGEYgWlzDu6vieRRv8MKd6+PyGtN4404mDWJ+5AXN6 PxxjIpvOfWQG1WQgjB9dQvz9q/CRkcZ88NjLeU1muBRbGydg+6sM0k3vLM6IPUGuDKDr7fIMfVyr JfI77p023kXSwlmzftKYhpP/abM06+1PCNLW7KOkDcatEeQLWnuQE5s8u7x2U2TmmkBjTaGOT1pd Zz0TsZR8m2uoD5riqixPp5si5qqJRD1p3JqEJ8XVjxbKzd2FIDbpu9DpSHYJy7zT/9txYk6UjPvC BA2pmdNavcoDC4+K4yI+nRmWdXmud1l0A8qPQTF4sQN5LV/4cdPp0PDAI6VZlQaHOur+g0SlDvg4 hZJrIajz2nufLV9mt1VKttmuO5TYEy04ig09dzmYik06vkBERIrya+OI69Sfwg1DF63FUixSsDou aFDCDvJeJcoYfg12J00RUWsx0hI1UE/QYvr/m+ig/mqkooewcxsEkvbpxP3oGeOB+SmRCuZkT7Ys FyyfjXXg3aSquJK4wsYnJwaId0Ga8/u8qxAA25E406cw0FtPcv9Gmeb8sz7Z44uk6Ki1Q0Nekb6Y PVTAcgWv/WVI5dlYa/fDYd/g01atitMs8we0A0A9noaFzArAj57jUotHwqi2HMqqwm0bt9h/Glc/ gVxKgvDo+eObHB6qvg3Ef0zQidW+WmEaOW/M8jBvNJAm3zdbl03dXk6eHiRkJYvy77aGF6Ah+snW mjRn63IVk9mt9C0mJ2teCOrxJe/mtfhbQ8VdPNAqzv7T43Bq52Bhqz5cmZkr9H9j9aiUp4BIaJDs 2tY6In/5pVB0msyxoUJCa1apUju+PlF6xfmUXlrxkaR2Lev7ch0pXvNaSd4jHdj3M4mC1xVuAnnu ZGYFbZbUhhicDo/KADo6O2isffnNRKG61IYWcdneqTerULa6RP2iyTqEwPDgj/Qlx8TbA6CRv2IN 2TpByUIVV0ccIuwj5jh4ixX8u0WK+KewBl5dhHXm8BcIEjV79jPUnlRon5+EUBH3RJtGnkZxh6Bg mYqNUnlQH69g833RR17vMbp/sQI7iG7HPZyFElSa4efhmINxjyjK0nHXwuHFFLSKqJfIyAlA1ioC pPp2Cgi53diBRFF5eKZha8B+gfvjKJzLoGe5uTzWNZPcBBI1uvrxI/WXH69j1qVChvA4CGAKllzG uincX71buff8CxQRk0aV9ptKa6Q+afOhB9YrIEt31n5tCsy7bdKPIDIMzF/xvBpeTPqE+4ehf5/Z Y/DQvG7Eygv11forikGiW5wDHMRAOCmViNTd0kCDL+N4ZIKf6FYoebnix6oQV4qE/V64jCrTvTi2 VGv31LML1zFsZwA+DvMkriE2Ht5UZyF9ovayC4SHYrFA6bnT26HLl2+f+oHDQQ+D8hTpjzV3L8gf Sy2mvQxPsrZh8GFdmoPm7SqeU7xjBirkO46nCtDGz/2tZAP+d65Ezf45cNAlUc1mA6JC5Bje01Zj NY/jMSMJzlMgSOiV91ocMmaxeQsPlNBy2aq9Itm98kwwHbVIK9P3QgrXvr0TbR9Vw8GOR7+gqTPA EBIwQXneqE3MdNZcFNlYuNKw05+Z0RnTInsqhwhwX9JszRuWrrPbrNdfUNeuZX+k5hL5kJAAE9B3 29xHz63cr7FAjIAqLxsLATiwXIpsnIfZ3BJhDfPigbhrTyozfY1NvWC2KmecYpEh3OY8MCBzBQvX nlM8NL7ifNQFd1nbSaXxXkevIRVJBrsPrEV8alYeVgg+mF5yl7H2AvLx9CgnI2G8m5hkQS7T9Gpa L/GwyaPea3/2MIpFDZDDHwgu+/AhRMG5I0C/oKHXfyteljZCbJPVJG21JSmLT7TmJDRn5j6Q+0qe vzqc8+lSlLLbVA1M7uzIHFEKshA4EUnViyc31j3MPErsAjL/w4eJMtnvniM0o/dI3XzU5bnPjRNT Y6cxrOkuMmYe7b5mui/YSTrRBGEIVAwb54hprCsUUHO0yD3YMHdhIWH8piCKwpWYUr3PDhf2fgwd ridW7kbTeByfm4Hq/TKQI7nGe4Wgn+r/jFupaCoQRI/t/QiEORGXDF+dwNFaw4lUypAqy3LQii// NKrg/0qhUirTShqvbtfDERcZrh+z+CbqYdkPOvFBqfWZ68uZE1BxwffccaItwiK3KBoUM9gCXY2M eriEgRoIDFwSZqablOteWhiEjb4YvYTOj+iFOnRPn/vAMVCz80/P00U8WLrSi2LeYRg2sPVwVdiM forussx61+5z5fcfVtI2UPxvYw+BMovojbp2KTjj4RXbbT51nVntXhJAJOVXPODXzrqvt9UebvCE AIoJCNeirjzefVOxxUdtmLEUmx7bTEOktDjcY3KB06Cpw5SERh7VDTnOtWWDRCxEU9UbpRD5S3pj v8DVs1CjrZOM/z74WvrByvupf4eWYMx86KUgQp/b3D4ppKVTJfjaGZipwqqaLma5k5FPgz8CESWH +pqPHthuNM7KBYiwgyek1UfmnNModShbUu+D+sF/JLJQgBA6WvDybOirqLhIdH6lM7l9Ukpu2xvs WGtnTPqLs+cfBsbv24+mIjn4GYOKtcDjTn+KbqOpMBQLnRYLKZGRRC5jEQPz9B0djKryNOL2Q7Mj Z3OwhP6zVEyLUcGwaNeI+IOS41zleEuYlbaJTalBCVA5MzGyD3JdF2JgDrOUjFxyabWl/IAGbhPi 4hGoAmRYGwpoRvSbSioGbPrXn473QNjYGFUyZ2oSUTd0VaJhx6Xl1CwVNN5lgZsxX8xYiCq/ShRy SvEoXjoeqttgbt0nm6AhinEO1gL7D3m4K80pCElkitSIykmlEf3KjPw3tGi+EU5/8kIOxYAWjORQ eD0qQpKOLvinZlADMuGJzkytuNpBq13jqtZ6SEHaansER9tWWjN3r+9tx27w4u58bBTtyU+UrfTf Fe/+Sb5PSVEJ3HjaChsWmdO1Z76mPASZ5GvT5CYqT2G4MWzEXstQI2sgqM7l2WDq0ZfNAkfQ+e7+ O6vHTb+zj0IrQEhm52oMhLpSrjPUL7bAaDMZUq9aU6RrI74oEmP8yIs09WEX+I/rDrnAkPlWg8tm owiCg2DjJuPtsbe2A+tWX27TwN73k2OSkBxPHjJB/T/QGgLuWIMcVTXE04FPPUjBE0Dmd7q1yyCY 9ULiRw3HJIiEpo0kpJ4AJVIG7oQEIcXiHfSc/e7JXUi2IyD3OcO+8abQUOiuDoOiEMbVc8qP4KV3 5+WvcPZKhITrObocaesub88K/W5i67lB6pxqMpL1Fv2g//RdisHYSdEFVvufu6lCisCpuZLyaZ9J seMkdqhWBFkapRyM+xzByi0Xh3XSgyuRz85heD/5diMtxB5SgTEk+mCeLmYB2wEBAua5456i11dh scAut6zMZsih0LySFdN2Rxwz1VU+gLcdvPGnmP50d9OspM6Ne9vb9dmxzs8LBHsGxpJ/6/QAogEZ aLqrXoUahJeJDOrZFFBH8liRtlt2xNmTylKoCCl+MsTb8f6cjBaf62NPRqRjSBZVwwq85fkR3GB9 3WdayO5TqZysLFaSaGe95naTHi5aCgkXuPxd/qEsLNkwaEzw+V2rUfJ+KITU8wl5s1KFatjKdMjZ mvGtQwZ/HEseUvi35nMG5bWCxC+SRdqYRoTTveaXDeihX1z0ISaK8Kerur+EyostOOR231IiIOTW rwiCUCQfGkSBrXs4lDcxVskkV7JA/kbpGZIXv8B8NOxcZIJzAicEx0muFSHVimkVgqxQr18vPOkE 4d92CNjavEga04SLNeS5FQGelV6CmUtHrOEDrlwAjwjInSXYr9lmPoxS4hh7sBvhfmDQD3Sc2ZGE b6Z6G23Fh5y7o06+DegBUncEkrBoHU8hMWQ7Z7fmMUppSgoVScKQKAPwl3gHcH9jUC69zBjA9bg2 lzzmBzA7N3sdW65wRTZl9aR7lbFi717/6+6/Fl5HsMnevzrrkB4KUoATx3xNlAbTRB0fGTlvNB49 zlZ0ldPxJX41PWMrLpF1bIidUVyScoqlmtjPgwNM567CXJVOeR2FA6no/E6zDEmnDjDW+kiaAHBh LI5e8fBCV0sVnI56SJgyk6DkQ6Fjbzfc53u6UqGylG7vtCHL4JGK4wOyvGI+MIBoksoCdyx6QEGU nFjsTVsLj5DdJTxkoWi+XE4sDqOqgr3Q3Mgbv+aevxEHN8Dibt/YUdznAZmag8x7d48j/J61CekJ MZ+9+xlN5T3BP/Wi7tFaXJe7xYl/gSFeXMWW1Od0VM0enT5+IF7Kc0eFSUTtIcTHIbkS6BY5a10w JgCjPyCshBMeDxknzp5xCp97LFpP4PxDEepnGm5UsYUhwsnE7X2T2PvVcBnpOri4OSyZGDchjqgk 32viRYdvhjq8rW8chDL+0vKTPra5xcTh+9UYxxbUib18/j5i/ewAeNHxg1bFWBqHOgy04sM1jdGE DZs7XcgL6Zsco333PiQxOBPUZ60PJVngKPTcAKDIhQDmrqg3XxZNhv/OOo+P+bYabZ4sAXnRadyH KJ/jnRUrPTjnB7R/Hyq4gCWJbW2BSQFFGPzC2IgjfjA8MUoWrFh5HyselS8SauhOVAlBRDMi7a5r zjD8eBvrO4ZUUWXyb1DU4zNpr7ETd0V7aPSXoam2uv/PPA03lTZiScZ6ji3sVGWRMDAVgVOVjA1T Tks+uQMKA54jgkJY6dsaiN3a65Y+grnAJOqDvb2n8HRjdDtA+sAJs3DRLUt9Ea9VEPWF4Xe6ZSpy uT4jPGn4hr947guIBtLAfCVFTWWuvMdXwhImV8W/nICbnUAVtNJTiUy/BkfDvY1qMGSZzD36N29U vGamnp6OU4pMjWlCOc1IkR7I7lZ/LUC7NhwbPmXPMjYQCnVOKf/8zyYqYU1WZqmc3bV5sSVehhx7 0QvvRO3dyE8K/N1KnJjZ2mrXkvYIBI8K+Cv48pInPRoAke64HuMjWLETwtzSVgxXbRvN3wCrhhLq ZksWMvYCqHBQVV0ZenpQcAeyWplXxW0rq7c4lV8IbCRYaIXoS/TTNsTbxmeUnIAlKCIWxnGZtZZE 6pSQegVlXDC5VejakEo2aPxilD6Ct3x/YDIoHt0//SBfe88oZnQf+uevYQ1TBS4gFlPSE5eANlDd 6GXQ/8l/mdpKGzY351SC4HLwq1dYHT00ANCDjpF2t2l08s1nZGn+xvAoAEFr6WOptQyeAyResy/d MgbicOJY0p60X7A85y0V9pzK3t3ZdanU6sIY7o8c+cdHMzBy6pso/BMY1fbw7UGQcrsiZXIonopC y4d7pGdlLWrnzcLMm2s5OQs5/O9caAXwccRih9mq6MpDw/Gub6NvVOtTlXCR4lBixUJbDEEVeXrR qX1X++S/ns8s6e07+eBA50ZV7hM4dor1TTWkKg6gVduj01fMcVUBHNsUo+1dpBviQ8siXAZNffaO NVZHhycXm2EjFwZWbjjsHp0q0Sk+N/5z/Ke1gayMJX/1NPJAcceiPJfQxQ9OEMof765py5TiBu+W xez6eHS39oU7c74SmOpKdYxI2r/iUIV9T9pvvjeTypxy38hrjkbsKawLbbVzxB9w9MaFDn7S5R/j 8wUzfgqULA0RFieni/S65TNonJqlSBFAdfiX/0JsMRFpma4ZrtxGDjSIrGt61g6hEc2JcIi1Rt42 wN7r9G2/22kVN2g/SQtzpfrV2ggzVTBT0GLZoGuHjVuSaWd2pHWzm2acVHsGEC0YhByfTRcgTzRt gg4vuTSJ4cSuLdVJSkQSsy/3FU1jezGJJvtmsv1pBMludiiNFmGMqmFbEp56n7WDXHBIObVCUGPq VUpBFAgn+1rXvO+Hw4tj9fYzfix8EDo6HqSkYaCbrOzKDdpY9XAuiqJaqmg97GIQCFTFf5bkKI8j 0EIdnl4jcEi2s4pvo0V3mK1x7rnC1U4Eky+Nr81lkKiPDa3ekyg51GpsVAFqkdm1rGVf+fgsYf2c 1QPs92SdvjIlXkq9YjJfno64BhhxW9sgdOSYIJp59f8rWZ0g+x9drPWrdTdN4H1Ao7iWU6iE/D7O GpxgysvsYo6DLymqRr+wMs8jgptWvKZ8Yywisqknz06i4pZi7KjQ/7dWlLuHg8Lhylk+UPJG9p8/ u8ib7QSa1rxhrrt6uIDoQ1KVnVZWKFC9GhbZe4RUrO/21afb4th6gaTviuiV5O47Xv3Imm8Og5fn ZN8B8icJbugRUIyNDtprX3MobzYSx8MB6iS/VBoIzccMbTWqtFHRpsVYKzYY61kneqIANBbwcRcP 8nYFdl911qs5kSyV28hmOPdhIEpgz1L5PuIHpjmqqOX15mz1YcaM/gNzXY6ztiVpyPdoxBay1POh 5HTsfV0NWX5TjdQYKhZmyAyYKuQEpxubrKKIm2KyYTiSCKVEVBIDzFSrCLEbV0jMVXwYuAaKrMNg jm6lzFq1+BKu6/OZiUI+csdfwZBE+vHu7lJLA9ioE/dZ9EYikwRXONJcSkSpcWVlg7Uw1PneU22x ZmTrjD+C+T7EPl95kQ1D7c7wW6162uyo5S8W5Dl7eduZWi1j42pt+nf+5J6r9cHOW02NwJDQ2ET2 Ukl6ZgBnC3Ml0AS5O9JjU3z5WuxVfSbpb0cfiSaQU7M8dH0NNr4xqJqhxd8YVDcrKEjQ56lX6ooT zAdTlP/6JOrXe7YXfpWZdh6bN25XJ6qzlRxoezNoPzUKbD7e8uVPtRe7+fRswGhzWMAZBiNjqSEZ S92hkglpx5mDpIKKMYOSNKDIkUSrsKH+qvKZ0tteOFsRD6uN3CSb0WH46WbVyfWC/pvf8u7QqTiP ftkacMPIraTYTlYsNr06/SOB0RHGzZqS9HTSuGZ3nI81BFV8m/y9X05NvzG6m97onnJY+yEN4PM/ lGknPZeG1H0DnlR9i6NfwOjZ8VWb2tvoMJgAwCXo5NyqTsdZMgBSRGU6MB9SuPtw+DzViO8Eackb edjhkyctQ/FD/tKN+UV3/Xym8+7lst9e2hQO4Pw5+hfAdxvmeI42UGkDXp656vj0ur7L4ftMZky8 TF6mkvmAW7M2UeQogrd3MRyudJqD5eaxEAdd3w1cD9mGeggkfqQKKzI3ozYtYC2JcHPuoNNt/0JV kAOpoZUF7hSfibwWwm/XeL0Cd7MICyM3M6NYHs9o8GYllNLbgaB+XzsBv6nWl3lGf/g0wgIZEjnh GrBC3SUgeA6SmH1mzsRPjNUQK4emCCAmV0ozXK5qGFzcOFxlp5IIAoKZkoaywE9GQAnl07mYdV6o ulV/oiCrcbx6xwhLd91wwFi8o9PJpiMbKBxlBH3kjRDZE1lL2aJNNT5gOIV9pzcn02+O7qFmuIJ/ hczRXdjlqXNDVbdwQni/4QY5rRBBMRxkpzsVNdruJUFQmSj7Uec/GFvrMv2qG/lIPt/iiUI7A8TR cpmMn6DrCRtSy6Ti8/0TC+N9n1ZbzTVMoTcUnp2HCEAJ8OH+e0a+IwGymiGRz4KE9n3oc6U9uIXr d/2JhWoZDZJLSkRn+xAZn5GXkYvO4Fmdly6EW7tXmdBw4miKlqHmYWJjLqRpfmwWX/oHz9hv9v1O 3bcbhS/RoM3ZIrlfIxIjyBSS+RrmyTcqrW46hBwZtUXTRT0GPjSLOa6YSEjkbmjvlqV/a0i+6P9U OEmpKZJnuvscj0h8xPA5XurZGIuCuP9MODQ6raJIAWpBFOVBgL18bEyx0ElWbvqTWsE8muJ37UxJ vqZXZxJgFzNadIPl4IHc91JzegsusBfXzKIEO6nHZjCWTLTWoiWlwevst5A4ETRmOwdglqiAWdWK ErXVwHf0z/IcAlqc2yj4UyPD4yWgxny+yEaO6o4PWmplWcp81UsXJ+HgIKxLRfICkFG6OFBI2Ai5 OGKYpL+kS1Ee1uV7jDnugcEnnEEKDgF38eNgo+JObB5+tpwTIhiRRY8a/1fWIRKBg80f0xVOMvgw bnWHWBe+CRHGKcBExRybVtnxxh8OszSvKwUywiP874mSztBJLGwR9yaCujX7KfTZNHxRC62iKgYN l51Opabs/Q4IOCDkxzXVnrzC/E6Sflf7NkK/EuvyZQ/ShdJDveP94gTqg5glMfNzIrkKfbev2haD AzlCL+nnzXjD6aUtOZ8dkY6ReAFloaMPCDpiQWVIlP3Rai3A5e9VNgODwwlefuvudsktNJhgQT/S okjqZw0Sd3G2ObVSHyyjEsGcy8BS2F0FXh+AqwguB6lXcyH6hpIbP8CpHAXW59gM9L9vItCt5wuA VDCgyn10t1vb4yXD2sdyzs8gux5V+CAGTFS0qnTt/LjF8MMIMg1h6CfUR2IAzOlv77oS1vI+K+mR xJ2DMu4JH/MLVz1o2t21kCfsQAupre2/HUMarRd3YcEwSuI7IQo48NK+s2k1YtbHDbHgZpqtrNs3 ysNcyIqalxRwbYSV6Pk3wlqGGUUAKHTf43mZgft37GvzufzjzgmK0cvaiPWxgE16vrFMS9/BhP0e sUbSmVqPXhEMVPcyyo7gqMXSNyyg4IvX/rfhI7ZS+NO8Z7m6F+LVIqYTbfqoLMTKk9jtdSYnpwuz K1hKXMxL76Ycorqgr9prwh5nvXDoE7AXCUinXZSNE1yrfkWoId1PJrAmrvJFpTTU3y1vpKylLSly EXOpuXD0RLrHSR9tnYbg7TNowvVgl2yYQR1inMXL+OL0gbBp3p+02SdP1NRlnMaQ5WzhXmanOkqs Jz91N3KxzHpapxhep1zE1t54xbL4bIpDoofnfUJSJWt4q14WhNFpTC9K30j66wz6fWxFrf7VFbzZ NV6RlyFPO6RRC66E73mxjCsaNIJj8YtF7RAJMpJA3qGd904OPbAiC5LiXphGvHZEtkHj9TUVL0o2 r9S4Ob860XqvB1npaKS0VFSF6HCA5+yjKTCGMus7sPoVaibBTfi+wdhX3ZB1fV1cgn4IkzO0oxLe YyXRdPl+R4sfTnp7wwv8l58iY2aISswcsI1xZFJJFGC/KyzSeDyKf9kEszpKxEX630Oj4hp9PtIM aQJ8RMw2oMfhUiuN0CZi6OO26Wqa0oBeJP2JwemcEE52S3g6crZVGIcrHJMLCfxbZdCovYZ4yjTt +10BMuRLFpZyWfdJJ7AHBNlGjqdajgU4U6ga+khtFH+J/Lxpl8tvuEIuMgst8DVGQyY1yfivDOz9 mNhbKAogAmQvWT4VWKzKprcSyfk3t7XprkSNPQlBRemH6qyt9FVpsJzQ/egoVPVJyoF/hVaCsSK5 +7YPkfKJAr78obZByySLKqLTTzcpohAHC8AgoLAVzBseLoY3bixrg/4GVtdZGnsHh6LavbO9UfhU KRp67WNx5jxKwnUhieK+TN8zyARnIESzSPur5l247nvrxcFWjwtflICLClo+T2nmPIda7OApzdki ZeiHRotjf5+7nE/O8bv3sq5os5/eTH0Hw1meU6U3Z8uI5cn9je27JgfyZ5hWFujBD1KTqMbF9Wpf MSpnTRnmLea8UsQGGgWMmIj4B93hwr2jrEyFo8OdJ21BLq3DKElyrUr4cV8+x8UiUSyLoLwNSj+9 9BUixu2stAvOvdZbAMOsAcNcFjJ2F4nQ/Kkkk542lMWr6yQI/JnxSOm/3/wxc1wdqlaEMGfTR8EY 9+MZ3V58iPfDW90x66rVGKfJoVW57jpPDsB/3gxn438zyJCeyNke3sAvgKYmFiulS7+21bTPTF2O ixrK8gdoz9daGYuQdDqX+eqskajGBJka2CByw34aMGHTuWZVxz5pJO/xS5Bk5wQwcBXQ02O6axN7 sy7Z729xgxi20mqjiZi5rxjsOSHmo8rpgtyXizCiOSTVoaVQrrXtOgMf4/nJCUTj3mGpp1scrI9T rnhn24KpgoONz8qNv0tW5kt0UvPqa1GCiC9rhU5iNEkOVXM0w3BVIuACghkzBiugytLMp/y2XKXv oG99ApgtJtt8q5LcO6qQni6oBE1GRC4sYQ2o6BJv9iNmt6FYJZKMol+r0mxwUrSX9rW7LYen8J/c 6WaId5Goe+pYYXqRB5XUSaZJtF+Ope6Hws07Y+l8zmqdQZ96BXEOqMabcd454vYLg6QG1yZ0bBVU 6Zygl5v1XRTNONt4a1CwRk3zWnpa7REmN2ZARH0+QHZ2DudgvX/KgQ+Rf7ov7E7mzhWKm3+rVIGJ 5X+3G6prBNrVP+TfLembIFQDzw8leFO8RL7xazzZrDZ6Fz51fa5okfPgpAtDSqDBMaqnZdBYswXI SQgEiEraPWL9lFODOlSMDo7IyviT/309K0AWtWnTsD0c/kA9Yn9fykgY9FnTEMhvlQ0r0APMaVHb 6TjNv89Yz3npH8Atsv41KSWH+2coqnWLAiRwBJ4RKDJDuFngTuV4/u1j8lxP+eE5PbowH6OAUAxn U3Zbhh17v4mLPrmGWOdgbex8QnO8tc9p5SmgUDiQNhway81HB9Xqdt+RWOGwQ1ZBNp+5E6Je4u9U qiPcDHM57/YbvXSFlLnVNtSlTcLXowhHP1Af+apMN6nV/dpCs61hQ0kbART5gkYip5mgS0Ij6O1v gYZSEtUl7kD73jNNi0M7jzjHPQwzh5WZRz8jaIEW/zH4B0pDwstnRuAJevC5iAY5zNSZ4qDYDHwb aI9nuGI4EIGfP209AhM7xj2OGxwEOjpVhPlADLeuvApzRtuY9F0w2DV2RuTKfd72XG/YsV/7V1Z7 8xODm2kpVXY6RKMDCGZqVVDZxRz1NFsuuPzv1gCKelpOchk3pS/tu2Na4YzEDMbRSmU/b2oXML4V YWj68gG/aYZfyRgn2P0U457v4FbIOjCisyDhJCtAGOGU79nAJjKYtfYeq4H5Nr01sm1Rp4nwbHjf 4+LDdHPatQXPatkJhHuJE0DvrGaTmDz4aJKjwcT5TbitLcmOnahVGLjAf4EjKH1ZU2Tjka9OmfCz o2A1q/m6NGccyMp9zf45dfxpIj9vaJLyKLClLRHwb4CpSNl25WnJhHxAuTMfE8VwAH8qg2F8Xssk 2Gg4lxxfLI4qIMikOD/yvjzoya9j3fLk/4lKiy9euir+3nSUQ8dCM9zvDtRjwt8fQkoK5EB6z8Qv dqJTqvl1v3uaoa+YbhN42glCGrPqP4huRrfjYZ+WwSAAyXmP3m5wIBSns9m59HsYVMuYjzun1BgG XtbntP7o9Q1I3TWJNdoR3sy+2jkk00Kmo/wK6/dmgd6PpowgEofJnmtt1bFC/jqm5EUlC75fxie5 2cDVkZJdRa9dKGWDjOXjncw8HVoNfczRdGwwSXJhi+G69I2aUOy9B6aGjg9011dEK7vOS0igmAGv ssr+BNa9NemzxSSnmhleP55eNFX3KWYhidDMlnpA+JbHE56N5WvlVGKmdPMce9g97jtdFjoUmqS+ i9A48tVjetI54WCq+2bi1KA3B2MihrMx24zXcezDBjpeBXGWUpvCZV28cEIRNaaL85IGN/1nlLSS Z9teUvctdY4UB9D9mphKT8USmxGuWE95xInNJpjviohDU9zxA2pUVjOjBTNgAph5jnAtfrNijeDw /3gR3yWywfTHKR0JfU27ey+H8FwQVUh3lHjszh4JBU+PoocgRFrUFBsI32atqDcfNxSwSNmegtQB l/YjXpDlIG5vhprfmhUSsiHg6xnBhzMvJa7BElgEcZWCX1wE13T+/5fyIdNl0TjnAb90MAV9sbgM 21D6Rn2HEK5J0LFrzW+12lFmK/VO8UsXguuV7eOqlDly5ZQern2pIlBfRdp30JUtsrc2cgCTnOzr 3fKtrYpUd6VMBnxgfixVcxCjq8EJURuAfAVDo9SX/QQKTnw7hgCidzgw3EhH5nwPZibDS/oSR3XQ ryRbWPVlHJkLFKB/FoTni6AFiEbimYAWXo/Bd8QkUgOwTqplmv5ZIadgaPvYZIQXsto7EcziZcBt +Rp0JPBAv5xQo82mPnQ3ms6EgdgcHru6TIU1UX06Fo3my3DY8iR5YfDcNiysqtVHvwAs8AKiHFFq wJn7mqSz1glH79vmBpIroUx42IQltMHM/RV/zOOvxOuBb0Tz0WybSYiimUu0qamdiolb1LLA1SZr FaaHJ+3fSJbYqQzWG9dT8RjX/ijawq2dZOUp72Rx5zDf/zOGvcLbHkZkjwo/eV/ojeboP89nnlws BpYtZ+SBp+GbCnN/Ia2jiQLMwC6oV22Hy6qekDV34w82+dFz36izdVR7Rlj1NxLkToVeNvl0eYc2 xeAt/kTl2FqRiiDboG9cN0f9Ka5BO0rzP2opzdC7AkMGH6baig4HPvDNJMAkscflgdhNryKGWhu4 ryOMxQVU51gzS2Sri/63jq9/oKVxA1g/bXcWaE76gyniUgg4bdR96OJIRKE2SpLo6f1EqAt4W5tv TQO1sP59ZFL+4nPoVOJjrA3Aj/pwu0dKqj2GxSqvQkwQGNrUXBwzkui1yOqOuOCoaQNR72B77LDb 980xEClvWxGFtlACgZkl8UZM1wKK7zVx6A6C3uSUp6o8FsGfi2h1PhMIJINoK3gSDTmwEtXYUJ2V 8Rw8DVaEFlIHL6HGTGjQb2oVdP1FfFFfbZWWFTIrEIOIHIEcrG3ZHbkx5iKWGMqEvdOeUclePqaR BSgAKJpFXR3CNJYtDOKaWpYKZ5swby5/c7XAjIhUGMNQ4+TnL2TxlRa4CwkQ5Oh4vYpNXbDb506u 6DcYUUXepVKPUgSaK6NvRKUbhDoeG3qJpHkKl6Fs/HQUVKcBpDKCvETEcNEFANTBWP5/of1LleW6 mn5Ee3ELT48U0yz8LUfNcF0HwIN3djwcd2WXDxV0oGQ2HV8AZn0MvSnJSPOW8o3Z5aWEd3Q1wnQv SiScBwjBmsqYENLN6erTbVIkDm/aep4+qwTAtZCEStCstTAiD7wn6MpstdLl4MFwrRTHVtvM4z1J RXy7N/cnlu3D5lLAon+iarZJRWnz1cwcKkBGWy3VB+xA3zxj/CU3/tyx6pBm8CI2yvZnn9sUegBl lDAXau7hKwzgJEP8mZXM8XLh3eWqXqi5hNM0jNjIcZg6KgO5LsDYa+tU25EkXj9OzvtsUbq1cD7d sN4SWJQmiei6diUrCzMqEmirVQWn48EWvixlgzgEayzXBSyQjBeZoLKCBUxAYLhuMQ5Hc2Fo8gpe 810Q9ZXetaUrttblEN2jWxhSNDZCn9jLHSBUEIgl5Dyab1/SLT9BWedp08fv+90Dw8pYgJ14KtE6 xxu0d626tA0t54zuzh24cSCnvDyTzA6cGR77xPRxMTyFs4OEgPmn398ElFsOU6oxYwe2/TlrCIJA C2X4KJhQzqUrVOjh7ZJfwDqmyiP54zh+OHbTEoRYojXLIFUKWIYFLa1T2PQPQUmByNqMaz5FjhzE yR5C1S6Lzn7K7f/dXpXqAZfJj5cwjvD57NviKEFq+L9IZY89zebyJBQVAZXQ+EMW5KmsBvaTAfKn 3W4eApIKa2D5qwyZRBXJ1imWsbw2+PO+QH71ERmXqVMF7erZF7nanPynHlwMvGXn2+XUqITCq0LW U+acpqCwWFAkD+ft0L/Hqx1xfvuWckqeBhCOotpWjZKoSiIdnemW4d4Nej6w3ybOxT52KGeprD9j FelYuhPokxDU2/unaFMkHWLBBciuSs8DomtgFrN8kWT67o4fJ4SfM3UbQ4l2vDocbiT4Mg9dy1sm 06hT5sAtjqtMRvOnlL3cmqBzj+0s6PIRWsjxkNPk3SjqldqaMX+wSCiRb6oH5IX/aoii2yKSUzD8 aBr14QjvkqZY+GEHIHq6HUkJa7NW1jhqIgqKPI0LWjYve8f+2nWFHUvSzEfrqUzQAIUMNcKNOQgv dXuNQOFu/eVPqJ5YhwgWgu3gK2F7yhHs3HnfzJOqXa7YFWisuj6NEzpOv+MA/fadS10GyaP0Cqjh GewtM5zPtUAV87KchsZttEtRv3n3cnGp77SW+fhVjfSNb2JA6hdVRBN2HaNOoWpl9DCVNU4xoLV+ mODM6GpTNuZXfTtIauZ8UnSrPHetF42XJx8Qu5UZZ58vPEAm8SIk3/mNV4DxgUfM8Wpgg1yjoIJB lFEk9oIi0jwKt/DIVBJVjAmz7IlFZtjtbCMlcVsR1Npj+ZUr+rC/OtGNkR4AqcnBHp4NE22k0gfo vXfsnKznOQP4Rfu6+9YlfSy6NS36XJNjDpsLaHFzvEtM2eaI8wam3iDw/ns0gpsdxSnlQtcYzmNj TwgjkTRVaFRfsBm+S3vCHI5FTVXemeuQxFmKhOemHJkyUjpo0YJBOLWVS2ft/b7M0j5bNhzjZQYi ti45n5mz1MmcsGY8SfDqJol8GjgUt8tS1ijI4qmSD/va+Lm1VJiFBwKPPQIqO3cozx4O2IW1LqIz SmeJnbm2rqxkM0G6tbntqno54nqzf+me6fZdltFSe+y/ejV/qRJHJ/bzKIyojrQbfxdfDBLYugB9 wMvMpWXi50Ieu/4CbohqAjTnQESwRdFaFoS2thrC+sMqa4rYbhdWaw36niqCU6uN20hAmsN6HV72 Lqr/AsBeW/w4XOwXBzY+svlVL/I1tj+U1LB7TBjkQ1QFtpbqsTaLH2OYscXwXrnoJqkrCSHh9KHK uk5fpYsv7hOVbSMKmLLU30cLIuN0yYBKiJBaTg/0vnLtM+rlYJirCc4DeDbO2oRYlIcoaD/gE7Yl 5L+vW4Xj9ykSuauALac/d0aGEkdYLfdZjo+PtwWCJhS5sEuWdUxRxBDykgCRhCKi+lRHaQAF34xA d4Ou2gxzior5GChZR7V6Uncz/aq2du9uCQxViRVC+NkTPSBfPb35H4W49zpHJq4Dx7GKEOL5zF0h Lf8vntGb8p/IkY/RXfnnmSyEy5xbGvLa8aiS9IuvNWQga0bwKUaQfCBdXS905LtZ0sjxQATBViQh IIcMkUZJ5+MSnWTJSukwdWs4LC4o8Y6QY+15Px8wywqNEymwqycj5tLUAzZLlQApfI50o/JHHHpI Z/5q2Py2jokSNpJwKvRaJ0WqjkzYIpxNZA0O6WYFOdRY8PnU68oubhuGLe9uG4o0D16jxYPZhcxe Ozo0hZNwbnipaD9dd+G+yKp0g/RtU521Plc1cQFyUAEs0L+bKpfSkOtTANwB1uP8sOHdQKpLoSlz +0DAk6EyUdJ0sGtUqLZzAI8g7NNlK6eK+7Zl2WaIS92vjPq92yNwS5FAVga5VRXss6HkSvdnJy1m cJqXSRidHWrMQqoiGAD6rlrFHUuTIopdm28CrkKs3N3weqMYxokUsQLoO6yRHzIOXG18PduYBU0X z1hZ+JCchICiXsjx3Ff0qAdo40SSm4ptgYxy78TcgXeS1J/kPefs4JsiEh/ytR7KJhAY+bx5xwGm kJRBTaBVh4KpGCAWHQ52TQX7Id/GMPAh54nTg8Kp1AuprGE5m2GrNmZFPTOt3Zs2yIWbyu6jp6lV XuLS7+xTmcb0XvFvggP3kZYKK7AHIdwUzNHbIRJ6AQ2U9xTbWAzDOzF4fN7gmufvB36NPG0wIY2W ai/6xR9UsVk2MN+mNQiPDTGZ5pzKIUBbzTf/5T2NcpSv/qennvURl47eIeUuz758Z47q0bucQVLL 8iuF4gYKAnAq2y1d586gdmYITqKM4CJ52G0eNnL5eKPTD5LPM0uQryPKIVIpdwD65eZ+yETucOmv XZG8eumCXwexI/I+C6iVWjUZbaJz2Fakbfov+WoZnqMfFdlBGJ1faVG2axhe7FonsLXvRFlG68qR PO9IKVRx9y7s9xHodVD6U5InurLkNx3XkbPjTZQbBHrIQi01Pm6/y2PIT6JDFCK3VgEY6HQPHjvg sOEO/aO1ZYVM/A8cg6P3s9veOmAup40qHCUkzcQbyNOHAI+98GZ3DobmSkm4uULAffhdlsWZsspt qY2cRpUHuTPzedqZPVBmAl6oJez79jbnJN3FapD86cbkdhm608AcqUAFl0Esa+0fazKt54sbtpN8 lWWrWaEnlw9Zk+aW0qgJvMJS1CvsR1EPxwC/+O5B2Lgj20EbfaJT+h8x83w3uWJnxSqHHZ0ZCMCa yl5pdE2wuLQcMdlSIDCvnS9jyhRoisrQS3U9AL2ahLbCfaHy2A65Tv1NMZo6rozRCpR6bXQa74OF tTEevlOPm2L0CUj+5dk3Xg6YWEdUdygVxJLsL0uZ4LP7l+GyPJLqyqONCeJMu4UlYaiSuaucl8u3 4Q9lPB5lWSoY5coro52hKJahDxAfRSjgFcSKWwk6OUvc6+moNClIY32F0/0DpwUK1sWBv4+jR39V 7Rdn8WoF8Oop89hLDvge8Kb29TsGf4mawi22HY5i52ecHKdhAKgqjsGrjV8/LcH9BOtyb/tyKVh5 +Pt7RVs6sD7zwgoSRMHEBkNaRY8ASPGKjvr0ggPL/koaF0L5oudPQwuqX1Vb1MspN2qmoDcu8446 yyFlBk3fTLfUFKn/KbiYJdfvEV9wPlmAxx81MBaM9lI1J5tcFMrgVfTyJ95iQjvQRblQTA3do7ko FyRu0PUj7xKYFoT7GyFTE3mU+9UAazkttIKwYEfaCNbum4eESJfhGi1YHgtAREGH7M+jIgw+djkj i1ATMAeKIwDnaQil85aC+lJ6IEp2io1944piWRfozL407Iez0UjaGKFY4m/12R6OSziHF4bKejQ4 O0gkcgNgHeAWqjc/x9wSkngKa27QdjNUPCHe0gCJIc8Y5OU5AzXVw2Hdl+dT8uqZrQgbXXOqsahc UV4v7jJH3xJErpzdFbYizcJRuh1J5at+WkNkvphajQ0dR4CyEILgit65oWMpQngREaNIQ23bxy/B 4ixyTDyZNIbZRT7YVWl8AOErpoaxPMUjzQge1Xl/yQpGMaPklgDZbuG4XLASoHbKNdtnZBaRsswB BQp8/FPuo2YYONpTTdHBPbrkGxA2KRafZf6T2Co39OgdtS1qnEDVH/Xk23HmSsD1QdkEi2aaBZ7l wAc4+xp9QGDw2dKmJBCChPXsChcZIlxwnM9R7aa7gumAq635XvVqgpNDDGNWqWlEnBx0eIVdOgXt z7XJUGOk85TDQnXN/FFZaeShFtGp/Mj8arpEMdvrlkn+qXPfD8EK6AUJ2noPqm52DpCQtWvqONkh 8TyE7IlnK9FL4H4pXJv4BGAes3k/v2GI+PrxYL5X3J7U4bsIoocMHUC2SMXGo+/qRgiHzHZ/kB8d iMSRjQwDc/DzsS1g/35yloQ2nhL02vZK4k+NkZ0nC4qM2mKVUwTdFKzsDEU4fUT1gKNsxf4iLmj/ s98FIaXCB0qjZsju/JA4zhsmpRqY5MB5IQFHei+VCV+NEsM1o9KURuhI30CNrHAV/E30zEkbthQi r+9eJqItONs0siEH+o0fVEYq4h9g619sM3R7B3FBdCymKA72XssaBqxfj7fywWsBdlYYsrbz/nJq 57asAt6SOFu95l7q33u93W3ANcTSQEvzLf6rBIgWk4fLyo96yIPCUm+M9NSe77zBoYBiqldC7TzL m1WzAxvLyY5mLViPCvtruzF3qEQ7C8myPIjMXUlHUDpVGwegpIr3Sm0iob7/5y233XlMjZBFD5ne N10gOruAfOGFmvizDil+a4Mb3W2seX0pjnz6yT+IYVDjavbEvUtBJxXJmXGq3jvjYgF8aeMdSw6Z 4C1MZXR4m0rS6ssrskwtcybjw9oeYQhjvhth7rmuWTL3hoab1+QllcN/gFYjiWfG6OHaQEk+QoCs CZgAkGIOXxhG5JTv5yOQWLJnG8WMzIrlY/HLIDYAAuEdM9WCTKC96+0j2s3PNgvmlCFuSo7hDxRm x6laq3ygkZrgiFOmDPuQtYdYFWg3edO7+zIk+WU52lGQ3o+2I34MEXP6xKtT1oDYfwY47CUqN/Jj 8s/4AacHpW02ZedxpP68zip8EIKGRBR0T2pgFZkI70zFdYoZHx142LNrxButf18G4iEYCa7q1V+O kg6VptvlOQBibq5ZOuTfec03I3x4iVXEHk9Y6UfoWUUeRFYaxtWaUbyoV9N9PYS8QEo7dW0tZ6Xr NydDVxlUG61/Jj16nzfBMqaP2MekW8cWxL68X5Wqlspma77VzJDHgdadhPbXoGhXTmzrydUfKKKy 9/7/JGeyptjKPGTP57ghXlM+2/gh/z/ZqVf+gJtsNoLyy7vFlBJxvkdZ6NKaOx5bTyJbT2MludmE jp+JQTtFZJGwJ2Ao1x7oDCVB6BZbl3G3yoyiFy1c1gRQgH4j5ZJmdcIarEHNG4S1mHQ23tNamG4q i6cA1KocGBm5Ev1hkP6sAl8fS1t0LO6rNR7mMR49kVgD7tMANPqTVFm8pTSaxnVF8UtSYuKPeQHb 9s3R8o56exe4LBH2yElY6h2ChxMyhl7m+HY7P+NccZMQHF4qJ/p8BcPJzKTlcYuESnCoNrnJorg0 UTGxJ5mFHAcLRuiomHL9AyheYXIG5+U7eeQi9PP1LZGtvm02E1rCnSQmXTqwB2PedVqd62bXuzt7 EzoinqQPI1sMhoRi/jYcR69Nr/bLiligLsm3xsXZzo6J1A9/paWboFHvPHUWueg2ki3ZZ5TN1abg QS9WRu2kCzRNm8i1nQXec7uGJTJjQthCuIuhUCcxzWfZdOHzXAVRn/uZWT13tihNNoZUNMJIIF9l 3yDqcpLLl1p1g2rvcQ14KjODOyY7bEGrOTK1UVh6pNCBRGsXatGEhIVDmrZ7Cj2+arBX/vtoCi0t 5EF/MqHPipc8/IeEpahBj4Lk7FLriy/69ZjaKSnNMHf5lsi8zQISJLIIjFGz6ecVJZ6Ql5fNs95v QDbRDN9rNU6wIqK50REFu1q7Is2d4JzACke+w+aQCB4n/7B/p1B+6/u3j6DzCQ1r5KnIEnhHFQJV vpzqRk/K1yRtW0CwPd3oVFXfzQjXAL/wBposExj+j1Nzp+NK9AZSJ2iYwx3y8zuXVUVlISn0W8V0 3Z11nuQ5S8jN3SOJAbRNxFZVEHFoLuaBG7Adm0RcqFsfrDVyTp2h3Lfm/7sHehvxJ5EnrVaZRII6 n1PDvgerGjRgUKMRCnlo81yz2s4sZqQwm1KBl6XKYDi10Blmhmfj4ahGRSYeg7Bjrdwyb6xjcj7E F9NMiLG7WgZHHLmMI67L/TmjoiK/lecs4FvOPECsRrM/nn453S+8zuVRJWqkRVDfBcsn1dUQznXi HtBgiqhoONnOSZx/J7r5zIjmjb8YVqbMP8KZ0v0T4H1ozmthX52h6NzM4qtwZ9YJcMRHbjmMv9ye pDugPaO6DAbt9VehCphxg3WYd+O3S3rbXUC2QgtaMDyNI5RaMM0W3I16CkgxoGB7H6Sr5GynRXp0 5obr4p9BupX9Knp1voqiIe3kJw0cG1oXau+UmREYw6eaeupAydHpTn7+wjXA5RzEe1YBAYxZcMCY hyrxgcJPiqk8ZWzKXnSD+RSgUiZeTU0XVETOlhHyPT/geEgXr4hyCNY41ehimagdUxRb9/DJJmNv JebYsUFnn3XlxRKuwrCoeROgjYc0SP8YaFu7qSjQJoBBpgCYrUhMAdk6pPn6hIZdODWUthOPKaPW RYuYNChCXvh2zoNGr8teFehRGC8ojkPWCY0SH0rWWEs6pHn+SNUHS8BDUlx1PCd6tttd4pl4p07d ygl+PvKMd/+ARw9wPArUpz/InM1v56aHzzGfyb6XdhZUC2oqUGZjhXjA1KxMHx5MI/Hch6BWrV31 dVNIRYGA3/cTHADzcznEGYpN9zXosmm7RfIzSfb3AtJKpzwcVMzobOiyP0+Lni6Q3BdyGQn7depq V/k2IGxxho/CfYSNKbh0RhDmEN8Lxc5DHfOkaGNyQaScBSlH3wy95y4FY9m3S/rCs61pDwWUgtiK lDReLsXh86xj15H05nJvbXKjlFHL+JDund+iYaqEAlCF3se1B/NiBLQwOG2Zc/qty2vK3v9vMHNb gjuEKk8P9P71LR+kRBYlD8BXqDBnHOlCBPO79HZ/V42BLf8obqtyH7YT1wsjBwvbdJ1NVbT2cn9Y su99sn6FqHjDcKqTXcuIj/M1S16VxyYac6hTiRsCkA21hLZLgIPDxOGp/Y43xV1DGv2+9rdhayQC ycDfOQnsK0eH5V49c4RpmAZNcyd2ctF1bKBXyS35McBOO9blaz/6TMsm4lpIg/e4hN2+1T9mB6VJ RJZ5uTKFegIuxKfCYxgKlgg/uxftR+wP6OwtKsgHXQb3uD1oteMGoplOsdcDDv6Wlbe3AqeSEPb2 +IwzhsrRGDMwkrRWxmKLgXrp/+tfj7ZqL7xY3SgWk3fX/TAuwhHwn77ev21CP84oqtBFPDvhpDtF ny58bcHuV3zz2/YtHg5YunH+yel0knKOHWA8ZvBsRMlWBhgGQqPvvf2fUb6D/fmoy3bjH1jE7ZvK 8PG0KWNF0ePmKS8Mc+Rp3wj44HWX8h70UyWirTX0HNLLziL3CVLfHcbzDsWXz8y2fzYrOD0qZe/m PAuxNsgJRffYOIUghPvyKY4gPmpEq8jfctpuSpJFVQAYkxNgp3MmDTo21CzVgP65pAr9996lolLs 1oulZqR14Z6QeP/vbaS1e6vxeysgCni/FrHnlJ/bmetYFD8tliA6TRAjoek5qkZMgFCudrQo9eO6 +vavxsHzNpHKA7M5bnXTFoOhtdtkmJB2PKXyaBx0sxWayQ9pxafq6QL01Frzi2Pi4LUuB+SVLitq 7i2IvonNkJq0S3/h/c0+64r9BvM/AtychHxThsaIgzf2fZdXEEE7cZQXWVqg7huqml7Kpo/OHLYn t8u1D+iyuYTPjGY3hmjeDCCPcHutTVQrR3uCt6iqAwZT7HoAIDKl+S6+jIqexLfllkM64c3n/JdB RVcu7/JjpealkrZSK5z6vpBqYUENzNAxa4kL95SISOmQS6OK8NW/td2OxouFrK+/IDxs3QZJDYt0 Fc0lvPy/irjNvR/XYgIjH8VCnDSk2F9sZukWo5PetAKTTHTciNlCtNW6PurYKfK7nVNYPF5k7bgm k5H+MbJ+03T/3mySp5qvf2WXjqvLbuolSRPx1kds8201hyWHWAsKAQt8E3zyu7D5FKJ9w4vTJ4/0 P2Rac27n0x6eg1nCtLgEK0aseOAo3uJYYb/0WadhmWLjpT1niG8tlgnxvr8BRZzI19hj1kAFIzve 3XZ67hYj46IiB49VCpmsRoBGt9AvwHUGuFKSzkC6au8mJzhLWzkRFBNphAepqJdXEKq+i1bWT1i2 sSaQGsIw+xUicd7SJGF0H4kxtCc8EehTfQo9AB51fQ4gWkteQ7u5FAaxh6AmK5pj/4zOHcSEmuxW sS749o4e7CSAxUDZuKxMg50EpEQcir8oNtdf+BEblY6+OPjuBQI+v5EI3e+fXFBaqL8wsQs1BRUM JiWiVtcbC/8jmuHEwPxVDwnpAlTBbgQrXT+dVivA5/zMRr0JQwoZzvad+JddmVl4VRRdepnB10lR WCG4y1AGZB6DxVzGhEUZvwUanG2mUdqhprYO/W69826eAfAqWPCLwk780SCF+MBfg8vASOea7D9g pdXi1BOl3CVvok8pgXhPYysXaY/Wt1XjJIh27THlIMHkmn1SFnvuOh3+AB4Ryq4qRuzEFdOzj5X3 103EWgjdgPcRploDGl2b6VotaJIFiJq1qEAUMcugCyynGHdcqqiX9udkcOyUwB9ivst/GQ1KWmxC CJdauiJK+vNMHhEHLP1Q5IXnhLpcuf9kmtN6EzO5gfKr0tloZVPJcL6HILTxgoZHzEPjqV1yQh3J VcfHsd7Rns4QVTr5a29Ts0hxEg1Pz4fNncMYY3ewtZclTwK5DAmTUoG+dZdXBP1O0T58N8HXNYX+ FcU+vtrQBab1N8PBDF2af3lwfm5yIa5Ub/cTlFhJfbIcml+G/E161OAl0S4lrzgo7BHBF0T3lkC2 6a6nibcbgt0UduLkydVZxgEryfgi3ffUH1oITpK/eaqosr4Kx4JkGULBPSyH1FEk7TqJOWIkUK1j PGbShleGLbgf+Gy40+b1PA7Uul3zwgxsy8bcmprhl26/HzgU8fTP0WnrZYVTOq79fqVu8sdW6Gnp ytzCRABmQIW0V2DKfeooA4tgfnUpyAJO8Ywts9zdxynqfByBCSKC1xqfPffQL/sewetFK6f0sXzF wQWBBQ5y5S+uj+LrveYH9HL2+1wi7lWhWDdR5CyPXdVQjLafWj14AdgwqbuQHp5B6qrOMjbnvHHK FI1/dvrXAjXz1bMk9Uc5nPudXAyvcpLYagrPL5q+lbMZCBCwfx+H3RKausbMmoGNqw7HogBH+OQ2 f0r9vp4Jn7qMqVB5DbV8HIaPOiepvEe5O5qaPprorz+83J/OTL+7xY5B3/rYDwrAzMnF/c9yTY0M 3GiP0Iqz9g/TFoappb/wYiFtSJM8r3gIXLkwi/4iM2z2hY/X+uxGCqLaTk08FqF/UfPoIlwodW4T tBywFalFwC1MPbQvBS4G8BEvJKcG08eeYZCWtbmEkzqEnWHvYh8ucGdSNybXE7/G//kfPMXwtt/s Ji5xRgphWEZ/dYKjAduSu6FqIuv9tRGuyBygqsBC/LWtAfvJk8b2ZtJrX9Grq9KcsrfCOnCzgRYr szc6RSidEGW9wKETRQi40FECoi4ZGAJvTcqO8C/cnmKP2o6f9AviNswkYUpd/AaWKe9quc4A+/O+ OL3/qNOuRKYKlgCQXEKi4+jf98ch7K4491zneSXAMRG8E5D3ni2bdNnxmvSuu6fJTV8CkROz9Vn4 9fEWVftIR85d/AZuIBgXqnuSJro2ofuo9MkPrGkgwQYL+ufcLUnZtvCUEfgGz20rC6U7EFFK7mfR +Q7byRja6piFjEQsFrgGHCrcTjiUqTaSOdjGZzUIpLFYTmYL32/21Z2wg4x0PZftVqLJBzhJrvuu tMn3i0Rbgt5DpTm/j6vkawZmw4LJF3grP6FcADKpuaPBdZF/4Vuat/46+1ArNHQK7N0w7Kp9WEsV mpnCKzR0dgMYMF9x16EfwUP4CI5oIDzcBxEZFVGvOXVKDBzdnDCNpzkwtA5JeS7g50aII0WrzCAc +O2dPDEVb9TuVaTkO2dqVlMUExAPxcPqDlsBAGd15qGtoaCKGnYgnItEgB5FtYi3ydAH0AZhfSie iHkHaG6tb+sQBbDJrt+OMnK+lH0/KtNQtD52n3Oy0O4rkEy0D1r+u5St571VWry/IUgFzB+BkujM tHhzvrTyb6qvOEP+NJuk7pCbeXaaqicmLSAksu+Ct8d9Mqy5GbTVABf0By8o2Z/BobFbt474vgHc 9xgmXRS4ym5x3RLaQ7LT6No7UyT0OVAjyFXnfIKiTEQhI2mVttXKJh3M4BXvpz7xA0kCa3FuFtWl DTbuSfvvUeBJKEslRky2WgPO5UHW8JFj4hXhTf5NHQdrKnyqz5gHA2wWUAAr+RZ5tNhdJ3bDvNoL IDm5SmgHXJvc+Zu0ueyhvK/tMPjnsMM6M9436JTDy5T/h7I8MQp1oJHtadxKvj6g/UTxoZtLzkey 2j4280P4gRSbAsoLGi8nh83axsFw6Q230IJKPJ1q8iFAw+a17JMrhdN6TWX1JVVA5LoCa32cRp/9 XI5hICLCAXcDUSMq2ME7YIPsLQ5c4VWjNbw/gAcwdF3qwxPaeYGM4MFFCVpMnBanKJ+SKhC8jGdN igaDZiDwwtnQxe3o+VNmoTr+u620OIzZ9IXBkvsA7Cabq/EE+RtemHXfxMCC18iqxg7BxqY2kLAt 9IaBcpFbNb72KHVu3EaedvslDpvpO6P0dsLRJg5ejRmZ82EOQrI3Rli8lw/ah540zOTAWMD7MC31 djezN1WYxoItC5Pk0QtuuTS617E84ZL2akUdXKICWeGgL7Af+f01/0BS0gXKmItY6oTJ3Mw2s0gh gzjPP/WRBPam19bKIhxnii9gebwjWt2WlqOGcr0wrUsH/07lkuS5Be40bJWqgY9cv9AUqdrb/DNY oqDpyM6rh0NJsnNm3EilIKSODwllT07aRjpPUnkmp5d4klCIoezQjxdmx6zPvatrFBsOX3zmMAub GZ5c/R/M5EX+YDQZhtbL8wwqi8SovbG65cO13CdIWWX9EVCdQyaZbyBuBITrZjMbSPFaTbqctcI/ jq+y2HAVtxAS2gaCFjOckLtAc3UQ+H+urllKuXKIAv7WeXtrjEZW+JbwOZALAF4xaSTWKd1sP+gU DLZA11FULjl9W5hPv8g0E6yoUrRdEqCNxBEHXJ2yT6JJhiba8mNXfCydLq7/s+9RROsAbYwAeX+T uI1iex1/kEbMWFwn9/788ucVryuNdo2fd7y2p/Ey4XpruxDRNZKBjSUw6V+OW/aMYk50MKHdPNVi UeUYXudyj9s9VLKKkLrPNcXgup+qSEfwZ1vRHHttvK0STB+K30LQ7BwQp7bF3iXIw1DubtllQCeg MJb6C7dWBLklLInXJG+pqFH0oEO776F1A8Aiz3TooIbqXJgAzxttr9Qaz5Ul8LdRHpvOU6BHtXSh 3JKyQ17UDelC20vnGur1R1i5wdTZEfmWTl+5jIqpBf1uiTMqUOF/ZdVkHJqhqty50drxDtoN5swj tEeswCSVPcltP6QenuCATT5UqVxL9xBlMhaBNjcpKb1JzC/Yce7hzlEUiAXKAODrW5WVbnJYESR7 M3kdiI2BTwcEJA0VpuNU4S8mya3IEwZXrcwsKXC9xBpxZs+dyOxJm4KsQP//sdXrwBIEsqKm5Z/m Ey10XnIF/SrCdBVaccw6Rwe8x1yG6bq0T1leD47i6DVQuY0KiXhApQBgt0X/THpDeyS59igtG/HI 6s4CZgl11qaMOTxanT0MvAE797h5hA8jUJKh21585zJmzRvaySMAqdDRbhy0cM/6fV1Ckq9H8H/D CddMdbeIcBB3/i3n+l6oBMJjmz2Iq9skpc00OXMDmgoILr311eXRXm/1Epb3qdzFPsttx+Z/5/aP /XBbhtXTLmFyCy49K71i4PVfUUHPjcIC5B45x4/1vaSNVHEOyN8XFDSGjT9MTl59488AFK5N+6/a 2uVR5b6ZPRcerrZ8a8gayjrMcf5BGU3+HIOxkF5DeTP52lPiaxOJJf6iIyI3WQphSRepmjh0fPqn 287Qp2oxFLyc88xpRtvygEjd7yr5L7z8de11MMSO+seoqMKWyeZOoOWAaesFxWC2M+Z4/iKCb1XP YBevdkVdlDvJmbL70fkYF+D5IbIQStFaIfJ+EUQ5UfUQ3DXlnWSYKUAzlR8KUW2pxVq47whCfnHH 7UtxrP+gY5ph0BScksdHBky1WxyGsnGKBPnqAWHoyRT3VrA5yuNFVB7cJhY2xzfuaGJXubvwJ3kw txuOQEZCQA24A6aGr4AgLIW6PVQpIAFVNL2Iovd8PamJNyPpSbODsV3L4dBQ1wfaFsqVLkMbEcSS 47R+0EI98/An1iryAVJ+jnjnSDESgMnrLXVxTFxVdyXJ8FZ/JaUf6YaCSpD5xyMmYDzKP2Hjb4dG NSz2fIngnXb7jqFbayAwLG4u8YpXE1yub/ZC6MziRtUVU+PEJQqSSLf2R/Rez8MLEDvqFACPB9gT Q/ntBzoEU3d/I/ow96FNhSz6uoxR99GQt+kMvtTeSVy1unF0/a6fH0w970vzRDCQ6jwXwmB4x/km XgucK9g/LbO2yTdBWzBsArv+wvq6UXmxnRIDYm22bGo8GYuDVFJgnWHSy1w9z97S8yEuepJvhVtt ZnOOKFXP9gCR3kaMsaAUrKVyLoXSllKuF26jWp4SNOeK59ht+4WhVEq9S40wNQjRdmP2DCrDosp5 YO9oSLlGuqXdGdGSRLMMxrFDdbgsMbkomEGUvw0x0IHF+/4GjJZNsYU2/IWX8c73b83fE+Qlyeh7 pdlq4Kkl1LgVOVueGgcsJ2mJNN2cbQ38J2TvhlbiDdSPK/9VS759mlE11bHswwXl42P+gJ+rPf3R qhA/FqJS9dgMD0k8NoWvyM/gxwb5AUgb004akT1bFGe0pi2ZVa3qfdMeJW2zKoEnJLsZ3ynw4OQ3 ZjGIaKEZUe6px94dTI8a2jC1E2AThdM0cl2Hc5UIrh3yQgiro3h1bjTFVLmOnyIdnjN3dy4dGsHg B81/5P0BX9lfQF09zKP3qIB2o9KwVpY8k9+vOXBdgED5zYhEjUz68XYoJjqTv67tIfaFGv8LKSLn Gf2Vn3bMgX6G5QfIw421xuLdat7zrqjD9q4GcjQMWYykSleXo1tyE7Mw/QMKdVHXX0zgA6tSHyko kyNofthtf9cImLrAGyUSEriMlS4CIRTGdKnfRXoOCUmMVR2qGrf66NPI1oiIcooD5WRep2UdiXeg LtRw0BQsLj6lkPTgNK4FK03bztndfr2rDjObxV1f14yee79O6Mrb0F0u63RhiMcC28ZYU8VTBzkV 2nNj42UGBxKiwaQmXOjy8jyOEw6rvGg0L5cZl96WDUt32lZmMyHUgcwmogTekTeKFHdLWwWXJqci QC+rVFj2wba53cvlukoU57LFZ2sVJu7mBmLVoSXFyZBwlUVhgc6jqwrJe/o6F26s8O+cPyRqwDVG LzUymUu3awbK+AXdv3uAG04G8l5fBsCAhw9smLMpaGnMtWriMyEVk00JZt2/U/zSy2Cb2fDjOvnm Kc80jSMCijoH2zV66c7UyODUG9MU9zncEfrN7qNe8sUEPrLlEvE6XU9b98fYGrNG7cp28NlztUTP FbqdRC1zRfIiNnCc9cPA5YieQ2tZBN2fDNHQQR3MC7uCRYNdu3cPHcnJm3pka5K9DzJdgOwhltpa OaqHIzkQ4tWRiy8c7YfMw5zhz6254sHJ3MUEfyQmPwr4WrzDJu9VcH4vUz0PCTIN/XUBXLmIf2w/ okdmHnQRTaHP8OQYCqzVr1XMmfRKW7xuV5mdNDXsPOcm7nGxE3diJPfFPvs/8suwfkuKsKgpc3iv jjRGdYE9FYgv4jxWqXNCsHkB5JmQnymQWnqD5k3J9QsapCv8LS+e7Ihrs8jGyf2r8ilkaBCtcoAp qbyTRHdeb7pbNrg7Ly0KUhZoazNe3CgOOLNAKS4xsTBM0k/sLIC3XNumZI8RkPN6j4oAoZVrEl60 o12Zb3PpAoroOnaZ4ioGQ4+ZlrlqC8fSYcqaXDvxSWttHjeMtnQ+wLzwGUSi3xyPFqMnQYfA+c5S Vk0xKTrLBCf9W1NiuqyyzFbFeguPm5gkVmPPooqvdKwZ7g1tVWSG12gxcjy22oxVRWc9bfRn7d3c 9WFpXb158V5/X/6sJWmEBDTd+EUQiP6da3kz1HfjXJ6XJfy6vvr2hoTuJI0+dM6jz8EiJ4gTQ9Cl dcB7cHoLblAONFsdHqk5JstJcjAH3soLqZ4U7xu0nu6nUxV7pp+qNqY2P40474VupK5lnABUSdYJ EfcXr6ueT2pod0OQ2rYd1TOOR4C+DgtQRSfhGVZxS6+oy2uVHW5FlbRGsr1sPFf1QERncxheZREI WMU31iqo0+s2CbVVMD1C77kQtKqtquiJITRKSLOy7dkllxMzIQHyZi3yBeq3gWHZT1vkZ2kkCKwA Kdcfe4sCgCRrn9ZTMU0dfzKVrUbYgSiSErth60+UuvVhaUSWA8XNVeopr1Db6kdCIXDKNHTFTCSx dhHTExRIMEhWo+mwaufQnWEjJsbXF0cx4ahOkaAt4tw8vdMr/0PChd9sYqhF0T3cuENrSOe9S/Ka 28omXmnD6kHCCEOQWOmscJhPx6NMXXVstsUK27Hu/atb88uQvmG24dtGqKDsY4iX/qLHqNcO+Emn TUbJRGJ6SPVM+q8TQCpaU5UueOz0Rm41CghkR4QK8wBl3CXzrXA48hE1tZ3dRoMhM9nX4NbzOzxi yATAYpFOKOjYFPHIdnvpRxrs0qhkHGyYCA1dxP27aLAzQgUb9egJQZH5oIZ8ntNG9eNZWjHTpLxG 5lnsFQ5ErDAuXZqFN7h5yJTB9gP+Id2tjRAZGW5Cb+7CFlD8mi3/xjkeYTGKC+28tQSMIO7clQbS 14nzkj1pSw3fVzOLx6YGIXvnS3n6XAGV07N/VfRVRqPFXoTyw8t8l/PWMVNC/QSBAjqICdkf3oAZ XYAT0ZPYf5yDKoR1nF5ihj1LtkXQLeeAJqwFtdTfs23A9ZIYo4dmDATzn1BLSLGGPYvIeDrNOYN9 HDZQtNrkvMXOw5nXu6EWvygk7vP4G1tynOruUkpHg10gWUQ4823BQ2OD7GtafC0IBerdDDctriZG vR64y/1ys6LV1bL4rAkI6uj6VnNR7HQ73EEO+idq2dN9r7MRzM4UrD5D9PVbU2iumFty5+8ukAJO X0WO7RelaYC5tv3rxk4uQoXKfyGuKnJTH6l56pCRdVNmQ8kjhmo7r8/2yXWKqf2ecKWDKGL3pUBC vkiCuzqVeilg5IIYJVzzaqSc9gGi8LhG+JpQEzCnWMKeWZDBY8Gfaj8CoQuyclex81daGDHfc1j0 X8GTMEUzl5QLWIKCW7RM6SMlvKxTvwj1SRH31tPgV8nXBCpiBgyxeF2icQEzlb5NGxgwnefGt/cR JJH0wrcY7ZlRWyowfDfh03IvoVFuII5HbkoINQ3GK/nGgBMeB28ONWb9nAwvAmtKogcsmnl/+qa+ 1kgG7FxlfCoox2TPQxpaVq0hQ6uycXuOFBCgpKhZZ+0w2uUaKtbHdFf9cJryYmxiisn40CW4dlfY IVk/KK8nleCGuhyvOavQ1WI6zItOOJ6EE7N/tFDOgV+eWmUgkVsji8aPI8vWQiyjsEXnRNmbAS7R 3Trzh4g7182s7p3UiiG0QHBrxieTMWi9WvO+z9rMcqFEc6CQOvxb/++6UeTADqegw6WD+lshFdbO aCfk5+qsA07iIVglzccbqgX3C8biwEDkZk3Cz6KYkQAw5RNZZZMPe/NwcRYIZhh0rOaxpDKEUxeg jDnFez9k57Z+//8gv9cp+bpA78Pe8yluqsGJw27ylwxDxPUBYykv6m9HNnLa0zsUY4jGlXFbmG4p ywTXwNnhd77zF4OQKMm6auVUbqlWQrV/FhBBm0WBPUjvQ9KKtM5igY75tYSOa9/kjF1lumdKJfOz brdXUlY8ivEKOwaeSLDkJxSCU01/ItCibffpY3Haa4B83sKBuBVs04wYIfBZmFWF1t7aqawy/+Pw IDRkFxnPo91a2e4lVYBk5MAPRMVcTJg7d/57cypbSdGJOr7JHQV8JlNm3Wr5i4x/P3z48mbrRnyV q2v87pfwnVe1LSkkizJ+/Ov6CCJoWMTxs8WyNXnl5AxT44eKF71mnNILA0GU9HBXUnzD0pozS9Ao 7jiFGdG4f8Q4t9u4nF91jyh/F2Jd/vj8Fw+yOckGu8Y2KidGHtaKxG/BqGHEYyCpbZwn+L/IOvp3 w+GlqZTTqmLo3X1DwCzgke78/a73POYf1GwBReL+o8cINhe5ZdmSL1lxggIm0SjMLoB4SWJrJ++w G14CyOQJUuNSD35NmZ1QUJFTwOCvEffbP5BZbnSqXRyc4UisWERtmFsckNue/7obF9BdVqOJ5LR+ SsD4tBB7c966oImlscL6QeGP7UCuGxo3YCPMQ7rnsaEcrx32hgTr+pws/bUHuKCEBmEyBg5WceKr L8R//8ILAZdEJfyEBvls0GQked4jGi7SNWLGSd2uYK+6AKffno/SkdSmOaVnosu94xz1IAcips11 Gv76fnVIrxrPx6NbtA8sbRRr83BDVXT3LDhvjPqdUv1EVVCpWWw8+uYSCl94Oik+eECPrB+9nUe4 9P2987r35YWh2INbN0Suivk0qUTdy4xP78Nd8XbvmkwoEA1Jz+1OuRXqdI+8HS0rKNov8OrhvNBu 2J60xiQ+jeL3GdZ908Ms3BycxuQHUDsqDmFDMHCsF11Z2VNuX61n6SJkBHU2esR2gAJfL0iw+tBy PD2QI0MEGPOKhQV/RuFC9EIpQ0qS9c07dMt8QiwUPHInrbiqnQd8r9nJiZR9iCfxVjXr2wJJxiQI +O0ORFIF/ahT3EC1pG/d653zVQJpAGVGXsk4cOwmsV0URGEoulcl8As7cEVTM3CfAuYl5rOCoA0g 5JPkD5xtq9DBxzgNe2JP7XkxLxEsIZj6kddQ4F4NwEePz5UB9gDRRhdxcUaMt+i9CJcU/X4oUsf1 KzzNEnk13Lpm+2JGvdE/UrXnRJ3OU6xC2tOalvLJAX63CquauGTTUTXjqHD7yX1VMmTbHYAuECc3 B6tpOHb9FcjpYeYQsgDwwn1HxqBQ5YzpWkQJHF1PTtHTfc5BArLU/PHBnPmzZ80Ma0wvh910gvVq /QJSvO2DuhA3bJCwba3LeQZl1chHHz0tuJyxCnhCHVHIQIHojlkp5tGs4gGNLak8W8gITeSMnxgK ubzvLwjuDPq4ILmi6t8Or3XdKCG64dNeuByPP9xHV/ITDqeepHSDdEhd7CDym+000icyEqk4BlA8 Iy9PsAXBbcJSgmqhgV7ex8bOoGW/8Q5LUI6h8E/XTi//8bytAc9Xn0DrEnHFMrxoQ65KKaelM7L0 qFv+HkLfaaMIusNu5R23xogEbC2uz1T7qBQ3h/B24s70k/HCB6aSglG+CGTCTaH+qMz82fubF91Z wbqeQxUu1UXMV4/5GNRfa3qgZojy7jAA4WSJRvUFjg10isPrOf3VHGbgjvkbwZVRkBEI8LDjBR9Y B9j8dbZKCYo6OIBvly917tn712Hfd5u+mNCtzmWSG4KpW+P68Wa2Vv5hy6ixlZ92iIpiBPKn+nge sxYiMCjWAUunrltIhb8G8GWW/3c3G0ZGdsT281GA/d+qeJFackHwk6v99KP2fxN098qKnBIDxesB JVu7tUAM/aHBZuH2fqsqejN8CmnOhbofRL6Rygazxo/90nJJyzehkwntwIvKNxkh2mtCRUJ6jNbo uv1OVoL5e63dqK6j7DkOWwBrG+3aX9ENzBfb1XwpZUKFyX37a81Ga2U93Rf0aKgXMkiB+hvRBZ8q x/pZHRyJgjTdtwzhOXvjptB9CTlMPg/0ITyyIX4UO7KAclO8DqoGHEmWURdNP9T6A/9mOtHyinjj bsCI0KZFSsY6BehZuiIBX5a2hGmkJ9cMGHYult/etAVeJRImSpjZvuHeSQtmp9BfqpMN8Ak6C49K Zlp9EgqEDm6TxNp+CAdKoxzr15Ef4SLt6t8gixrBtXLL+k101/+3F508iFfmto+Omjkn/Tg1TaLd 8NU2DEKnJ1jl1CRGQgPhBO6JESNtFVNUqxLm180KwlmMyQb7xAdIxDVXslBm5EaF+R432Mq9VqvA DOt3v0cOn2/yMbK/bkT3/jzmtntnVSXs1EuWYElr/E98EdJjVPHcHRTLvjtodOyfcbhGRhXP/IFV 7nZRHm5fVKi3BhuK4ukTz71K9KcM3DfaIiFO/IlHQocJ9KZBtluXx54p7zkTm0OEawS56WmdNgD/ rw60QLhiKCqEV+n8rqbF2eQekX+okLFEAWjoqdUZyHzDn7rC5oS+lsiYSbreT7Zeqqbmyk37QIYN uiL8p1srzY7vuEU9SJv0mTGftHVHuOImfCgTPB58/iMmei5Z2lVhivjrhxKLd7TfQp2T37BhEx2Y JuRMHCdqyiI/QWCoTtQwvpooirIuw3WhhEvfVCc7LEmpbfyZ2wBAHGPWNEqd0iPJvH0UTFiTll1i D1vSHS1xioxR9xPqfFSvOPnBcgvZ3iHYtedI61UZ2cLRItR1oSEHJBEL3/lTj0so8S1As0k9PDkP n9/JFDf+oAdo36ltL2D+DnMU0qysAvE0Auh5G3DkznA39VTTbRMMEwqyy/A7Cix6LqIt2qR10uuT 1IlWe6sA9V9Y4cenVkqM9pEC9haKpt3LwnIPDGIl7uQaLnS+0xATxX70ie1450Kvj7zdGVbwdF8W /vcoa5iGltJvn6CcZIGHgRBAsLZ51raB7vQCmxHqRdhIO2ObcClkCzbT/2mCDBHNlTbu/MxB4T3h +oZiUN+v4riDstevpY3/yhXBDcx0MIYTxs+j21uU2aGMYMo4x/KUN0wvI6a2zvBj5INwFzoTcY+c RKXwtdmr7eLsCwozX7kZkGjd17+/oPjC52loAT+rD2/aV8WyD7vFkgwz46PmDCCVCIiOX6xO0Moo HeFB2OjfCEon/oLH/f5vyr3EkQkAeAHV+dofoJCR/mFIPb5QsUEBlQvPnX1oFQAOpvpEExvvD+x8 MNUFqfpIAMqUQ79oOEsuGCVVPhLOlwNx8rC45K2a5eacZmQZlP1TUrs2ZXP2+y7bB2izwcnK2sEZ YAzaxVMWPb6in2Yzh5reV+fsrMQR0VXfZ6kez3g9pcRplO8RmpjLbtZwKmAFdFCI0gSTDbYzw1Qb 8MCN0A5iOFzBuKSa04696SklyRZGMhuaFw3gz6BEjmRRtut3y79W2zqCmS2SCR/FWYUFxxYFtiAn lasmRy5aBF4xGGPnvBv71U7UjzmLfg7HM2f0fgnq+gOuxkzHo+UXnVM1JpckDR8YWKUbOFPleNQU huT7W/k/C1z7MNt+fnabYzDEKJpdEgrnqC0qTe542Fis8QenOxdk+eIkmhrygyy8GZZ1phQUsFAH cch8Z63Wj9/0fz7J5nUlaUihqrny5wf3NHVSX4IwXZIw4Eqwy6kHSPsp1S6fPJSCqYlA0vRz2UGV JncaUNrWwiCu595kwRQjnA43RB8vGXloFuShgaeQ1qHlbHhOlxtVLGFe7lJOfBy96Zx5VURNvLER JSav61ZyOc6TDjDybyDAI96ZvpZHo0sN/8x/dJluQh3prJtkFYFNR9mkPlmjHHQFo6k97kFdQdMZ sEX9Y2sNw4YiXXHr/17oamvtYHGdYUt31WSV4QQCN4wtnkatGkvUZ4OdJ+UHzKcRHgGFpta4+JEt 2T4O44tgvZerFiZT+eZegbcqJUO/koNckn9V21TOBTl9ex7jKTxsVdn/vDEW5xUKkSdgN9+kNCC1 KY18pw/np2i7OnqontR8dqs7Y7Xo95jUJuIowPgRdcMO8+5eL8brc/IicQ1uATUqUzstFjKneeb8 OZ8F5BRD+/B6FcjNU4oSiiFjarNvmxO4+tL0i1L+5pq/Mx2Jq/SNblNDq7Wro9nzCU8cRam32KZw cl+7A6IieuXidUTMaPnPvVf0tN/7DTGMTrx8Qpva+T5bG3gSh1EBGqZla8bgijCxdJqm8BwAlG4A Ex3c/ZSb9fByGSASRS7EseBe3uOIlNEoS89z2lcipOlsvGkF/wbzsKspm9RJYTssv4VM1BV1vi1v 0a9RU9f4HLN/jsktgm3yUzynu2pYpiMVh2CGSSEbJ1+pHYf1TLfCUTbZgj1rrKpmnykxHZ4ce49t kCDJ1SlbJ9J3Q33Wsbl3f+bKMWviXZySkeaiKcHqUtVcqmh7eV8AUIrRbAyxigW+crjZCcURY7hk U7P1ajVdicYEDvrLunF+ZmFIsrP0ZuPSqQulrk+gG9azZb1+07YcGY2MS6dZgdubR6y6SnmBvwFC jpQZLP+KRfq0Ayt+S/ka8N0wO7gKy7R+eIeLMCuJllRTz7T5gqVveRJMRDayI/5eb+t59rB4pMRA HbvGAM8/y/+OZYWzQ0bAaN3QUhGaLXYWcbZo04pukw0wd4Tg2CIAipvHvs8/Yg2vBByH0zgm51fJ onWGvITwCZOj0YndIXbTeAQhLADq5PY+if0H9lwDWME+qwcMGyW0gLsJCo/Bf/gWzi1MCgkZameL htBTiLc7UCS6YpOrTgxk+FvtAmt9GkeT52okYRGLUSFrQMfOtodCEXVXJnOOO2hZxUvNpZOo8fd8 bCrcEmTFhHruB4/evFs2oYdgSE6MESvk3cI1a1A9pDV1xFQbFhcjzgCkG0IJ/OocutD+6RGsyRuP YC9Fd6E/DbWvyrQNsVC+Tp3uf16HCCmNkDF0VKvx/YwIJWpSxAhZPfGsqh4uyQOAWeBHiFKnb7Lt dHl0tiuU0xmptLKjCYG01XG4FTrQ/rNIi4m4tIs3oU+ljEM/8TVzEk3n6Ut32IAKbD9WbhmkEHC4 vLx/14kTfdKLPQVrxjZBEeNczSx0Taaf4wKifvWmiu2fluIc1xEoBqER5qOqlm/OS6GaIV5q6fJP 9x4hlAEJJDMTIYLJmuA2g+lznsGYSsvdrhXHx9DGXWzcW3cOPgpDb54pNLjaTCi59rajKKblHldn qJ1DeKGN1dJokTn8CPRzxGMOqSXk2R5ckcgrlaZWaiJqxIP1wEKOLyFO5tBVuhktSW1hNCMICxST ySFU+5ak7kFZw0fsreJ2sXxClpocNN1LY+kB3ND/VjapkoKCDBmC8U/H6PmsdYNxz4AAEyfqH63A C5DDcp5f3LjshnO2WdJ1eAKuNqjF/sDel/EHhd0eVW02xrbXBtlDDA7a9/XY6+p9Uio0F4pElQtB 2RF7ZBmIpkDj7BVjonB4/sFuLjHBhT7vEoaWAFBTKEEkbH5whnQ1lttvGzTDy9lbkx/gaqBi6pzx 1K+y9U2TffoKs6FUlOTtvKwNfRSETGs6yzK2MDTSwKvH9bv+98M3Zd/U9LxCNBETbxym9q3jRHZl tSwEWNc1ATAvIoqScnuPCDnr5PmsATWpGfhC2aBqmTfdZX7BLrHgdu69WWGIbJsqPkM41i7sMXj+ R+xdns0Ed/+rwPLSsWK7qoqG7Cz7L2FSHZy80vat3sg0ucLXULOvkxZHSKBsamQCDvXWX9ll/zc2 aNLszjstQL3vXgifRVGHE9mNfRWOWBhWpPMuPx9q9jj5Ek4pzVc0ydCDGskM2ZEas4rGAT/wuEa/ 5ILM6r2uAa0ieszN/THXAVC8oejp1zyDx8stK7+dFBi72NwwO9bOfgXBVYmw+4kZjqJxhnwFtRsX +qXykcDeluxlD6GpIV/lXDR4GJEkR++kxzhMb44jNOB22NIFTlbER3tGqSjka/mnvwbPAOtcU6Wl Emn1EGr8c/lVjXVqfmkME1IsUL00vW1CytODNG0GUAouNGh3XjfZwpcYIJKtpUr98RYZFZ26EdIi gG6CyfuhVqRW2JYCxQuZ1ZYXM6CRmlGiYZFUT7FHU3LitwQwi1mWRr8oENDzswn/B37vmDrAAAnv jyw8ti/K/OUXUYIpcAgVoC4DDMTqqwyZbcAnWb0FzDt0JRfJtoPCKfaCtjJJ7QiWYAYSPkD9OZss cOg563lNkZGjkhoyR4yLL+XjZX3T26XIImm627cwFvzKjgVGyJZaW+B9fvavRStTqmwDyxP8IAw5 o9gXeDrSFKynTRlfvCPu26wca7KqJczDXfECoIfw4Qc2Ace3e/5JArHeDU5oHl8obRzb8Sr9fae1 Gj3GEh7nxpwzSashdKioXVjf4qX/IfDoO2tiRgKMvUw3l/UfHSmibtJNmIjw8+p+e1C4BrEJgTDs Tr1HCB3mKFP8tYOjcby84su3Na8nADtnJY/HGsoTEHu+oPMBx46jwqfqLOBp7PaYWOpFVF3yQe59 BMOP+PXb2gea3SpQuhOxhibOpOCQMT16XO6CxpUTF8V0Qi1lgMsuea4fgipqUWDttgldypsPdv0G PW/3JELRAGCJ80LqB0TBMSf5H+vWJR8WYyFBa4xAByNQxJBL4eB5M0YooDGmvRThupRyHja79W/+ SDGGMZjPRRV6vRU1DIAUzKptZonhLGNNJpRiGrNcLAwhsS6fsMXka5ulJXm65q940V5hItW0HW/M mSMj5sGn5CcQfGUofn2crlMijxM8oaK6zpnNv+NjlobwQHuo3MR5i03YYodCUbfI5ot/Vor1YjDR TM6d/mqZSg0TUYfkwT3WhiWm2+Wy9QpAiQawC8Fx0s3LkdpGhIuy9J+aRm6Wd1/I+KIaV7ymYNyM elZL89rcBp7sq66nVsdasKxoJtAd6BL0FqRyei3tM5rS3nXSiVH8BoL8mwHjoDLRF2oVwaoziHcv P084ai4CS3FURr7IMkBdmZr+pZDxg+ABPCVuwWjDQcNudUnNxWFOHqjj/IrFFe2DeXWJNjZILs6H s0xaiBaiT8KisiWK3FRJtzrXBzIyr0f4dGa8xgOuzXGJ1RuXhm3krMe1oXiKt2cNv+mTnuCyhXWD Un5RExmYlcZFdpi8qWskoRbTbI1Fa9+f+7V4azD2h2ONIfw8KkOH2MJVunotHAfsBY4/cMObc/gd 6YhYqX4Cw5gk6j+TUfIDCPdascOcyZsntdL9mEEjZYvYXUyFpSWgcxFA6fcwkg4cydlO7anZT45G EWAqQjAq2dAMMNaSnCXEzlXoCacjGTCJtoG4X+YXoFfOreoDzKaLQnzUm/9/AwUFaQVszth4Mq+H T2Giz8jZXMHljnR515KOgXj7qM7HFACMjs7p2O16ROZ9vn6niZNyVLVB3TPYd3XD2DxGtTowzLDX 2t8S247YEZ/6oXCnB32gTXGECwg/m/BOLjt/Mkv7b53fj+E8ByUcwRV+jGBhxIaV58FPVEN9dnsR ADSLRK+vRX099CYFu8Fopmr+Md5Ge1GlMWOQGMrxX6bftZUSmYhrF4BVKHT5km2pVwLdB/iKd24X K1VoDED8eLL0Sc87S04d9kMDc42Yk86QjHf3DUvejYDGE92esGH90CZnz+rNRmuvaNdoYi0IGmS7 ZFAN/BOl1cefTxZ8/7rQzb7T/3aJpJWVcnqT5xNxtCwpncMzVE+vsIlk5gZUaxiLRdYp3L+zGFUO XP3j4O8yZSfkNL0JSiD69mT+U2ytGKopyWHBL1n/yOEIKVqZTATm28tGtivIGhZJxxCG5LoWJAgi pgcBnlaOXrvUNq+IMO810GjcohaBEWs0hKoCGtKOp9GBlFkYF5UY5ZChvn+Z5eWp+2ocI3dCHNBv KBxjkki/O8EroQxseLtaGnQtgvjvwjzsw9b344qrBRxO7uOt1+KODuvCIanSFhuRlpENMVwDILBM fMU5t6bp1dia710wX3lnt194Q84Vd8PRDWWyv4HCqjOzV3MOizKE8zdvBQOzZRyLC8Ut2P7ZwcMp 58VqjeKK6LGG59uf2beUaubIUeuM4F0i/bqqd2gamMDOE2yB5UM7dX3S5YZ3NmrzCIbX1WhKhJQm pf35PLTfjN0Q2+0dIxHkPLk/tj7Nn4qeC6u3ND5l0to6uIskKe9AYNPz0wgRTQXPYWtuob9UoAw3 H+e8B+9mGvLE2HYYdGSNk/0NioJBfqrEWwSoLIE+wY+j9DPxL6JqA3rD23vnjX1a/4y64l/6ulvL aC0kKReAzNCHMmJQdoxqmPitQa61CSKJXWf3p6Hg/FeDyhFWa2SjPUKKb583wLkNv/E9hX+8+Ie8 fuFF2n8wVr1aRnP/nsGnfWpo8y6+mVUTqTgrOwyvLCPB2eX98GOqH+z/rupnFX3AwloJT9wJbZUb YI+AMZUGKivAqvQkb8SHQ9kx1E2ebLJQ9rQsBZCMW7/cSWLZ3t3O7xLTSx6uCW+TD7Fk8T3wxW1i NRAodI7jGoi0cQXvA3yYDvbronEyVC95i+yNhvSfSrE1vbt00HBt/ctve+mRTUluyKjLN38EELgz gWOlb0kMJ9a2OH1njryacy14uXLkYqUhCbG/BLthRj91mzaowIIaGqGajLQZXfcFBPWroaNOScQj pFJ/ih2TzN7l52FxDtqBf/bbvtbloqwz7fn+AebZpaZNqK4paItoT8hiarVucRSOurnD+qh6SOOG 0o5WE8Q1Hgo2v7wG7HGqUDIyazyjBJs54906fJLqqrOls7VtAGzJkKg6/t84Y1WdFsAQXabjEP3i ZqvovCphwslvouQ8+I9m2lHU+f13/kTVG9WDc1IJ2k/9coV9P1WdM/TpJgV905CEfWHu1CIClsyR RC+MMIAxVnpAfH7IsXKTx8aosUfMS872/uR5cvoUMYWACVy0qN8BFPQ+aUsoa1poYWT+J9fUsWxX SFFvXx1uCutnlmHrs6dJ6zhz2M2cbyDi/3MeN/IT16QX6rGfWfkGrQLRFFEhdQRbBrg3DiDxVrJe zJ81v0SK/LYWf/w3K5btsed6d7/aQ5JbMEAz63WEx0Mb1e9ZcUXR1F4+pU7ilH0Pqq4l/vTlo/Au hKcmQcXDMZgWd0m9Lb6lg7AxuVPsuvGgnIpuKGLcWm3AQPi0735jdlUDNTyJfb27Ha8pZIsb4v5+ Zh15CXlO+6nRJfiw4XSS93Z0ASDwODLURHZlbdQO8H9xuYbgosPrvAzBJC6O9np37irLg+0pXNzk WeNt9SwTLKNZBl0yIBfsEohBs8Ac228Sd/8zSck/rHPORlggeCwxxMep16U1Zl8iiKNX6C3+f7Jy IPJ/03seHwaeJU/MJD/JReEQ+Rp7SeGCOhRQ+B657ZmOZpAvneaZUAabzHmq90ZGw1Apb0SQ4Dy3 xzWZFoUrx/inFDHv1EQdEep+7ThA6tSaHR7UUAkCKK4egrlLXRNZWxnr2Uw/WiBrGDWTp8clbw3C 54nUsbkozBxvjDr8+XfPQYXqsDy358tQAJIOSbwtrdXG7wO8nym5JeN2bWMocdbW4/hHKKtN7IMv s92jDO0kd1ebjgR0B7GWX8B0+EnqRPNLpIY29FRjzf/s1GEY+14+sdDzZjsDkIw0NzygLMAuLdHI eoyO75yp8Uw0OQFnKO7dBgx4oAWQInSkcbDlnnrU6iZt0wqCmiFZYYBs3QlbpjJv+UUHoYW39mrK 20Sa/dG/1/xxFU9dWI4y4wf5BU4J8LGnAt6S+KWqL2ZidaJQFcKfpUozOn4QfoTY6tuJ2+CYjYDL nb/KpVSn7ncwnN+6dCGG5GjYWNddDPwaX+c2X2VP9VnTDE/Y3NG/NaQo9Eq9/eF7RJQ6rIniAZq0 H69ifLy/NTVVPzggcyqwnT/CT1q3Pp7SPznLa2bo4smlFVzs4Z3+ZK8ktV1vI173iNbqJGxqbz9w bVkp6Yc93U9DO5jCGWb7Wjc+wznWNPcDmpkcldsLHzZAo+RICoyzD+DWOowQLG76Ao/orcAD+CaE EYEXLvmvTDUM8ZeIIxd4yy42YvI+QP2pSSOvEag0Pfc25wM93uFNXlWZ7Sk+vaAHjQXYhuKpz5X7 yrGI5i79VCzC7mbbw9eRIZuHvtCHA2NdEVfJ9ja+aJpbVzxHQkaaWl0oZm9ysqx8R6Xjiogx1EKu jV0VYITj2MOK23RB5C6fZQ3n7t589osCj/0bRJ5+O5Z9JFpKZTg9jMzXha9gTa0SdZVBI+eE/Fwm CUFwEeoQLqbi7gTFw0w3DX6PDi6SbZWWdZk1ucxexTgJWuyITZ85XPu7vrgsTVqkrd+czwThkmCh J1wnpUO4F5QZSIp7QenJsIPVCXfWHIAEGQZ2CXQLo2+iqUL7H/sjAB6VStsH2IJipVJ3SH/7ODCG mpWgC9wSrioziuDcP0sMNe05Om0ueHO7BEMzNUW+mhreOrse8nTenU0DYntn+gsAnu+Hf9liVbs+ C2z2ljGF4DQ8SemAo0D2dRdj8iFRpNyWKf/okm8A7h5ZNZ+l25gnWXfv/iRdldjpGwL5eIbseoi8 kU0+p8P9hrmVthwLmztqBMHbmZ2awMlsRz5Ywxk4kwTImLeSp3SV1GqJjyiPB0KMuVwraMTcw6kX JmZq4Tnt+GeKSzvEtQ2Xkkyk2O354ZGuPL7uF8Pz5s82y5sQw9I0EZF63URUMD0Kl00rZVGi8FY+ qoGLA8FUVadY28EPo5aEa6xTTafhHL0ojRIEMub8Cy/+w3gkqPMTetOA6GXV5NSq3rIxTTKP2dvL WN8qyZx9OhYTwX9+6OXptP6n4Jj7CYd+m5BiDdNl/pRTD0oyS5DDYBdV/neJlXeAlKvLYKy00OOr 01w7Y6llD0KSjQ9GKsEn0tRWvIY5SyeEOBqtyoFxcaqDg9za6I8chhmBSgDdmmVNo+QKaFXqELk+ sAAERmptHNToK9vy7sokWKP3o72SgmS7UcIajgZsEB0QqHn2HSlH9oGj1MJFenCmskwxerWIZjQj SnbdsJRFjWkw1+yb9uF1yTBgqBA33Snwis6air6zxi8bH/cdnCJ27FfGtPUnW8wwgKY9dVyOX89G 1V732aJeqXM3rHqw8ys/kXmqsVvYvR425PCPuZM9hy40qkwF2bvtCZfNlF9qFevBxduZEIwuE30o SFffWu7NMtuJ+RpwuLhUEYFgpkMzu0wxVq6jgrSEx+HzSK84Bzb26wyApt6m/3MMnKoFZHi/V/V0 nU04LyQYT+pp3Rc+8YUyta25qpHxUeGU6+hoUEJG4T8rrhycVY9LRiE3F5ifyie/Xt+9y7khdpik 6MLQE6ypNfRIUE0AWHBMzodP4ZyhloJnFDhyGcRhq0gVJY0fbsn1Zc9XhFS5JOUg20QQQB1y/+UL uFkGKBSnPVQGwaPHnNZ6EMpSQSZmGlFKYlaH6hJy8mI8ziwFaUheAMsppcb5ZFHqTwnFWWQzIvah Q6I1WiAO7XuhFZr7Mr/3+MK5EPfreOoc2gQACb/sfsvOfM42FXFjQehW9gv1c7FE0EuY5XjpVMf1 fszOsWnRFqD1R+9kU54V60qYFg1UDk9e/GVckMKlLdGiWjnEJb6n8Ys6iLijv7sfBQXx68K5Dakg SGn3HeDnYUEMCEQtXJPrJIzH3cZi1EcDaAeUPryDI3rvdtS6xlBo2sHCee14j/NJBZPLBpns+BU+ vhH1aJZi+l9d3PF/wuAz0JBhyYFU/yfsDi3XD79jw988k8hXN7zU6QViFFhcb1K0avKSx3tT++M0 Pc8iJeGiN0ubth7nvioBHpltKLVoGMlVdNKuAYoz5WqxvqzvtK3rvXkUIHo8AHmPZ5hfJvLi5quQ 5+YVW47T36xcazH/qYoNQt4QRfuy0If9V/GNvG/1gcNWa4DX921x54tURmnMcTUX2niuH3dHmBQE NCL+Da3oVmuVC1GYcpm1n4V6RJlFu4G9z7zVKLxnBNWKwDexT80vz11iO5DDxvou8VQ6hkNBDbBR nwQ81AtOftB/fiIRpIn0KsZwXCpmhODrSjGTMZmYc98y2RU5AZ6teapAgVCbiqTKSg2ttr6TR3SE y6IztM+DJQfbLwTBBYjLbuNGlb/HWddJaXf/s3soCjYDur2FMoVnYUv7M7JH2Z/kfJ8RXUZoptBx K3GmyjuiNYIym+eaZtQIbIh8SkAKHnUPOZX/BT2T1N2+ZtL0r6yObgwuZid+8iV9hjrfzxFEZnu4 Wr4u1/GPOcDvKVav9Ih3QIPu/l9+jerciNHUbkDm/7FYRE9qITteCb6WYV2faxeB0h9yF9ZOq3gg 6OVFaTv+zNVIEVjP1OYi0P7FX0/z26LN4Z718BQ2bRz/YPR0Gn2tRHEDgUwy8Lh7yVDzy/Avx/wg sBBBlj9IDDQSOWMxcL3PWkZC2YsPQZz1carN+KAu38/s2W1ymdHWmpLhywJfJ93l0XNk5Jeg+qxO F1yZl/AqcBEOVgGCwCTLEzonP0xPQB/kF+NhcWfA+mnfh05uJMgTRL0z+y3ENoDPyMOfrSpVTWMr coCpiF19aqe2Iy8g/m5A2MuCyCtbkNN6zX9k8uCzjDjYFZ1QIpZpnAfKogmFSoSqq727UNaL4KPp v3dxBsI/W07Yk78XoFpf/en8ymfwqrGhaA8WS/CV9wObtgUsz2zA0kEiodo0pOstP/rrvMT8RD6i e1L/X+NcOZaSGEOIsay2Ug26q9/whcn5knQ6csENQ9/eDoeDdTez3M7dECegFoN/7KNtCLaJ3cJ8 STAqG9tPKY1b8+xcD6XEcaG/uNP+I/ODW/i/cp9b6RkYzt49h3OEDOwjcwjJFotQiBsZI9sVGf/k ZZIRIqRBh3WgIpPHlpQ5N3DTwYIEijiuMaz7NtXNiIZ+WQTtCUZog+Y7PQn/kfFglEvXgUpraz0N /hJZQxuyAZHweWIwbtXHQpT5WnOEMfHJ3IAvwtunlY4fIwVI3HyF9wV8ANIW9KpuKcSADf/rC7gX V2zmoB6JwYojDXbiaCmYly4YdhPj627FONpNCBzUykP/WUrOtU8e2ukQwGWrptVRtVrIb2WGLf8V JJn/GP4wPMOvUUZH2WK1UAm74mEn5oxUk+IUHs71GCrFyf1JgVfaeQawqTiFWWmh8x+PIEh14J24 pdDJAbpmnqqgniU/3HHqlUUfWhh4JZ7CgbHBGkSSVzuh0OauUI4jmI3x42ZFd7s8zHq7YQxHla99 j4TVT2zIB3o4a3xvnlntdlNJyXjrwpjxtEMsChnY7i0ZCVpa5cx+acCRd+PL+xxR4dOUffwbQFAk K3vPosKIwaQ+4foFQsFt0xH+YnIRenvAfHn9ka8DyygTAsqPJd3lhu3OmDzd/7dTiwbh1tyjDKt1 FmbHp04DEcCZenuNF4NyMRZLAFz0PToQCI4WDqbLfTyOfQcKP3YzhITRSnrC0kzoichHiHLEbuvJ aXKmuVhzG+3rukJ5e83r68TWVI9s5adG4u2W1cTcKZcw+7Yw+Q4nhWHpvNGa3r6B/lC8Hvif2621 /JZ6nugILSoTAsL/teQgk9M2GXnRtu2lYFph1ldsIpZzDcXej1HJvlVAo67XKpBBpXSevlyGcDD8 PY6O70RqEfmyaA+7Gc1TUsy8EShuuW0VphTtnW4U23ym7ncMu1APmylBfnK9LIs4Cgo7pW8JRBbQ R95vIRZiSJSFGe9mkRJ0dEH5af6fTwbSZahgfl/g/r6R3RTldm1Md5LaTXjzGCeoG0RMPLKx47Ub z9hI+wfXkmbXPsACGa98iNsgQeDaDXBE68YE3UI2c14fScKc93PrY3EOVpItB6vKtkOE9WY+KHT4 7xGgBZyb4nIrEMlRu9YKwPWfsZgWPaHA+2mUxhFI2ZFduNv0BHGANziz1FkBJf4Pf6FR+bFUrGgI jfXVCnMh4JR/7dCSxrAXBkZu+VW+me2GceOdRfOfanTTd+zYpnNCgkf4A0SfNaANZeQlRj7h6LTQ GAh+iX5s6J4NksYwQfTjlqn8FIRLftZAdgVAEKM8wfhgOPAwZ0tHmFvUtFZx5oCd8y1qa4j0+GPY 2SaawgrmziqdIw+OW7p3bYfDcu524+TUTlcUQVxPKvANvLxoYDof0Tur7lXjxAxuGfaY+zmLxuRi 7w/79FmtAFSOocFb173jeoLobncZsAuOtJyNKfE67Oumf9nKglKMEEtfD/NiEphIGQRrI1n77+Od wwVLk4nPjNdwffJMynuIsCVlS9+zlHzmyQrWoT5cu4occQNbvHjI0QfYQoM8Socjc3KZVUedPdIx 0BuWLDS1TlChwfmJNQxW2Tf/caMz8w4GmAjBwjcsSsUTtFmbV+yCosE4A0Yjdo0Okwp2dveBn9FD eCoTePapNG5BGJwujriyI4ySRpTTpK/tScIcblgR0BPILzFU+zFvXZLidi8pOcaE4SXTMLRsI2fA pWZ9Qnt1njavo7GV6WE7bpxElqSU2p+aX8osHXEzTAn5xsyM6fTFGos90VB6jwDAZulerxkhLP6F s8tYDklNeLLbd6Un3ndaJNyC91YodVbT/EIYSTL5EwklwfquleExs46hwhaePOyhNGm3Pdu0+bwb 5oYBMDsCzIBfbuCIrCtun1xOtbcdY25I8DTYDRTTPV3nphVHh5G/JRouzfFZFpJyYPSXG7raQ5nQ BchLVxF8y+tUpyeZoDl08MZOn7weUhkd3YfgmZHs52IXSCRFBwRQ+tGo3L698KejpMG6IPhUOmzL QJWVwXOKeoSmgf5ud16Yoxby/QoLj/hsnJVC1ttFAlQ3V7YQJeYY9+vccx6lqPh2O1ohqHcWOWwz xBetXDeRjzntOCf0KdVedgUCfixf6NIIvsBXZ4iYSz1rzap5BwKmq27LR6tUd3piL7FDBLrgm46L aWjtRct07py+aLZ6bwN8mmK7dsPFKw37+gyZmVUe7kmCuCfeh5NuLEl4NU3dEBkGMllXpLWaIStF nrmNEG0w7A85EIEWn8m6hxLFWNM1Wo5zilpnrDDUJF/hpcvyebK4VTCVwUl2CutKFtI/kxXt1za2 dln5lgwS28irbHwd9MA3LMMEWv6OZEhzGibRLIUTvIVMyy9XPWs/JhWqSYFGXpM4XKrnjyXsoeGR Wy6TKY9VwlNHqMUQS2Uv/xfui6gasCnPhuDkzBOXICQnaBSOI0LK0R99AD84NAJvxqdM0xeiEjJU hx+OlWTImdyosnTtohBHi9nkVZ7fTq3+WTmuxHK6Zml3gr3J+9Iy3XUbt6N+khcJqSxCqmxXzYw8 bvDOfpJr2TcCSGxG0yGPyFuCmN/QaiRzREJSdz0YO2C/114phWOaiM8omafMUpnLn+4SQtfSeNiX AiU+gl1s/ijV0t8SMISv9DImKQw1y35M99kgIFgb5/hFKIgCaVbm3cbwl5BI0Sor0jv9mIjJcrzu jLwExur+aT+JuyCkBOlAWrT0uk+Dqi+1C1l6IEPbQ/mLwU8DFFUt+OcParvu3QaYPEcZ/75NPdq8 Gn6adr4eo27fzNiwWM4A7lxHj/L2q+biBzZnCHASrKaLWiIwKb4IGAhR50kzSznVPdx/toM0DIsW g/Zb39AcU/gx/2oZ3IozOVmIejg9X4dss6VUV7fQACXjpKM/fUstWtxnVSAXZ/Ek6eerJXa2UNrf yWfA0pebDHz1TcZheCgzNPJJrkAP3yhX2FujgYLGql03idA83bCihOWGkblGof+NCI5nUB39dk9O K+DJh/SIS8VSl9ncjanFULWxP8T1Hi98N+UN52MJcNBQ58RIJVPOGgtreKFbTpqcqLYQXg3jKglG kNheE5OgSsLkD2YTJbLWrwMdgN9zB7n+ByVILA2QFNWPGbqcd/iru8FJO0MVXclYlGfBZgGNdG5A RJkU3zyeJOA598MRKCiJuoE2BpNcbi8zaGT1SrD4pj5oOoTZcEUgQ8I/+QW2RJuRm7DNaOZWK4Ti 5jJEjQjSuUXle2afXuSsF92+onhduml2GBMHj54cqkrpOM9ZjN7HV/6vukXy7eoNugu2BYAg+RIq F1icdrMX3i0dNTjAeZ1M9O9BXIbs/gCYcoCNqO4U5k7znJ2ATeTZVSgkv0qUGJj0l/H9M6aW4IMt x97TH0AehkYrOhq/y6wdkYu56noJuRM4w7bPlcn8Y/EE1SAGjedMypNTpvH0i8duUdTn4dNZiXWr w29hq8T46IXsFJqYuFuuil4gR14DVR0nUlwXkMi9Vzr45S8UE6WO7HOJVHuFaC6Se7kVRX0w33Kd zksTu4A0S0aBKyyrbuQ7HUC5lrnoA1sAwelVsFmWvirztScAzIbarIPusvF5VM1rB88xdJFdIlxh HSa9CyEYBawaHLEFOPLewNSEOn0XRRjZcIm+DxEVZDQ9u7S7BxZfOxUd4T6Xd1t6zEhyfg/cvJC0 ZIQORzuu25SpHzZQUBOBP9TnqYsJAmCWyP0Li2mAK6oSd8PywDlS8o41a7lxM3ukHlBFliAfw3xR 4tkuLjLmyzEx488Ru7Tvdd5zN3DAFHGqeRV68VDY2H4T/PqAn9qJKRA+VB2O5xZXxcTs7938lf9l 7T/0IZGfA864DE09bNhqhydNaEMv6+te3nV82n+iAjffcKn+ZSoFDXxlnFn2Vlu5qt0cVUJ3o02c iF4nWZD/LUrespweyQejz1rtwrOSevlzUDcgFqcnLJrCd0TaBV3WBsQ6Gk1UBpDRngMq0ZfnWrFm epYNAdc5of1bW7yt6I0+JZiDv7Jx4vfL2hHCtMgOMN/KiISotOTEOn/qFX0k9Qczkf6mxPabnihu jPqKr8Q4RnQEAHySL+EhwTcNGqq3B9+xoQAZXaPYnoTPvDTEYYki4Q4VZlMPiywCOs/iwY7HLiRu ZiERbQQByxaNXd2C6QxoN9y7N4M+0A9jW88EhasSVXrkhuz2AbDgedHE3c3qr5imhQZlGPwNXtcS yIVxy//i/hjnxKbXwnJpVwEV8iEYNvBmbF1KMUIwqUGV7lTlmW6NqusnH5q4MwR0weyAgai+ltc+ T8Uh4TA27eIJFroqqWzoR+qTaaghqyskI8X0olkT11InKh1KY7nZN94OwDiGB+6Re5TBrNpfr+Gr P3O00jjYPkYUEXzO9nAWLmZpESktSqvSm8dCx/f0semMHvWOVH0TNfG3dMrM4Jl2NE7gQe9FB6zn OmS8pCe1cE54s+Ry2iJuxRX+Xf3I5850//on07efcdbD8eZpvQAkqvzWMutQWa75esDdm/NE0ETI Bfb5OArc+tYwAw7BbONM3UFcaL3KWA+wsIuoDbcRYIRhQ58450XihAHMjc/3uyia6VArtagGLq3y hLAvL37wjtQRk+C1uouNqfSnbJ9DRb5L7sIdxzj/EGpmI0TEq0l+rIAW3rXL827ISw8cTk6r/mzj Xxsvqm7Jt5h+B0az3zHdk1oxTuVA1jVfUwk0ll4rCsn4K3e5NXW7f/VspjO0sKbOXr8g8+DNBQMx 9Eo5O2FGE8u/XC+Eq39TZUDo+6+03mfYbmmkD9h2i/iSyAWdFlsrri62Mzgphcw7eb6lrQ2e6MMt BQL5M7z4eR0Qr50iXP5GdgRWubNeHNmUbh1oRSlcxWYDa5cqUJgqGAHhfL5Z0BFPRKXTbFgtjeD7 koazAf+BaRXGI22wDOG20xlKYO76SUtPg4e7l5r/Qhn3oZMmNDhFNvJojA1FBYJXztZzLcrH2+fb iL1kN6rH+r/BYFTGJ5vSbeNsI4e0lzH9vzGEKqGIK14OOX9+aIOXw+/bgq1NIr0R67MLYeiE2nfQ 2YT8ouKMmNWyfVWYj3tbNFY0swutTUMkfWFLYHx0WOCN2yjYC0NOtiuy3qyQ/apeiBMwsiDv4TSY AxlPKpkRwzgmvq2Ui7eGrjnlOKsMDzP9rMVNtm9TOTCoqXa6vajIrBuI9oZwcrpuSwClM35896dp yIFKceaAvtiI4W/goQEQ4OPBsUsuuPFLRy/l+iaHNz04JSDoMfO0qWLytMcJGYmPDNIFrYqLsgHF PUOuPbDub8fE/ZCzcHQijUMdnztJaXpOQfnWdULoMODNsJM7ptpA1iNjS0I3qgSbaVkUlofFxz4O RfNRtU5JJyb3pQg/EmvmsgpAggLI5t4AHO3Nv4tQ+x5lx6BtSGSYLMNodPOPzRVPCe3/80Rk2zxY ZYywV9TqBC1N6MLN3siT/c7B9uVpu10yujfO2LovHBbthU8FPj+JbccKkMlsfX7BwPl6hzeLjdpo e6AFP02fAHzMEv+do+vNzaYrG+xFOwCl5UqTE2Fy+GojMFK9ZesPTVcvoOjHdKZ1FMrLsHROrVlR rkHuEuaOd/KrJ+PAZoRLU0/zWPGZ48p0phQyDe8l1BjDKPDGyJIdgDrr6UP7S8d/EWQvZfrH2Gom JUmxOojhDKcoB1yZeCaDJJFyAbD3WmCLr58rv5QE2JzLllspXVmgOmtaeGmtlY4tMt5KCZz6wFum f2+WOwqp0pefJMfDtu1gnI5fCD4737WXF+DflaG7DCR+RPw5WKEyM+/aaoA1S2Hq3eQ+wUCY1Sxc Y0EOY+eSw6ysX/7IA0ogDMtXQp+KZEQsUdN1PXg/Rd+D5Y11p8BmxhqEIRpP0ZMJCAnOy2ewzIiC 3EaFJSmafHTXR+3arqIsTWaafwXVFOSmmN1k+ScFTHvWVmdGThK0ojksJ7TT0U8HZjp+Kv8U5pxx NmfjZXjG0sA39piqG9FG5GiX8LJ1IEmhEF4HcSwQPG2GkxY3Nr+oeGtHctpCDGNTtOEibcZjWwxu fs7x6fM+on8IU7V2dVvNFEE9BszcqizEAJMDsw/7MdHSNHpNy/Up8rxflEhLIy+UrJVE3ED3xq1B /xc+LR3OH6CMsR3RiS9cifqaXczXKQNa8ALL1dAHtSb6nr91cpnEQerh7yu9mZ91ExgwxmENGubf 69OEdsQdE1isXjvKshjOiWIyYUTMN28vFkWMnw1j5VA5r+CTt/rnQ7C98KQEJ4cYhG9yZKHsRCPF igU3+KmEYnT+a7tzts4lvIrkQiGMCGjREMT/G+7idhq+uHg24isMMdz/4UZiJ0IphfKLoYuztlRP eADNgVocRGRSmIe527Q9QylJfWQF2ltfcCRJAAAOW3f4FVTpz1zAxMxGsfJaEb9wTTEuzWkiEz9Y wzriOnWzZ37j+6yzlDfQrTmWrYH+/sXAlx9m+abAT0Du5QPZcpWoHGhLObtimjfQZPy7EIK+qxri AePtfv3iIOpyT+s03Xu1zbd6UW4AQiWR2i4IkcKJmwPYxk3H49tZ16v9KgCVKv7W397AVzGQXka2 Al7Oo5s/TMsXKCGh3YSc3kORcEnNeZ5i/hadwp8gGYWY/fP3pctj4uzSySjeXWtLD1nd/u2dy9mU kyQE8h9RrLFnhL+zRDcjae0WxTPUNZY8WHDM4rNTXt95DASW9h2PJahlztCw/VKRjJF9mdyZj3tS ed++SjFmLNLWvRye6IIojMPpPGLLAoCpGz3r2gkA3vaD91sa26B1rlnLGCE+i0FLnwbIns4qNkqU JIAETs24f5q1p8MO9lJe5ALT8UUeleo9iizfFLIKHjDbBDp5IobZbMbHVgludhG+/jlP6DenFOa/ jSrvUqGNJdkNNmR7gYfpqQZPVlefECztRzaKk94qsHCyqw28s18T9y6e3NII8jIazfOAmMsMJNST 77cRPnI7FKEQcib+N5bfDkpxsVhD6WJi4quITx+vCjNwcksucki+jIVG0bxaFmi7B8Rl9ekNaTCq ckLMJG7dA0OMH3m6Fy+JO2bEA4EdPJ1qkWVGEnOy5rKRyKbu5rWrL9STWVNV73CRGBAC2Ahz4CWV fGgcFKxWdebMBPHREwA/g0IwsE6Jm9qvehl+14Z73+6E7e1RJ1V7tr1IpbnaagwhZmjQ+KCs1bIS mrcxPtLTNzlNaMEhteDgwS5dapi7EOV6xg5i7SL3FUx61+BJ3y7H8OgzDLB3sBEgnrbKknH0kNR9 I7HQA/3IEJvS3KoEr+gx/QUFAhwkMiyBBDo7lUuplY5WSJuQfRqAmkaN3mpNand9dnva23gTmEMC PhWlSo+UdoxrjCIe8lzdiXb92oe+3FcfthIDiZRyLoXmQR4jTYdNAZaD8bvjpO/yIxfM3t/mVHg7 K/XCafBW54LuFzxLqTtoPeAgAPJw8+Esa0bkn4gmjqsf2PE9fTSfdSUY3wR6flIRxChxCQKlt4a3 ykLWm+6TeQhP8S13x6NoOxhQiJ/U/vALSKL4ab8V32nkQSz0/T21ToHr32yP0PoSHzatOwaN8Q2P mKnuzRZXOCZsm+fmlLe1FLa5SLxuKkUUGqQgmvd6217K8kLDfvlLlZdrBngQdKA0Xgwlf3SSB85s l/sb103JwbY4pruQCAPJtWsS2x0uFF5oWjQBPQ+BogsmpV1veKmDWWjgjnEqi53eOE40VX4GGoa5 MJJRNGF6XJuDito7OaLsL5hhAKhJzmug6/IF/9g3uTraU7HqKaXuufZA3UKS02PBiBdwpUAsD8QT Vz13dXyXQP2EY1qlw3B6hSGOdxGioreGRkCfN0CUvFGNFmpzfh3khT4w8SuVM1bWR3biMzy7GRIC cdJChiXUt30q8NJXKTobK92lDYu0LKtVdi1yPr0ZKHTXcdOreqvpU8z5GdRME1/nGse6ZJYSojOs cqbPbsV/KanoH2P5uooCdIPLS3rFEvx88kvT9xVDyBFDhlbJYQnKbVsgSRfYpRn8Xll5w4PtM9iw 33OIZ07fZqPYrP6DFkdxs/91juTQg1D5+K9jAUL7NkNcjulH3Lkeq/NpeDJrG53axsoyHWl+j3ma pUevmk2vaXnuBVrrD7Be1STpwro0cY8+ymZgiaZeHvNPJrLcy99IL46Rg+qC8G/lVuxoKpdcFnVJ 15ItXkWwMiYfm9/311vighYfMbsVQVPVfNQMKMrwPjSnYV7Izhv+0wQKub4decmRVtC7z4x1Ph/t SyQJejiuScdvqtoC44CUUxp6+F4v9fGbPvEe3J1FmUblZRmcTxGONxX+u4ek7d5G+vgupBmhprsc 7YWyNl2z1RPu0Cnwi5O0ajzaUUBtjCKNaLuTJKSdFsTxSrAptYa05+HPqFAomL+OE8uSVfDAaCtd MAK5PGqcdUzc7JPM1NkTUYrhfSn/R9w75pZenx6Glk/YDX/3/sF2gU/8tr5xgbvS7Jw3zjn6bRgr Ts8KqxKKxWOh5T7dD1PSBQ+yxbT2e1ixeoASwZ6G01kxEC2J9DDgpaStFC1ogv6wuZ/KWONy1CMr qCxBYd+QtcGTHocIjR+fWSbD5HHRNQGSMBSQ8JRnH8moFsADAwhUvC3OVucmZGLugEiPii/8ks/H m3t+Cy9TNFBdZg1AdRCG6yRQb0RzW7qwG3PHfN62EImynXunC77tDPGdmAJ5o/85bXs4BrMTxX3p Lst9NowGugeIdU15kbD4FN9m6ZImeFuG4rg3D+HnTtIwfJNWaOuEuDxI4grPB/BDoGAAl7XRIeDw ZGOm3rBPwZxv+WfAtcP8x7Jxh7Jg/iXBLcMBgpboJtfnzVGscXY2ghcD6ONLYrID++N+TsSuw+aE abZQYkQHtl19bwfImozQINGTcgwkJywIiK8txOxpbjKe7PDpuWZRFHXuFTv77MXp9bYv6luyAyCG R7RbeipCi0LYvzOaDlgX2iWyBgZwOepZ9xJJPIXhuQ64mjvkUyzBUINdWHcPqG9xR64XhP13Za+Q ePSdFPGfXRONfhQuQHwFYfOAZGTz7qEBan6L1kN/x98rtlMXNRE9VsYSgCK1BzC1Vn2WepOsbVhr c4R1Ans5ProYaHjFlT7ji1wB2ikDir+Ewqf7JUbW41DbHwDnEJu4pXql9BxVbBusdlIUNww0BpOK TQ4bVPnzt7xiqHj1hgYxg9UuNZeBcKZiAzw5mOSXJdQ0xrOTTxsz3tMrT8H13noEsai52i9lN4jW UTeWT+i6sy8xqLiyhnejWWljqOv78f1LYPqJIfCdyrvkceHZI2Tx1naRg+Yk4GNtmB40jMxykXAd K6yTQ0VhkMb+geYQw2DtlpzY+C2c8HXVuTn1LONFaNHCNlz1/wKPGbA/eDSdsizZmMPPnVqm2VUD jU6nJq798hMc5j220e7spJuCtdBuMjW/zZWpEsmJ7gu+EoeRAR2o+jfqcohCvP/bH68BC/Vj4D2d mm0C37pEa546yy3XYJ7eWE7NXqSxxNc6IMtwYmI/q3yn+4M6nkPA7pM+s1jHCKDj485jVg1perRT sX4sfxmx0Poawnsd7tQ6Z30ialNivPVUmd/+AmZqOMPSAYCicqRQ2WChfYC6tpGyvxnspd9QtLCw 4pYPYmYaYvdDe0fHUIbYE3u+alZ67Qd77q1M2D8mg+Fc0LzCcN2LeqS+QgmhQo3zbHapODSv8lm7 X5dPDjWMUqC1kR3+LSojaJafiE810PxzJ/F3mK+ibF3ZE44d0hlV0WKEQXNwgOTxix4vJArk8p+Y WdeNJceJUminsNgiqLaFvLAw6rOYRpeBBV2rGAChXeGt14v+4RogFAz46OQo0ksGWm8viDLYKfi5 1pkNOig5CDoTUCWEyG4jcy99LIvDjZ+f9DeD10bg1waza3yjiV9rbG5poM+Qgq18FzhqVQE98tNR PcphNUre5UrGL/B7Vu339M2V1p2+5JlMsKBDWT2WeJDzb0ldj62C02levsCXsN8yAEQK+y/XeLqN ASqpUr4Zx/wx9dQWhDdYOzJGjVu411F70esHXltxR/HK19KgHLCYztH/1qOELQ6/Q7c3L65BZ7Xu SBUvFHY7i+WqfAlYjauiBBDlzJ44nlM84MDVPTAPfB4nEKF3pXHZWlKUr1iuSu2uBMI5poZ7eJee ZPHWYCcIVvU9Z3b57BlY9eBMtYwhw7f+/aJiRbNq5bicyxPOAk37RQBDy/ZPNONBXrWZ/sceZweW rhadwZWgpJniSVKrd6rZWlkQz3PUdrL8e0JgrYP4i8mjx/4leNJblO46Tk7P1uQ2pNqH58QSK1UH lJU++VT2ch2aLY2cMaypjiPwc2OwfkpFJ2r8eKXqvKeIef6oTN5H/MKroIDL2hxHTq6BzSrR2hOP yMU0HWP3WcjTrsmX9whc+WwB32684fmJ0tEU1Ww8QaPZQhil68OlFjSv3f1HdDxu4dAQ8VBHGSIr PUB46lCL5QiL5a+ip68ezjfvIAfEJGbhFYk/kS4+monuy+LczyzsvVUC7kaB8aAS8yQqstvEcGQp 6OdmwMP0juqDyje6/foDftrHzHhZakredctLXRQItKzunq5B6U6aZKrc43+dMHAQ8j9w4pLKN1J5 NGj+SM+dzTyc8QuH8czKGIRQqfAt+ir2tWxIgBl+RnPBG67YEjxzVF1UVl6/h2TPvc1TO27ZWfqY u3eiIDp4THSmJNjVwkPv/BSLrdEqards04jHlILbzJQh1s8xDp3GpYF7itakwEi6H0lHRgkRl7di WDxfsbxd2nEYGa+WdARpWUtYaBYJ2NUb6zI/l0MYRaujlaqyY+NBj4xDqkSszFTjAF1Xg2iHPju8 T8PhFuAsni6SQJWM4qVupv0rdC1Slpv/taGWJdknB9CCzA/wVCMSF/ryM7iIRVwdmPYyeaxV4LKm zACt3AG1tXW+1rRQ94S20Pz4wZkq81Kt6EOcBkeUMhdkjC2I4sqDfm8EIh8dTNtsYKYyGXIwkobU vErVzbALmLX6k2N6kVRMslP8762cd3glfJ0lH0g0isQTQ7SiIQU5n8YA5AawXGL2H6WPFfM4B/9/ JIo56LDs8kSOHtH1PJ5zlVsBAmV052McbsMnUyAzgKX8PWwuNJ/egGUqJJI7c5szSQZ/RDMkM+hb j3XqyOscFgcoUdrtXNLF74ZGYikFC2dE6Bv5rDKewr/TrBTuQGmPyNCwyfwy4lvFMpe1+W5A25lZ +AFsxwhxGbXQ+fNGsdkhvLa93LVlc7q5Sxfkp5RJsuQ5AmHiSfYnRRsRP3UN1Fz5Er3jxwfSUkPN 1jf9pC8A04+cS0Kexp/XSmkO3U8OqUvoD/DQyf9QqIrw/Qte5JoJlKqkHmhjLYQor8IvAYOBbBZp meKZTsdQH2foNwn/lwYe7sGUdFW2XdLd6D2kQ409dVRMRTl4N4XBZ9Fzn9kYpWs3BjPqTUHHBxMz Xur9NyRAVeefy4u5ATTxvdptc6fc3jt632Depq+1k/mR9kn2Ov6DDEjk57+6KfB37YeI3JlqBFLv rOv47CswxHui0qdjOSLD43eTz05z7UeA1CIoNFbcxi1mhD9lU2aY9BQhnXWhIpU8/OFxzY0IEvvo zz0rEA4zVPQIDoEXZzIoVjRNuAZlSiMxBHdRN+WXWEsXynG89ieaRAUFRFtSQMpQAEHQYc169MEz BfVPmLSIM4ICbMpWZtNOKHal8nVdZ8XkEU9oOznivZaJqoXuaCy0X0nkc1Qp6cI9CRjFML1gL5g7 YE4+QSdoigACciq/7GqktegI+BQI/Ei3M58PFewrN4WDVo4efSo9Vi2QhDNKkr2o0xmNxAGmF9gf HwyL5gqePITi2SPgWluEso1mCihdnIyEOybU6Ar1L34qr0rW1UmS23UF7MjzyRGi4bkW74ze7Qd5 Zt7TPCQyJ7u3QolypHHB73J2VqM6FX9nfc35NO815S6EhkwJTuX2fWR5yKWiRYvQNMf19JF/cY6c yrFGGdDKXxHvO59Nh6YpQyRS+m/yts9zcwxvIA05GGmjYSJ8T8/h+ytBgytkOzS6SgqdQ0GvNSWH dX81O0RP+rh9hXevJ0qtbkmPp9U2l9Nd3IwsJKmjCXhs1UXGPk05m3I/XXNqyxq7bT/NuFujr7Eq g/O8w8tOeI86jy1GCnGTr+x790zuT/WWv8Pv6J/IUtBFVII/9E0xNw6iLUEQgMRJ60vRk5/DiVVG jHJgK/4lMa3+dizphMJO3HcFRFA59N3iWzqidpeeEu6GMHiAhtpTeZhBrFSYcFxVvEN9/EbaLy+x GdRZgdOa4nMyBZ9hIxXAYQwyPIy+TzQ3ODkJL269UQrAH6IsNhi8iTmyZcyl0yV6bReaWeqHxHMr 5LacU6bcfE0ik2mdMOhzKO9IujgDWwH2Us0EwyYihzGZSgCuvioyrb6kwACyasJgGrLp8NhxEegU XlkaEBelDIhxUvoolGm7l4f4qHCHYyJZdvxLoTuav/Z+lume4EEdjGOD0yFoJFZd0RZWS/WkaMtI tCjiwZQISu3xJCQ9NWu7LMMOAJ4PcKwf222STfPbCyaoZ7y/qHXSmQPqte/VE6mv0fAiFURft41+ e6lvRiXH4OPuQ2SHzxqu+ajEhXZSNETRMe8yyIEDNOTVsNmLlPvIt5CXNr2Zt2E4fQTHfAJxY5F6 EJP3M5OGSpq6/dSd9c7i7BlzYZjc5GrDhuzj9BqQ+JiAr36RmObU24DSmCxhuzGU71XhjVNLBFjw s50MqiC7Y4J2MizJVc5Z2C0wCNtXxtb3Mv9BteGxt7OTBOYJEOrHU4uXtNXYxD4fHsbubz+PYg+4 hOSaoLN1PTVRJx7D43nkHNeD8lfcoUBl1CCzPTOk98l4jzueCXQ0w1TL/w5md1GYTZQkMmOME6AK rP98/v4PE7jbbVdkeLJPi9WiBVQlzLAPxpbeN150uiZE2wF3/xZAiYZ0K4swJaS0ny9k1pjShvQF MDd9WtN9sFYbxGMSjfQJxNgaP9LyVMTr/UkF0O3JRMjJV4sbmdx3NvPIW7YF68CWRQh7ksgjD79p rZz0stfqtkIvKep+lJS3F3DMeJumsPiu6m/0GMWwIM4sCMi4GlAbuaaazxW+r3ZIBNSSn+Of+BPs JMDJrzobK/1hzbf13brWt6Yrl5iNuOPdClLVjdW5Ls8b6Sbuyv97Eq8Yqtcmk3spExCf/TKSCfro swPRxvw6eNjCWhKsmQnfmZGVIm444ObMyXyT8sBIH1fdOGFBR66pAr7D7PVPeDEtfM86plZXljSt 5IxUBYFaTZTArShOS4RoEQNaRr7c6jj1l49KnIdhHl8e2WmKjDRyHJyFD73mzC7pveU06ttL3cgn TA+CIEZ+Rx0bxy5cmvGn/ljP2NBy1X3MKzX+IMauV4q5EVm6pEORb/UoQNxpYW/jG0YJIyJhth/6 +odHdQ/Q4lB/4iwbYE6cjwJ//WW7dDOt8uiUWUeoQ36NRa44oEZW8ehxgAHqgK51OA+MNBF7y4gv egU7OvC+MXSkF0u3MoZudfTQf/baQ/fYOj85X0qSnVtnK0uyuBv+89jCZAyOwQH9qVhtEnOX1BnC 2FIJ8ARNPGD9+RrsBWOnv8u9cy7R4AZryJOlZhdmZ28ZKF3oJKb9nC9NuiV/sUOMCcUxm6WivS/w gkBeokV2D+ce8nzH9Qz0tAPVH6asC4I0+99hPleFEgrvdzTjvrBuhcs5Gl4iKd/mRr78GGJiu5Nw hbPdHoHVZeavHez56NdvqmNvbtwj9LQDqteCV5tctYLLr5RPd8CRGyq88nBBwuuAoEJ145iTZWOF fI67wyhMP7IrC3mlrsFPzBlUutlzcoNxZDxYOPPwit4zax30kw6+WYIjRgl5S86yLc6o9i1KiO6P 6ALdJjMfs+FLDjR68MlifM4VguXzXIqufHjQRSQUOiy4L0wYj6LJzRnzCWS4LjeHlO8LNBMhMbDR oqDzSLBym2YsmjxZYpnhISIPbhYEQsKQEDQ22l04N1tRiNGJM9hdEOw4odTdGU7w8oWSBWUjlr7y sTScEaKg5ISpI6XlIRtIa15Upa+gbBUk+cJc6QnIc6PwudyrwCct1/LRKOXWlUGY/RnF86PutAv3 LNsMRrzMLY1lGr7fgFillUwYGuMQD5uF0pC3kAGM0/gCa49sTZUcOGgJFUE5EnI1k1QlBLw5x1oZ id7qCLAmFHfeke3D18gHBErFyYroNlgUjyT7M/7tHgmh/FiiXbZ6Zf/nSQQ6Cd1elBwnc4nR0r7q J2Sj7UfoMMe5CK4IOuPC5fga3Fd1i0fKnD6CafAse0efx4S8m4k3q9/UhCtiC66BQIgKgaIa39e2 TorDgkY9mO6o0BL438RZtAodBzaeUCVuRZxwB6lwYc42IqgwQZgdJC+6mr/TgPBWur1nsR5Fafj6 nTntTHZ1I4+bDaqEssLEDSaWD4KsouLmq5xsAiV0jzn7l+JNFccBJB21dY6lQ6XRW6U/dMVcZtdM RNORBSm7uCF/tmcDxod3ohJf7uAq0ZhobdlCOPOCivx9+How+vhPpIsgecEHAxwCGQE2xcu8y857 0g/KxsN8WVFtSiEOFyG7NLeJBboSYClaQdlpPCKOcL20tabg1zqx57MvhmRcUzzpc33OAOaeGveZ MJAv00N0yfQUTtAOlDaE4Wr+jhZe2Q3+I3MTnuLZerGh7T/EBlxl7zqvzVLufZzLQ1OBYbZ7133a tEaqtPWWwVnh3waBv6Q0n78IaHdfSnKaI9eEbmvgiqYj2XB8rDHvSCnY+Q0oN5Z1zSsFOTpxfwf/ mkaHboMJMzyy5z1XwSTUiP/52RZmXJEy8855M6bOIVz2oFmZyotd9anC23zc59GPjZljYSM3BAmH GyQBzlqUphUWRD3x8SACkkcgsCVbJVxLSAzVFf9FuXeDYU7HsPfTte4ZKHytskLilZIyjIQzmBmT xrycqvrgssRyOlhY97lWrvjTW6JihjWQ0g5XhA4CNus/2kNNX5O7D5Ve9Kyj12oQ65vkHyfJIHqS qfq+MJbTrheWfJ0i18nzwY/oCBWIDNCpyCH639p/Nzy8JKUsBwdXM4BQ6+qXnvkVZnkxrX2/LzA+ 6csdMRKyI3Lf71zvUCpOo0dOeGyxOKZyK6qUaAkegiHqsF9qhvHCFsmGMv57Dty8pOaL/99Nlc7l zhQR0GgjhcacpCAv7hJjcvleEmXPKZrf998hn78FrjNSpBaQAUgjFKmCkKsTec+rztEJ/8HjWxbQ Tbwwi63JvA2fqIZWkbLFLNoFlxOqOJ+SJqmjPbHiIApssg8PyCY+VbE9LDBTHYbi7LO/TOpAbAuj fjS5xhY4FJb2vGui2uYFUomnAJjUKvpky3kKUgI+VOWEnzzo7uY4SdvIBbS3eIyqOrZgrF9P6VXI +qsrTQxod9LyYXVvA/vt/ALyhKmt6ZMtiBK6Z2SXkAF5ioIb/GNfLZ5NZN7ACkEsTFdYqz/yRnBd M+9lTm6GZXu/1T9QgiZaTTyTBL0x/JD6FAOBtrAStBsGxB9KrfkpcMFIQSlyI9XjWZPV/PyxjA7l oT1cTFLMxdySbTHyQxg8OEbytuQuR7qCux+/ABw0bwGX0Kvyw8w+tTwONCQehOHcaO7Naeo3JhpS meFEdzfhL44vsn1/JMY42PWYV0KbHUBE5aZzt/v22k5c5mlEeWv+XspY4MFJRSYflUOK1D6JQ4dn tg7v8Dfdj+RqUplu4gwjsDtm71yxHSptAo2q8uT5JJX0jYsZ1qF7ktDwNrMiUXkkX5Amt4Q2a9Qn gBzfjX1gOwlFZVi1i/VVh/xL5I2T7g/1Gf49p+BPQuM/7VKUxa6+eavs4AmmVjvz8Xjxn80+F1sc DBzss5i8bsXl6d+zh/hnICyBxilwxfqQdYTb0+IL/L61QlS2NUzHebnJf1kJliZ9cN8ihNrCY37l EpW/6Yr6a0ERqzoSQEWlOissUii3cvcFTadW2qm+pDBPdhFbPEqyVfQq9ZUrlM2P1K4dL0VVmGm1 364YvP5qxYdg1Wnsy4QgyRbx2mZ40hyOPwoOo5d0VXbsEarYtdbUkHm4SFag3IMNeCmHXdOfYPL2 GeYCcKX1fBpowAlgfHl1Cm7H+V3UoWHDwFc9KHQbcQgRGNECpDbs5giJycoAQJHcQmXtZUk4XVt1 sfmDYJzSXWqJZjvm3zjqFPOyiOErMCVJUMR5ez8ZRauwUsQ465Z/sz3fw6TQ6eDybS8oxA1io+H7 kxK9hAWRx07UeRrLuLRCke+MENP+DLYW+o7hNfnEuBUtOq0iERrOh3xNLokBc0Dy1ubldTVG/wqp /z/TsqxvrDZwgfw737omK+HTD3/cApZ/dX8AQW9S8ySYoEMG2ZwriCpAKPKuNdpyxyPm019SFSg8 HOsuih1qSful8SPPoAgCn7DQsvKHUT+0jMPboVjE9kv5I7PguJ0TnZz5ekZsC/iCw2Z9scgOp8Uq 4soQjRwbmZF+5UPdLGAoAWXnQWbA3G8wuH+CFDFEMa2Zg3Vubof97ZIY9ZZ1OoDPq29YTozmlqnj lkjm5vmgxKM9mdBxVL/GmBxu+Rtb9gOyKAcZTTXx9j9L2Gaq2vUhGTZVl5fSpdKzO3jKFivkhs9d XhB28Ptu5WnAIaJb61VA7Z2mT6eZe/gJ8K36307X0501EKAvDepvBDoX1vml8Kk23m3e0AfL8NwV lDZixHuBh7mkrh11kfy2Rhkxd6iaHRo+rUvi4pfRH2tuEvK3oHxHIZospytK8FJVuO4mSN+ijgWM 12SZkYVlT/wokhFlQ35IHDsGeIALqOzJlpCk0042k29UAcgjrVy+5MTR6VNBGeS1/9513bolK5y5 w9q/uH3/gJ/foXsy4XimTWsIDMgLlZWJWL3tWMAdHJXI39S8ZJmHwNC2oeEtdq0Epzo5aujksWFY LUi/2BB2oLBfRcspDcBQ9uVD0e2lPeOd6Bl2DVikkye2DYxNddgXkLxSqkpemFg9jWgVXlcltBOx cD01TrVihnANpANXfmUJPlS+sjGbMAjxOZw1Jws8g9yWU6D/qc0bqmqlZrF68VndKgaAO3pol6mj 5urF98V4oWOPxVufVtQGh4CHa/zpplaEmQ+qTLla9BCjge59nOny5pAhcFjVcOAgXvW6dWBphTFp qZboRqSRQFBaY9mM0CSGHW7/kx0Dq03S3NM/rGJdeAXgrPbaFeVjbVGj/sFRH417oP82ctiIO4ij dcH0zIZswAGGe9DGTKuwcqNaVP+EjFQ3CWhRqNLMbHBVmAM4skP8En/hOyltJ9iQoTnBIHQvb4/6 5vAQpJYprOr0rApAZsKREMiS1/sNkeRJKl75e0fAjmxauQQfEIU9o/6frHySuKYvU/Rrm4cj/ptd Yo34chPSDpJlm0DYX2D9Aq8edkMxrTjZgoaKay9ko+fmJGUXirtyHnGOWIxuWLSnPknXSnlj4AIm K3vXcsaQyn+Bq/rxdE6Dm1DDLfuh6O9+LDY30uZNVyfJJ4MzbAckD/DMRd+sa9KY5LI0besAdnqm rHowzxyHsb+dQ220oy7IMQHpE+ein2VGAmuRjLGrN68i2nz3ZDyui45wsRFLKPs4sGH8DFLBOsOz AuzbWqncYN1+LgU3QYJFqGx2AuI/FyrBdI2XSa4cDSDiynQ2t/gAxhh6wbpUsUV2+6LlM/QjextZ lv5tJbQMsWb+j/qdAznyl885yOlaZWlObFLgkxekQIcko37PIz7Y6bX2bHbtf2SZHUkRoUkuT5ES QBaoTA3rbkuX51NsvBiVw65RWX/A0Zf8TcbDcvsYneC68R0CB+oB5qIjXvCA+L6eLhQI5qjDVjkj eabsQD27Ff+u66YOR102yPeCN+vx0qUPd0sL8sXRGnVH1EhNBGlsTSscC52qfggEai7fScHHOinM QQgICNyoH6q0cedT68qKd8O+b42D4Qbtj2t7udNWMKCcLISJM2aQohIKYokjpfxn5j5YtqetOSwh SZywScJSYX1aUkN+lFCTshnc5oP6/AJW1NjXR84nwUxFOOXbuISLHg79CanBtUEaakdAoWkcE7sC NGbTp9F86W+/gJcz8qfs4QIgmbWpN3Q/elDd3camEs48fuNL/L3D2/oaY3X031Ll7jNyUh0UYeNr 7/xb1pck4gcVSQTe6UjCc7bDLm140bhqr9qAeOavBNewXcHA9g88eolCMtEa1pDsUcqzrm2blVvr tgm9Ro9h8UhxgqM2A/5jEVrpYYWETzwVp5CSXQ1rW2w/EmmvNUmi0huT0EOngiuQRWetpwBIDlQp rKDZemHT1HUJY51TQ1v2Qnq4pM2NjGAGXq+zImSH+UhzQ4VEiMAAc67BEHAA2W/Iyebl9NLHBB64 prX1AiFni42c6eDcJiIhjSEhxFLd70FfS2z8vCtyJNcdq5vECBoMqCvV3877EAA5XLGiPjL0DZeG 8wG4HtyLc+jld9I7QIGNbrx+DVUEdn4yEOyhi9WL5f77fROsFfLMc+/azK46//kPMIyzvD1yCPqV w3oFa5pBvjaRSw69UobfyKIQUv36ReBzKmorpNkFJERALtrsayeYqsry72Z7hfrByXZ5tEVyCEz+ uxKYTJQC+H+oa1Wbbb5aMavzIDfSJTzUnm3PtZ5vQwbisOeKiC1nzgpB0NBw682z+o1gInp5baR/ pOzZ/ELvJ375A9aYJSiW1Fi4j/JsuZmb/uWHSFRFEPBWfOC63s6ExuTW/2LexCMcASi9+g6WNDXM yJKzPY/Yfk0xT0sRhO2OuXEHB1ffrc0xdgqloldtPzJMWReaYx8FN7fRd6CMIvlc9lIpvmCvfVWI EbBmWvWYdZBuExI5WhKD2Ou91WiloGOKF2n8N9izJTk7dAB6/+pyAZKk1v/9FXUWqLBTrmy7gGTT aWUV8zPoItY/ybZNTvX2n8sB/hZzL64P8SgjalzP5VZgZ7ptDg+6iUPi24AlXWJdToO8OCBrazgv Jndy1pJZRnkKQqmycUzkvFqt2ZcNmplcGfTt5jezZ8SvR+xNDU1+UiaXB6z9d/LJOAd1mhsd/B01 2SdIY3/R4LlHA+/7wU6zyPhmY3PhUmZBMftTkU45rEUgl/YI3YyDi1AhQW09SG9QKflRkYyz+gLc iM0ggDyvtIvtVDL+6vU8eC151EttwQKppNINXutf3ui5IEGuMS1ToM3LloSMrGKbdpRSqgjKV/wt ageZcYHBi09TVi/Kcnha4BAEKxuoZU/0pAdvjEG/tdL8T43SzfHJkViXP4dQv0bpr6RpQ4dE+vl6 LSuPuJw+gUgPmq+lNy/BbUJC2nJt/WIKIyfMXqJeBIffHQJnYm0G4MBcH4vpXvTVTBZr4jNTxTZj YWb2WcvRVdIft8QZb/43pBLfQ+aFT1AcXXstDKgKgZUHntG7RvdJStqEZaC7NzIIXhof3fH3Gwyr J/wdjI3BiNoHYHCO0bREWew2aC+/FNmocNLejTIbZ4BYO+99eWoBDFIx88ZgYBDQsRLW5HlHsDEX 6vruCRtMTrjf6m6/gVnSVIJhTKvtCqht2mvSOJlhsW4vMqiYfeUA0tQTCbtc5UovdOFFfx/xY5U6 tAzzq9OK/rgh9UQZJZ8MEW/SGdv7BQdWx9ee6rODEay7yEmANKtRoH8dd5eiexgCOkkS7JtExUQk iCf++XBDSCYaT/rHkpuWgSAQYg7X0U//rzSjvBJ9Lx4b1cE6gmLYdxko2LKZvSizYgXhIgoTJ3wK IaV4Hh53MrGLcirD49wQyVD+GiREngP/ep/hWB0LtXE59TUvBXlPFnZeXPrTZsal4lc3lLoMIpsq 7k89Ae1LOowmbsaCrgXh71IuHrTBb+evN9kWXFBW5/wn1JgWyJCIGsepynyzIGMeEmkp0q2tpac+ gWafaQ6KbKWFzfOJjaPSgEcDUjUCHeDiFQopP8kdm4Wg9+DdX3M7xuen6CJQ//6v9nj1vaQjWHXI ehVRJLw6KIh+IYz6yMEoVpLofFqlBQaO+MMJaWvOFCbCf92qhdHTezWBuBuciEk+VGARCSJCKA8o XljmLUT0svBlGWlTKuwZQGgEOLcPT+/djHJiSKJZUWXgYYsGWXTMPnwprkKfe7AUQs/1gmHtN6+U +Qz/Kpnxa2WMhjL/h6W2VcapyknwOUedcND3RN07osgcc4O2cNXA44ezOPjaoIcUOjzEzkV2yUIK C99+LL6SQpRJg03zP5LLDfwyEaRE+HH1tUmwClXzP7lXutaOyrBoILbxaYj6IGL6g9a4ze8rmaC/ SIuXhhlPd33WlQ3h4xlnXdZOnWLU/WBrg+EwZT9AfAe5NIOz/Jr7dI/EMXQQQlqQfJ8p1iahMEfN 8dBs2qD1zlLi87YQOLORdEKYi2cJqCsdrDpd7577FML+2zd/5iMCcmInIJLky6IyQTuuzje7qytH Pr0UJg0jympvOGUmpNGpoRS4hD3qHvofLbe7CJztqA1LvwceV6+CV0kgurqcOVuSPIl0Vtj+lJwX AZJYYNXxyNBo35evrzJR1LCy+dGLVOEdIdSh+BTl9Sp52pZXt8ITSdOyNksvfYo8aLPzBOUGHQDT Kil8O53370ip9EzMTSLAsMC20GqB3/dUqVQvKUGFMWOB0CO0aWJGanytft2mQh8iVgNnn9aHvdQX FQvDhxrLdK3SbEPlGXTi4aLp43xRmpgMyuRhLs7Gwflh8CUN71B36JOnNgHbvvhmqI+1KUqpYNWU wNLLRLKh2FtLiLhqr0yYqt9YoMqY+cWnofJcve+0zaDW0QmjUlzeSMPFMW6bPclnj7PAbwfFR/h9 w1fbHoZZ1oYhTXh28Jgy0sb0Ej1jp3brPs7FFoCwoRmfFaeqgXxImKdkzD5GHrhthLnisOl0dxJp /Rjg36HhlLTYmwNW9WsrH8cv776PtSvdHNx4J5PJ9anmDGsGL6xCCE8fQVJ2ul1r0O3rvfK5m+ra miD4/nF8ELiABLFfFQ8Thmr1FRB7cXit+sETRu+/GWIBy3GKu77nxjo5URYH7L418BaC+aM1/ZiA 3FuaXY2PNPHKtj4OCc59Uybi3rcSaLuR7O6VkozRTvk7F/H27exMluOS5Qjxp0+3ZOxwZ2XWA/Gj DW+eW4TL8fEfR9P1AAR1SjTeLUMq+EELSwyzX7W9woDGEzMetdQfR0chD3MrLFq5jSVD7H5V/k0V vxD6k5GYhEsgPkXugq+hm4OewFHochNrw4ZA8BN0rycs/KS7EivcvJ6EEHxD0f/iP5aoAxAhU4lY Tihj7YlsVDIGb70JLkloDz7xBfSquoH4pjeE875m2lXs8NQ95KJPDQfpGAF6GTk/i1SqkZByY2HT dncbUaa1tVJHI93naF0i+LcLlyMsvbEInXyWBYR9FWGTUe2MavqQB+Ekn9QVov+x1eDOaLLxpWp6 RTu8cH6jqTNAzejmYsHZeNMXMKSchBVs9IqhETa3DC2FUfSUq2kW1PQIYj8IZKaKGPw5ub9AYYub scTAQGr2dJ4g32Eg7qGCDxB5l2sbs52hJvyQf1pacT13BsFYvIABqiyfCkGQfmO5LZZ8hskhiL7U s959yoFQNw2MCn51jYgeoXmoDFjGFI88Wnse9gF9PtIcKcOO363y/gjgDE0ztkH/xNQi3+G8nhV7 A1avGodq0k85N8hGQOCaouTQHuOGNZyKLdaZPFTtAErgCqsqS0rifWQL8E4K+8+KvB53oTjjSluw 7v/J1V1f+ZMEl6wPuWAHHO5bCrdPGaGcZwUGybu5kOBS/3es744qWlTUvH2PIRzskRBv62xEVjmB 6TTJrjqe0nBIbp8Px+nEPGALG6o5W9JvmWwlbNNprMBYMXWgg8GTFcXE74p9+xC4vipYgjJMCoPN YrfA2roG470ImM6mNJ6elTdDF3foOAOGJzir5BIu9dQ91Q3KjquYJDZUl2HDBGiBkv2RFvKGR2bu 8oiKRJup9uKx80V/4naUkS5rpl9nrr4qc/UU7H1TAHyiotAoV62cZ3bR57zOQ8c+nSC2LyHGMMgv tTKrGaZkfTfNodl6mUAQm5Pg6gRyxWriCKwwFxwUpJR739IqpwwzgBO6EcXMp7fyEn6P2NrcJy16 XhJlvFJoc8jhDIHeoowF2/M5HcqZttGO2VfIjJXmF8iDjIhis52swU3ekvmUwR+36JF3XtnkRz4G nfnyiTJxphdfJu94I+RPhud8qJ8AV5r5DnuqVI14b5xp0KiqlXIS1Ol4Da+eDgTYa7Rr9/q7n8SJ 46tFSsyszffbRTrpEpzuORx9cKJRQB+7VzgQBjMFlWJRgTsQKypg3i7KhWvmG0QD3GB6/Q64V9IZ 5+hGV31W3yF6DvjdpC/k+KJ5qZWiN2BA/WOpiVB4o1Ld7msUGm767nB/rApyM9RxY+lZeYOpAbIJ ap65omhJ+mNdglaHmT2ITh9lwe9NcbqzXRf0RVFHqr8PNkfa9kX2oaUta4cmocktqFipTWwufJ5i HcibqeDLAf80P1EHQ1tSo0HSWQE0peQ4NxmCFOLyxQG0M61/TVp+P+38XtsAFloikg/SSUYQY/AT NmZnY7vidiLSpItwQ4P6czQb0KyvUxVmaLaO6LOE2C11YoJF6zZb9UIiyoCHgmkij2DOnKDTPUS9 +uKt0MYxN+W0Q2y4PqVBIgRQ9uGsaELOjPI1ppYocz2kFNM/jcikRwiXcEIiSQnJi5EGtfv+K3qK GNUD3lJYIDGug55w8um1MkX/EYccAHFQZqyP0xAW8xoAlnHB8lGrtuhi2w2mbWWipOgZmuNWhwEw IquZGRZs7Cj4EguvnE2g4anHu39cdn3imcvCxDCHzD9xiEtr/fvafEn2T2pPRLtH/8Q8VugIGxHK 5K60tK1WLdscUFBJ6a7bGWX94UMUjWQPQ3NYI3H5cnxGzb3NghUxS8y0OSEhdfTtdQhfQVEgWKt4 s8nPLym+5Lq6plSctecGLY6nZr4mNt7PBNE1NhM1QOqrwCi9qj9Sqr9aXvEZ+JPyd7A0Ew7nJfix 8yjEU3Fx+YietpidOVNY85HyQU84Y0atzin5SfOQS7T+K/Oy/JzZt3tcBXY7zJBnqYXyDqQ/tXPa bDWpQ35j/xV4nzk4KcJXNDGG2KgFsjaIPEztlqZQvivALs9Oobf9scV+N5cGw2fQK3f9r/zYNANk MWSus2NNzLT3kOBBzWOLJaNtGzF9cVQVOqcCR2LpikjyqrPvlMIwOCE/B7TgniJw49GBpBKlO/Z9 8HWSOJe/KVnV6SCBcHCrz3Y/0LMLxc02YIEtXJr+Jx8i6caagb8INO7doNc2Gcio/iO7gKrbGZbV qIhU0K1e2TqPcHhGA4+NFDlnCwYUgVjr3lYhpwspy2DmaLhcReKcb/2OtltcvUOtNOusDBpcHP23 3kfFEYZSKtAiuZA8hbxTxIDaRXhLFcxNbL3qZ3GluWm1uLcRaqFUFk872/XcVo/tSW3pbs8lbQJS SJ71r6ppmoZuwWQSJjXNBoU19BgFS877i1/31xtzTqpDE5HnA6WZ0JYvmUKqFlRJ1GtT0yT8+jNG jWmtRbWJdlj7iUEjX2c6VMu89sk8nnljB008NQY02tg5qo3B7Gnakp2udnRYXtieHju0riVE7m7U Qa2gk8Y/jVmjl7ds1jMR7Qj4h5uAgIZg585FwwPgB4I6wwRfj6Vpg3WKKF4TQutYm+49+Uefb7Uu GuD1DyX5hxZkbgQkiZQ+V/wPqCibzY410WD8EFt5Uo7/UWcLpJH2nKfrxvD1QJ1/RxGaOWHQQhIE GVTQ67sbSvTLtHC2eYvuRYsbIJvVRhVs94AmjwU1szQIC5rQoN0x0B0Uh17XeZXtRgEj+vK5Gkj1 t0jXHp46/9jSGwVRagXMxehIB1sJjIng+mgxXnIrLEDcwypATgdjItw8Rd4es6fk+nNAf/88Xa7G NcWTBampWrN+pSQQWSyh3u9s7bhPhzyJYpzha/xaJBERnNdW5noy0mOe3IdI8aq3ah9KNDSr2Att zNJyJLCjiXnXOAzw4cj+gU9iQX7VSAliV2Rb7TqypslyuEjgoASEqn3NEBNxu/rU9RjbvY4WUwfQ pDO1wXPCIVxej91QQ9ZTS6OblDqgqjcnb3WfY9ttnRcV6rhmNgLwx+c6kz64/WIFpjy43wIhu5IK RwXElTISXIpxevaH68O4+E0YeL7p15uPzoBvy65VPD554OeNUJAbpdPh/IUhkkGXgUyAjy/pGwyO 9ommiyw1gZWmT7Mlm9RJpwDJ8W5ShRZs7g213ioO82Ac/KB9UTEiqRubt27b9D8cdydUgGMz2gyJ tABwGJu69CofVyWJ2Ug+vQlHFU1LKK2ZSbYo6yO5sEGbFFmSw85O5Ut+/kR/IN6bw1Mb6MrvyN9y H3sl7jjmGyoTktEE7vU1wf8hnfSN1ntS2w8IjrN3gUBZWophT8ZrPE70k1op6PCR59i5D+h2JfHy ZKHmAdWY7pnno8I/yLRs0PV2NamGJ1q/0cpefUmjx1IxbTmCojdYMYzhtxq1ziArHde0+/j8CasQ Y+H82vSKC0KZnXFBrNJDo1A/hp6hMrsLa6jhec2S3XBb1PmRvPydTbJ3LnY5q3Q1XvEjkoNQjSyz ObYLZvC/eEuJg7ZGDPTOTdOW0ogWM4xeavmkHr3zEiR0jWIdswOFYzzkiHMELBorLfANWLDiJ63m mzrymnIFKqZJA2mUml1nvi0eS8oHaCR7pnQBDyoFD+bS/7hLVWFJtrneK1ytdIp2WClwOxrmOpFB B1RudmKYOpKp4sD5iins9HJiwe4yP2EJpTNHCUuTysnLs8+mhGtyI15KfRJgfGMWS6PWoOB0FKwi 74WRCU51K1N8xePSNPMFqSs4n/R4AWxhUZ28WMqjgzdklhQgO805zlHa7IuumYlsh9pjXaLKnKge DrfZsIpUwYuN/mhNX8vsYpWNRv8GVjVZs8/QZqaT4sVwJ0SZuvxdeSRa68AiwzTWIoeukBUZI6GZ Zi5n3p/n9j5CIN1hl6U4nxxFyDq907lZauFqGxogN1Y9WKBk5PLLVqfVx5bOKalng/MEe8SatrGJ 3ZXiUYg4kDC04nGqJU45d8rjbQfN/diegf7IISU80dBiZF4s8Plr+LZH0e3XaOqlfgo0InrIKFYS V+ahyhjj9cbP4P9wA4CvyvUWNAwnDSYJ5u3hrWvBwQQTBZ/eJi4FV7nQXohgQZQOHqGPxNCiyFdY Gu3s+o2fEBMTqdHohpRCtQqjpipMW73Sq6U3ZGzn4fSacv44EZsL1h5iKFsl/KidOxNntv1wc4DG GX8krDb6jwk0oSNTFXeTx6fWLqa/XTsvNO0LxqnJp2D4Nk7KQtWd6sZk7ugtggpyTBh18NkdFUg/ /JEajl1F8moU4pM0YdDvK5EI+ix/ISyZi8JYR+u35nE+qONbQjGv1rVIFx9EB5t62C5ZuF78PIYp 3S1VT0adyKb4vz07GA+bCtquUP/oQL6Y6M7JMBvXY1K+pQB5/RO+kraLQgFRFcJYChkpMO504om1 hsNE4j1oK9npxkBxlUeVUXZSqETw/c2buhr2aC65oD8+xnXQKcdU8ncVLw/uXHWqktQAeBLBKtu4 2G3zJsZ+si4ze2zZNGNc+3TcPRrol5l8A4ZtcWy7yHBUIy2eRqxoyE/mt8uiifL+R0rWMgDGA/YB ImLjIxh+WAJ1XQIDrK3wp5cQTePlUkf7VFrJ3wlhxzYwBBteZHfa/XD4wSC54KTG5iglG+mL0hs9 uhyXIUxOnW9GInLfgQEzPI0qjMSda5Yb7E3WjwrL+H7MaeoYR2fNTaGMhTT0Uh+1TYxH/LGUXB2M 8JyZ3abnrieU6Q7EI82H8zECzbZcQbumRRZLFNxILvUVFYmW0UAC2xYa2AkkVWvxg4vAosedtaUQ V5u3xCCTO7uJp8cfjNIMBUm9HUCTnz0X8RQzZC2KB59g9XQrqpWXr1yuWtmTotPbmwdqeWG9MiFm OTgjkbDhOLOiuRD5h8ywRnJlWhroOz93bCNMHM4mgr7dHNKw/JBuSBH/wB2XhD0rbiCIx5b0uxZH lXL4kAEC6sXBuqsEOCWYpBFlXnfPgQ7blDSThz6U9tSJSDOcOyU7AYauEcGo/CyzUKP13a3U5jbK fSeORVo3/ui3TScCBcwc78ARnnXjQDtQ+jujBlkkUvx1gFwLrTWwWJpvvdrVlZVZY1a706pqU8z2 bGVyhpC7eAZO8F+Tzvq3t+SG8wp8PPfXF2pqgIVsrqsMCGY/Om4zPnKY4+w9xUcOUwB6Xq61zHW/ Bgp/JV7NCWJ7oFKuGN/UEtM0XonV+xIUh+4A/l4p13HWM5vJvPHzA4TI2gsVs1DZlAqbcxRzkIbd ghGOVKw+nBdm3oozDWp+ldo4woudwaeYy5XooxEOvW+Q+Yc2Z2r61fE+yuuRiI0v0FsMlAmQsU0P 19bXEvKkDors2tR/OviRaZ7KbSD/EQ6REelpu+CFm8iQxym4cP1sCdg16xC3x9akOt3W+3iHOMfq g4j4H6hw9kvC90mtfVHGcq8VBpp3VrekyG2vNmPkPc5goOuIy0lfNjljufAe68JfaQXPD7hahovp W+o2LMZnMrVokZFQUdjdPcEHkYFiaM/MSh+9ks7nScozeMI7FzV2H1w6k8QkiL8pFzLLo4iH7zLy Q5z/mTSBKZsQy09YIPeMfy/J5juDdO9z6z/xnR7QUP8nmIA5w/Y0RJfztyOfOfi9HxqY3w2aGufU V+AoIkpVBpZoeWK55cg4ohxF5WCb/E1GpCbwDkCczWJ4H7JBH4lXbtaaYlQD9wqmAhkUDF9Q6RWR NqEuzghlQmvS7EMqhjRNjLxwnOI0JRtF3wiewZggRhAdOqfRXiMNH75gELIiqg2MdoIEgZfiWwCD LbWGE8Rh70uempxy5OXF+lqn3r7Y5LffEbaUvtJJsFG+LOTUK9qBeC9rOcxxBtM6b4NQrZ0rdvNj vXSmbVsb4gDS2GVIrEGERBmZvhiZLv0ReBW3fWKO5ta4RLWlIkgrJLpGH72P9Vuj9/Z+eIUyav75 Z+0glFqeDY60KEek1u9Mb/UIqkmIDz/UQp8dKoWAaNWXsV2YUZVFgFJCb7OHxX/mOWxUwqni5E4P XSUAvPafqF8eAeP2CwLSyU696u083cMlzqZgdfxR9fKrUqL59KmrH915qmxTnYKcd8gBrzFn1Upt bRiF5h8YN8V0UdpNUlPRZ8MuOTy0aLQVlzvWeLBhmwDvIgvdEH0nQq1swzseNSFxsX8cm3ATQHUe 1DAejM0zmxjl4tlq6w0C8LiRrtLFRbpAplsBS3Wd5eaxPlXs6PA/yRM2piStLHXIc0lZUX0TLdlA gbftbyDXxgMvUIRGXDIwR5NGjVrEQbPtBPVrk5mKkkhj6iS4GKTWbKGcEHle9jO075apQMzak77+ PYN8nkiBtGhsVdAgWd3kpG5DN2E7NIdFPHAHIt/LeNobop/i5t8cuHsGQGzRgHsFxkC2Z9q6K0AF oQ36AAWWzNEOZdL6OXLRjDKGtgOSqJS6HpFSqCffCICf9wV2TuKxahZW3bt3wbCvDvu8kFfsnqdc Ssrno51b3A8r2M9LbElTnCjrQvRuG5FJRtYL1IaYrWk6rvJgSZmYNVDso3KmPH2/Q7WHj+1Obg3m JUKZkp/dBnolY8C9cgANpMXNRzMqV133f5KLX+gLFnXyjx+Zl/+0RgySsCHbDnCKpIVCguKL/n6m egBO6CcnFbF99YTjpGnazI8YurpgT+QNUfSCUeKRGSbZ/3CJkoFirt/0RK5TJY7mvF1hfJQXjOxO lMIXcKAQBgNu1jQsSvV7NGth9twk86JqWiQLUEEMI3VIcEvom+Y03CQsrpImTTIQKHZKV/FzwXb9 PJVADkOJhjNhoydjfY487L2Zo5o9YKzEYaj7k3UJ5EHgULvYdH6p7U3Vjf3AfohInMm1iUCKN9w3 Hh3v/BM4yKsj37FejxWVmY5bWHCrkGuDOfwq0H8rhML7h1bSJNIGQNKfdiJ57aogRmpxcoYwJ/qM Ab/ytlQCnssD6kMnbc5zQXqoINuqVZSFipHbTaGgYzN7gFtFtO3Gx0v+uI+Gxr/JBCBokCKFDizY rXt86joPXfJn3v5CsG+siH3cyg800QKfUaozE87bKPS+2FBeSq3o+F4IDRvm5OATjvSqPgg8Y5OK gGwshbm7ycbuR/XgEMoGrD1zK9lfGvNMhMyInF7j0YqqVNTDDyacUxytfpHUwt9xA5ydzltCQGrH DsCLUcj1lRmT0awcle0B1fomfdErP3GO3s1cZbTB3f07F6ohP+wRBK4hOqKHpM6k+cKRrH6pSmt/ KZ/23eFGlxl9tyyN528ykjq4+NGfZb5JkiPOGCLGwLq4S6sjQJUrAHgViIBCSqI9sjAepBIpSvgT PI/klPVK4DOZcpO9ihkdU2F4fx4XoKlhpQRJaALuefJf3q4pn0fU+ajVaI+4dkZCPDOBl1U2+w9g VGhXj+t7QIYbTDWK6iMHblJTaEvXPPpzmB9WhfNejNbx72LVFP75dRENT4sYyNOGW82pdDxhpCMx 0h/Cs2yJK8GH66wfPKIhhky6dCd6SXqW/qYhb7sk39gUCoUIGpMEGp3PRtq6ReF3lx2qpATYGVmx +vzpzbAVIzlrJC3r3R7faDAEpgUxgjMqXtpJrvrMbhEYajjNHsnoAizcLFK1eepYU8hOADHKYDzW 7cysbBVHJAK+LBzQbFuR2kKDi5+CuRSu4WkOnd5uqBrHf/lstl0us9EF/o9GVEhv6hxyQikYmPce OltVLkKE1fdx/JF4ssU9xb0wy1qaBbWGYLXe2vAzbUaArGRZx7syv+IB5gzNqsmvTZMgc+Kyi+I8 vdPw21vSWrE2S6QXo6c/nQFBkWttYLrGtkLU+enBCUD5MBhFGrLLf97599tHLb/2XZbS4vdBKLRj c7IaQH0N97t5/kIQ9kKK3pL8LrejYthmiLgtLppykq9nQsqw9gvPlRgm6a2+daETyBuJqgqLBxqq aHNwA8tqhw0N+waZ0xn18m0D9XVm4R0F27deePmq57aiBhrjmN/KIE26iA/13EYdIBpgNlTN4bl/ 2nhkWEXZ2T81k/fepQtl1vmqqusrL8Z+X5NAYOiu0suQB7pZAra67oioTSDDTSb+oxZw3OjyXmj1 /dkNCszkDCONl1bZCiE83NBZCA6yOcnjVxHNUoQhg41h5mhpUIGXOWLzbzv4zljapIXJJ0xdJCoN +jT/Psm+j8CADZyZucUjSZ73RUuO2ySoo6XF1K9Zkp5DjicW8gO8x+ZM5resWsxzdXowLAlg1yrw bGX2R0jjoL5irwoLWdzeZrEqqWN9rRfeJQzoCs4Q//5lU0Cd2nj2kEU78w2qR60IcFqS9p5B75W2 jVvEKT/SaTNI86wU6H1HZi7Ixew8a/LIWwLn2tQJaPLOf5BdVOXBEr0HNQOCAcNY53gGe76YAwIV mWlzo+b3mcFfjl+EB0E8BPP9wcKZR9moWw1f00vjlfkagU6JUfl3DAfvs21k0BD4fY1Os5E21Qp1 M2iySK9AQt4HeJ3QyPqXIr+/hJ4Q5cqnC7MU1McWCgrqZI9ngzpzJGRbe1Nc4tYlo4gf3jS4fPCF Cqy+DWg0r6rDyyfIU3Qpn+dvS0DsSTLM88rhwUhxEUqe3mbCJ2SsTItH9Z+8qPoBrSebaXNdslqd K53FpS7VC6r9oj6Bzg8jw9VJsTY1o5btrBsQfHrq10XA7qxDz/MZsSNV3P56g17dvazBa7nnpLda +3KLixGQ5sAqpHcQ/9+ndmTpXg5M4lCWVE00VMaccMf7O3U80ENmCOIOo8Gqtr+bYFM9KQqkfyW9 hheAom4gARYLzNio94Z3ozex9ulHdgPpL4lJM1tYXpxj5EJ+QaEnf7w7PBU1JlglIVkHh7R+EpTK iCKSb4raQAIRUfK6oWx2loxR42aLZEF/OEpTk4iP7zOa4rosAmyM3zXxnMTjiibY+efp/GqksDrI GdTv+LiJdV7lftcPE3fsxXiBHJ6u1t0+pzpe2hrtVN6nC9ELDD6T+fMGh7voEVlzTL+mglfu++Z6 efZ7N1QKK+fii7r/AinqbzTDD6O7e1p1uz0Khoj3H3q2B0/IpphXQxgdo713ulA7rzrgT+vnAxBH M+p6amaiZyINRqe+Du1KwKdc+SuvXo3XpLBaTbfLhgEUmhaT8EWj0RtCfnbCsYxEcLHJzNMO+h5u EfJCo8WY4E0wlTilrGFKtTEktHRip0InqvONeUvrKA/d+VmbY8MN3i2Sda/JkujjiT1oy189n7qO Nq6LeMP4H9mFlbgyw8HHaboqgTrX9zqek4PpH7c5zqe2C0IGoXSN6Ik2J1cP1qF9aXtBwDVQpmPP Jc9VzSrNDsPOTEJ+zL+Usj89XOZ8bqAXcbFR7UOa9WoxCh1jhE/ASjwprh7qjG1eJC3s22nsqowO 14crD8Ext0dl3QdsvdhUtuI3MWjdP6bZKuFru9tk68T8WFnMC58i22E2YwfrLpgKMFE/Y4krN5Uq D/a9rwVz2COFeqKRS/NFiDwS0bomstyUgW9V40KLpHne+fQxvIaUMnk7g5i9Pp6mm0wfd6hGg9ql CXkTvsuarKDJk4H9wzqb2u6HSRJA1GpVCej9YWQpL9zv4zgJMdAAZBPqktA9L1JeI6UDlLHDzyrk aTKnTWC9vABfyQUMBV4hJmruUgprjjofz7q8h7OJQorGSqA5bhi4ogXWGgk7/4Bk/FlhQEcmcp3b KaDjFxXhYSgxKJ9isBPL3sKbhwEAbDyS6iKDv+7kbeSIuQEDk/VMhZl0y4yd74HSLG9G7wwlKxpA k0j7nRREwhSXeeajAuXkJD7/kp5dUaZqVLhNCCoVubirWmWa7+3oQgkYAcAO7mG4msqpfIvZHqIK nhuafGb+bX7nE6uoeJS4xpwRnojdWvutFRO7Jd0Yf2XaIL+QwtWP6RSpG/SxjsQz2XaPmO+APRz2 RDG66zt7XnRCk61KM9CrGpBgwsMrxUPOD2tlOftIvjd7Dt8unRGBYOy416YODMbc3hL06qu43d1p DwTp121wwKQITMTouDcAOn1b/o30IdQCVpHsYbPaa82qDkjesDbfh+FTPzMhcPwqGomSd2OHaNOF OHgHESTPNrYTW2GLF14sh7uqVJgES9i6dtGAyxMlwDoriTRSHnsAUi55Cr3Ctz4Qlk15Zvqiz89G lh+SGQVdApwqFsBIUodS3CauvWue9/k7Kl3Q9VZWa4qzUPEnZaE0kPeWE/PMNduWKpX+5YVfWq+d bwbgPQURb53pfVJ0IEbNBRSylK0nXVVS3Bj0r6TC2HskScqkV+9Af/grv3QC3Q+H8fRIWnPypQYP t/qXUw/P+u11SzjbcqyhlVCOh82ohGujxme/w/fzYJTvkByg91jJu2VDw9gF788yDX8mk6R2uyaP OYKcRJjeS7hpCNj+S23cVnFnVdXYKVFU2FaxonRnOlYUsGVCIGD0O0hYaMOpvBCsLjn1aUq+MAyT owq4iFYDa++V9++lhYRl0015UwDbu8NeZVyJ6omE46hdfWz+1CvgKUloxyoIk3+LRqHdNDhslBly maZfVhgR4uQ3AmsHJ1XW4LE0hjJMbgunkRkdoO6oqV2/c1e9WnN/F9qp0TyodLtvsRROuigyd0Hs Aa39wu6jTL1Ux9X0DN/Z2h2KqqF7i8FV0GsGYobb6hMiLA2OVGEsXJwCAkVoJNePAzKnTf0FPljG YHVLy5Jne75R6gSfwT5KANcN3SyWsJZL+9w6+zBMCnDCweAtOmS/G1h+2XO95hc1OMUcmnNvzSQY XuPF9ed874njzJ4DtX9wC7lkwFgFM2E/DoEmmjpsnMh9+pywkmRZUBCSdsSuL1h2c2YpQBWsILFM io7+sR4sTvrTG6jZJobTFRYSULtB/+1bRU2B/uXhEk0KzW/w7J3/ol/ZO84Gn/utJiVy1j2sjKH9 GNJ4rIWXahCiOjkpBhDhtE4TFdRc8BvIgFz4wvH24czHcEo0kVLUwIOLYgCS+O9JNwcWkgZCHBbN PzIhp4X+SbrGcHU3DuKaTJZRTy+kgpS13GEnembU0bo0HopLQ4G2T7YnSvm0HqXaSKRvxe5RTTxY Rq2qb+wTiExhBGCe886UVLFjUG2vltXJa7GoROkGaXLIOahgKYXo9N63rwWlpoYyORV0lGeA+06Y VMClthvwQTHuVvTrMiZuAdJILOI9q7/dTNQXYeTkxG88lzyTicie3LM2iPQJuSzEg2AbqR1I6O/a BCbiZKNSWClBpZHHltKdXjgs8yTnOtagOmQmbPr9O2eYH+MpHWbVWfTKXYY/WTIjyjHN3/QYZTUh Bg5Euf2MePTbvRwXAzF6tAmv9iAJOseL58RNj7fDapY98nuLt6+EjU+aGeDG8RjAKSUwXW2HbNnb k/g3XUmYyRGOoJ9mRMZMCEuilTWgZ+MCdtJ+vVZm8Wsk9Y0O2vBd7n9VIu+pu8azPnBNN4IWzxFJ xmH/iKfRDRyK6E0RuNCNnJ6xTZSPl0QTQmjVxI7V+n/is+XF+ads6b2eLP8PCAXvDPDldV8ohPy3 wAIdDfHDwta6ZEKjblqTiZxRgtWvNzo1Sv9+uONWJfOMmuIKeiI5qfEbwn+HVor0SuAAtUHT8sB2 PwAWzVBCMxRWF254YA/xU9c48WEUVwb3xa+x/fMuDCeI885ganzYcyzKHE3/obFfgOwxOxu5+42A Sv+noYPh4Rd8Rgfjly2fQa+pf2yrmKwqJy0UnddmNlbS8/UnKgOREOvOigMfU51IxU8VZhe6srms swEQjgFuto5pkqs7vW9PciHBc+LkshdmVFBe+h61E4eGuwOQZOAfFMd75gFZruZrYOOi6drCAvl4 8YBJNX8o1DgEBuBesSyNzVe6L6B9ysGbb3njPPR/O5TcijBnTmjjxOKSEOHzKvLm4qfYN0pCy2dY ExU5D9cWW4/12KEsnhQCEcbqpymP43X8e4/K7BKs2IiNF1T4ZWOD/U7g8hqZPAH3yCobb+PmcY2c u/kCDZvxlGMVK8c4GAaPvVZkqZVP7rUV47djXo1i7h1O2NBBb3oJfD1uaMbfgeUgigALKzCBV+Ru xHHFwYe9ngYOKzRr3VFOJ9Ab5NePJ5GB79U9CGtYQApafJstJJDk2VNiSb3UpuibMa8uav3GNe5U 18QYWgrMzLN7nv8nR+rKBEiNOQhjxtDibbz0k/wXsRORp1menm8YJGWq65ezrb4Gzt1GtQZ9RDV8 BIUqC3kvsBFyI3ClkdPJn0A76xJxfUkpIB+UceZCVAoDL6djRPhOYnrDPuRgRHcfRu0c+L5MnNC/ o8OKOhcMbGNBfMfC0G0GpsrHGhjNpRRrTn7q4lW3i7O0HfpgfIG2d2QU16b6uz4jROA2+zzoJr4+ 4AZoS42Ufg+WlDSJ/HscRSSQ1M2EGHpm9FmrrC2HzeCslap+qwITEZDR1yMwElBWooq5eFb7oedU r5w2TzVjHmcM2shHJJ4QUNFFlWQUV3/e6Z3dnvnUXG8Pe/ujhBUIBUAy0OsVuGlrkBgzGhiuPLSu 9NWeFk4JCSwJ9a3vufMRziuAoMyJ9lPt+r3duB8duNLM6dkkC+1iLlTcP3x2B054k8sAmI7tqjoU t602Hi6WgUZ46NJkZnHFQ0/OZVUb2VpMKJm41Kn2FycI5bDEndCz4zGvvYSzaMrPnMj5epQxckuV Or1u3xGvXMAL143eES0Ygbjq2CsevwWzDwnkQHbmeSmmJE1v3MZ7wWdJMZ7hE2kolSmdPuGWESTU XDnUYukUa6cQFwzlccV3bUROrjQ/oXd1Irsuw0yZUC3vAF4VvDCmYPCFmWCR54YdH02HPa2sw+LD f6zrVd+MkjrlU26Rzo5f8oA9dIFPaW6deNE4dt4BBB3DeEuiXaC+AtGTR3orxiI1alERAM16UmJc EuYuWKuJrYYZ7M56SssLU4drpCgErZ209JobNMQ4NvcMKIfeWz8W2xvGui914UhMhT9NqwZuycF6 x/kLEiA59DR0FcWuFTAolPQsq8KGPUWWENZHUtvqa9IpntVBaG0GO32/3L4Ll4r/YWUmwLLObVVc eUDzDnjAJ8TLeszNu9P2Dytm1E3WDAEexAVNaUeDnWQO+GGbTiQtjmrzBaKqCtIEz0hQF43VqEd8 O+YLGwnS8YYkSZ0boG/gbushQp5oZeXYRm92YWKRqRar3kDqDb+buz1s0e9RCLpplRdLxQ3W+5L9 lgZmv2AU6yQzSFzQIvzRFxLRZgYaO1y0NtfC3dtfXxJp7DFBQ2MxwcsJEPDsuO37n+/m4Es+rbiL M80qnfbbI4uAz2YqPqHc+eyMILdZUZdGyY92t4fM1P1WKoorENJFd3iy3fte+NUWJ0nHnBN4ASeV n1aijdKygfT02DH/WI2VSd8QrhMiyTn5pPfqphd3/s7ALMPmypEWwSqrDQACCFf7ppC0V6YOk3VZ TWkyd7mj1hMVY6N5lai58WZdY1R8ux82Fmr/DXub3VfxPxYHMnAQsgkbepxYlnsbbqu6Bt7zC2nH 27fMDN2x9a9zKQv8fpTcXXHsokXIpgOv42RGn3O2VIXeCCBckWaiagJydDp3r8Jg3Zuk1RI4FxXS CX20w7CuWJH8DQMCYLVAjPMzwbI/K8mk5URFq+QN3CzOv7Bv6p+kcv8HvVIefdAOp3Gouzwm1Y71 LScrZyZ/2z8xM769cpP6KxMQiObbHk+u4Qswv6HqkhGJ7NgNnduIW61btBuWVCpXcOkmD4l2X1WK wBDb3GtyL85DqQ1xUVL4K75yaq3tT8OaeBt8QrflzXiyMPidepQ1vE2clc0ycb+X8fNNn3p3CYjN 153ymv7+1kn0pK5pg22XMcKqjNflL9+OJ0VnZ9OlvIp2s1cBxyG2sidQOV7Ys3SUFd2uWd3Vdsrt u/qrAeHmF1cLaQwn6MNKs9XjSHJuYiAB0eAk9ICgLrQjncQW9bwv+SKvczboQWkDyMBXPK85A49r FQ3L0i+6usTJiwlzAVVrXH2eHyhV8+KWv6q0Sm9ktNedTnLfqgU3+g4ZabGP+zNcsc+eH2LBl0Y9 1dBdLNKoUXCqEoK4OAzCeV/6i1RTBaEbHZW0vPO33PWXDG8wH9do/TUaRkJ71x20DWsGOKaflIYr wUGd2AbW3Jwkl4gQyY8NV0/1oCOGXvIrdRcH/nDSh8qc9atdPxz1ublCjopFPlbsxalevbOXR9UD UwMqB31fWb29kU89N2jXirLtD/C8kbeClmZor15iirmvF7WOwW9nidvwewv1IScJl4CVn1Jtmc0B 6UgaO56BMNRbRpl99zj/TUWJjTJywt41IWBTDip4bbydhNkVNRyQcJIufiaKLTyYIhA1QVw+uM0X UdGrrr4ul16aTLXWnitv2um6VDxnCZJq65StR0ohk1vFM5Q/s2yuU39BGuvFSk1v0xvM+lV/a7vO QD0MTrM1vYS+CQtjutDrwkHi6emSRQonVmNVpdIgNEWahF97e+Az6JIQwZFMtKPJC050pQLVQg9x opZgEZUO+kXgNh1Ro0X7MCrMyR8mdCnnMtfruHAqky8uUwyrZUf/jzikGHiriW/iaLwXN8M8aZB6 MpHPr+JUK5Ug8JCg13RAX8Q3FV8TeMpeNgM/LucQNxzXJrPzBm6H7fHIW22Mkn+u8JhbxjkgFnw1 G1Ip2g2FmPxl7R+2VPE9wziV2WL02GmaUre7UlXXj1XOlIRziVPeNayrxxEIh0utG7N7nyK/V06A 9szf+xVmdpG1zxpAdZzBdcrAcbXThXViLgjJb4cKdJCJcinKX+GHZldODt12dbeT4aXYlV5b7wdR 8FiJEVbcywSeDZn4Wmbm0nwEBqeGwQAB6/Qe5wnukV9pH7uXjVZn4rfvqm9LxHzgKZcQ0m8+VRVO rukyIzh3/N3Jq4KLOZ0kxL0shHGEwRGVN44+SMOgVVTMBHbn3H5dbxz6IJqx22F9eBoH7AfOw5bO bV0L4IIt+03ZqbK9dYZt739cujKCM/hnVWv6Tj05ZOFWMnoT9sQm205r83jG1N+rQ1aOMQjjVLSc 2ZmNe5xtZHEUR4AQT4zU7xpER1N6Oq7sd0nHQKMTMXMeKthrzc/12njeQUYr03ZMIbUYJXzcnAry /069LO3Mn15UQcNJyRuliFRDcSFRsdJOw04fdJQh9l/7Sb6lD1WbFy+2wj7xnpJwFWyVyfsw9xUF 1BRfLg8LurvLjYCzsmVfRQzo26huqlly/nVQcE8jBklluG0/6vR4LujKfCElgdijQYv8hJZHQNFQ WsJraGozOgc2ZB6/jpPHQl+UPRxTg8WNT4IHRcpMLuXqVfuPnh88LpMZO2TwOM0Jj84lXq7ffgMb hswZ86U0G2FaZLvHYmS4xrRiTpOrvLJjQugGS9h575dnsqoF6f0lgd3gFh2Pu2dGQv3g02LrBA1j 925WTRKcON06exgTjp+1XQDHLaRTB2ORfguwBbsPLldGDhdIL9wKYYBJfNzSsy6CRkvS0zmg7CGg JkVOULFa5ZUHNQcz7h77eM3s4DKGDNJ/WfuMBN9du6+Azae5Yl93Sf4tiSRh9XWsbSxuCfsKfSyP imOfOIizpPp8u1STa54g3vfvQekGsKxAu2YCef0R2U9cFc8hdVgdP7DxOelnf3/lmpC4k3qh4B6N CsGgT2aawVe1yz1h6zauzR1ED/lJNRkSTEsipCdnIOWZj7+qx5yEzrSqGMMUb79AvdB/bPrQB9ni DObEjBQsmlvIO7nyAR+SNo3PRjUHYTIns6VQhluC8rsjBKXjaPR1Xd8sBypmoVPfJiHQOLXsu0ik fzZzRu+PA86AAGhjF4KQ5ymJJEW93RWSHpZ36Tx1z8nKcbQeYqTwu+jKNLyWp1mv8m2rYLaTOg6O ZIxgs+Jl2vm5Sn4wrIu5B1OYB3gamdn6VuOYvTVjvpfZVwxkYoi3jDnylDDUHAprUqpFrz0lhkK6 pMLbQTY3O+T9ckFFx4te6xmCNOQZV1bQlel08PTavYxK8Vn92ZxGVxrlUEyMVJ3aElOt/3ZxoY0J B5ZHnwbPWWbYIYBhVgKro2hrtXghVjljxSJcqvmcaHwiZW6/H36aoVOjSKD1RRM8FkJfZ/3LZlyw 6yZVQ5VsYLQzhMOrpJPF30VsksrW2iSjh4+T6ClD5raniGy00oW1gndXID+X1NN5CNCuq7y21N0Y xn8OIbrYAyEcT9vV9qBEX10ZSDRr9rPWi90bopRGkfHDOe8K42ldLVDkxMWFT5rGZ2IXt3F7b3Vf 5IDXwG5nFcy/yk+0S909Pq9LN75PbqWU/d4L3iW2lPeYsfmomtaXxYRrh0uqqxKg3TdrBimaQu5O l/O6WAyA8XxNrzgeIoJyt3XLcQHUsFGAUDiIowtjw7ibwH2vPsyMgVOXbTKBih3uSVLJx17+/4vh f3623TuFVBrFfqJINdNHRl4d+FXxVzkaNsEbzlAP9ktNGoCIA+sAZWjHtKERW/njV9tbvmL6tLmF jPf+niZG4H/YIo0VFjgjo7ti1+6cF3PuNhMCzS4wjJt6h5L6cdw8q4Vyw/6gENsy7UwXVGzedgf8 ipUq9Qmw7UhSq1bNkZwn9r+SccD2TZJfDzppwTLj5dYrNjCie9SReMFTzTdp1DBA++wPFnqe1sG1 3fVj7Ginrij/KfEMuTqbXsHb3v9YMhwgayvHGN10o9ep6tmYWLU8CazAWQn8GUlH68djszTy4uR7 ngwgwRPCTf5OqUMS0iTnR6QwxzGTvy3mZmwA9j4mITkU6Oy0wZ/DssgPG6kjj3OXq01HnftGEUFh Ug6NJzaesIDRPC5ejx6gIFZLWWDIiDcCys6lEwgTot2CtNAnacRx1w/h4ZWHLfggURLIeFFBxIB1 cfkXa3DS9UEozGvhaWzjvKLDbpamKxjUdm0WYQRCLjOlMKgxNCTgr4NH9v2zT8ABV1DyvOvygDmR 1eI5J7Dqi5uhFCvtQiv2WBCCYjiK+9IWoF0pw7C6u/wo2JW0uFsFq7yEkSYxV6K81fwq8Ej9cLG6 FiAUPoikdupOR0PZkgoTaC0yBqwsxH1KwPgguylRD79HzHVPcAq9Te35PYpCm1u7Q3TV8Ago8/bV Rt3vM9qezkizzO4duyS9NMZeqJMLAhwlW5FZtp/fb5PEf79PyaZh7bMgkcXi2Sc8XXBo27WQUIO7 DPR8L87eC8tkRr1qk6VyR/fnjnlAhL98CKgPYsFj9NkWcTwGrN39L1gmqgAbbxELlI456cYr6i+4 ZxguiDFbkhe8IaGzpHaWDU1QJEHL3EBer7cFtuGl8IjcU/TOjyEKhFmC63nQYz7HaDRFL+IKeMhj dqNTWeIjjV4KUHGj8E8IentCqOmlqQeEOfBATcvOtDrvLY6yUSPmli+0jaESp2fCMhP5yB2RfpMV ntzXnOHHTXOtufJn4tZ/6SAG3RylH7R5NeKhnpL0G2dULj98lmmpqY7QXc3DDzLNLw7KZx4mugQa y9Qi56W/FcIKOi2YkYFEuZ99RseVqEYntDatdudMBKguhTjkhsf3rymVxLyLb7pDiiJ1JvzVSIBy nF9MaFfAVPwpoWB9V63GsaKpSAE1x+Jh70jzP2cSgvEnw78y8EAbpkhb359lfd4JacwqwvLqbVZ6 2ONSxsNFJPJCdxNEjLpuTXbNxJkGab6HKpRXawvOR8+aT/hSORjuGnS3KkbFUkHXQs5afwvyfdYW 4vxna7Dwqi+qQFxx3URMEZLtrfwlgy9oq7i+VGAtL2vnSkJ369j8LmAKluhmJbp5rc9ayfXHUWPa Y4QkGAea5CiPFF2v2n5pninCvv/ldJwHqJR+pUrX9d6mvQo8AfWES5BINZr2MwJ2Bv/OR42+MsNu SGM0evmhWfK2V0RYDAub0ktk22npANyFz8s8+HfrBrIIbl05MdnU1uEvonq//6Wbv2vjXMDHcHDr hTEym0NS7i70LbsDDha441j31y5R7WfFkhVBvE8JmeNLNAGhq2QSvPfjgezocW0mjxPhsAGjlEj/ 1Pj+3pOesOOGyWrq6iK8a3eBTbk1IqOvHQVAwYgTbXm6XiTXx/v57KQE9pkCVUFCPSLZAydbUTL7 0Ec8xk1CfBSlf81ThzxPJaWxzyk2XiY7chuZO2y0cCBup++sXnFPhGZ5I39jF9n878cnh/1eBLFm 0UA+kR/m7KmhVskJSEO49hp9QexhvnR+EAGfHq/r50BswHcrIHorQ+ChHV1OuyLQYD3wqY5l+vZr JTi+8dEK4tkw5flICr6MSczDHWs9r+LEWdEmzmgGUvSPQb2YT8IDK4vyA31VmRrHg1md49xKM3Jj 0iwZlNIU21A4iqGKpdHPTD43qcutP6a2Ijh3giS0PHky380zm6k84ebN6bIl/tPTRmnfEpSRkjIf ux3M/DQDShnodcZDut05mqO15AgPZGUtELe+VU0/tUioLYIt4+CMAue3D0boT0DeJAkOAytlLO/+ VMJPbGtGoHaX6ZKZxjd3FjSOwFyF9N/5+VbxkjKqEkqx+sQF/19L23eOAAGT9gR4J9YWYNroQ9tV yO0/HJ5a6UTc8Za6FtNhW4hFRdhmww4MC/J7r0LrXQ5e17laRN0F8K4406eCwuvv9tmsC8mBvGy8 3SGXRXpG6D3EBRVUf8HMwPgZCT926nTJML5+wJs36AHENrf9rsv//WDZc2BTZZOZUQ50LQti8Y6j /Xy/OjvFpEbWziMKxVuuOctLj1Jx0nz6PIRD52/AIkhjeqOmgIW808vaiTK5ZuAstoszXtA2Nn/I YJtRxx0w4AmnK85Hjuso2V4eqTlwtNVPNxD8boT2TR3WPJQPohj77TYI8XJauzBuRLtlk7nokT6+ 33rkXCNsmcj+pqCZ/c/aU/WkNhqNEPne0ehyjfy/QaDSbG7gJT/QyXsgqUpIq+uEqsmkDuW7XEce eQsxzqE5oEQZ2/hmWe3y/asokDfj5Gblp39EIT37fLE0NtoAYfBm7ZBRPgPcLhckTIwZRyQGFckc ykVRAipyc/d3IcbLz7aUkimSlyxMZJGmXyHC1piqXwfGgNF5MAT6+nspyTwQW91sHneRFfXag2R2 eaQVKnslMxnCw+lV3cu2suam+IJe3ATNvHeQVZSNdrnFJwGN+psCmpBEpO2/dTTootmH3u0YOR1q 7DqlbRoRWTea0dKsNiVhol3qnu2ysdXSPl6xH/XJNFwXA1yqT0Fp3epWLa9ZJhSnwGymhTvMyD7v baNJxh0EBxc/6X0m2HncaqrRO9rXC081GfzlCZncIMf/sh4F8vMMiW/7enISYrZ+TDgHRex7Mk/U yeIlRk8mRw46C9sgGUKjDO/Fom8G0uES7LTT9nQ64xL/ryf1sFx0hhK7oxcZsWIQEKRlwFoCCYTO BrwdBsidcnI3assxoJebaJmyixVe7gMCU0BiIoj3JyTp2uu/P85D44stiI/aQvQGmVXzO1zRKk+z XwqSZybcwVa3iJWNV9FPMIwmyut7F4BYjOp1FsBBq//7r2phjlJAC6XDJt0nqdh9onHRDlkIiZNd QGW5bNN8CzDliqa8aBjFi4mskQqMVg+Z9q5sSB2U7F6lBZPwPgXbN0UNawZyGi0oujuhGKgb0vQL hKoniLkGTOtev8GfNPRUzEw65jwI+Fhlh2XiJ16DySika8QWQyDy/rgCz05a9UuFXbHtPt3JTstI VitoeWP7iKXnsBtkQd5hHjcIivYdlUBp6mWPUjLxY6KpM0TZ+mg4eMh0EohbYfcRd4H67cB7v0q5 sBdgTdLRjHpfm+YQKII4kkF99/+taVmJ9EGj0EIGl86ItuKh/WD0VXiLs+YZBoLlFuKTMEWxEWct vE82m3ifSCAeKM68TqH7Pitd3S1/G/qg7d6W0SJrbuO+y1SJERGGrD9OtDRI62vrRjfmPR7Zk783 ovDSw+cFKwNc3l7TPZZiESKmUyB6Vb8cLKZLwk+Jwd/DiANxbqUkN8An48I6ltPYmRehw/YIdCxy 1YhOxHuSv+jde1XaSH2xjHStoYC4P585V3BnkK0OKZ0YdQECFqbk1mZqNKbQRzP5nSiMoANPW2su iZaNnnKKa/d4tlvRn+miK+GuLFl04ks0jRVHOECGVOAg6dHl7x7oNh8HadTjWi7N0rDDaWDM1VD/ UpUuZNgG35lsIqc3FqEN8WJXifG+k+d/HaivXbsgoH+WFCLxcGy4iIUveRMXn0nSB9Zf2UDHKrk6 gNzRFJG6uKXHry6gEr56nheMPHRKTqRYn/Cm/zIbD2aiBjtKTZDlOKcpjXe/OD2BU1lxAFdzCLQM xO2B1Udf5AREKZek0N5GMn8pC+1oigRYSYSmkskAg66XPOGMkHEhwGhzuiQi2VdHO6WsR7FPUde8 nykA9um3uIZ3MyAaQ8GLi5ps77Hoe2oIloCxVyQhgzW6O3sCEYAz43PhHqgZUjLOISx69uB9xEgW YcmMoRK5tPV+AQYoSKYQCJWsjRK8UnihRlZyeth6c5Q/XR6M+4YWzBzcsgjQ6nA996rKLJGPPJyg D6K6yZskMmzautejRQYIkMJBAcVU/GoFCMi8fs9mu6hJOWKCkxnoLusf86lOumccBFH12uUs3tSB 3UNZPblgsgwIXn/rQn0H3A1h8aigKKnRiQa4xx1gxh+zvQsPRIg4Bvzn1vII/VKZctGO8EhULGx0 XXZu0rALKjPPCS6qXBye6xL1W8UcNoJIhyru7JH/O1Nze0x46YhH79//TyfwC3HUfTZX+GakGmXL PewdD2hSa9ZfyDqjivbNTylmNtT82yFneYBPrZruPxAPBpXJmbr1Im034fpkzD4iYWffJPFdlkZY 4v6RblMCSgCV2TykSR/XhMqwycpZpAXEXJxAsLCubBXO5e/bnm7AYLoeqGaPQSRpx+9F0TIWVPJp 9UFos30KlPMFSmxJSJTTXQNVhKBpKdHBgpaJkVZzsMSKODG6yhfWelR7kHVI6ju8EIM6FrYjRLWh nt27jVCxRCgvTqhztznKTx0BMiiE48/7EjHAIWkL6+s3hykog50/14V0zerSY35WWeiy2Jl6NgkS 7IuMZqkuTEuUs739tlHFw5PIxOx3qAiwZ5+TyffpgaYoSnbikP+FezF/kgbO5s/frYrRf0kZmcPR lkCVz8FiedaC4RUL6IBsp+bMv6SkTpAYovtPxRaWZLiHJg4m4R6MQhFINLEVxg12tQAIc60v3ANK XpP45E/c9HaakGv6fRMlSAVJUb4x9o7p9axReOyEHCsayRUUcXJnBnLcocA7SI+WRZ7Q7MZVGEjF 1Ta8bRClsGcoE2u/r+OSX8M9LrQz8wbh1Y9Y3iITMZND8RYmQ1gQScj5Go8A27vbiXaUhkOxXyv8 Gqax335kZ4R8Q+YPX7SZYLs8r5OCzpzyI+3/Qe/O6i9uIE9SWosixZk6t/xY8Dri/oWD5zljikjm cEmkRqAkZFP1ObBI64PsNwrgk2cK9K7UrtWekTQsr3BehZuncF4HrGOOO/08s0O7tDql28hPadNk CU0D0LUPn2yQrGIQjc6HamoSSl9yto/0x71qzKA94FEezLVrMX6a61y8xZBdAfMhKhb5W3DRL0u6 JIZYUJUryllN830ccCd9dJRmUORZO3tkkfOEX75OLFi65DNPWGD9jMRFDjKlVQAgY6EcSY1fWx/O ivaLohybA2Q3PdxMHr38+7tmyS6E+mkBwHW1OD4rvNPsiAxOVcImtJsB6JuWh5O6m6jTJbmA/Vgi TiQcuLyHmDzZGycztfthvCIJuQuoRALOa8cE2CS00WCj+7D9vo4gmOxiIedfFfE1gKe9oMOjnv19 tCZQTnC6+NbZ4We9ZK+nJRsZru/p9eKeXbKJ0t5SJWqDKd1ZpVJ08IiBXEXyRiDUPCfn9AGhrKwx /rs7dU6HgMQ1Q5eIsw+64aXPeZ7OfQx59IEO9CRYBnK0cK8h8Bst4zffATpS+696LAVGOLMRxdSE VkCWmE0XDydx5EueoJq+Bp9TeoN0/KsLBp1qqQnioBA0NR1NH80DgwENjfNfzw/jcRtEUdnhXLqA HQXV1MthtCQQ1L3O8boO3ZrcHvQhMfXn+MSm7IwbmAV5bI2lY3orEnV8t489Jx53Q82np737NTlv YJIb1zUhXzLCxO4mWOYwC1JwWfq0Oy4geRneKzsZzLOGSLQ3f/R9CESAEkn6JQITLTuknVdSOgII rd8gd8V1PzHIM6qw9/kuyCF7LrlMjb37nTBdIYNfPSngAwGYpm7LVIahuFrlcgyf2ouEXmirUaXS DV3rTpUEaqJWy9GuvJY+6VL2rZi/XKythLpm1B5iluEjmuFtsf9OBLTniCmZ4NWpf9yu8yAm0r/m mqY2pdJT9WdTVaXy00DsFs+9oBXB5igVQNpGF93Sn4wli0lO7KrT6QqbuI0UBXOxSpx6i1X5MWw8 Zw== `protect end_protected
mit
342bb7f853915d106fa481dc94a81805
0.95393
1.811026
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/blk_mem_gen_v8_0/blk_mem_gen_v8_0_synth.vhd
2
160,589
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block iHqvfQqmYD766cqBXfp5OaqpLLHlP0n5XbhMZG0GtT4tFq8AmHl1PN7zlCV+qo3IRlZcdqXWuzcu xqq8FbmcSA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block OV9ugFnCFTDeWv1A7uKdxE6Hqp4lsZmYfxZJoqWus1jO74mA8+fxIAvjop4Qm1rq47aYurDSXaJM 0erYZyORDPzOhk6UyqZKtQqcVhctNEyVn/XakbbeBQStpCk26yu0F/PxrbxzAg4jFBHD5ibdj91f Ke8gc/Lh1h5BvEUDUOU= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 6CqbJYqawCyDTIlY6FHko/mTYG97s5C+eMG40Je2FbJ8jVqaGMviwRTcQKccCO4+YdIgedHy+E0r ObbLn/iPFRzUBz46H2u0AikVq+jVdhoM0z88/21UCBKqfB8JPVBmJxH+cwlaI9KsYlGfgR7tEo/a 3PbWVktFoQk6wcrHWw9pQmAQXtFw7q+MwJr79wAHYgCN3asTNDVwa93D8rJi7G5epEG3AOWfytn6 NxFO2ocS2tyXoiLg5qmhHhN+PpZtud8HpsMBn5DK2+pn/nz3hPIFl/OT11QkIlUHnmS6pkCt8AeQ sSGr/EvtwUKcjSrCFMiiPSXvzpmjlOh8LXk1Eg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block eZCxmdOj6uaNAxEcLsk3duHHoD9ZAPdwY7575P5gSgTcKPe1MN9UaNZKizMiWp3m7Px8AquPFonO /D5JGamL+ymVvWC48dAjyVa3fpR6+Vg4w8GViiB/xJeEd1DdWOSrsqQnR6C4sfsl72ZDi8HNofB5 tRnhUq3wo9Z+tcwKVCo= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block YxUrK14cgpvDO2dsfpY5YVppHebPL9H+yEBk83AaJMuY4VTCtl0ImwAs88es0/VsUYQUqr6Ld4Tz HcoJ78dAadXjlcIdO+UrDpNDRBCfttX/Sp4h1goMtVOa1q0No+L/8KrTJ1jB3FcZHAR9K5anD1FZ YYglB/bVpoqgnLtTrAxqXJZcL8+TRha2JqvtxrlYs/+1JhbiOhnh0y3IWkdCO8lwLZQArpQ/VUsL DjvPzzgSrfRhPOhI7BImMQJppnUNrGIcd05HdeoaE1GyrwSKb/fIcxUgQ2WCXSH7mLNUL5dCo1Hv ZNF52aSCvzHY49hPYvhaDkLSXldy4ozcizFWUg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 117136) `protect data_block JI8e0CvwVuixQ2R92x++aUDHyovy8pbVI8jcRcWW1CRBcsNcB5SxT6iBJKIX+eol1d5SC2HTWWq+ eZADQCmBtlmTCdBZ3OaxXwZEf6U7ogyX29hSzO6ZPBUkd7Q0GixeVCvLVzkxPYJOovxovfbERF0P sVYDoscLvhwaRrHaM1zQHJjT6EAUuQ4YMg9p4uctmCtzLh22tsoAaI+KppWSDhdEZj/88WuJYXKD ndpUZoP5W3eeQLXY2UKbdQ3/87wLAc2tZdG6vrfAZK1ikgEwvbT7eiK9c+sAdZ9hMFgYfjNGRTS0 2vQZrfaaThifqM/B5QibteqzZUp9yLiMa5G8GW2m4pSKNzN+jNRiHkS4zXpSiM75UL/bg8nahz8I nVPwOfDElM10y5jnSFUDFSDteMZcHbu59ef5Wts32XvSdtFUB91svItLWhOszOXHM9JaHFZLQqka spNGK61/J4bOrltThZPSJQ97djFGsATmWxVEuWXyKk1rBIJMJbPEq+ms1ml9p82YgTfKVEifjApW BrJGJQJ5ilyEra4/wRDt0pkZ/uqfj7JYyvMgOHmr8zRzKhqcC0eOGZgm9XOudLpje9zduGYx8C7P O3GKlhV16FcqJA0PLJZkVG/U1wn+eo3MvQK8r3C+YRHpLdtfW2O4PJ1G8A1+QZnEp/qo/xV0icy4 5WFFchLqNCrtRFtSb7zRsdLQwvCZz2Cmak6W4jEDvMraI7ErxJaOcy+CdPWFnHT4pEeFL4l9gzMk oSTvMlV7wwpKHe5LkayafNl49jZIpcaJ0YTS/teQgvz1OKzjzasEyy1sivweOYvlHL+A2upvPTxs /DMWnhZHY/uiyqNxawbTfu6DhMGlpcDjBFZNMHS6fCY3K0uUlleEzE7qh1lezXiM2VXw8+vkjntL nofIxreLFlmzLpucrrKBANm5xn1DkBQ9REIKuwSd5VvSVqyC6Gyoc5VfkNZsLT3Zr8zBhTVrtcAS WBq4Pi0xm6jBifYCruPp9NFDFrQY6lC309IXN6pbnBviwiCENCCh4olS3pbKtAiQPakkeZ5Qc5jD BaoB7p/erRkL941EHHp8AgMTd2RckqEADN0KhSrKqnleG2ClLQuJqEo0Zi1B7cwAweArg6/IfiSI 8W8evqrPZcwUmU/3ams9THiJobNQSZshisDPvmzoydOvthkahXxzPqRTfL8BPQMn9g9VuRjtxrdF /EIXRarcODJ80DCkxbvcJ/whQT2GomE69ebGiJ5q0COAcOgTGCCPc2wuyPkRUF5YYSMdd1LNM7QZ jeuVoBtWSsDh7NMTHYMSzrBG5+7GP2DTAewty+gX1fzXULtC2ioj87ZsS2NNDdKr8ozxCKE0/SVl SSEdD36pGy+kMvWV1pRImstVyFqrml+697D348/5ZETJFfQS7fHgdauDn4pr+rxJFgMbm49bjEcH YSSgOqRxLOHEdvhcFjLubcKCMfnOIaUNuFo3UDigUsSrxxYG8qfRXWheP9ypYFEfTDT+WrLXrb2h 6AoJDWV2aDtNz1VKs6Sa48esM9sSXFIRIfG1uBTWHmkrPTQMeJd6PbVcIxj26wau8mx/2JdOq6Sz 1p0IXWnYAAeN3YtqMNRUuONbpVVdvv0tpt4ywVP/pwq4LhmiqgntZ0TufWixFkHUej6nXxoMa5lx lQoApWVdI+de9RwC3SlJxuO5fVEshtS6egnwvuopsn38oFUgunVLh54Y0B3mHG3/CmUeAQU5V67W C7nOjVKSvnrGk4LaDquoAn5vivfTRXo+1krPYvxi/V+tGolDmEyQ9tE0qrRUSwESigryNFRCSHeF w6E+y4MGT/T+nsB4D1SVI23eH5B6cikWkVja74zQgFHgkyNQR8mad4deWCg/VmDqMScIWDfly8b5 YoNqOAscWfWJFbgY9K039D563PlBwEFpgp6DnBLnifrKSdzcLeTipF6wP45vkzRJ9cbhVFHe/WL7 rT3y0101yv9JcC8XhOYzDjflm3hp46zip0J22T7R/YVBvVUp/4fPlFmpCO0FCkJGHyucIMv+s0Hj EFztSRrv1Zv7XddWhhYtAJvbhpcGsM9SXKuCZJtQAuepTjLNNbSS3QsLR/5+/8IOuzC2QAf1vrnP +8P3QQgw6Gt/+LRDFuylhK4NeID351cE+BOm2ZnPUx5pTqwToqWovIggfgTEGuhxnjI3p1m0EeoK L5mDIRb/CEH57ggCWmRVso2Up9q6zppmrcG6HPLmD7Rj0Skvy4WxfzB8M007DS/NefwER1q1EZsR liCm/rlx0I2/tiTVBDXl0jlstvaA9JBGd21WrULq/NOt3hPxuy34c/H4d4BiThe3esJWow8m9TAx bn5LWDVHHcUvYEmlekEsxkPthLJYujvyWJl8p7uhD4Y6HpoZHt3jXvE6MCTICboC+bc0ljk4wALi PQloi6KRWvwAsFFoC6l1HV+ENJeAZDj0eYqUgpRmMyn+RqvlLzek1tk8IQaTy30PMLEkfFZKb7wz 9p0PU689pj0u44nu7Ph5zBXkk7+m8kx9te5F29eoonMyeDkDRZoOFtCW3k86H6LEKvscIYQ+I3CU s7Jy4az4dtc4nksgbfxaDBpsiGerCbuJ1A6qH33Wd3Kgc8rrK8rroeyD4zqNtL70B0cb7z6buD89 +zD+YflDiFk0wTV4zqSsfG0dwvaJpWKVYR+xaasLsqGozg+s2PONYYjNabSvmr/CwsXzzTl/FjcW LBJtBMlOA5mk1pKSoAmHGhHBwyK6aSBvl90rzSk1oo4UH1aQg2M7YMGW+qAjzJqOTsTTJaKvTNZP 05D98GWRtABg2sPx+RgWjQ11IeMlvqkIYIDmaJt7V59X8q2IpUQAyavs4JGBNkUQKMbs1R1MbV5r 3rUX+HGLBQg4B/BAllGU5phpS8IdNOuvVFkOzBycIGr7Aw8TDyRzQRY+iZQaHurV0/COXm80RFEE WWm/XZFY2680+9bhgZ/Hcxm3zPCmtRjGMBcOuOd8ATcsej/Sy4L6acbDhiuMSoHTSaQno/rzEDqI Vxe3JzMZjR9nogF22EDDd1BzHWvG6+urvATcRO6d3TYlvt33xAXBF2ZNfSvUBngTcrH4tKZc5XJ/ NnsNP39Ky/UFeIh9Z6u5DWBepw/Se3PRzGSNlz8Jot1Z/DjthlrSCkIVgdxWcpfXtVvuBymhfsji 5Stq1uWgSWtUISIfC6mnuiU0Q3n0FVZmJKRV1EJIpJTp+TKroPMlC/nkAYk2CnjB7x/T/j9fUjWf GZ8VlXi+wlHfUPvwQtSyOLX1HexrSTMxUCx+AthsvtlriveD8XXiOQDrG+yw/fLYmaSAAKt280wS yBcaDLdj/Bcoq5Opp45OOIykF1/ojrB7j6czaeDmvGgnIHKqMCow8N1DsWai2w/UnZ+4I1M2l4A1 ZxyOl2n7wfq/upA69IJ7/3w4D2/x/kg/81O2lkv/r5sf6embfqGbefPSDefy5loC6WUIX22AmjXH EjtoN4YJhsBWsTg6/FRuIlFhnUnvn30DKFNXNMPwhA3vxP4Uizr8+l1HP9nTaJebSoHNYDypTEb0 61bp5YOmQMapw0M16EvsGDe+pvTZXiFUMMZ1cJ6MnKG73u38jO7GSWks3uD7AFis199pY2Uv5o1Z zf/C6JjK+9owNGbVm6lfQKawNz9Tywxfs+SzL/7qbk5Ir9OoFzz/d7f21CJLhCNJcyoBy2zeLNKr cjRTV/Ymm35mLS6t+22QsNQE4oxUz8dr9B7QV4wDiOXktraZbxdt9JCEUbZM0+hH8yM61cjsC/cy uaoHy3GDzLJqyn1cRqlIOrKpAqnLxiaHje83RuQC/VG90XQDTLLkQQ7+eH3ZDJkZdCFNR70uh0D4 qEzDJT04+iLAzslmUPRJZ5GJhbcPDwW7JoBXBy0h9o9wlZWIwy8EUDM5hORFbA+MiGkO/QbGHdCi Q+jw5x4b3PITn5+wqh8Xh/yJIDPZA2urmWG4938PvE2Q39vjD9sNjbEBRs46pQztsJxzB79AxqFk Wm2Ecv6miCtLFyoMjyFva0QB5uTdd7CI2BM8qi/1AndvARsVqJnTpvtLYrLzJapAVuOBFPiH9yFZ hb5RSWexGTbXBr+VYTIWBGh/pfaA7y/o0UtQ+PR5x711iTIRXLmq48m/L/4/ZrSXQfG1f1felXN0 10xHi9m2zRstNUhD4LJguObrcMdjlGo3ton9yZwUx/mPSljJ9DZauhIwFwT9lVhiShsYC4Pa5pMc bWjh0GYckGJXzd9nNhsaMuCUgtfeQUdjAPdGbrTnYHP6NA4BoXYPLqBPy42FOnHJmch7Wc1IYLce /ENkVucBtO6dcw2ZqIYTAHgR+dmrfWSuphZu4q1mifrPVEvllpkGwvuxjmMn49ARTJNTtnVrB4sD R5qggRuLiOjC8ZTst7C6FeiyWmZDfjwjI5PxnH4NLFQ9NUOSt49BhBEQiGXUA9nW9YdzoCuziFFh K8x8Yl3OW8ksViiTDKztx+73Akod1xo0hoRggFcOLRUnqw1aS1uYqWTLRf4U9JdrwDNc6ESIal4h K5RzhU9E0WEotj8WaH+Ih8lCf+JgQNO1vIIgSrcaBqZswuZR3CaeUmDFlKF1SJ/e6Kg/aVhV6Hr8 /JAste2dxcq3TVZ6q0n08700U3vhR0FDiV3cfwupkQIwei3k3ZV1rzJ8ZuOnwOvZjHCh3LNV/n8C THCjpCEUbqslIy9JojnvgGycHJmoTjG87i+VO4oNZDHHedd/FD6Iy9VlgvMNF+MvHTTAE+RSlVJ0 rrVzX0QO+KjuksTdtDuAA5Qg6rlJGmji6guNelbfgsY6IEfOyxpD7WWRi6h1l8DvarqIrw0WH/qn jYp8xDs4ROsvGHa2rWeaKXxQbJv5bOj3PsqYT9vWz/fuHZoDIaSB5NPuUHyH/huJ1tUvaU+WM3R+ s2E/Sm7Cr+vBIIZCvGNELtbMgE+Vpp/t3UCSbz0VCik++Ylg7+HM/+8nCkUTNQb52L3EsBEuajdt ZhBEtUbcVL/Yymiv9Oc6L7GwL3+0jlyhqLyGpRO0SCd/DhSrDZf4emQDX8xJmxx1gRss2lB5sOTL 2t1LNl8BY+c0/JbKBFkXN7hTsZR53Sy2vlCffLxKjI2UK29FReF+nJJrjCcZliyAxwbK+d3eRH9O uvhHv70wBhi0p7edT6IT0w2EFtkzwrPL9BLlbMKUweK4FKCCbAxHcCO84enXASGB6UGgKDb3rTiO Gv8IDETBmNZmySE7i720RI+OnDheE5Cz2VFHpYr8eup/gxnpHH9e8/n5yOoNZmVBsbq6V41skrfo ZCkn/1flEhhaMQraEN4xLYLjJBGEo31VgVXgW3UWDKqZxhM+GgAE9+KrGcjKPRBPG0YTnCcxBj3D lCLsG5M83VY8aiMeJITOSg4QNxJGcq6hKa72PS0R0w0dfQhgdpE41NP4ZBUmdOJRWRDEbOWy1KVL h3e1MyeZrfr49llhwPJyI1sCXMU2By/gRFoONtWL2SbQvw3O3yOsabRTWBy7gaeoB97f2Iey09DO e7r7VC361PWSctRYELiXoiJP9MHxsmzmPnyLKNCqZrsM1vx/mkgglRFgx09ncLnQDixG5iWIZJ3R 48iU1Gw+IsYQXmLROPn9dFSGGEw8rxUSowbn9kzXSqK5HM8HO2RSxlj+dZoUAjo8o9aMEOxl/M9V cqcVC3FX9pzpJZEFoh1tsc/LSV8X9VMDS+VTuZQEl2ccDbnstNDe4iYAAeK0dHNTOsB4pazfrolR B8NdOhzER2vOx00/m3FLSyvg+v24um6AfxpqbwgORMJornMe5wLtvxayVUqRkAf2PaEAPIvMVnd+ ynvjUSzwv4QKGE3YVpoSFKclgiD46xqvuct6SXkeWSFhG+cM9DCsi80kOmEeDNIXmzhI8KTtl2v7 e0Chwn1Vm1IzQa+9cVafUGlHeIHr2+wliC4c4xQ2X48Y7euW6SKHMIkIxOn3Q6yxq1C0rfqo/vQM 3LmhfNwYej/G5ErkqjcMbiWKAdxkhAgiLvf+mdAWc3yqjUzvJJgc1oxNgDf2bO6zvXQPmy/3eJmA seaUXavf0BFq3ajdUdJ/C/rc069T9fzPLkYTrFra4Bw1+Ek1jD2rEXez07pwuZG75KR1gMlWhqkG jTxAtpHqCGEMKYcTwXb9c5S4T1TTKw6znQvi4XxHwcGCy3HE73q4imgXuzOsPWPjenKID9u9csXb 8hSssmImIvmFWZVntjbFtcKRLlrxf6yvg9BLoNeBAqRRx/z6ynk/ibHywna5vd8Yaiknw1Jpf6ec dRv8GEy5OKrzvbKy2x/JYqGgxZ0yfTQkNiwvmsHZjab0jMOtZwybLSePCoJDPORFDZdpjKcQsbPe DuDdqcfX452qXjCOxbp53fqQgTlOO+uSoOic2uMr5Gr/y6s1fV8lb+yB5e9BKMDr3ssfmbrZoq99 J0aVIl4+i7koR191Am/jLKFXslwaJLDA3mW33CwRVh6d5W5n6mnEXf54bWH8w6NsOIW3lPVzkJcK 78Kv54o20eBO+EzEGyDrWz75vT9S7J9gYRqvsFCdT7TzjAD6V6GbNF02K9u+b/x6Yw2D/VFX33qk MCKbNz3L/r2xdwLExV2PFOrQ0t/p2euAwY4ORrokAoXVZ2QVaWk5coP8vOJy61EZEZ4CjniWy7F5 aeqG037VrhpHiFr3tKCtk7CXGNIdhWXGjvdM/oz5InSQp7enfcJ9GLLfKVyRTphwYaYuzxcXns58 AgMaM426Kzvx/9GQg/LSdwePb3U9qeqDGhEQ/f8n/yIOoXGm17V6ImX1RJfOkeS/YuXhmhTHyw4/ PVRDIIgJ7a2KjXtRv9foRjZa6lFDmf4b0GU7g0z7RKLDnrEme6cxUq4tXLnoxHF9vQAKsDtZ7lrw CGPKx2piayDI8zqQXt9aTmXhWaLVLqsrOSU2gxfR1bBlB0d/Zmfa985obGWMS3OlOJdIvF1p1HcS tBFxr3jbNYf45okQAGVHh85t2fOQaHl+EoahI19IV4YBfJVLe5Lf67H1AA0v7UTmgdVbHmccEk0O 4acPWqk8W93I+8I/iV83K+C+eXytdUYAqIiMhYoI5Hs2nMK/F8dEYgCAA4jHQbVWqRFuWjolxjis TjyaiF1sNcNZj2LlrkvZGE1/trofazLsReOrfh7jAEMcfL7tBkeWPotCNWhZfY/e4u3q4zLTErPy 0MCE4L882bHkApMAczGf2igZ6yv55r75D5VlsRZVcc+pNtbPqfxk5fsBtN4Kh6Bd9oEDYeAANOAv ji02urgxMYcoA2rRCaC2qffRZ9bpYOfLzw05Z6itHuYm+T+dFTpYcXoD3xITnp0BA3hw9xZsZbUe AkPsfG8DC+hJq0N/gvLrsLy71AnovUFmlz/dVpNRqChFCE+Ro56ozzKyxLx5z7x7VuLHuixWZYWQ mar7tHb5S5PSC62QWX4VPRUT6YkWNdZeCwjiI/qzm1Tudd/DDx8iiC4HWJQHhCrcAjzcCeXi/Bdd n2+smHrlwBM3Lj45spXlR4SXZSLysoJplICY7WQPlbj9jr9H1mRgryEyoDgRGVGdXoxuczwpsBUk nfHYJIdu/aijdwpEaFqyEYs6wCcHhosWYzNTLk0ZX5RFqNgGf+Ib6JmNb5Lak2QNC9HZrVEQjZTz H4Kz64qM+O0BV6RlcIB05vK5m4hQGWpI/+slyXLwXFZwzWnoxtk7pnKwQOBd1MMSpslU8xqbkbH1 clK6VjSW876ehcf+hWPTXE2e8QPQ46DLBP8OuXmyOVL1IVHu1BORZkrk89uNcWQS9m4Bgu891E0v NR8crV34Lar0bspf71rxZPNR2lN6pP7MG2tVKzp8g4ZAidXnB4j/V4JCU/veXfCWPSfYCFOcgdpt r891QzVr/LuHt5oaBJtMKt7chGzNcJ2Tc4J/vHpQRPhsmbd99RAYhIR9ywm58kmKtauG60cVnLLe 6esX/1hGnhJ9jrDZdyhIeE2v8hg5Y6FJQeliVnicNRNpCJVE/FVsz8paSdU7nF3CLKdWzQmiyfO+ 5mjYxi4Qg76M5AzXSEXfLVzVfQ3ZC8rpEEz1mJrleCsGScMAWoPCaMcom8U9yJZ/pBbf9owTjVbb 9FskbrYyLoc4i0x3aNKJkZh1KAxRgFHbiiBvBa7ZXjFo4+gkEzNJrIFOwIyN1yysefpW/b0lLcZY B8ZMkfjEK/O+SKFUzWsWcwaQ3JH7rS36dqKuxpCDbWhj8jie3B7yL127kydZjDqaw3RKFuOeUfDR AqnYXTpjvT4f04gqbbH0XIGZ8NCp2aEMevqpRRRG8VRRTeDP6svz6c8ZlkQrHGrLhhmSchg9yYwK 7xF5PKxNMz3Jd7gTzpGTdx4Vo4+NaneaFUWGpR5zb3FGEJy0yhc6buY3484+BKovdgLYmnx51yoC 4szdjCQyGDVLBoLZTBm5RZB/Zxgax+Zlxa0W2sH4HNqoQ9i7bfJTcANQCl9e0FTAHtFwrupAQv4Q rn7JA6vbddV5Qh9tAyCQ3wy2zUDZ3r2OP1SwqKYlvHssVoFmD3mX3imiBfkQOeL/NoPCfQJo6pJI qZwv30wvckTnkUW5huPWhAlHWkoe6gavFOJw1UmE9knQ1TPruc4yzL2pa0PDMTrapB7WB4a+c+Cc XGggTDckBhpMj2iywTY7+BLH0b026yulgkzYHpUTYMogL6pCloJr6y5QokFYx1FcygCgJXUlRpqG ZMqeDkoSWjIluVilgedi6kGEFLYC+jKLLvI18ATl5529ws4Dk7rYScRfUk9Z8f9euFX0C6o+6shT WY0NK0gI4LJOf6LWSV/FrNaxi8a/rBViGhQkjKfDI+raxEtj5ZuzC94JGz0bbNmngSqaAjlBwfGx 4RTcwM3Tn+ZVxA86sYzA65WkpoY4wJ1aELZrGSt0/F56Js8f3PWYV/NK9jM8NDgDVwC3tZ3d+ZW/ J6YT2se6yCL2CBLNrbqOsEIJXxNQHTH1FaXt723wEDDQsJp9tys3eDC+5Gj1UZs4enbS/uLNGzd8 rusPN8Q6tGcx/y9olkFm8L83rg6IIK08/+5mE+V6EKvxrxPODq4n707j5yEZMv6olmSVUXMHxStt tTb+Zq+Hf7wdD9CLpIBgBtgHFwOP0ezjZlbtCyZjwPrLGBa6cSW9PuvtNK1Gi+P+NPjmTX2eupCB Yyqjj7CzfEyCXot/u0VJVrtHtw72dGrHjQu2C1xIDVSDlSFbv/1FM9xbJl4fggIV86PNuYVaHneq MKi24kXNn8K2tlEtS9nj43FUYB3JeGaYiNQM9tCJ0xp7PaL0hJosmyYU/2uT+R+nP+o586841nWJ EftePeFQwuMGOVEcdWLBHXHC+YdvUU2U5hrtunMwPeMxHcO3gIQRQUhCNXTgMQ7Zt068UVQMV95g xCgggen83XD0CGpYv7AAwU+JED/4urvrcyzJV3idWHbtGZRwcTTMeqK2nXb1efvOh6Hio1AhS1Mz DnMvbwQr2QwF3zVZeQJtsivMFxempHIa17Hdg9T6f0bqUCl4ldZRqb6aE9t7rmqrRam8FTkz3QiQ K09Lw1oHBb86OTGcB6V8tskh0lMUYxudVhlcPWphJ0TivK7tHd4jd+0o4T8jfwZslPhNmfgkT+Ri 0sGswerFSI7PhTG1ovzXejGTIKB4AZbLRmHukQADoKw55x5YIS8KYXmAM1mTS8u7AU9I3etCkHkW ssXqPm2q/2yARmw5mIkqsL7g4uBd0Z1/TsifJG8Rz7AGhlK3l3X5X7B/lkkvuZ2zFi4XRuqejRpj eHQG6dNXbj3bxmNCPuRU/AA+6aOqsQXx1yVE+PvydaRw0dRczOg9xMrjhGy027zw5oPXxEIjxUVs Jhasj24tol5F64pWhyCb06FgA5T2udslM5VBmIu3iB0yxCL9xbwhH8sH6FypCcHAb5gV1vvX7BFZ WpVT30dL/8nNS4LiHYc+Q2TaVEjkYsaEQ1to8TB1ivOOthueB9W5oMb3fw2+WPBidyYBWZ1Zb7Co Vn/95wGnQgkUKvQ9FN+xxie+Zr2zs1hxyQYffuJUglkr9lRsgaAdOv34UQGrrAEiySCE0R411C+m 43vqGscS9aMLy7TAf9pd39Fy/e42Zm4sKycu1tfvTfA4WwRJUtfv/rAPlHnEj50bKAA0izNYaxNH HmjTGQOz95CamUfUTojV2Q/+CNHaC2UCpNRjZtTtGQWKVGwSkLjVsdSAZeKMhdchiBzxFZIItBky 4BO+OXaFHhQpcfDEFvYPctmOCNjhZp5XXybOMisGsQmogl7sfVjz/DotqEeZcKn1UhR2e0fzhZ+w Ncn4ydn1bL6NAkHgLNXCu1DkUd67E5rES2YcJklmP08tXiey5Rvb/LwqJq8SA0oVOLQsdEy6hnEC aZ1SeT3zkbp6A0DON5pUVFdZwZTFEEB0eFBo5E+z6nH/HzDDQFazLs06r7D8rBlsIjAbVG0FoXn2 xm3zglYt0Q4EkdeIzTr3lVVUpOCQH6uES/kjxbznYxCLYZj7TkzTzjrXAxlBJu1lSXXDwD+mMYba bXSK3oSHcvCynUA24+dt14iwb2OaYAio6dPAWnzfeZjd6udMsr8klDZNkOQGmY1peF/YcCrbVL5e NtihP/9OUUYa3TXAac3GwT6Tq5UPFwLI70UOSQyRN13cNyvhj3vN6xEk0YU7iqOrLP8eceCNgpNO yvpXn2dxQB7SSMTeeAqgFyRP2ZeBA14b2jJkd5cNHuUv/38ubiaTqjW6q2myJYxcv4Hc8M8669Hz RJMizS3yS17XyclgexGOMICPgSdoSqRr1PTWZT7X0qvNMT92QbUj4UE6cVFA9IysKiL9emq4VZi9 zDtdlaskpfKH/xQjPZqjBSoAs3JbVkpLHCqzERqwXDrP2FKlt7SLt/GwLq2Y/gFLWcR9tU1gpzWX a64gRakVUwC5XFtmAL4k0KUa6Xh3rDy1dsW3ck0LVDyoyxZOEAWxe4HptYFC+nwvIQp9LNYgvFrj uvEYi51ZouphSt3GjYHwENMGkpEqGT8fAPAieZovXGwIJ/eOCdNoKRKJUmJhoJUWIt0SdRAbWq2p 9Wyrug2fepeBWUu+uU+2j23RUonBTmSZUeoTLEvhL3jgrO5PQlOmyI5SMEt+Ft2dpFaehb9ppgMi RziR7CjCtazGSCkPEMC72nAIYBhk40o9KFvra6PUbBHKnGx3D1cxJmZ+OFTI0bNBeLZAex0iZR0z M1Y5DpQ0XPkNyt1SiD8m/aEWB+wp9Zmix6fl1MEiKbuX6aupArHPE5cQ6Gwlm9oUTmzd1+jSs39/ wg+eOlhiNS2++/XCQXiYKlgSJFDKOc+Qj83YEm9pazl/ixG8rTQayP7UlhHUFEHSL/ZnSutYjZl1 bBtRrwqKJplxwp83fZNUR625AdXXIHCyCtuCRvIr1GaipbRh6V7c6A+gKMJKYuunb/e2B5LYL4NU DnZ22fHuCCygZbbgIgdci288j+/GkDvTiZEzvE4P32DnodbpLAe0LS9D2Bc1MVoCOgDlUCN9AsmV /1POQeG8/Ou0EXt1ks0sA5WGSI8D08tIA25wG4uJnq/PPMUoJlpA+CATTdSHLc2aHlUL/iOzHv8d 74k35y3fXIgD7YfoovmLRHtwvfWcJvFQy1gBwSyLP5y2MUlQG3hluePIe+l86OQKxeIvlaUX78Rx azrGmB161Ju9ox4SqhRnEri6GpKiP/vXxUYMTho/ES5ip8l1dQ2x/NCUW6+MpbMvwSyH8cAiTBQb gI7TVx90FnE+4WT6FwLMFHTZQu4/A7G3sVILbdfUU2+d0GMqL2H1QIaz8kRsbVrbf44TqFDlTaMc 2liMtjghWleJiWrSR+My8dYXvv73w5mSgVUN84X7o+1iKc0irU8BetUT2xNc8GH9Z81nemqbZDKi Hask6M62QOmb3Xk19PAZZnXjYPiB/in539jbzbMTDnjnvD/quxYv3rFA78McaFjx+uwfnFZlD/1l gbD2NDFT0r6NRwby5UleitO/yRhhpTNXBcm2I1xQ0OTvAihskACcUFqU0x8oxhKp6eGno0ut814B p2mQfFOPW37z2jLRu/6FR9NtTufQivR8ZsGcgmqAL80WU6NkTT57QafBCoQA/4tL/xbl8pBt1jyB L4vPFhyJqE7HdnDoH81b7vsvn3dUZYRLpNA15NAb05wKHMXgP/+yHmHNIf/ScIJu+1OxiCaNaDN5 wiq13BtHFr+jEKPKfoVmBAEBKrCHcRTkgaSTu6dmKhNPXLslTzAn/f6qvW+lSMhEA4kB42+huKyv kLlI+h9j6czDX006MeqMyneVT1ryu+BGKcyUTZ7T0fooAWsC9R52cdw7bAnq2Hi0RxL3MShDe8JV kNWORlCA2Ui2wUd/pZOC9/5d4gZFZ1kPTZnZXO6xtVes136wWt4Yykk1NOdH9OTYWHM2M+YDh54o G+jch4+6FHBuu5/8+N+jDnCcy5hxAd9NCye4HjojE9LNEZ2RKjvoT5ycuy2Gjs8pOCFqU+sWWB1b lxmvYnIsz56xGHB6KG2q1fiSKe5GoGEeaeKOLAV6w2lLxMEvGRNA7MlTESy/cUh6iry2r4ZEZcY1 9PLHk56lv6yrJmzWz+i8kh1EYgIDKfmaGvrLwotU+vUS5nXNhFSNDWBXDxpv+76sRMWI7kx7tFjQ ZVb8BStJKuBq3hYKoZ62paj86J4H1QHgpmZvil4CutvOS/6wO1xpHFhCijfPAt5vqrD7OGchvQKj mX68o3aZRr/AzZwUZxhRpSCQIsd+N+g9XLUDky//MiimwhvTrWCgxI4tLU9LKdfEEEUua6ch+SIk 922IiYeN4YdN4YbB/xJ20RmYNucZu64qX7CDr9qeadOmLAzQUYH3XvvgqYQ4wMaGPrAXf22YAEhH 2kCOfuupd6kqZv441+ZvcOI1zi6LC3XyNja6TWoso2c2D1D634TfV54x2nkKI48XD8FGqxR2Wj4q DGoMU5Y76YFDIhUViMq+aRRP8tB2sB0agb81VsPPUCaKReUaI0/mx/1fWzFHEabWhuYfPYyAVDBG JTJITQePQgCvfbCUnqsK5PzmMlBmmcWySzxvzhu4QhD8+0zoriPNDtxwrLOVghThIR3f5gleDrE6 E2Z6k9AJK2F3GvOZSS9MwLOAT9oTUdACLaeqfQBbv1opjpWkGcCEZnRLw0QLLsgaC+6gnV6OVmt2 ir+Zz3rLvxvLX5HGg1gDMRN/muGYysk0i91qnpPF7+viq15Jz5q2S19xohMBferSHyAEfuIHSHlW Sg/EUbfV0ywwLkn59zzmfrhXPAAhTGuorTGZIItywrksrCEv25O9uZ4V8t+exr7WV1j+2a+B5/H5 3kL0i1w/iBj+8jvBZvBMLb4RL2nTSQ3NiRM250PIiQedL7PEXGpPFG8ZzhWjhs736PdABI2jONXE 7v4ANYj8ir71BSSopnCpf2ljKeXoeQXQ61FBcxb6op0byJ6Q90i0GtFoPY5OGsFKCSp8ytzBrbqu 92Al0Q1wTNdSyn7v1Xa20rYlIyKzbhFedQHfJGbqVHEqRzzFo3YMj4Hueer4IleXhgQu/5HcPh5J XyfEw3cvO755XFVFA7FVVtYdO6AE2NNb6LzHRkfpziokzOZfnBVo04oSolFXISK2+MvKIah0gz3M M60Z5gUjq8wdpfA+r/2J9BdGcIrE4a1huijH8LgapsvzS3Ty6IqSk5V/IkW/z6KxxqRZ76eGqpew NDw3Dn5yWV3CE9tysc1xcBvgKHQhucfLmYZMNuwpNaDnjA1Gm9T6HP3c4mOI6W/2AWTAMfZWXvqX L5Zb8CM+9hDo2jk2K7RhVX0YHLnSghr/EYFK2ee3KKjFsWwjovQGbgAZNe3GyjtabTZqMeZRYnuY dbgFwoGIL+jfBKARJuMSSp0tj9P+mKK4a8LN8BiVq8MA+o/BlORVtvswX0S4sKzH+QQlnS1J9/Lh hEXzZ4M3osGwUD2J9B9LbvR0IJG1VhO2wnnlDTDl7pQc7fet9Ivu+McppCcQTipK8RqSq/XuMSWk lS04ctIr8w0eCtTz+E391PYuuzf7YPYvoKCZuBDK2pk5vj5vtKqkO5GJ9n84JDOamLFvu4rbgd9j tBckU/+2qwN0IBnb1BfbEo67YOFIykG3A/PR6tRftPZxbgFf8PM4kNgMB2/IY2TJ831s4+QmA3oi eC9i97jGDtlDiCVMFcynlpuU4SdFYNzmaQXHzere6P5AKILIATSyaNtihyuYjYD1vmfbpziBwsT5 tcdZecZKLWjd6DsQrprT5Rltcxv7ID6CaVoN4VKiCBGHC1DhWou0qvzo75HHaHMK8qp0GWrDgRDL hCf86Pq4zLFxwO+rPDgQsC9ByfPlx9XmL1kp5XXwIz9jgOHAwaZEfRLiUU4Q0/aPjhG017nQtA0Y 7eezcSdQOWfEifuQo+2+Kno9E9bOFaIHhpVnxfnYXHeqUxclb2SLZpj3bd+zenE3xTvHsZLjU+mi 0XXuozKF1XAK4XVTpneDwJYGCfLSbIplpFHMu2FsLKcQrmD3LbzEU5TG2b8CiSBT7WkyviA2+xQT MXSyhbgAdm6WZwzplMrpnzOiQczffKzeZwrZP2Mw6cwwi7qoyNx9gPgUOBQrbHe9MSDWkf7YfxxK RRo7a7+TcSNHv6VXV0FMNAPPupiN//UuR15Sj8JVKNSvhcmtsFDtKbPX8zP9sJMU35Lwy3eIcVhZ P+cybAIz/n7/7DW2a92locPtuHcpmsCYZHEL5h29Q8AxswnFJ9i99xRrIyJGKCgN+YlXJN41rOCE XcCFy+HmPcxSsi2otlyFjzlkAqGydLkiYrAVF+uonHkk3OuJeV5D+S+qK3CqdcUiiarVkiRl9bIM wEYbMQat3NpZvcvoHo+U4IDyia4E8/aJwOIPdK8w5yaWZTVXzrejl3LWCLZLee/B6Yg6g3pvDTe1 EBhYaDWtgDlXfg26q5kXC5iIDYPqv1UE6cagVXMGJXDhVvi8YC15rkObXvZ5Z+Tp6mCBa4xPtxAA G7tHuBhK1beh07kjBIxVjt6kxPCsLUBjdjuCbEEqwH4T5fzueo/gzn+d3h+ZqGLJTehXll/N2Nvu 3T5zmGb3SrerCdY9yWsS5D//RVf1K7wwbp/ZDws51kNdhYrG2xxGa7Sqyb51qkQYBZqNY7UMKqjc 0t95XYCjX2wtfwTj11ZyjxilRKDNyIHJVM/ZfvmuckDJiCWCA/Nnt7IVcCU3lg4alx4jrQpY6pBK wkOy/7K1BKVFZYWe5yUX+2lBMgcXB2EPfn5jmgRsNzoFWy3X/A7/nAzfKqz5wZAp9XteMA06w14q GvFqdgrrVlllsqmOIYYfVk8edBfMlPfLKD8YKDIhV9E+jrWrIz6t8N8JrHXRmW4ZobFt1kAMJ412 rYbBZAgR4yf7ABtIcbbSiJSYgsdaqNlL0EqFgmLitUEDNfrths8YEM+CKNQey/kMRC6DhdN7QZz/ xQEXmT0gFBbDzdchZBvndwTXRu2L4u0Dsf7d+xDrT1vvHBlGF/+wyn//Qz+buO6dcxhejIK+as7o NQc9V4XK2RVcZ5Zglua8q1yrNnAFlzW7KQjo2TiBNaKOXE9gXxb+2nhGZ2WRBu1ffY35YC2FILJx uVmI8uBoEEX0eRjp1J/no1IjrsDmSra6hHSEZCi8/hbGneMHgKKt5jfIk9JxEiMTDb4Ie7mzfgwq FkZoIG+4Sky9ss9Bn6tAXpB21DjVC4L17kop4qewQTKcAMh9dOaodNK2SuIXuZI0H8uLa1MbatzN kXTRgS8UyTBQrlOq9fFATByOVGx2/LdUYrpiTEKvVntH9sHCO9lWVtIH/jgSm1CcPGvtnXuGWZP9 /Rl9nMUej84pz8XegRD9QbkQZqm0wuV+VpcWmnD1eqNtBKUv6YM5bVn7IOOTLuz4kFvA1SLaWsQa U2pN1mnMab+fT0xjCIiL9QuoevdeVRgz7I6RF3CRU4bpkaebc2p+K2bLBaAeHMntdgI7qP+UBxnV BcZxA93A69D5LHiKa6zrETy8YwOOw7la+zlfp9KJ4YjhqJnuHhd8sE/VyWGrzAWZmxZFCoaaKc9g 9lmqO5fbq/4X9AjYsFmSiKXaP9JB3WVSMvgigGFxpewFHmDGa4yBYwLO7BD5lTX8RCPzGg/pfHaF mrApj3TGO9JAi7DMMlMC4o9pKbpSLYgcTjjUF98Iq6LvX//3vtcI7bK+r54xK6P45HXjQnf2xKWJ 2tmYagLUry2JBcavWapCSlv+Nr8OGfSnxhwmC2wIVE5XPw8apUWVAL9eoN103LGnV+qqc1V9/P+x s+Fq9X+NtmwAsSI7v1FLr6hrpRBNEMHTTEXl05t63HF598GtvULsB+JYQURWGMoCqeAUaUlOCPcR afSqKxlH+7cvT2r7Yz3/hFyguPrTPE/YGqOEhgAp4R//oCNIb3UngHYAsVyvKdSGQIeP6+HWd9rb ualmugTDIb84mJI8lFWvtS3VLCg7JN3S0yY5dRuZJnOgq11d0MA9dYiWVlWo3th1OpF6ewywYypp mpy3JXunOQ8yjjcWc9LUYe2REoYb5TgsQyQKLvPfzZ7fdStVUpRxd+Opb7BB/IbNhUuJt3TP6Dai yQPjgg5Y/1fnqxxl074XIhUz537WpogBnPO+m3jCu3yVf0xVRdKvO+ueUcA1zoWuEhxknqlTNy0S pJo7NDFLWFAz3HMoC9HJXWM7kaHfoimPQ5lwFVNm+9rDsq8a0eZ8FKDl21LNUOeQsmqy/GpGL33V 0/Hg+d97V5TzZwoU56fCn/BaJ5sgGb+KALOMeI9ZVPa1G9Uo2lAr6nZvvjkKYwtllk8iU3k6aoTz kCLqNejnD3w6dkMAxjdNsQKhkCK67wJlkCrXnfoAWdHEytL9qwOPJuWdcy793qcBapd3uoa2MTTa /iOQ+fa3csZDjrFs1WtqKrXZBca/eT/NbJKHSttClkfdO/Zw1UQidYCOac2Cn4X9jTaFjmmcGzop BTn/ipdQDkbVZSrRs6yIiLEkKoAMZESRsRqohT4r6QG+Qibl0Qb0q9y0m7JuJ6Cnt4TzLElFhrfG fPxgru/j6iw9IfSg6j6xP9/SvvVwViH2mNx1nomYDXtfUv6/AikPdHICCVn0in4Nya3cd869LmKe Fa2rhMwXDEft8oG2jneaKefJr5x7XxQLm2XKAkmXUn4UpcyfcT88Kd+qcW2Y68tqk2guRNegGScv QedPdFZ0fQNIY+iaE3pyBf52zYoy6e4YWuN24E+F+8Yd/S/a7V8z5cARnwoj02yLsRgNuDMMGg3g 7Y5EGViDxirvGjO2Rn5ay/GEEh/FuPYdCJIGev2sBIQ2OJvpgu78ipGKaOg3to3Qw0iVuJLsCrB7 JieYYVET4Ct2FQT3e03CR6m6lYXf2oZIYq/Lc5tXSuzJCUVnGEWnCnw2B5wrwBSHVf9O8BOH5aXJ 0LWQSxPYsQadTANCSCCKkinGQlU6k091onCYZ2Z4os3i9MMdUbXb2JjdBiaXGS5ERGB0yU+hWjAw TGWKKgZItawqu5LZticUd8A3c8u/hBZCI7dINXcVTCgCZSNpGZPhnxKk44jCRHI54O3+aLF/Jv+a bl22IaFNi4VFoKQ4PwZku57Mlu7QkWLzgDZZDUD4Gdnrln/TvUG1RzbuddPFE2NW6nmpvZrD6+9f uQ7tVnaEUfovrfZ9yGsWV6ERQTHJMd5zzQj+eu00zcTYogT6e5guZ256oVtULm4rNBfvkymUDMis PE3EGTRGWJrOFIu7jMCI3dRuf3iDYqSEmFa/DT4AXTaGM1Cbc9QjAF9M05c64JXaMaJqWV59XIHK p3E7vorLXSkmNpzcEH1ZV2Fps3I/MAIRvPL8PfRUByiTj8DdtHgmJ1mvnqte8LjTwkFQMeI2vxZC wYMrGVUeE86FVTz7nyjFsE/EfpbIZyKaqlyuDZxjFiGLF4UzHP5dogePy52Sso3xbD1F0t+wCaKS ueJnMBiiXub8LcBtAhFVMc46qCNqGlPYGGpBTZJIlVuTaNylMigVCg7Zo+ZGQ+MJwAWfdAIpGnD6 Aj9I4J6a6skRpS2bSBzUu/csqAtJE5La7e5rBHq8A8gu8arnLoyiggCio/YmkL0Xxd2sCUfQCvfd 03PaPuMD+RKwPd4AeLVVVAW/UttYHMW0Cwa59PWcoYaFA03RcQXGeczJoBqw9BIBsENXb1oJ9y+2 p1eTXde/+EdRag9T65So7DUiLeH6A1DfQ8q5EL+vnaFt+D+6OpUJh0hjdQWHEZZH0045L8IItshO GUdhdCkWyzCNjENVJa4Dlo0XB87uC8nf5MYM36UC6c7AEfhyjfcWw0ZXLXX+hhSWX0ZkV9xvQVSd C4xzAHvlGXgffCCtD0/0nz9DjGozX10mZDQ7LXPlSGmdBjdntzSWkRMXHOPsBhr59s+JYwmS/nZx 8ecmnlCKqppqO6x/NJBj2FV+8s6b2evLI2u5+dQYaL6RQ4N8jzyCok/3b792JDzOosTCXhuU7oCp Bh9eOxDi8XtjZhELR0J6kcKWff5Q0JX8avEBoPv41b9xVU57W0uBWdN+qNRZlcfC75HJgVstnphR dgd2n5DZ4Uzu9z/xd/oaGr9eaQ9mRnEuidwC17G7cG6nZZpmP+Qep0BHONSE+OVMdtwZknFLz+nC dBT36g+nJr9tRaPf7YmZQpTjd/2siy9Wmy44fcP2kpyTRW+UrBmrx6wWdlagKEZR8pUtbu+zne1v LTQZcJ4yWsxeFqLIImngIk7hFnFbDlJF4xqFrO50QVZ0C3UjTXfIxaLvcZUaIwjsgHevQtLQ9Ih4 zy2eRyXEW84sWaa5P9ju1zWRdcpw70XrH5OnpsM8GShkaR+hJVdafTB8Rb5iwdt/MZIS5WanlQEy baMwoqid0tqtP4e/Y8WKWg1f5uNbE06zKnyceciI8LRpIuRNwU3QUHctRTwAn7DI8zqOBQ3+Vn1m KiVozcp1Qvwb0CO4jt47dexJ+6p8KFGqwD9JA/WTVGYwFjgYTfqe8VKE5zh1efFZXeSR1VZiU5yU wRGR1kersljDscibn2RbWk7+twqLURsnnuYgOay1lgz/G5CKMZcQvdQLOl6C8zhc1mydRUXl/ydK PQ/BkxoWgrwCyHtGdgtBDoMYqUF8aQALZwdoroHqot10M58jjuNgGqRL2V2UfrWqBMKeuDccs3aO 02tvyAumFY0nqQj6QyRPF9NfRG5YLm8R9lpTZxwgiyvdnf4cXaRcAuDEmwVfhtmpvcMKtr/vwDUO /6tRGFQnvFwP+auGQ+EYqjn6D90KLbdD31uhtro7ncEKLUk4n3nCHX4y2v2rCTECJXyGSQRLybi0 zRLynuQlNyioZfwf7CyYPLPC2Jun09CyANT5ha2vfI/uDRCwY+TApJ/YceT6wo3ypxm3SMpkpX0F 01AQZEzP2h+ll2nnqTFpdWj5sSrclk3OYx/VaynUWiL+fkl1TNAatDdPUYwX18XuHHL42aorwCIQ sjXWES1i5wO23M12ra0vL64DXhCqzuxenURs1NSzcdnxUbbU5Tn66lmi7r5L6RbXFyUhBSVSJtMx 1zhRgoZlIy5P5gFn90T1WL6+ltklAvsaVUKrI+kzcB7cmrgjWt4cAB8XyCkrbrTPlhHmo30mhdmO LZ9XYzcK/Natyf/hM/z4oaajqTzHmw+Kai5hr/RztBR0FwhPc9kZq168H88PaXbUL6YeA0+9m4MF 75fXoF2NwlPyrNBP8U8HoFcTShKOkRo3TwjNvFAQJljsYK29aZLu6y6ujTN94hV7EgLGUAVn1D0U 6NtkMfUD+pFuLgp/40FCwGSfhao+f17BAQhDF/OTW5BgPvZUKu/BnN9PD6m9egS4lbTf0QPYup5Y e0FPauiv7FwipPckMfMhBpFzrv/AcHl3cPmfWpnU9zKMsk33rSK4Ruz/ZfxpedXkwrTOLNHYGDW2 F7lJIGNVfbF/SW1+dRwjPPZOdYVnK0mXBcdLiQbbnlBncWHcMv7UuSM9kkejY83+Bz7LBT2bgnE9 Lat7viZ1H2CrZF4RYLiYQaZvBJwkOuWypMs3RBYS4hzcfg+LufFsWBGuFau7K0U8p5eAEJ2XIucZ JyIkPXhn7v9LOYiLtTYTI+shGvpFKTmfaXFq4szB21TXHEF7GPe3I+CMwvx6MLAKcRF7p4Wn8vdE MQtoPklHWLuaB4EUY9uPpp4t7VuA8cWniLIvZ5fPDZP+6xvxlJ2jeUKcpb2GbIajh3QTe74AoKpE hSOHzm8BaQ8QZlWTQs0h65KnPpthFl8TuxbBtbkF/t/R0d+r6p9dmW0gaaRFvGjM3BD3cmCftqbp RHVPGfvkrz68mEu+mhn4Jm4399R2wkCKfZV/6Rs9E49J9crIP6nRA79I57AUbVHEqF/SLQ8VehV1 7pd6vZ0jWkNDHDebcjvgApiMV4/dvMU5mjEQz6alEvGYIpHcIO21+ubTmyBvSam0XvEFgev89zl1 034GQKSs2r/MIl4fpu0GBAmAq5MAMdpKsupue2srrScvRlMvk8mQFsWiZ66PxcmxIXd1wAYje12w qdin+gxJ2oIkQTfePQC7nEaiNI9bKp+aFOdfM5N8L2oU3AoAiKRg+whLWvCaKwjucmm74ihkpOBo eFgt8kjaN5lIFZJDP9UUlZhfVVL4b440eI4IwEtw/bONznzKkxUePqsSJ1J9q1K4EvQJ5Bvi15Hk /cA+2HF8ztK04bxfJUlJ8lzyI6Bw5rsntx8JeXr20R86b3iXAvpWvnxKFzad2mrACoQGBzKhNpC+ 9iIbQ+bAahBg9KDNPKCuNVAp19WMl/YpXA1ZEyoTYxHtKSYa/3JNqe+XXPKHvfkhTpbsEOpj8DQQ hWWyZbaKJ83xfwo6UsOXpAM3uStJjgR9l3awrNQiReiChLSKdi4LwKuBY0VmmwI+49V+O+qe5itu uPHhcPFLe6YWyBf9HrG8fF9rg4UTZott17oAaF+aDzC64QTeY53TinY8ya3g6j+N39jB9PaZbNUz 8WiX4S+q0+gwF190fI0O9T+WsRH2WH26Jd3lKBYeYmE1IMbSvRh45Aa3zVwAJsCCZ2OSyoBw6hpH /9zIWirzSDPLCW45lPTKljqaN7+kFxhP6ugZj2mVUuqXTVPMPDJMaxeYJK7rqNwFvIxTX8FH2wxx ZKE82F8f4k7UGoGEnRJiz0hmPDFqm8oPmmGji8bBKWyc8cI9MSD0IWcdVTn1zz6ys9q/kPW/9EtQ ZTJqso9gLcz9GJS8LM/mHBcPEykBNTpqi/mYfOfZeXSQlLCSiio0DA21fjQ3iXDQ0kiIUZytCNgT cdAs59V4DSApwUoo3K3n/Wb5xWbO4gSNo6bbUssVUg+uofjTJUZfe28p3RLgPl60Pl1S3uydiBaZ CDNo3fzwJK3LMhhy5uovCjkWcuVN20mkH/2IdoF/a3WtDS6U9iQT0239czrdH4MbnyUjmEQ9fzKj sfhFdBTXTUjxf7UQWrgmO6l1mGfHBrDQ5TIgLljf4+34r6puw36DuxOhVZeuHakRhPwvK3ZCEpL9 rJXWdmPRHg/f3wptvOb39SrAtedVDpMilVjKF6bFwRV1exSALPNBZd5zcNATbzO0WtaPIY7L43wf P0rsTMbOZ87CiuQpgNQ1ZdFWYDgnQ5A6DVyelMC8vBWGWmxmO0qqF/23wOZM6JVVgB0fUfz6BacZ N/AoThrNzPYeh2pasz85/SNYTnySsczGuU1+5dB4pE9h1kUcLR7qEl2kKvkmH5PeyxcX24tvWPa6 5ilQsLIk9eFaT/JnZP2md+OxMJxqkZhRVclkJfpkciY2VDIHAzDXceLfH1ta/7JviB52XjCLNDlo 1ZpwlyR3ZiqIX2co3j270djpsUylhq1rS/NGOLzWkEpAi9hOc1+wF0Wod0HkaNOTi5knpe9hpm3e QxGw9n8G7wTcnFvoJiRzCO3+Sq9Uc3BJ8n3UNRWKucg9GpcaMi76PNPZ7fkHPFPLAj27qWYGJJjH la8oxG5ak4aQqsbmEJYOPJNH9iwGxVMHOZlAIo7sjgrSvYRJVSA5wOQjXE3uG9qW8WdrXnH6LH6j rxII1nwHmngACd+Ozg698f38MuSvI4vCvHdtDToK4Zny5VoQQ4P1TEVhL+Ufd45EsgnIhX7YITuq qJXJQs8pqO7z21/HRqrcXfud5HzyCvoaFkXW7/cd98LuqnknwX9gVfCo5pSEAUVACdnWrRlbqBXB NRG5vA2uJE+UU7HgzhyfqeZyaxPGZazAD58kW0ySeaoiDzF+LLjlhMUKJnqo+zdrqFSek0GSPTqP GY3drqudRtI9HURMZIdQpkXK/aSdkYd5AG1xDnDTLwuQO03G3NOy41WsNijeHm2lszskgXYyCVMP p9+F1mjgOCBbSJYdQaQ7KdEQAGNEjVb6q+gPMj1vrFK2Kx0vtoRLwentREcQwpyssdVLuwWxiw8u bUPU8QeMz4oPiavb6iSVw1GPKtZV/oBi23nEm5AJzvMAihJZTxKPJ4v2knkLoSk/zLhXlM3n6PMH QucGo5tZQNpYc8/X6FrAPUTu9BOhHnYz3nIHlQxFsSFEsi+AKhWSgVtSvKOnS8JPRQ7cMp13GLkz vP2cH8AbaG9DawOKkk9Iza4BvFCD1FW/J1BxPA0Y27OXqTfnw2WC2uT0hEd2hbTZeQ8HoSX30Xym 3f2y+rbSgG5ZbZWH1VXqT0rR1xsGsD79mMlvWM8XSK208y09BwcywNYrDNay8VGIm0Xc40ds11Fw NrN6Z+tZsuFIwvkJ4KqcqtoNrQluPBWXsQsoUqOt/s0JmUzpT4fWbfRcuKqZVkLWQ7mvKf0yzY+p wCS5acA7p266rkOkI32Y03Rxttjfw7rpoUS5xKxM5Fto5B0daNsvWMZ7BDn1o5gU32I2Ucqpm14e MwaIZY/uVoBYBPFzdeol34RfqtcOVWGWc0ciaTN7NbuSqQsUzhNqJpPlVnB0EUe22mtNVm/fxGy6 52YS4MyEbzdEYsodWQPaxkiodpHA7uAiIuj8VFPdzB+x9fob8Is3x9CXnG3aq+reTwPNcZDf06Yh R5/5xdzBRgAn0u0i3ize76vaO/2Ps1aVyMyI+h1+4f8LPmVGojp8c8AQwiy7c+UC4jeIrbeGmykW 5WdlZ2lxWO8ub/I7etEAc317VV7edKVvqquSL2WlRTf2WzAsy+MBwdANfJkZVTlt54/wlWtY3S3b bANsDpTAy82lxKNTMnDvteHg13hWdgFfmXE6rA3COb4nsP7Hhnm7HbXBZdyIt3CRY65pqV2b9fGQ 9bUNzYzys8Xmdpp/Kld9CuZ3wfdRTfglLw8R6Ihva/jQhUv9JTYAOPGx0nEele71cw/dgf/RN/S6 Yt8vtUOmXq56J6UnMobIOb3gVLrqAErxxMeeLQKW73KS4+6XEOH0zC7CBZrdcSaVv9OwW78DZjI7 vHMRRGbwrCUqbSG9LVyVv0+z0KPEojcTbWqK1pX39IDKOUB/pL0ueEn1iU7xpdmL14MofoC7cvl5 2rRAA1Egd/sg5re9gG2144pZzY9A0M8rQZXjWWUEznjbiDqBHURwdD226VO2HZKbggURemeu8SBh 4/U9KaTDIbTA94y/tDvOazIDg1gAch8CfVaHUQURZkQlOtZ7u5MDZB++P9C3pKNF9eUxYccYZGLi G4LARwObkmKIjKx94HCjDNU1EO5o/8AsqRhz/owCF4hBjavZOOM1urlUYO1Tdgnxumy17Jn4sOle z2P3W1ZG2HCx0lFsnw4J6BtBlJ7hTXySI9lLT0uEayg715ZUDDs9E5xfw12aetKDyRbX61SYz0yk cz1Pv9LWnl9NFGYcVHDBFV6UT+G624iRfOp9orMlNXeVOEJY9fd8k0EPC+X5KTFJ7ISeVfwf9iCX PskycnaNRf9inewN44vieElmUqcGqt30V6UOoII/MCOrQsJEH6ilL+UBVvG+YjKtLyILyIi2FwZP NrrdSdmx++VrMcSjAgOO2Rvk9coRr1QRF0WyRK+d3u/ZZMZ2n3B/Zo6lfeaRNpazJfbkO9imCuhs /13ugTDlIfFEeE+4iK86IJuwTf2FbiLBjyjxgYcvAa+R04HXuk/6emuCta7qPoU1iwg76KAw0Wfd Dj6F2V4Xva9xrF7hjo7f2Kna+8pXxsDiJOsyEWE7K+5W2Y+mBDtjYdW1/HdDSmARrFjPdi4KDa6p v5uUj3voi8+bn2ZDx3B1ya47ClSWnwTc8nXqL0unwqIYJ8rCnaAlXjp0zlMEXrsFEAE4c5rMo67b rVnrkVzbAnb8oqfQ467qcAD70U6QaQDSRt7xzZbN1PjVZD57/frqc//OvOMmUCD0VjA8KMm/Ulk8 AqoUNWLLqrtf+0QFVxjLuhbmoYXu+di0AkrLMi5d9TnCiDLF+GMfWCvNbnr0xe2RZkwbpkpGp730 MT83Ra5mIF5K7hRcZC6myoRQJSOCkEXladVXKMNrG22ndDUwmV+RQN7RwTVQ/Yt6yFxkSDTiuBgj lAEWCWhFiy/WUFOvVt7YWwMBOBcEHtaSpOHH1KkX3YfpNcZpEoQ/At41Wg9psXK3JTepL1So3dtC zZlnk+NXfoGURpf/tlOPe7OlSfsbtQrxqBz3yCpMLm/rvNyTAIdCrFrx6wZmWv907iAa/rgrFJGA NV9vBFosofRKXt2KzszTTJwaQR7aSPg2EcqaFmGN9Rs1Ynz8IBYC0Dn33rTxWpq5PGK18TGDxhBi VsxuTKbosSvvhRsymwjfrqpe3lvbdq3sds0CZSB8rXjG4u1FTu6akfgSAVWPUZRxOE8pjwPkoDjX L/6gI4hIZ5ca6MrwbwKsCkuAP+xbi+SIORCCI+pwAlkDXDmQ4V0SblCzFhyuIsQz0aJQqR8cRiFK m0hSeCuA7OqMgcgFB8T+teJVz+66WY9ZYfre6I742YgRKgw7eJ0ze0EGjmv4nF3nLiDuiIvVBfaa vBAvRJG9PAQ6bdxOGFsHQrw1HdLo+BWMUlTm/JRPPlMbGEGvhurdVtIbLlOMeGCy915p7I3LKk7V RxT9MKiwTAetoGCQzdo3oMc1uyswD4VJgtIZOIR472bj1/KFLZchbWEgkRcTSMgRo6aDTnYXgzi6 cyrMFYACBfhhRmxWgu74BSFPxp09R1d5rE2fg+sK04Z3BJ8Zt+8WtwKHvypFZq3XYduzGsJXF18b ytFyJeo3zJazQYGpLmMsdlp8shokT6LCn8wCiCE1JMu11Xt8l6zfm/6bKTDBZj+PRi4HjdlTnjDH NJSHD5mLQqF9KD9ZqKHHAdXnJA9Z4OFrXa0qqtDt4W96uKvstDcFtDEFcMCarQb5ZxKyzLT3RcRX TdRM4lbIEEME110huZHtKsevCkFEsJWoijQDTQ/ZJpsnVBUnpGWlzy3hGafWiLcxBX4Ge0G+PQgx CGoj4nmQvEuMLjecIv2ynZTbXnIB0qF2jI2fcVAS3bC4AK3vpoY2FqpCuqZbwbf9L1voT90pv+zJ x+UEdB2YkJW2NYRcU2fs+FABpiIM5eTBuTzpa1RRUaHV/iNbTenXybAN1H0H7aBgwpFPmONzgJFn goENpecSAEkY+IsN9A38fQcMzBbjqnCI8ASAxo3rgFn7mpEpyr7X4NEpWJ8vUE50csqwsSK6RljT 9Gk2krQPxyidK3jIFCfjblzDuIMsCIKRYbPGi/HYctGMjp/+5ZjAnFwKlfYiJpF+w1SObW4exkYV t41oQv1fvPwYwWrGa7ohsP8/5lpozqB8/Gp0cwfar/j4e1FlCFmEfCER/w5Ts1N5a88CwulJ+qrf huzcE9OY2TJnIzrAHaQN5aEwRYkqbq5UR6/i3FDDYiEjlIrqjo96XSFcU0Uo5g8MznWsKgz1GPCu 7QTBdfkzRnuMbXwku3OJmF56k60K9La6akd7FkoirpHVCLL3TGZRgEVYqzR+p5tJS0QUE97B7/SU sKs5fWdJW7V2eqTVHnmx3ikCWMNz/o4TvoQBRHMVNk2DMzmEOrKDEHP6z/5ogmC9bjK5Ap2uQM// JwnBjmU+eUkZVFr5p/Se+p1jPrPoazVOvRCzxge/1pYRfI4qX6KN8yj/hA4SlTUTOxeK62j4IE0/ aBm+vGPaS7pmH3o8UqNOz/iKsgTCqANjViu02WDCfwbdpTujUHP+MpB7xsGtH3G/oeDgvyelwEgW 7PDuLGScK3s27SuowuysdZDAABh66XeeVV3YcVh7FUkn1mD/hTgT5KGUKQNNl1DY5LKqSNcBhxVd XGoMZaltwYJqqljZNMbMc8SyYfi1yhKPex/pnLRbG5Rx/Tpfoa8PP5TR22L2BVyNzxsXFcTUthXL Jylf8QEe8nCe733ysmW02qCB7HZ1yyQFPBPdp5TJflWxcJ4KXoJfee59nt1ZI4f8MffZ2TEyQ1Vp z4TzrYVyKYJZZJ6NJWW9u9Serf0ZGeT9Ktc9VDjZl9tJ2mvZQoA1jCfjgrPV0QA+XFTZWWDO9IQA 9Yt81QBql5HAf3eirh4BHYNvOPaITDJUu5XBgO8h4cV62dqpEA0VWgJ7CElCW6BxibYY5GQcwqEW 7MPOuH3k9lw7CwH2VEHvS+Jyv56H0DxetJ6+4pEjWtHBddJbz+7OSMiPlfBao2TgDX4uFD48pmBe Pmh+XoM96p9/Fc4W8ZFZoa6ln5FVBeqPeUKEe7cbLx5il+ejQGpgoxHA+h378Qy3Sk4+59tsq+Zp aC58zMovKwYGnsO2AjkMypZAuM4rXH0APaNkAO2Pf9a8K2m+0denhUF8352PlhJ2+cvFp6P0VPnx XExc4l/wxyUdOFRSG1xr9wlHU2KDqK1YJYCyw3j734kDV8U2NZYK07e7cc3xCtVmx4nYYNde7MKM 5iStrGLVZoMO1T/nCdAVHwu1bhlPdT9yxX4GvhDOqCp1YN9CW+obJUlDhoRqxXYXsRoqUza4rJk7 v/t7bv3GonmF2bZnTmCcwgQYYKvXxNZ1qJp0jnn7yxnE9OXOFhtwlwcUmTmaKIYT6Iyk6SgL64cx jbpE7ixLgf7rwBhn98TQdvRebAM5YLBVa8kSnRAzUfWrzOjVWMHfQ5WIKfj/QJZX4i1yrXi4vnQt e+pKRwhjHl8hBxCfFTI0uzUE85k0ulkDOR9Jo6294LsK0TiHD/zFC2YADUxY2jmd/ewiZtGfabEb 93ipWCFnPfdAOWRyy34TOFrTQKF5oOAVnA/SXAmmoleu8sXQTADZn0KyHNMa3QzpsjRlvkDhnr9B CbE+wseW4VmHEplEKa5nA0N2Ne2bHreddFkT8HpF+EIuCTbD5KR5AmZeBUrujmD+nF4VSEeoED2c 7Ro6b5FKold0gMLMV38pGOYW92pjBXdj4t5Etlg9emx3UVXECvdJGHPlLa3mDng5Mcqi1S0du10/ Va5SAdMlAJaC5FxJ3fS3/9rXsgC/rgtpxJDLOUGg2t5AqrEUVd94o+ckMtuVtcEz1fO9Ni5fZ4LN REF6Kq+UO/STlInPAfuOSwxdc9ZRSe7EkrSH9mKc2WmMFI4q5HIFIi8uBajLq3SEK3d/RuMNWIJ7 H1bXbYAkaMfR6ve4e00uPGNGybuYnduj2fcPW/giHCuyXNccB9LKodr5DqXaS3eG+5mum44XEyYC Df8eeqJlJM/3q165vQuBvaFdoJ+0pmGQaUVxBPySqd2EBzu5nkdx9/GO2s/yBfNrKqoA9r1/nFrN odJn/1OBL8+3C/U3za/s3gVzcbRHWPuYn1sESyaVc7DasD//rq12NwC9tVDBInA12kJMxzLRbSdz B6TtwWguKQh3Kz1k8LZRItWLHPE6AFtOJeeq5OTSNGl6cFpgIYDt5mIN1xBC/JpwQ269GR3I1N4h AyZZruELueYbr5573NDkBxEGtQC4R/m89ZHzt+7QEvqHOnnphnqhPnG3CE7A6zbMzLPRq5ZnFFqI jHu2L/NAYyYccBvD+dFmI6/JruLWnlCYdG1na5vIBBDurxZ5lS7hGdbudYWo5n1igGEmLUBLIR5t JxjMgsXwyMMxMqNMxAzp0YMmsHRYzg8Wly5/XZb4lb4kLjC/HtCuHX3dtDwYz0s/8thSgtMoaZsc nkfDHkeVAeM33VQ+KfCqxik6tYTWZg4DsTatzEUrkSFB9+f24DKgdZUS8q+S3X1b3UGHrMkbXbBh jAhAxvQyDV9zOVWBbORfiQiSPjragA0iMHAH2WanzmIX9X7EnFlljeUSDe8Fm/EnfqpBDmbpG8Xn 4bUzHm1Exe4xb0d35V5t+NlJxBaiYUsGQ1z2bBFE3fA7wpOCqUD8g5f7ZW8grWVOg8o4lFra4jyL x1KFwdckIxQXd1LSi+nSE64H8mOfiGsPszdXqLjx2I6FVBgQziCh5smXDlFj/sunU6eww5+BfcGQ mJeEapuug8vXI48/JmknlCIwzOY8/KVunEyGV9IjN+uOo8nCH6i0TlfiRC9+PLSv7yF3kCkxFeoH zNF3HHVSPrTxj7R7snBFma4KAJ4lqfjx1jaX1hl1lGp+Rx06gMrNf46S3mGGyyV12vHrkF/PUW40 Kermy2glfjFqWY0pnnv0DavWX269eeLJGG4e4m5TgK5M4ScGU/g7R8afbiEe9yNakHjwmh4ptf3c nfBlGmfDBYIwFU/tPuSP4JF4ztiyhCkze9jLLZk6bVFrKTykutD9EWURQHnp49eR49VBv8CqLZpf wnrrnkwu6LNLmCaiv2I+4wkEaUZKv96PBspOngCInVeH8qYXgs5/EhHZX3Zy9+B5NwARJ1X3q+Q+ eRU6PHY7OP3U0BbDBiGRoghsKJpEm10fWSfpIpN4UQTiGtTaw4fYOI9tG1cP6dofsQVtLeZuEgfu rT0Y3odBgX0KTz1XOmN25VD4y4wbuc0rBEq4pk1YltuTEkeNBng2W22UioqU3qbYnteF7s+7mtJc vCjIT/ARbu22JgEjVoBMdvLFV2mLddcnGyMkSrdaGtMV5lfG/xriOjEm17PXqP7c8DRT0DD3wOHy TxDAQ8ArKi8rnHxgJiTbMADFezwUdHL8ZqCwPvMREhZXcZ+jHJZxfMZe5UsbT5CpK9Qsu4Zwx6IG manB5ZQZYgEEUCG6jnxKpTFG/gcjZmyPZkdyHbuJQXIcP7TsFF27bbwk28qZZiD2iadRje/RcXP8 AgM8WGWUlguJMVu8vuAQ74Z8mAcEnAoG6Q17gQH58l4CHRrDWBgvshYu8wSzVpePJynkoinuVMYZ QlyP+OUlWDvwm7ncPqhm/Iu4N10e4ck5ZxjE/bYEGlRU9STG8CJwF/A7kXqmt2IBMO5XIFMJno9t k+2NGPhVr1D53rpueOjKSPifohyxkqVIP5V8O4kP/dMcfJq76Jr9ZHWYaFpsqp5lNmNdsW/x0a9U f+YqvYiZ8y7Q3IdBFfWMLJHDRsBr6/NXd4p9Uo6IlSMPR0OxL22I9YHXlEjA/BTror0Cubs5+Df5 NAq0myls0SVaDD+ut1SOPnYqFJEEvPxTZCa1E3V5Hd4FHIfnIuyDxEGLVt1qeJ1TiUu9LzpjTG7m Pyx7DIlh4uPEMKRySDGoKKoa6Ga8N7AcADvbpR4lC20hrAH9SdeMYm710+pEWJMUmlNOAqBjLvU8 9TGPLwY7wBjajAZSlO6QXGfq/CamzSiAo+Q2LDc+1okUJHMnrfekNFKSS3rj6DqlTroAZCRBtI/z 621spE9jbJWI+ulHTRP0P9gJ7i2gdjcF/33ScPj5HCNlyD311AEd2WaqO8Kpm+JRZKWbsMb5hniw G6bdsqetWG+LA8cThwZydzwYGUGcKGvmtgbr0vuVgl44ANMqqSCO3iLSXVxqXfrJLrh2WvYLAGhz RRIVQpysW2BhhJNV6sCVVoVaKvW9jMmYmU7sI44QVqVE6ZD/WbQMqwkMldb0hiPqMb5diqcX84nk iIJbyk/t648OaDEJxehvSTG7JPGBfISaoPUcJsdpcBF7s9J0WOCk3LCYQTNskBvE++EJc8OnESiw S2elHczqCxQ7TZ3CMW1RJm+B5CIfyqOaEIY4B7a1zV+aFi5Po9UqI5y7JCljz2mRKwP9B7hOKVza l9ubrMu0GZ67rayi/Sq3arLWyRoM1x//xe/9IIoRLMUu5nvobu+jerLOr3cVN6QlKLabZOKcEkKj +PdrXCx56ASRHnzQPIxFUv4+G6+lxvyTudl75eIhNb4RMMNSvBO8qlTZykj04dawAI+IGzZ7Sh0B cgg4sWYlB87Vnd6OcUTvFx2+EFGlhR55IU5oau5F+0u0dgPKaHsAev0nH8i5aqDxRwdo94F1Ibkz d7faasv3Amyy3gnSer4OPq6+X0lvs33WBJI9lZ+p6oJlIypQyXOxP2ZTMgAjhG3qMerKlBhMnWrN OV0dxz/q5jdEGTO98vGli399fEbj5HeuXAC6LIMZllN7pZCmPxEjr35wr1In+RHVIOB/4YlCDopi Y97ddrAqyWmo/l965aH6vVv9v4vySCg5ulXXe7AqHb2OW3rT2Ur7whjGJkDggyW1VbzfZPunRKs2 Rq8SyvD0vbSjqOA4DW8v+qKePOaG0/Qt2ivaXAU6p245I8hz3vaCDx5dJsgR0jf3EstczwgViv/C kW3iWLhsPLIYBhoBK4BaAOxsPbskNxvyidmD8nF7UTem4cFGvFx9ApIhmugIsbC7+skkGt9c0nZB KXUPe3huR4KPELLrLdMfK4NR/kMr3z3+JHEBhcS2exL5bRxaJag4BtWEp9U+Y4ujAHeMU6BGeaiD 9ozemTKK6n4KlD1p5fNrZmdzRoJrOp0oXzAafOjuftlJYU4/8qMKi5apfrMGyZ2liPlxdJ9tR7PW AdogTu8uWqjR83kop3J1DrcBzh2qTply1hN3uZ2L2iPeGmOyhp2T+D7EreX++IZ6syM1vfv3Ak89 9sRC6bWPtq6l5EBUj2v5QQjT2ZMUPlwsQlW4nQHpK3Rcql/OmmsPsYCKHUA1i+AWs/JBmaG+QBfY 2a3THoX237TlxV9RfGwRw7WRaGN1QtUVurvaG+9GKm0JZfGeCzJ8P6ntK8rOc1HOOD2cI1uQI1jr xEyaTbMOzr748NqH3Q/b115JBsmDL4ysa0/op6Wu4KIujL3u+BS4zmniOlMamzzwcYy/QlgPmNYD sF8hzk/Op25p9iDSVyvjx5IFMzrPPnnq1ouXxlEq99D5sSBuZEOsJcrx0ciVXMyzrfWnBz2bLfMX UyX/mJgTWVqpL5zpYcvJLCyQiTMhHkgQ206BXGjtPXR7wbdLmziD73YwKz2XiDge/uY6zFAbxV/s hEZpKk4DxLb3+bRb5NL7ilU82WEYgyn2tzm9JsB4hltxhjaW34BvnqthCa4w4vwg/e2xFols+kDd v2NQF98Xu7X3SN4wQo05qrEko9xtXEdc0ce/mRAtjMJMChJUoqzm8JhufIWwjfMtFd0CJiQ1Ye3v T09pc2WOmF1QWgh19NpmkgbAbAKRqsz27jivTnVODB8iSTuqRiFRHbQARQY0s1Nk16DOvmDtH+FF zoCq7p4ysbwafvsbO3nWsNxnXpZfEAv4xKuNXrDbLvlairwbHJaWsZpnpeyUSlST3nrUJ9XLm+Hq Xm5GFUGZA/gnORphzBdwUDuGVyjUq1dniYMeInmSU1D3t2eYNp2Lpqm/goy5Lr5xsfJBdt+wJ+sj gkF71aQwDd8NgthVWYwE7eUhUtE1l7hW3ho7qASAkXC94rLBpFS7BDJV6kTlag6zc7y1t3Y6uiHo bIcsaJ2F2PAQZ8dgtJrSf76XDU+byujLZ/mM/51WkvtPYB/O8kh6tWu1QmNs1fDMDPd4oV9s+HTN GW5GMpxhHGYx0zTtcSGBH4tojr95Y1P9P1SYm6tDQEbbcH9loBFwrr/V+cf/Rj1/Rn8SF9RG520I E1h2TExRY9FzfB//Wd53h22gErs1PzMYTGxivxFhALNUHIMH5/Kj6jgKZ2265pAbFsTwionh6UsD SPPMRJH0Scar/filCcbVho68UeMrH7XwNpcOn6/caMIPvWRVcjkNBE/iqV2WEsQoxy3GTQLPWfUr 9bj2yLHpmCnJe/mvvCaVpINCXgPSM3287cdXqV6iKGe+vSRpXCxkynFgQD9AXNnKMd8lY+PSk3jE i0VTM508uhkpcN2+XvglIQdNbK4JeyUKVtlbuAZMBPoOGUKh9MxXItS94F5pM63VUd5Ll2AW8CvL 4YX3Q1WynZSvNE8jmsszIpAcTTAslr/+uHCYbIKL8vM37NJk7/PbZ5rsn2cz+g0cT9s45ob2YsOe 9RwZA6h0+z2fL7M56FRzhwlDDfkOhv288LYeHvIG/bKblaY9muULYf9dFRtDB1/zPLGpdNxkvnvo CWeBs8JCUOcN7iPNNQwdCx506y6Gu9xJg2xPWmlv0VGnNKwYTBSeTroYJPpNX7o0UjehyEcfCX66 0bouwZMg2Zb06NrGS/yQ3DUkbVDsjBE8lC0OoGLgGvzskxjRvUTVsnYKWdBTT8nq8DDQ++1yo1Q+ 6VRoFIMrgoKM9YuKeI9XOvhLKjDq5yq2mlQZRCztwl+0AL8jZfZeZ7t4kpcDUlS38Jnx7rMoka1g weII0q1QunrVt7TOJAmtgiy7SKTGJQngPdf2X0pNuUPxkMp272hTMAoagSqwmZDW87KXM19KoUYx 0u7jAH+1iq13P4fe8PL/jpFNm81eIhlPUrFbX9UkfzzuX0YLXbNxNSN2VO7FMWPvf8uHteksQ/e8 nmhBBGQSePeaXG4Yjo2k8W+kGYd3W715dWC4FO0UZjeoqdnDBjNDp/yEVCh1OLVrQrwWnAsZ6Eq+ 1h6UQ1moCLfaY2sbe7g1lyh+mHy1R4E/P+pfgg3o/XP5BPjbsoQkK7NCKzhKNqBnpWuoX8ujZPEc Gygl2iv3erFQz7B7S1MJoHAEkpucHPmuwKPSO/cRUZQ2sVF/ndM7UxR3XeUeMLluplYjU4goLBNZ 2y5ScdRC5dkzboWWUR3tFJxaWwt9r3UWmmzfLn7eCh29mX/uzH8+YWrwG+ywm4eCbLU692pAlgQQ a5mogXaCzN6V+m5pl+1Z43Vx5MpdrodAX9kh+8opMbZIK2Y+Lu4YM8owMlc8xtqAJWl/m9VQIxyq ck39GqbgWcu5wd2H73Qlqa9YOJ94ADyX6+14W1EZLolXNTxupPUCQQO7b9GQVHMQcKIhM9ZHbs4v mofG51Hu8iEmXwSWk4hICLgILM23RQh++/LQxVJNMRJmAE6Db7Z4SqFoJYOhfUlq09F/jnKkCzlM PRWWwL04dw//mxFz0CSr0fmvBldiDk6hvQHv+cTbz5V2zzg26Qc4a7Enf6oggeqhU5YhTuYJZjDZ POECtlZI0t4QGOZNi+ZYyee6wrJY72iW/WmliEq2UL8fwFrisTl2mIynE7yyp3ZuZai5lzc44ArD SbUdBxki6c3Tw+owgDccv7pGZp7Sbn7EQUdUXC+Pl3PFecjJOx4+DreUOdFk+0AgK7S4wJcVEryX dvAXlpTOEKPovHlxVWbrcVZBp66wzZh/oETtdw4OkGnqeJF4yTGqFQoDzkfhEjJR/gHVXbpp7cgZ JBDSf1NNgK5knNbILg6gL07EAXBCT1OpCZxIWDGDEzUaynMs85RKexFh1XtFtAYfkjIyDqWcGFlm rBnUrL1xYlDji+sNZjqb4k71mzNZZ1XZQASwvtMzdODib7IC11uPmtTfxaloFBxhFIbZOdJH2Jmd Kf81yVYS38veemtLxMm56mmdJV6oTsWm3M5z1v+exq6B5n9ab87FgaM011TBng098XqENJ2VT2sG H8S6yspIyW/ZINCnAKY1L19zypcEkFnYO2S8xHczPUMJvzWBVd9Bxvn8RXidxjFqKUApSWJ8VKou FoilZl1e3aVTW6HKACZ0PWjoDpM37T/VayDp+jqM0IGB+WvP5jFbvuiKw24CG1LznDMaNKiosOgB 3oVMT9zO7mTYJEQYoTMPoLhVpGhb8RXDY+mmUC/rHDJAPQfjCbT9rM6QDHLPB/ZyKzWR+yVwNdVU dPHvqwDDwx9hK76viGF+MBKyf2pGoYJnPqhg61HVinm6C5/cSzA8BNvs0V931jCXH8s0bMAbEKmi O/5ORJqCbP+vRySpeNWmK51ePOkYy6uvPTznNLjtgtZbdQxo9FhXDi8VDC0rbm+0iJAp9olSrgw5 mO77NWdHReBEgG8Ox9TVytQdcvk77Y+Eft3pocxBCh4rxTL2cwRhMctv48z1PSu6T2wxhAXdK6zT M/ZtMqiTffgCl3SwmBt6wjusEfTtn7wjAu8dC+hnZt3MBzctvazEnmJAY8YIVgbpxSDO6jONcrxd JVk/IgBj8RFtsTzw8ELcmoROR+kpV86dV88XGc4IdjNxpl0MpsQBjOninIcLMVRDb9tppTG7mAly CFiqbZSc8cDArIIbboz+1uJR8xYMlIaRqszNsAK7KooZemGxyse/n2Y0RaYDzfb8gLPV3ILxiwxN sgCiiCw/IYvgUHvkPyV8ipLeVicSoNSrCQVYUxtkX9DPsGkeH94UjALtFvjXXI5gUIpGRLghlIbo hO29DaEdg3W8KeNWNk5RxeGPifGse4W6P1zy8zua82GNWYk1skFdmJ6MRsQY7wwbKm8wf/4gY9gc Aws2qtuz7PN/AW0Dk8v7LcKnxNbUV9FN1nhe+o2HBYL7mb5cA8FYWS//8KpXNbuMUA5ylFI1z6/f 430Uldju/RJZcZDQb+DT7zgjCsSPZy6JfCeOnN+i8+wIfRf2giacLtv7/qTKYgJp5TF4qiC9pPjQ QzRRXIilD69WC2+3Rt7RxPEL/jBTkQ65k4yxCenvFNjbShVMgJaYtkjYtTx92tNj+Lmji2Er+VIw 9e1MFnILUn+Q81wdttJKnJ9L04zSQkHhvS7GtsRSpWD42p4RE1ifjKvuMOrRvhp4JzHkzdjDW38B 0VUtqba0vf9+H/I1VPAiWgJRgHJBQHnY1NdQF9K9X2TyvV8z5jDqcdkZLEYtoF5r87DifVhrxVb9 2LuuSGSHdWaCJ2AbLMY+uEgTpwwA8tFbrsoBeBA+o49wexePlDf949infc4RWFp4ciaY/8Gir2FQ d5DjcLKQUL8Zbblt9ttM9M5aGCdLPxNU/Sb/bJL8BaDaRwofoq9rwPGnGoISNjHrcYIxLRAOOSE6 L0dQkIR8I6VqrXko3Ndt9Qs+n27A+Nw3GUJBnmK6qi0np2J+kkSqcVApmjI4oVYeXjxiQNEckQ71 Kh9WgbXZp5N+tu04F1HNq60YbERW2bBNHb4No30R1VJ1FeNPX5kfAW8rkBSRRmVCNgp0o5O53quD HQmXyhkDtZb/7KbTcHoGpkQ8laB0BaMm7ONF4eChI4t/B4Y+AK4J/ru68ctloGgc7PVSQ1r7UPse u8WkOSgvD/zwLPTGbGFMRGF0YbW5IU3GTdI0JU8TIpf1yl5czoMXJ1u6467/IPXgcVl0TFj6/QYW fycBIywG4QK8UMTrFJAtawBV1WWgjb/Ec5yjPwKMdxWkMKSIH3WGsiqLn9PZJKGaUv8MZr/jqeFF MiAjahdk7HMKUG4d/1iRTqcba12zBgHgDi7zVlAzpzHrzkpEojbf8+CQEbCPoxmCTzTrtv6vlqQ8 vZakvzI83+r6fS4GGVrtQlLMLVdAYNySluDSUeMxp95RFmnJQX7pHzzWnSt2j/K1Jvkmokh/5u9o YNaGtf5LZDVE+OOmQtQUchtbANN3WgAKE4A52iE8pxMRTK/1tJwRf8Y5TfEqbVusLhr94qwLaAO6 iTmAHddOneYnDv2+qr8ZJrYRgJM0rHhCAQvtswI0MAIY8UOZ1I59SHNsK07FyRO9n5v9ObKRSoQz JRKl+JdxpvizNyAZ5wZyxIrT92dtx7kA5tk1LLBRLC+nuDXPuwGCJEKfwo1PhoV1974cc+mUJnD6 zbk8uFhW1VXW/bn4U3hQGtSl8BgSb18iE6epo0PVs5jRVzvTZtWlCl5cczPdKd4KjXauKn4M+s9j 2kkgMeDU4846Ghg+ye+InLnOiGxkY2UmvnCIlyD8UDk/6tJG08lhODprIARAAq7Wx3/jYIT+7HEd fceEfFCf/KMyzNetdE1F+nC0NUnO+zkn0AqwDL4GoEXp8snPT4+2wrVzGWt4pdQDn1yfvRWPZdjI N7OcGatc3yo3hMFhdt0/yhav4vM5S6aWZ6XitzZf8OFdaMl7Iba7gWKdxrKj8sbjOPFZHtlR0+4j WnPvHI3IhSsAFpQSEg6PIEgRVB5oyfdEn0kA849syWA8+rLsZ04PBl1Jseqq5rlkrOvPWYFISmol 0nz/bbGffniohBHqdGSrMx24SMr6+56lYCwLTxz6zpQaV1fV3XQ7OrJKh8SM2Vy3FtFiD4zWqYxG 6oGd4dpCHNzZj+CWLwekFmK+cn4zhb2TGbEnGYv57sDDXDhhoB3K/zzzSZpW4S+14Gh0+EcNzJWE 501WayxdRHO75bMX4V/9kKrgP6A0ju12RlnjlLFXJm1vfAaFWzX8ZCtyk+o7W7VtcBx/pfeliisk zd8/4PagQjXu1vm69N+ix31etUBp73Wl4lfXzzRI5n0TF3HPU/5kn2GZEgzQ/F/FN/LrdcFMnhUy Jh0aGGjU/jQwzVTuhZOTK1FelxqNTxxmv914VlD6Uh9f4zeKV5mYDmQUNkofEe635OpMbHgtEnXf IYDFzoQC+IGp50DwmP+UFBDNmv4E5G0OxhYRLkVo27AnoPABUH7FPbOmZ4ZcYsFYKvomznSTlL6h 4eVANruXKmboFuQMjD0aswEcRT5g9gwfcio27Bx/hxnEr0Kfro2spboWDndVU3B4WddVciD8n298 ur/WElLMSw6X/Xo+mLC7jz5fPSJ0ikkFUMO1wMDDll1mZtlgeyMl1GzeEjolJg4hJBjSf5Nx4cEs 0430JlJkDUmOE9xviqpYFJcyssswW/1txU48V5N6A0tx7AmRtz+ydwpn33kRtMQuej3Q/RC4hQHO uovTAhwqPowancW6sLJB240fPsyoJrj9HjdJC3JDPBqRD4JMj+AHMfz5dkld8oirFWZeZh1PdqcJ DZ8fTo1ghAraElV4g2dAytBF2n+fs95sqLlib0/MLNoJilIx5cHXg74wG9YpJq/H4ik4mf0cBcpN rSMx0CEkKZ1KGUB5OWRXH4/GUqIP3pDwkzxo7W8Mosd03QW9O2R5vAMF4F2iIUB6pAYXG7gSmUcE gTXnaR0nVpFray7CY7lwh2ak0oEpfbiuIEpQMmLQz7zSU+Lt0PRVggMncBm3DIapXWn7OJ3gfdt3 ddd0NROf8pSQ67ki9BMxK9hDaqwkis5rvw2fKtHAbzTi+hmGcNZu2rDTgsIAaabLiRg6ZTA1hTrg JhNgxSRIWjW7DnjkR7/kx/0rg4eqPmF2RwZo4FazzHsD4XxGio+qhuNbMwzoBZh/B4DpvRzMzj/4 3qiRpsIOP4Rk91Rd60yxeK51jHtQWz9cqJvUCrGYGQbEUxAoDMaKEez33QgVsNSyZ2NTEhae37j/ qtzL3Kp7kZ2ZXVAffldjyoJSJI91kNgmYdXNqw4gdO759VUw8kBDaQ2Y9Aq8xdO6zUUPpZf17BNh TwI6JDyV0iLgMm9r3EosHrW3TW37+UXepJtv5yPoBT1tgWcsXJ8K+10WN6ZiRMeWLjImB0BNOr7s UDAHUWyJEE5dZBg5cH2tOehxu7ZKBS6yxeT3Uy9HEcyyZGwTl5NG8xqR2f8FvPkrditWQO1d85RD ANhkTFd4KjpdFpoNsaKA+1MTsdYPb6BFm5MHKG84vLtoseMGMN6lx1/2dM11aeACxByJ2Kg6tPMo 2LKwqhobKidjcmruu94cJCqdTPtxtrv7SHegcLRn3Iw6q6VoPC6TCb3GiSaocCdiKJPJeZ72UmGL 1x8Bi/Smr1K5rAJJ8uqpgR3F4JvkkIeJ6wYnYBX9ww1i3ecWTDrbOBmzp8EiZlhzx5ukjtAxC4Aa sy/LtN7BM7/rTaAdEhEW0EvddhEXo3XnOJRkmJueUUPkhPoHPiW79Fppe/nN47Ka8oqpfTlp1tFM urm0J4VB3pFL2Ofte79FdWFpMPbCT8BZSfrrs6nUhEkda6xyNf1o8YEdtF7oEotA/+Qtb/pGcCuj 9QooI1G30LzFsic+BQqbxuD52vuNFkFOL8CjKhFWkEbXoOfxpOYoHvCfg8drGO3pHSI7VU27tYyc Au8WQUTbjWMoXvrA5KSXdK2BVeMWFRLYgkdS+5ilhfuzzCUvCCFZMEh9QuNSEFJ3DI056XMtunc5 YRFlHKA/hU7+VGBxpkz7XATGXE8+fzPlk+2s8awz+pRGIOMHo3pNKJNnn08fonamVAR4Co3cGNHu 3gyBjJB2oWP0blDKExWgNvzXmfoBNpAjnvaJVsvKLV6Us2qa84Ro9mr2zRNBoyqhMTKCMxiHxX5W cWEgIFYsSdiSAdaqndyBcyu9aJ/OMARIq1ZIS4HUqbhwBl2W0g2aQ/A9xia31FMTetEsuTs9/4lE St1SkmIFn7tHwJAmETT/w93/M0gjjf8arYBQI+4ZG5Sw3BeJgx3C1DJ6Rqb0Zb2A6SN7F7WghUr0 klVlTLStf3CIjHVFHQQufMgvqJNnOpC9aPzCVKf2VVewRynVil2F5skyqTrqGilN6oUaN/2lHmLJ WPbToqtBwXrozmDK/4lTZgDcYXI5dPZpvuSDhtS+BTaKopFqTiFpxffudNyaQMK8dJpXwCgZrzi/ A2eiqRcYkpLZDa489XP7PwAP7Oa/FTbkpdq+6sqo4kCb0MTnkwU3Ud6wOdc9o9kl+MKm4C6s6Nia dOw8QqETXl05pV6AusBY6FkaP+qYZTi8RtrAd2ivj6DXVI0RQ0Zw9Ie/IR7qpixbTNhI+0wVYoJ4 k4lrFVxMe9sjH4C7r3e7R50Zs6vX5wnsLGTGw6Mp1XVIKAzpeO+zdwNgEwwunFDHaf9VXeq74lNb lvYbuHDZaIk0JypkDUXAny+i05l88b/PuZeGsHNrXEj/avSM6Zf28KC66wX7nZy1y9NuLq+l1PIU orQManTSY6lyC60vHZMYnK/eA60XrZTeuNpK6VS3XLI+AaqafKxKD7gbuiG4bgyYOooLn+HPpnn9 C9xzrAIvlSEUIDbmiEpWliRz/j4uPBTPe1E8KpgE7sXL9v7dhrhQMlkGhGg9quv12aSwQnZ53qVj sI43duSoYLpzVZWpxfwl/b1zCekuCyWuKelddRKBC7g3BivNyGeAxS854QJIvk3gL6ktR60ZjAeV SGxN3mmfIeejrtBnBRzcF6BAeM5oTVgID36yqZSbHQ1Qfcbtn21tDAcX2DLQD1ojV/2iEjy5yR90 NWgonfzewFPBL58FXt1yXDpTfaDeEstJSjH6eVZECtNXJeQ2QFrmX+bzewfjsZbP86OJFZKcqAsN gZtK9K3NIZRe/kGOQyih/gLnGu9n2Fupss5b0tX3B8+Tp21oQ++5OZKWUhnABB4TqOMTdGxpUMoo kfG29K7eOxybOuysy/cGifr4owT3WKnwFCo0FoN2BYXDq44CjLtyq48nBJx4BZoHkntlUCtS0hNX y0GfcaLHupFxFFRhlGYaQ9iOoTtoxu4F6zSK+1RD4r2HXmQtKlBJJXmSUGErHf8XY9ZX1sydjOsc 6vmRE/p1z7gCqLJDx22XpbDPlbIkZs35NmHAmpbdT3JtHL53GvrDlfEtElMPhtFZNdz2lnQ5kxvh dbDdEjDIr6s/D8dNi/pjAOf9VPtFq+scL+T1viGUNRrmSWcoXdFw5Xaipc0jOawUVSuspg10pqtb LsVNHIj9Y7/1ngH32q1xSglDlddzMA/ODb3bRcsXa+1Aiqq46kPbcEhR1qSFqowQQyZn9gkJwdOT fLyNTjwSrnwvWmc7cJZ90bqFrv24tgD2GqXbFhL+5+QkU5nW29glLPcK9tDpbM20Za/oMX+SvrE9 0W2DbzXUqnxORKMvhpxqRqp9UisoWMjBQOZ8WSoYFM3H0H6MYzSQCmTBnx+yYoCuAcvS3ZTzDdhx TN7Olh8Wv9QpXxVV9rOCYGPqk2hurQdae8RJ8mvT9Lg8yE3Z+grn5F7jwuLS8OEvmFQqpOFEg6I1 a4+n25ER2BnXx8eTwmFvdx7JhbDebje+Q0mcp5+7qMuWQk/6XlY0uzAO2/QuI6iwx4jW5JQtopFQ bgWtdFQtF9Yu14Z+A0dMcmqOTevpj50dmePegiTisNtYhGmDN/tCmkldZx3xlohFDjSr0Y2Oti6h Pm8oP214/W3S/Gpk/HUX9Lsc4AoHH78qzLfqWFIoLCaQSkIHbWTcp5tC4qBCyDg/dP3b18nmAbtK FqQJDsYG2cyB3lJtOSZ7P+1AjfmpqpSf2LSywaKtuEkyrojhSa4KmLDJGCIM0e+YVOV2nWhxGunS rpBuxhHMd+afkiU/CEsaWhMTzuS9YMcbHBCyZv7AaSCnO8Y41dJBlx6IOaCx8AWtJT4sCCvl1Umb g2Clnf9d+rL5aXa+dRaD0NW4dCQ4JT9t6GWyFVtmII7Jt9ebAurgn+Ib1k2+zpUXiGZzOASRYH8T /QNEiUNsEdYAZAni3ti+3o21zEwPJG2/YMTWDOcFzUzPI2MQ/jZnuvQ5MOqS6Q+3MTWThYEZMx1H 44oWgjYwWjnpMOHxovrMg6Pm70PfFga1FwMRRAyQ+xWWenfb3kiU4C1ywgoTT2Ii9re6SS1H4aP9 sr7EsJK+C/ydUnzgsSB4p4rLzRLflr/DJDS68B26sPWGDmq4GvUwg+3jSwTbLM1jzCfp+wQ0LcJp oIKYIf9pWb5UAd5FxXop6w58roPEZmTW4dEfyVOMbdbFYAZuxgZOa3GctbimXSXgI2bgM9oeAQQq 4MgZs7ghcdBYii1QR0v8QbIfzUllEPVZKsPn2fvfGTh2UdpbZcw801RbUupAc3/jE1UZIkBNUsid EV8uhEXXI91nTk9/qcRImFUIJF1Z011uOq4yMPgmxiPOMeLKPv5f5HF4ekbTLe4vWax7l7vmH6+n SH9pAY77Kj5Q5OMoyeZGbN1ibiOFuneDD9uvosNUKBEHhebnOj7OpNUvUt4lsXcuoe+3p44+gWoq rcR+qsI79sa6xoEJc5LMvtkY0ZiYgIzbUV/7ywoAWanoeHe10huBO9SEz2TCAbGV3z5osJ2LsKvU vH4WAh1Rh/bF5Uv3g2HmZKDT34Zx1zQimprAo99n6AFBAjgytsReSpX3yMkC0bWHg3xzYE0cCuk+ qErr8+7b7AEdbLdCPIH48JdH7qOdqEaqnjYBEOqPJDvZrRsyKjRUXoTYCwgkF78TZ05Hv+BbVnet AVEdz2eiDrtTsmUdF/h6+tYkfdrTgJO9JVH7EuYKV1w27yWgsGQQs6onjyJ5xi+b1wWklFd6RPiz riYFstof21XSwgDu/KVjHUSkz1qcw6pGo2Q+sMifmlVs1IAjb5VRS8zbTkboIrHC8K8TKJayK9wl 7HRHUwcovId2n0qOsDyKlJ079jXriYR1Rbe0pBzFB9DC8J40iAQ+VdbUcQmzInub2jApZl9kCGzf zShIzjp7kbvf7H+HBg6+AjSQjW8WWUd4hZBudLvvRIFal2gQcZJ4N2IpXxhfZRrt2//YaHuzqjBN AJwloHGEwppalkSLOaa/ehGDsQpuR7buleZDaayyPJscSZnJE1lzJhaxVYAbwkVhDSf+4v2ryw9z yrtRj5gtbBWVcAKvi9r9+XNoIe+Gp3jqXjqz89EeTrfNu39o6J8iyFOt0UlZbtoWQg2Tc+w55mCd r/EJUNc1gtm/ylrE0fQhGgESPUBfgfqtdLRt0toMDce1WGVkMXMdX3g4wwY1IH6co4arpOAV7Tp8 7pYYzDWX5BA2GGVj9u1PygIgPV6AxA7Mi1oV+6FHvnXYbTruuWm9v2qK/hbO7hvhw8lp99GPzUEi cJvFT9J9fLgKY9Fi9vLLeKFA80EZn6FSe/ekY6r7ebmo5PPshVLflAwL25HqEUx4i9Np32rSPCCt VHVvKAtWLmnVaYw3GTVdWyfBrk/PuygOnKzwRBUWA/olIUluMDOOHWSXonM/baE0ph+UlyH+vCUa kPSfp+HXwsWCsIYqv1bPSykjhgFsu9lhy3wXMxUbjVey011/2L3180+dAq6rUZ0a0vWGo7Ap7TN5 NpzRF83hWu1SjffdZm6n7zYih96Rt+GYBBVoRcAuQqBnBfhIccH2THMS4FHD4WyEibaxMfzva8C+ mIH/wpd1iunCNm0veWE+qlWabFdsLAueZMLpHnhiMD1FiOS4GwDb12FYt62oWUqrhzyFj/6TG2wg cdm/70+7z2Q3ib8aM4vaN6Hg4mlGccM5hvLWCvFUQp5WLryN4ZYicqAYSprsRbdLOtLWKFAie3Zw ckteDHxHOadsxBk81i84X61lHYBgac1QlXojN+QCydEDujv1NbLQA8G1IkUSWpW83JsRDFdgcPvk EQzCFbGX+j4Hv4PbDYvOJCafKGbIqB8in3Llraws1eN5U9V+Y2tteajvnul+uVmYWO+n3KnoyGdD SmbFbtU94Me6dI7Ej3ZeRYN9FWwn9V3YKd2QQOdonn6tKRbgnWxv8IZ+t4+GAuuaJDX67eRQXouf IiDUwPCctK5//v7Gm3nE1AbvMdodzLOv0S7ry7nDJg2RPErETFbY0ZoDQyO2Va4Q52ID6oTKYvMn 9zmMerxBV8wCcSDSSQrZK0o0U6aqaFQfNuCWaUVorpCktb3Yg4gbxP1u8sid77Xy2AuYWw/XrRtf 8FOShaCnq1793pA5lQYipeuM4dOWZ6Uu9yZwURbfcp2wWzyYaqxfzEsJGQpZO6WaHR8SyGfG2fD+ qsQjIH9WRSrXQVariOCumUk9p/Hh9JmVpDwJ3OmHHDBlpfDhf1EE+ES83FFAS0TeNkYd5GjBCATU JP1TYrYTaOPsA1U+xweUkbbU/UfTAd/AgKz06a1d3s12VYaRMGjbG04kfdrlstOvpbnkKu7BfKbq 582dYHLldFlJFb1alFSqU8lfcJBg/JBZnsBogPnXlMMTGO2dbQhkycQ/6utvred4q8+TVfk0Kmri MIjA+/5J66uUwJDjGeBxu+3cYB+b1HDtFCWRXngQvPk7UcWgiOCEKMcOpLuKkif5Ghztv11tzdb6 ji5kIR0XqFB2trBkAjOB9Cz9IEL4hNq59vqw78BayCRmcWgK+PVn42BzJyN39y1WehT+6Ikh8Caf ZfC5BdbzNu5g4CJ5VRbh2ah/y76IwhGsqC7YGC1K7iQ7ZLOx6+FcPgWkfbozCGa6qiV/r/mzRQdm QJYeA/44Pw78e3O5VeE/LPFMvCDZAbNIJEOU3eJvABFoIWzT+wN2lkprLmgD5P40M1sqwQjAWT0U L5XD2/q2q2NOVABUAMmeZRIjyLBu5pDS/HZAWkheuBR8PagqmlfNb52q1jc1YNP0fXId5yAdjXc7 DjFIkRf5mRz3mYo1hgMPtMI1oPLDO/L3lxtthxmezdKih8DWntSy1ykI5P/5O6uCls7rbgq+7+0G dDuVnilcb2qHeG6xLz6jBEvlUfpR6x/TKxywVWQBpY4C1LojI/tRoSTxE3GX9sODcS1sFOsZYmih 5VGzFbaYbjNm8mQhya/4RSOYTkRLDmFXhyLWOI+nttIdJvGtUM95kjcTrJ1P/2q4h/M/7IhLfEDF /KU4QdJwntT1nCDKINZdZf+R3tip0WSfQwEi7Dm5CXt24QTW+l96IPWiXqqjYi1piMYUWhtSGWdE DbEOX8yPUyw3V3++VKQrO5VIaPaebUmAotVVBg2MbAoLLZ8q+j1nYOtf9EITWhxUJnWl4ooISvw6 wmR05d5NNqBjiAIzkKaUVRyzlwf5liKGA32mGuzt9dEkGziXahX3VTg6i9wT5RJOOVxJsAc3NlBs SunBhwv2gGBuVoO5iM5VPjd4/Cc3VvniULENQRTzK36/ZNQbTL/vSHNVNKrjwwg8rdglPUWQYgBt eoDx+XDlMm42vOOOBUVkqcc/z+S98Uo4sHsPvdZm/ve5j0j5VuNDQQx1O2zMSHUuaPEN0E7vwWYw F1wG0HoOVgrgel+4+6YfsLJGgjFGyOk9s+j3M8e+sgDFG+UsV0R1rUKH7ywv2TgETmBvcwRZfbp0 IqG/SdDZjgGXcxSp3Z9mgD1ov5hf0AnDBc1c3iKts9BeBZaL1DXxLkBMLm4mXqQTS7iQbPm3PG9L 1ltyzg85oY+YGvsOmz/UjkTJAI2Genr8HKd4sxM1UrUM3OQ6OpAgbDvGRA5vc02M6rh0ySvPUkFT /n+rAH7jeYsEU21S80Sl0BoKh6OzP5U8Zi/tq5Opwqu9j3LEOfFXJvxUf3o2fdkJKFJYjw1K/fZN /dZd4XLycalIOULy8xF+AyZJlXoDkoSIInOB22lYqrQvHrPzYN2IZ0nR6OlUrGn0gA6tBxlCLy6a LA1gHFLOHg2jliEcJ7NcDkeujewq/pUcuHMK82Pmga/hM9hSOrwZ05Tz5ZURogNXKJWZUI43Obrw 1c0LIyj/6df/357OAzEcFu4fX7B3SpNW2z3yzBVIa7uJoZ0p3X98KA9sHs1XTOkIjiLoMizxkSCp TYxEjgRn70/cDqUnVlj06EADrzRDNxMC6cKIPYsiCmWcOSHkilhuF2/He7J0QAypID1MMil1HeWi zQQPftY4/ciPx0m/FuD8BV1cAzTacjEY8t6vgTi2/j1ki302+1yZSpfrDyXeBoW+JaH+ITKTh2DI bc2V+6FlmCVsnzmVu5DaB00wK1E8ovFwMDPDlkl0CZxeWPqW/MCDEs2mENcGk5tqQLv9cUSJcyZA WYdfEgld5Ow8dUGw0DG3BJgt7dM9WN2c7Kh1oxIal4/281ZqdbdM/hTm/9Cjl0X7LRD5ZHhUagAK aS43Wu6ZphrQbwlFFNNBjtz2xR4516tQUZkh3wKx4uHNIEPVpgy3JmRDAy8dnnL/JUvnLZF1E/vI i8pHt3klJuSB15vqth8JxSYa2sh/7FztH/Z5LOHsyhU9nJh8YWlUEAhOC5FNmlurVnLtz+5FZBkb RPU7XUomjMxJg0NsBg78QLslaz1sDGwecLZ6tHVJ8HvSPRpLNUAd7GXz67dLopEehhtiX0ekXGTn ewYIhfIRTEmCF2PPdbuCJc4cHKcwt0F6VozENVbq29EZ5swBr4+ytG+r3pbyD/YS6pbQTD9kD1OP CKjDvo8Y8GNQZkAOalMc77v0r4Lqsz2taRJx2wxUDtUKahHaPBdfe+tyITm0R0fFw95JLQFz+nqn tcbQdZkb0iIAES/nEFPhBrf6r27/Yx2Uzjbc3G3IowzRMiSxi07uH0Ej1mKA17XQeOqmHhUz2tYQ OQNxewAYOEMl1fvI1wu0b2DUZ1zricIkTZVNdP0JJjJdAWbDEfG3Rw9g4NFS7+pK6+rLvX1c3ObY ny1x67FomukygWQdeiGDDEKP+ozot/kngAqIDft7rW9yrEYZEWWyDocXVgjMlR+Cmtz/uURpTRJH so6dWujsZEbqYzyY3kukNVKTyRKJW8ydeW6mHK+7jOKePwzzGzu9Qt0QxGd6mYvr5S7NDyIs5LfQ lzF+7JnkCTFyGthoLw12y93yrIM3atcYq9U3IWzMLE4dpQ3yo9qp7D0DDfNAQZwFKZejhQWR8k2t LqVPbGaA8HcvGfNEswDYWP9WNyGboUBzjvWQ/6jFvfajigp51Fr2NzwvzIAnxp8HPp71YhU69JcV lZ6HisdmFm3VaR9OyTg18+/qk9VHRCyLUyl0/E3xJ5eG0c2JC0w0Vc8COePOpu9fsojPGoU3P/o7 KjnYPc20/GMPrrus24pnRkYsf80LU6G17BGufpM1iBgrH4Yjqy/7DuMWDq92KrSpYuqE0Wuq64Aw TQGZyfjAdx27hmTn0ptYw98hmWJSO0QLXhIFei0JMsyPEzZ6QyV267EqJlrErGBCTdmkN8x2b8/T zRMY8pAh0B4X+4TKxUixpuQZ9q+k6SO5i0VHzpDP19Ic2GUPP4HrndnTWPUJ8569/VcqAYmP3HHu x8PT9kK8ejjUbp8n5my93kQmu6lFcNYG33DjZlNo9lbyt9PwILcujKESQYq2Wr+t2P5z1Rpak/J8 bYkOT/Na3bczfPJ+q4jYHz51l+rRYLcef7mKMyz3ebCHaKEvbzSbw4d5BF4OkxiANUbPGD4Jveqd 6EaF3qyslu1US17FkWOv+fOiobqRCfjTgw7udpKcJst26MkzxK5AtnEYL+B23ipluT7W9jhoOKAz HkDIAK/NGdTcCibLMeAmdpG4IBSfcRREX4tprsCm2LssRLfZOPQPJWfK++5SyqUrxpa5Yg+bIOnE IGaIAQLGPHP9UrI8Ft0ZQZLBFzDv6B2q21UxuibPn0xR6leuujzFEJMp7wYv6niNcer1LK1t+8/1 J3rcmCbi4Lymr9qk2WrMh4bJKHo8Ydrt6gOlzK3iiU0XyeTIk16TL8MFWT/ZBDZA4ILE6OAcQaaj yIf4fw0qf4iV/6zURrDEtjSPnPJBgv2KNUsK1luv9Yed+f+Ipg943FH6qUhryS7fsS7Jwsux8FZ4 KtDG1E72nqNRk4ZofuX7NWH1a1Xf+LzniExlYZ+Mfi+mmFQQCqnmniqVsmKRoPWjDcIQu+GxWto4 PhctGvyaQB6lzgzFAjXIGMrKGkXw0RPV7uB1IT6WpEe3gaQodzUrhKipsNu91fpBVfLYT3C070ij J03UjJiVmrHiRfy1K3ntrY14v6YyHImWGmIpd1vh8v+E3ooNgMBSJ3JqmrqQrrIFepKwC70SRNUc iWtMPR7fzxl2ai/tfOUokC3BDYhSIXmKa7wN78NwO6IZ0ef/robGZHyap+4IYy0TR7BxcDpJ0qlS GpZRZLRgkAd5gGxk5NFTjGqqqbMEiaUF6XcEpYXMrMYF1dQKHWCrX0SRyF7+16uGRyvsqqv1whOl XILCfA0fptEg8aXhfSDID1PrCumbweAYsL6rJD9NttsDllxx7bSonbI9R/QCmUnj4z0X23oqQJjx 1jyxkhlDH4o66VkdzG1j/8l9tx58aN3/XaTCCWV2VIIPCZzagX2bttfKW+zqS/qA+TO4upki5tFM zkpRBHk5zCyc5R6u5/OeeZNZnJxvEQLroZdQpnTlfj5Mk5i0Sti79OI+X8QZfyhwJstd//Dpq5XT YPTqEiz3mcZlYb1kYbkVexmkbrjDm1a6nLyEYJqhhQsgAhFZWEUnNUg3CkkWUpvJAZx1PZ0KilrA FzywLMHOnNXoWny14gfWkXJudt94wvryS+xuA8dEukNoGsExNXGIhqqGoqHb+UsgWtu/GGCAvKCz sRGO3aHKMkkqk5bOjIQuXqGFx5QPMp0Ggd0UkhJpxgBWwnLuBkB4wn3VCBOKHgCtzaVP7xTnYSLF 465aM9nagh9pEEvOIih+R1Hw8YaBQI/bWrC/ogYnTf0NWJ07TJVL8ErEFw2U1sWKywWCiiOcn3pl uws9bWj02WYCTAabc2g3oJcOmalogUHiUz6RKysXyu7XBUshmkQ0/9+5yZ9wPESbUXovdgzwO7bK RAXZuOCOfbl1YPX49+MHgFj//M/SCE9Uz8ReHa+qs19rT++ZWfosAH5TPw/s95GIGeWyD4tw4a9u wvR0WLFMBore7ZbknwVaW4+rMO9O+q4dh4jATjvFD454CXUDnk5u7O0MvqSd88naWCP8LoAeERyA 8GQzh4xdGfqGAyZhzuSA7LxvLfdLrIjeMv0TiMDubCvA7jelIjRrQl4RFIZiDK1fnK6eIgWcWmMt /Sl6qhnuL7JkPBW7mqwmzcCdnXFt8rD/Rh7udu5lLWHs+haOO3KKWQswNfyKUY7vLR2+Z+bgDxFM 5D3hAmcDDdISdk5V3HKA/HpgFOHVszW7FQHXBwKOj9656AHOpHhju0cF6u+7stFRhlaS1vDskwRL uRdZ6ugnVgxbGzXb/hzdWaGSX1TUaCcDrJj3QqhxOTB/HGYOMTOidSigH31x+Nko+566WecOR7Pa cL7cgC0omjPT65PVOUJqbz5UvQzgRhQnhpmlKvbIjAjrHcp8qpHYmF5KMCcF2Vx1X7QKrBNmrrVo rlNhdnrQw4n+gXPgvfoS6P21EHPUxhJl8q34Rz7Ggn8AsrmRX7M6GJFBh2yWQHcYQIrZw4DkFuU5 D1WePBwfzUgoTejmVHYcNeo2Z0EDbCipmUCsL8VKjrNp+8bUKAq+lPxAVMtsA9r1YyJUeoXSFPo/ DMM/60ZlAFveEj0JlNBfIhnUJVdzAbEJkEe28rIw+udoTJVh+UvLm0zDJk+e7xkyevyfwJoF5IGQ Cyc8OKUZWKzkD9i1ArXUjRdzl9ujaP7KifrXfpIxqkYznwRqrrUgrQJ+fUMHdFKmyhMVbh9YSpB0 7//MyQNQfv5r0Mt+iA5m8WE4zHEjZChLcBxvDUGAW5VWHtZQi2EI0YvC/u+hadkApdtUfp7D6eVN aqqnoSMsNOV5tSC+dGXlQtoXb4VHfcYC6hL/nT8NgrClZ01Mg5P4+qPv4gAAPW6KsgkgekzGON0I tMmVJ3twOnTOEXB//7n1S2cWxj8PQc+FayJl3Nz8HLeaNzAcC0oF7kchi3of/14ksDt80+aY+wlu b8PNT1scqmr8LYM/I+Af4HwZ//t8Iji7n02/20apZVEBS5pkTVYKsu69biWj/StfZuE8lMZcPi7K FpBY9RGSNfJ/u4J0NGsxYyHKRX+BnqB+ADuFkdC/7Xq8ywQfmAKaK3xElxPTQgcNJCGNg2x5TJtj 3FJ5lHXFM5UzM/MQqI3Trh6e9b7MS74RhIOfrthzDUUNi/bfS6S1LIgPYqna3/yj2SPBNBfYPrxX OeyPEKQDmuSLfcpYZvF04DJvuptjz5qt9ylRTPM7AzpY+Lwu9O2FLl+EV8JrF9/KkEehQYXK8Qnl k7UBKfXx0/Fg9O7KSUTMHiUy8VhkZThxOucoa5P1n3g4Yen5C29PU8ZFI5ADEnZcd74m3bPJVoS2 E2ynSJW6Tnl48PxBcGAsDMLc7MEhRH6urtOIgRBojs7Hv0WXHp8OxCIRKgJ/EaE97XFcu3v/PTxn 9UZKHseUj2axWfmZrgZiWiGCXxUDY1xKXE7+hh4b2ucSJIl6g26idiyUr5mLmIvfTnfKVt6p0L57 JlPcwNLRYod0DZoQyiwMrYiZI5JFPsnRsPD1yyJvnRDnc4rFbZZdQ8BsvnyQ4yixWu5rJq9clYLC NhqoNDD+IX9VArJhjf6sqAXy/Fjmqg8FKBBOghufG5aXxK1/GzqM+IqsRo1uaDL3nLi5oWLwPbXZ jhVV+o9HbgeZnJTi4tHVtmp5Fnz+b+eII+9mirnMUZ40eFDswPVg/PvGNYnxqEGq3IUNnlOVeqS7 Ovrhw/AQgY6NQVlacqA4+78dcKd4V7Z0lai7bcyuxTIPaedh6rFBzHa1chPQc7N5nMMLwTQ5FLTG 7mh6U8W5XemvAyPGlERYnXw7r/N2kU5A1KHRxzwzULFz9pmEteoEPh3GxQ5yUwoVIEisHN2Kce8s XVWgreRoajhxK/wHpycnbk/MnqcAsAhXxRx4s6sqXE/LkAu/rD2KnZTmIhVrkT8vq8MZurxCA7DS 8kxpbaKJDOsPS7iHOhlSnQ/VF1XL1zLq+Bblilig33Bq6eeknMT0GVlJbiZpqhW4mPn01n1WYR4z zxhaZIRA6FtS8k18eZ5QanCyB8n+aaEZJQ7wRyTpGzLVuackMBZsOEv1Lxs866gNaN7jSrDBJQ4j Oo7UjBUfJdPCMnoiSTqEICe9eG+20sX7k//BsG9r45zFs+F+D6Z7+ie9/j20HwpZ3qBZQ7Rq85Da vMGg4UZZEVmDb/r8FhVHXr6UdBVBhdUmNkUmoe99vXfaPNbzmEWTaIc3qtHGUjWAoO2Mxd6ImhLv /n8gsJPD9/IN+YDsgAgIrrgDZkPmUN8URji04NTEQP3YElaWACTEOA1tShczz1PZb99YV4nEQen/ F+NLXXhxK4vHosjiHxUaI2XQfkrpHnZ6iGotu6KMh2ORJrxae7bo0SQ59yIksNlcaXULVCQ5mViy 0m5gFfmjBDuE5WYzFU2BV8A/6n4BmG/7+PHKelC1Fxq0mocpuOiocFptPY5d9CW1fwYUUYvCygyA OgXLKfgGqN3lrrRyqNxCjayYKpPQSXnZ2+9NIdSb64YyCZ9mMzeoR2HtJrUaVBgprs7ClEFSOVoc 3Wnc9xM0UlEjg0ve7J59jjvciN6NZRPte7hJz+GUod2QjYY2rOy/+tvCKsK8r4nx/IFRM2BC5iTH A2SiZQ4jJi0MPZkN/swLG/EpPgU2BHdCyuG9G6xYOdYhwkqtSki6R9nO2MqMyZMn+qHm9HtJOW+f 6jDbGsylX1PUwfKyz8o4FK4hVOX4rrUUCkJ+/S8ydNqnm5jQi/zdHhT8/3IGEi6LkvTUwXuYEZ/6 y8ImouQ6c7XSv5L2WxNyhptZVAHDUP0xun8VmUCFqgHVxfABQaF3aihX0wzC6wQ3qBsjQv2Lf+yK wXUn5kSKWH7k/ay9D+VzGsOqGRFZlfieZOz4ryg5NPUMuPcfjK4M7U7c9YmQ+ku5KMz7DSjeUR4R NYdJjhjNxI5DgBf+XH6B6FLk90mh4COp9HhYfMZKfXUe9FF7+oHNE0WJOku+a3qwrHHIfj8Cu1o9 E5YotAW5aRfQtDQMpKZ6Sic2/29vrCWO4O7FiNrM3ydkcoS2vG4P0DwDPl1JktzW5QkteswPr3sq 6GSvPfjh65K0IPF/Tw/HbHe9KyRhBuUlhIGqKaHsdtHblCt1WahSE92HPWhE6vyHp5Akg7S0fC4r z06uR4TWa44GWuvOZGKMwJv6CtUjWGlOy0AD+6w8Z5iQOdVbcBcoWwLF4nXiZck9qc1Lgt2nDUJJ dtOZ8BKwLYzwXfOibNHdUm3TyFLCV9BSVec714EuX1ASG6Ruwfr1zG+YTBiSF7MwZDyU5AQ5z58s Pous9PRDLpkuehGDcVyLxVLV+oA4Vk3wbHzmpjjpSaBxVAQkAaR/iw1CzugVTfSDgfRQm469T0/Q ys3PjY4m8FYmKKT6Xhs1RT5YV2cbLwhGFUotpNFcro+6SDanHlgDLYFjvHbmbFugH/SpS9U0lx44 La6Beg83ShRnFedvNWQmaJR1FRI3yXZWG3fvy/xtOujNeu5VVRXCfzJT2wQDBNulm5LTO4u/Lj5J 3NE4K4df2GosAzh6v5KhfHD6rLZJPufPrzEcJRmxFcWlsAJcYOBmNlO2pDLJe3lSB2iQ0/Mx4rQI osKnWfAaryOISgTyug4UjYQta5BJX2ADGfxU/YLrIthxyZtjrsGB/WUXn3qX+74Kgfv2yf7FHq3Q 6ecjG9pChUt1zCNDIL+3DoZm5PM1pepxfUYLncB+Su/H2726eH7sAFi8n6ZGfvvc4NU0L5NxjThj 1jKLtV67/4Ho2Q4YFWJFgW8ayd/S+YltW6ls5VGAv1l2rzsvH8+DSfuDFyglcwnLlm5OusCNqgOT XDxNT4bqYmQZO+w0Sfz2okIQCt+sIGvDeKV2S8MedPDKO/KoLCbgsG2BhMMAzn7GyoOfjYBjyHNl CoGbh237COFSpTji0CCWb5+TF3jVKoJ3BAsUBj052l2LCVO9kjF/yjCGIeNTUQlRIIH4RlTM/nL8 UhuocJ7BXEJqVsCtyJyleQa5Tk3z6NRgjDEDXLsxhdhZEgicJ20HbZccNNjewHU9gfrl5z3651qF 2XQGpmJ6CAPxgGD6Z5HA6rHle77/2yPMmd/IEtqUJHrViBKVDG+G2cIEASxian4bD4UOk+aQQhRz n7CnNfu8yrTt+v1qr6SU4RXekoyCO55I9Nlk9O72pfM4DxxOraUuascSYlF95L4b+lRUkWj1/5tO ACu0zaCezqInlbGm08qnVYTt2NGJHcx0rKo438N0KeZjG55mvBHdoalRHg8HUVPpASBj6g/xPy7d w1Q+2afZORUyKS6v2B20r2/4jUWoiXl94+Fn4EcXwrsuLrv+w5Rf30rYjXjDjXjwn+HV6i0gRMwI hJ0bhPdcC34zAfr1tLLCfE6eZigXUIAF08ASjr3BTeyHvXpdqQKhCsxvnjtx+jqQ622aGklrJPVC 1KXKA4q8c8QHX2/7HEvwrd2SN9jAhAbVOl1417aSsjD173G8sDgSpxqYp7BubLcQmCb5hz1ZuPCN O5NZizFzNBN/ewr8S4fOKa79ZYJkxbbJ/jcvh3hqxcJWZUuTLl+0dGdWT3YbYTQttIMr5F3Q+wSU mvM/wYKEGJWtCWbY9dTnCPcAV2fmN0WWbTdbmWTC36oSO/WOSDiDp9w5s9dJ1IFQ8+w7I57F3cNG tjB+rhCEiLIVQ3On4174nq+X8pHTEXxqvH01RnIrxYxkrLMmc7oGKFqVexXKym6HlPl/FrlfTwCv vMzlyK5ZyP1NrODLtitlEvERjeUrd3endW4ha5c09SEI+sbNKpQgoICJNcyesU+DpSrsUEsMEVDG PR+gS7JlQbo+z23bwF20DsyLa0i1KHR6HzFOIUUL8mkrbUU4Jz/BE2VYI0bWbJfXI4BNB94HFTcR zue8g9kWonxZNmUpa1JBXZzgEj6n9lY2lbXtR394I4UVxsi0lisgdgwQDGiV07GESp7CMt3L5doj brOZu96xCDm6V9i7iIf56/NrsJ9WoYOFnz0osLeAHDKMp2O7vuxfEgnGUcsa+F02xm0xczpotZ+D xqHdTJI2e0WRMcLZMuN4DEQoYMv2ttGqaehwqU4Ezql1P31dJkk8n/ZenkLGWMPvdo3Zp5OVNL35 DBKpehOR5MxLf/NT+/+aQW/pU6xoNEgkmVrY0mtPpxP/1T5cGlQSuiDAQq9u1xO1ytS14hOAyu8X Eqmv9Ca+Cj/p4NiXFQZdcLouuZWcq9sGh6FRY0wC6EOi5RTp0GYwIIGgWi4Nt0DqK/UEVUj3de4M JsONXshq7XJOAfw5A2mlg1fkTw6sXkUMD+VskG3ZVCOwzpXUXCaBoCIx1Pqkd99uAhhyqweYTsI5 pbpbK/+1PgNd0DoYJ54q/ku1qksPgaAWnTmEPOX1Xf4lLevGDnwuwT2XAnU1VM7+3IXBMrqda4+p Z2BB0MqwQuDBJXuIQtm4+5xsvYd88i3b9DF+U5/h3CCO7PJe34Bg3zQOta8BuoIRxmZE9+wOEc3J kj/lgnmjqnI8NuBUt3MAG3oYYPldgP+BdujGdCLtTep6dMu1JVHNHBFD4cljgsiecaUY9MF1JW4m N9RZ0dwkocQHnpIiwrJlrtYzMOs6Tg3h28/EAd2mNTUVN9AAJEbCsfsRt5XzhTtGq6n8Q0gPer82 V/qz3dJE98ZyOozhLyBMEpsnh79tlvYa7cq59H6H8oYxBDbXgKeBcMm+hy1O1KjxFyzkP/u6b4cA uDDTvjMWJ/KQST8ZRq5O5IbSi/1HGuAfuPWiHu80GmIH/SA/arkE9n/FhY54nqzX+p7iyW0VJEUy tHxc3b5pP81/Q4wo0OJIziZUEysrv0lLW6P/ICaqQwmdKrwsjJMZ7aglDxdlFzmRxL4FDEOf+Na3 DOxEakf2RV0qFY82CejKhT54q13TGQZv0wUOFBPtiXrV4sm06JS8QAiXe5d3CyWWjcweQt3fo6sR v/IcDZffUoRsaH2EA4NcEH6GS8QOAmE/rfowZDV/7HCEJ0A6gSsd2ANBTe/gED7t9OMPaMgXI3Bk trcL2dGjetSuWNzSo2LBhUAdG49fQJmWkK2G1ZTBtc1ZpcaMEJE91y510azgMIUO3rP+JTteq4Ec Fgpeauw2pzqKClNGsDtt7QoV3A+bTMKjoAl9nxDDQx9TWDYKeNAm5hxRJC+W9V75JJGgfAeaC+H7 DBR8neqx5JcUTVhBxPsMfho35pyAeFJB8vsPtjPN+gyvT9bF2Q7GzW6Xf0uvK6nsE5uP+lZpXinq q8bUeN2LrA529KQTnKv9NgIoOC1lCDew8L+5yGS70rQcgfY95jh3kNEIcV0OmrueLNE193YbzM4G xatKSetlIM8sX8xjAmvcAWRYNQM6jnZqDk18Rztpp54+wuGWLd0alfF6vRO2nVjY9knoFhHuV9b5 3od1kTlucUFwc9AAQz0LjOrTuw1wzhm+B+Z1LvxQ+NS4ljSIgfWD3HNY8g8uqL4OmlNEa82vdIBZ 9hjUN4XPS3oVtThlH7OfUod9etftdaIx8xISyPW0H8JRrwxFadbqhGJzxgG1i83uv/ur4KZesprx iDQhZXjIw8OxdFyPzveM3C7iCpwBeXLLjcRt1KqJpVluxSxtuUMvlDZ/FINM6C/S2bj9jqTZ7hZO ERLURVflL5neKFxUXsotVIrb7ITNjwj6yCZVvYXOkbFzpzPxEvC8uraqJCDfW6ssNTbUZ+DK9Gn/ Q4huWEA7Iu8BBDtIGDwFFxZyZoY9bS6i783m+o6v9VVF1xVihYYmUws3eOhYHPImVcFWP9NsMyCY FZrfWKlaKWsTDNvdeGzv4ffk0oRGaUoXmSmWMbAYecdduVriApzVLxsbt21tEgD78jghQdd0X6Un jxVM6UlKE9QCVVfg/Ddb/NRN29bqhaziByFVv6tHCS4ZAqp5sqPexI8FdkXcJLDugSvQKhp+57BK T2osNuBCyOMuFgUowN4Cmm5MONlLg+HL78Yj1POxDF1oH5eF25nSWl987Hu7XYm+xek0WwCy+zn7 2BFvPxuxXmhw8CGqVJioaUH6P5WCTh97Jxt74BBorjlXbz/+wIhPKp4E0/zSTqW5fDCre7TeNGcm g+P1G3RdRKxWeLChNs4OiUssy94ZLV0QzBh5NIlp8LqS7DS+uabVxqohltwWE4ckCCNe60gjEo9P lk4B0QZtVC/4Qkz3SCT2RY2UDGjsVdlQWFL+SqcL1PLbqV3vT3FBC1JWjXopElNIDAU4JTU+Idx5 cISdqVBQh8u6alGm849RJC2rPYpUxC0Y3fIQI1P6WQR+lJKe2K2lR2QQS7WVviLj+9ru+Efut/Lu Cgop4wep45jSNxH2OayoPzZbAkc1ESqpUzp4lcOCYiMzb7eS+35e4w+54kYIZ/2zRFCfxCI8FbZr iXLbWMqTwhBMnf75LruNZvB1LJvn9FHEMux9D+15x7AO1zCxpws2lonct9nTQg0memcUsncx9afF HnF8wLKl50MWNGh5i2gSo1tjvHS/VKQezai1LaDkaLS7o3VhvFpiNl1Rg9NWB5XW9gvD52Wwdcpg qz57gQx6g67VwLyzKSSbukTOOtYgeyJHb9hpz3DBg+wsfYm0s6k3JyqlI10BDV5H1e9mEvTJqrk7 kVT8HIaRu5OSi6Lla82QZAXG7nmb93UekKYOX+RQAcRGMuIVXPF8xZtzU7EuMlmag/Tw+Xe8aCI9 HdK/qw1NOGPVjMWkudLfFy4fM8k4U9aCMpDP4iiVBJeZs1Ck75U1rEn0sqnRfJdKqe8ejzywR9vW TicDuM1msz+8pL9tXO/E2CDuyOsSebTO0HYmAwDQSTz0kAIFEWP4sLU44ATN/QdbgGTbHHZ90FKi Uxy+edh2jPzxqTNuS+wPf0XoSV4IMAJMVifVmq4JRezVGRI+31i3CrQMN4HegHUiS392Bpc8zdtD 4jCygSTK+f0Br3fJ4aG3nWnk4fdFRE3oPmWHsgTihiYD2QDa0mWdwoZAV7yHOynNi/AyVGJTANG1 IlVcI8SScmaEkYUiMCIbpyesWTO/FX0KYEwoyCDQ0QxjwI/YXpBRnbsANS3EInBk+aNpD7iWiKcg 40HEOlKD8ocoh1zj75MpefkywCV6w+GRBAahEqx8tkpAPOvLIRxIxU/PVma8FbUylawbZeuEf/bp /oI+bwWlw0S+b7nStyIXvMUS8Jit7V73GfjFMpXRzUkGkAfwmKVNBze/zBMNzLBZtav3OSYuchu0 ENsMj0SXBEmGHqMCZ+WX652zcBsECEKBAjr4cD70chU6uxt+HlzMbWhunuvR2/xkSSLU8hmeSsZw uFMjW7tuK1MEus06OpXDGxv+gkh0GTQQAFP3uWbQN+ECtuLXXAO/pucHF0ZosJ4VG82ZC2G38uzQ mrP0E1TfykYz/tgoUt2c189hxJn+cx0G8UwWfCTXThjSYjBTWbd+o1J2dJwHGrN135QcZFdqqufy uMcn+bcNKNBhD3R6EHpTj2PgaLQAAE0MszRrPl/REcl9ZSMlMVWXMJtalmSnsuIsZ82Dg7OP3sZM 82eD2ORQt8JlO2QHN90evRSa6FVrUOUokvz5/ZCOiekCWxR1rAar5I0H3aXaKyHVaquOpMPkqtq9 PPY1A4QhkkIksg1bFXA8a3TaBnmfBYySBPW1IjjnuUutyMpgQ/PB03TIycL9jmpzi0MFKNfQhfB6 RGxvIXayDsS2C3MenBFKj1qPQoCNFmVsnecIFalMoJ5isvEbZe3RDKMbe01ad4cJy0663OLEjpro o+ewHpwpY8BK2TXuNtkf6Z5Zqp1XilcuDoLFHABoWP3waGGjbz0dAETuzlc6PlZUSjOSjHVBbMhp LEpqU4lWAr4KuHXEA6vSdGsPVVnxMq4ABVD/2Rsjo4F62Rhn8t03Ib2+iSBGTnDtuafh8Xb8nbUn Ivw6x79bxFhlwEuRDSYB3M62qN4yAUhOuRUqUgsMEay0TMtAs4ySPTvohrQLzta9q9wZ1ZbzyaoV E6pAzAIUKYqpMaI8wIoanMYbwwfx6GYuPHHj/1qBzZPxy19/WcwBeE0dVffH+PhgqJ3M3VeRR4DB 2AF2s62pEDQ5djAITer3UpeCdOh84iawTc6PCRDuoOMALFyt51ccke5JEBpPa7ohmLl2kaMtioRe GBTvn/9nqIaw1eOBsnZsE3PIqjd+DcoIxQAADIY/97dohagWg6EI0b+G8WnnW7O8ZLebmvsPAnTU N2PayEctTi3Ylre0zGm0/b7ygsfu8ySOkTa/VvZlEKhw98C61zHnN/dy64YS1EpmqaMaRJHi2jhq nWhCv6tgsI6UerAgIxQThATZYSNN7g5WQ7hU4JhgSndozDHCAx9YIsy7IelGgI4/q3Mngc73T67q d1pNirxnsbxL666SGq3bkU7UG5oaPprCXNYBb4OV8M9zy8eFt7OovSm8RTMalwETL/bJk2Os8rO8 WuMGnOLVZn3FpXj0tjlHNLzNywa14HuSF9eUdLKjufA+LJUUwuTEg3lygsjOwYHqc5cAq1IO/9pd uRXb5xqE4RWYxbTFmeFs8S+PaAHNzuDVEABrPpO4Z9F1AJTCFREv8+mLa+DT4y/r5UfXI11MFbvE cPzUzUUSaD77wAeYVzo2i/dP5TBElNrXbPX5cnEwRHTTZpp9UaE/CmxeD0PjilsrJ0ahmDm9HIKz gEgwzbRsyRCTnYqm9/3N9j0TW0R2EDcZ7xFMmTqpJUjCkEReJeI3m6mo5gmhwqztRKupFUA6xUzw jtZiz7Hb7NE5fT0JdPrqKXFYl4ggcL5/MiXF7fj1ECU3oxmGuNK0azE9tMfrV0hjsnV0w2E3TDiT T7OhJxm9uOaxqIPGagR+iwZVGTIrUDaEtPY/IbSzfyU0eVD8CplEHEpDUszPvAsLb4KtR6Kcv8kl 57OrRXJg4nQF+gHrn/zxaqpviw1Wzn9YYJjx2XOJgR5Z4lJfa9LpaXpSkwha+aSZMuB6DxU6pf1+ FUHaJS/HEGCeMBRO/pSf+DJy+VmciwRfZPuIEPRIkIT+/vMIp46jjCakVHjOlUA1ky0uqhbwgyx8 /5Wv4ViSip/Io17hj97RPh2OH5Row1SHH5k6NMaEcKId+QhcBGmbUZYXabRjt23Qd8rgDhwhgP19 dFUIkB2zc3VAm1Sub/3bVOrEFPOM/9+4I9qUMP5pUOlZaL2mWQYV80JSde2aGPiaGcs+FeiP38EW B+5wzn4uffjjzEfKmrq0gLyp7aJ0j2m1JJV0I8qFvLs2pSQzJn3sAY+9p5h9Mbeo5L11MUcW7g+7 O1Ato7c5/83rXlQ2zdPypcPtgMALtQUQ6EbWXl4mXwmIVK4Wyb6Tbmm/76TRRv+eDUc7M6kC46Lv uemmLmcf0N71hFvVPJagkpAiUuS+bjwG7plew3BT/UGuJfQqRk8R/8RvHht0vwJcfW7S9B5OV4Ji U+540ubrldZ8UIsaaGfXb2HHGi+yQkDuYCaoa8CfQFsbK8HyPK2Tnc9x7DfexwiqtR96tU0Ki4uP A7N9mXJ867AwnsF/TxYgeVO9FGBgkLmiKgtZy2D+CzDrw8Jt9rJFfgvb2ykspRRrHc51mq0fwEhx 9PMryXKrip5WEKMie8Qiy3HJxtsg0AlaX7dngs6ryYV+dNTo0dnt8X8su5uJuIun4ZzG1cy1EcJi ri8rQlU9LuvLtmcCBaEQcucmKD/GvxGwQvmeIikVJJty1ryZgpIS89IJenXPXji+YUCLw8o5TvPp NRiXhZLCsDOQBGL2TnP73oB2gXA2Xj3Ulb8Vvz1Qb1DivbKmlUBdHm+3nFVZ9dp4whrrk9JRnMal VrL5NhBBCLY4BYjMJXrO6pOZdPruy7GcuRititCm56Y7FsmR++n+4hUG4RE1f0lvA0nuzNlnz7ua 6eibBwtDkPxwARhpiCmhhHafMk1HIDLWgqcJkSyNBqshI8x2M9JmyCijE0SSFMAIugf8jysExXDA mZfM1oGX0yhp+B+WZ/XFLIvaicZ+Oee+4M0YLuFr5Z6shgaPurFZJbBjun9DK1UEpbqwuiOeNjHw oHIPK57ioCoi0gIUf9iySfWJphkKJsS1SKbbRH7+NIjCcqeRPa1I24kGxhHtHlkP8rBk3NEBX2hZ OXbFFJd4/0BkeRfhNKUB44zPtoXzf7aMEnu1Hy9sHVIxztWaUXrUDYioZRrVn6t+cmzH7hDoJCYP GqkglGWnW+EXvZV/AfiQgNUnvyJ806oVA9Es/fwn53Y7eOsDbPXV6ewOqK4kHojv7C5RzhyF2wOk /QPl0vOpmTQ0s0qCGX9qABX11FSZz7VECIyBF72/qGHtaWLQHB4MKxQforAqbF+a59K3KK+pfC97 nHUuc1d0VtgIu7/N1bGuvbn51K4f11fN4PTvbeCVhUzpTCBT57QLWKDs3t1Nri6Gtm7SI5RmPvlV UNT/DKU+ZSNdK7IXPA9/zxBp/XWw81ODT65E/OQ0qLVSKKti+rIf3+sF1RQv0pKhNL++NB1zYQpB 7q9xdNKVAMq0Q1JTKEVRmS4IMkT4JD/JG/oicsKz6r9cSgiyRUGaHEhpJOYHLs+YXWjmUbjTAYng E7Z0aNX+tBcZsciC6eAvhQk6pSfe7ovjvCyw5j57ykK1iq1e1C6cr+oQKyU03yIEymfJvv2mhgwi LQh3uR61jB6ci8B/vgNax8egHXS7tskAiCMlWBwb+wyrEpRxOtFQPRDlGERHlLFScialLMdXdKye X0kcoY6MicEB+8wI1X+BRtOvgqGQ8nyFKjc1cATjuLubT37TP3DheV4+C4QgVFntIbCVBYovKDya acyShWw/jNLtYrL7tD+dNDCLJD6jVeKeSxKQefzFMu4B4q37f+VKDwxttlJ/kqn1olmRTB0VAqgZ GpfpWuEXkaXPhFlSo3/sIbyrJchklDSycmy8tXjIUK6GTI7KyZo5BiOGrE2FxgW7XNC3xsdocYdC bXVkyJPkkZrC6tFH2X5mgRyb5PFmvf+pTlUZRTgwhWGjGlsav8EmZlE+LHdr2gdr/ENKk9aBAV8L D72IggwpRNuqAaV17VSU0g6ehIoonQ1z/WmN/A9PmA6F4OpFyHlEFAhudn6sKFxy7JbzWoHgP+wU 2dP9U/yVqq1A5EwBVy+byIqzirHlf3qW3NVmHtVMh0h9XE61DUjctg0+ITANXy8eXM1G1toi3b6T a9nJud7+tjdpogAg2yqUFX0BDv0xv9dbu897HOk+8IMaGPWUiOBAFdkNXWhRk8fp9EeFC7mb6pP+ yQmweCI3d+wVfk57LwW5Cx7SxZwlVZ390oUDp2E+WI+R/+Cj1hkuNorO1KIQ/Eo00Lpj+rDRN1rA zD4S3IBLsnkNQ9550EKG/a7XR6QP4Az+vGZEHak0+6z59zdJQwt8H/T2pJvF5lHYU1TAFHS5NFU5 TR3WN0U7QVBzy8LAXkM+PNQQYXiI0mdyeOuOy4rTsW5fpPjxbRlbuduEDrht1cpYc+0PFmPUqPlz 4qzKkxFShKCG36IWQeG9eP0j5Cbb88BC5tDrlTihf7LAMETCrEIL50PIEVZ6W5FCeiV6RwTR23Oe NuCR96FXX/bxm42iLy5//MrZSZAFJ3xPhJMGOYT+o5PwDi+L/ConedHHaeXakhbhlDWCoXPVylnk ua+Ix6vvfGJIJONl5Qiz8xqRf7iu4zftB3LDFEPoELDEDAnvAVanhbY1nfYGXPXOO9G7JWG+/bxx OlJdfgGJYVAZZv/WMQ7ivSK+hjKvNvJQJ2KlIAlTTdbnTx9sdhc8xHYAAKxIFBOZDW9zJWwzcqJ8 /l9US1A6u1tCm4T392t0btH5wGTQ5JPlOcEre7k+KmDsrkHpEEXfyh3ofyCHN/OKr+6IUguYwrbT 3YKc6QWHDf4nsSy8wMbJk9jK6eUeai4AFaMUkNzrUulrSvXUdeCGyu2tpCvPz3OAnfDyTVWK+Lhi RK2HGfaQUAxAT3oUEHLie6McP0UWXtpMLKqX15UWYtJWBs97tHjlevOFSiBqBtMGOwY6xPxx2ZdF I9LUtCmf0p3ubuuZY6fjyaOAeiOyyxNZyCi2I4PihhZ5GexNY2vVUyMshtMQklFMWncUoi7h38my x3/nYG/v9eSzMk0wBJKEIChVjhf8Z067CrZJjYbcAo1EDPBzlgaAFLftuCL5blnzCyDH00HYBkfH DmawlbMKTBr2OhgH8D8krYsdq2mEBkrgO56kOIZ8VKyUStRUyx38Lirv4pGzwtk3V8tyABEHcfBo PlscBMvMZHR3kd3AYajQitfyT6tTDI0plTISHgh7qZDAsU6KhyZn7uhFUNm9wkkzgLSUkwHPl6mm CABN9Ywy+r+hj9ZndzlMhxfwbRH6ZIXd9HvqoMRwj5KDmyrs6rjEv3ZVp90IjlgDqD0EfzdoqQDk oDsDbVp28S87LSGxf1c/DuKYQOXtk4FMKH+MpXlGARm6YDw1ErMI/LgdokviSyKLjb8m1BQIgRM3 SvtS/sGHYPnG7vRkW8tgl7NfzYKB1Lrs78q9E3fAMkhtk15SzilT8xl5WD54ZG59m8IWnIKS8ePY paeZhk3VJJYVM8Wni+cx9+6sjaZCNT9GJFL0XhQD0JFLFJzGLFikQAIhGbgc0L/A3K3nfOObr8aZ ircvXKs/43cV501WGaPNZ/VioXCNxXH8jkGqFreeou/k74h0h3xmCb/JAzHOCAE/9ZBBUIle2C7v xZ4axHD2LZxClOZ0t88XzlQGU4MvIKdRzkVM4TkZ0eru1oiy6R94hsJAJmS4ISwm0gtFP/+y/AOd 0J1P7W24zPGptqcOEnXLc2YiWURBovKcsYL7L3hy1wZdmo1RgicQ4kmoaUK0QbhLnrbsxB17iLcC 1ElX4ntIctY0mlVC/1aoGTALyOtdSi2gG57M27ccf7rsya1AujNgXwbP7eispOrAzLN87ggiRJCF aFsx1tq7J0Q92P/nqlb5Lnu/f1oZw7elXMHsVPeCXsiQq2Pz9Z7d4ZKM2u8W4KdYQy6PymR6KW2G b2XFEER/ZhNeF0WI6ZkwYlE+f2s9/L1mHSoUqW8ULETmHRfjaJCsf5EjgQfCYkmXjkPAqqhfizKw P1+4/9WA8BEd3TGJIDvx0DpJlnh/DMd9cYXCN89+ujlxOV+HqpuSqMG+zBEsDbU8C4CFTBOKG5V7 mjvoBsJOPTWkRFZFy1IWkrm+N2r7DTrB3d76854ROE1qdBoigCLr+Wb7Vzfj4Ifzp/qABRq/oUeB fs27wdGFJ5WZhnxuVlK7HmFHBIp/Cg6lKh1/GlJ5sL0clGu+BypRCgodA4Fi1u5jw4BWOEFmBHyV Yry3UAC1i+EKqurH9Ha2ZQQOKK/OlB+J1O8PqUGsFr6jQqHe2KB6ZaT7gVnBVVuekZjLwzMNazGy Oj8cmUbW+R6OIVYjVEs3IWEYnc2hu8wG2X9kQvsPmWcHsAoyY68mpTBgLT84hb7+LuEfzzmfBjM9 Ain936BVE1MY+Aqtw1kKcNEWW2Rfo3mJrde1Pkk2kmmbgzkQYEOfVBtvti1YFL4C11HdnSIAFZrk MU31dFzgetD1tEGa7Empfrk6+ISChGFAbOv/ve+dL1nNRFi22ArlWabTJ11HQTZ93OrQ8PEU6CP+ 0DxBaEduE+ybWFxQvS72Ior73JfqUfimmqXD487nnTlFGp05Whdl9u1GBT2IVmeHKdgxEH2EdYhD gyvmUcizb1bYEwR1HzO5JFNOFNs9ZLBr9mAmTnknrIOvkloM6jqopV0DiqnH5AsInJT1Vn9SdkzI iM3fXg8S1+6RC1Bt3QHTpHrqXaXi5yyWloZt9kOA5CJPmCHcDDk1XNiJekLEr+HoZFEcs9m2O5xB R3BhuTzRjAB5RhMB0ZGMJvlzlQf83q86xHRIxic4X41UGB5vKgK6FKwveJ+Hyve1buvtIVCiVyfM z/rJxpe7r8GyPs70tTKL5HZmnfb0u+r0E5BtzglCvEtq+rTaVzfZiqUntgapmln+s52FPqyirqPp XFKoMNbR2vTR1KnyUEuTwdFtvN6OQGwlQ4PxdZSi1vGCRd8UW+5zEbC5meiFQoJaf2RqEMF9kjTa LmmzTG6kwVHD//NjxEBefzhWO7NpSvsf3OcPOeyZcxvTuqMiTaXjhVH0VjSBpeELoP4ixDseMRbk 2z9OuJ9T3xh+HHYZrdLxrhp/sb1YzcupV3tSZ5gQITDo9asmC3KYMM8ME+wMBP3+2QWX4wzE3ySO d4G9KNRbSVwHnwo1RSijc4zcMq7tDHuikPoEmbV2+T8jkUuNFRLTOaI/D2BMdz+BiSRQD4ZbWgdP 0KITiJvKXwYFHyW+r/ox/azvv7dvaOlMHLluDJFIQGSNpQUQhhvtNDaAvwsm3+O2iAI+sw/G17MB LE9N8IyJWGwjk66I10FVhTFn9ZTkh7ZwbQMiXZB+DawIwvljtreR/t14erXPYIPm8PGAF1iEyftj 5PDdAyRCIJtRqb34oUQ3BhiP7BRqBI3P0SOjOVNLgXDFS10P2mxIy6nukSDUjk182hjKq8X280w2 1sDB9V9sSFtZTq0MvDO6sNHQW1JPthFl0tGaq+FJUafUz491tQZnF2MgF/6fzavu9P8RG4OKkYDl SzHHL5hUa8fztFB5E/XyyI+0TyDITMUFBKkcFzfiwzd/taMUBZ2m2XJhhEZCiHLLRE8suDD1rOYY rwSKLisBUi7pDX94G7CxqqfBZ+5KeJQNYZ5c11NVXiwmfNuG6JERwLkZrPBJgHcbJO9uCMDLBa2Z b9Q4dYWQZem9CbMxBe/T/Jj3zr4tv2DfDzTE5SgV8s903Z1gajeX2/X8OVQGAnFMhLKFkJYKSZ27 KMtfM1+6hIbLkSsLaDHZFwZV5bqVaFR4DAX6AG/FEhkHHp/lSsYLI5XOS9CjTgUMjMplocbQfYP1 5VJyHIhvlNMHiSHXdEn4yFXgqxoaywYjP5rN5DzrSHyqi7fHLbTQ6e6TdD9j7gGsZSOvg80vQ8Ot bj4DpleUSpiiGgG4NNexOJrLmHQ3tiBr2r39Xq+UZdz3EYJcbc3FjVue+qrwcdvBQ1SLZ4kqEK6F /rIh3nl2/o21yBW79mTtBApszr429S7WOuKMQv+DrO194nIwdA8h6qmQydtoZQqKuJBdpniebKpL vqLXZlqYPrLxvTgC3WwLaGCKMsYo5Sou62WsnyFLEnNiXZHJol4i942PV68XPD5GjplNmZwXkV+E EZI8ZKLdLRoieP3ffwQMrGZdofDaqoo5NwgEq7ozpbin7Y2PuHqnuG+iANeaZrgeMWFXuEeO1iZ9 2gUHXYyggkxRoVftbSd86QunOhi50fQ56Gq0a4kyW9UTbzCO7mMkOqA5UPccC1IchkaNkd5k8iVF MPLBRl1ZN89arUVzVWH5GFkEarcJV6t1+JADTEeQDvZLX2mBzTpT78SXxH1X0SUu7FF8DLgLEhuJ fwrEA0DA8s9S+dNi5T/jLy2iARHLfnNHTBDypf+WR6lc9E7tk0xtNTCA2ImOhMcXwd/vam4kdi4h BmM3LkwL9zRxmzSWSmaRd0EQTDczFgjG2FHSMRcW+kha+hNDD77d73iXq4g9yYd//q0NcvhO9Dm5 XGkmWrUyce0u3iDM+eNKVuSiMUdCUZ2NG85LJFhL70oLJvs5fXCkoSeQfMgMzpVFfdNmte7opSV1 aXZ0EsWZAwJjOH+4+QWicc5Hc2MHv16MuQ5ACexWLt5jY4xCafiB9nh95tofdrqnxLhH8sdYoFBn kqT11/KRd0pQBmpL9jSn9H6LVY9k7X8kCsIHoGMsTXaTAJH4CvJ0LJ2KkNeL9JenpMTkof3DjD5M GnpLMw9iYQnOYbv0p1zogji9mXPFNEkS6YGyf7HU2i5bZMzLiKDVMxcn6tJTwScYTwZobvBQL0qO VpDPsQQtjLQK0UNW+I117HU/qMl6m9A64Dmz0twIEFj3zno3elmR0t318Btu552ZON91p/173M3L Uid2yuadLLKSh4f7ZRscp8jfKtOn/hbsWQx1wPaz6mNjOvlY+nCiHoY3nDIs2K7DInWGr12g2LBz UskBTPiBFcvsBB/ldeaTKaznV/3Yq9q4npXqqGCGStrnoZ3oZhqvI0RhDabnowHo2abTh6tdIrOC 9rMuz+3eUcUQDYIo3Pr9dFk/jTMONJUL5oaEKxpvCkU4Ql6F5ieVf7IH8uMGzPFpaLreRyiQyMlz TKK7vziqwGWF1SubZ6Z8EOdDxuGAuZj0spbNTffNHvG6GgScvoaxGKyIRDQTozCacphwLbB6T6Hb Qm54k0/kWmWi7/5nOqtyiikrUxU9fLyG3D+lEwWYj0e2PJEdV5DopP57LpXX23Rj+6r6elsIwVQd vz90IdRdq1DaACbjOi5jrcEZLVg7XQlKPWZv7PK2OMcy+IRMKKxfAKOGt9ptbr9JTGfzAwa9oqem 7ESU0iiFpYJ2psK+gA6DythrvyE3cDkUm1BtfqXcWbJR2ozvK5GKvDCrH1c4GGRTG0hloXPFATR1 Jh1R3Ncymx3ZQk8Ao56yL3oG+9RWtJ6EPijeeXYEHhaUPc7HI8M+fK9kPILLAKbXyr6o5VmDEVHk MxAy/I19yIGThLrt6+JQhKZ2vEFizF4xRrU2JsZXGRVjDVZXVgJQDRXzD/PAfdfxtw7ERKt4Bs23 iqEfypmM/DdlNFjSC5PRP7IxreJNx+QjtB0fYccP8TYoE3W4l19L/ejMTlPxr32AhjlSdHYXxs0o Aariq0amCbg5/DKsxYNa7Ld7mcmvQ6UjoMVeI48XWu3ZizYbgLrcd7qSi6rO1XNsoWxNI2xiVSqK ZatI3x0TD6XhnmLp/BgtPfnje56Wp8HSS7S1oQBdRHnvIXUKubOkvuLCTwR7/i9fcgoeAsdpN6u7 vq+O2sjlmwvivt+EVdFifRTTNsyWJQso/XGD2ej0nNMsahAFDtGQ0pGg0cVYLWRYaVVljT29vKv2 sfzRwsdtW1AYfY2MgWoDUxnxW6q5qi9ZvQyU73a3HT/g5SRe2M2RPfswPKWcYK9On4RLabH0t6Hq Z5Peah7yalqleXuyiB6l2mxgfRLiduUGyB5rB5eAtJdx0Rrt+YYOXNKZl+Gyv9oEf0tvJvh5f7tj rq35akH1xEhjnw3+rF7O3wYW0HXIC3ADgXaXrDfou7xlGXGgtmvE4hUMZuoA0823NyXaPX9nVoZx xZ3tQrM5BBASbo+clZlpc7Vtu+hBBQckQddCMfyaBKrYiRK/GXrmOnJQ1eniVz5xsyd4DaNL0Gew Z4bAREtn37JkgTa10uHcAYSp8IvRTr5CHo7BfXOVvg2aY7gl2LK5yYWLoDokdEpNnfd6wcD7O0fZ iMK5JBkQxdTVJVLOYa8RXVBlZE4mP1xmfuTuUAdv0eqrtFqF/pwVMqehnaX6esR2vuMjwOpkQEPm bkq4dWBwRIOaZdEiiblP7RYnyXCtpKZVxCam5huX0uc3U6ycuYdHFypqZ7zUQBUJI0UTCqtovK3q 1+gXD0/GzIc3AIkJ2RUVSUUWeEmjYwd3KMcVaOdFbbBJPnzFg9cC6hQ/69oGlCKvznh33FUZz8b3 wpcp0HEleDBLrHQmXdcDKDQ6qtw8UVaa4c2+PrRDSddOVd88qmmYTuBcISOwwF3jrY/8dSK1MDEO DlrjpF3rKAo+SzwbIPriJzXD4Co33xnz56YQZ8yaOUuqk0SI31HjMf55AwtuFxDxQMu6c92KOyX/ LBu5nDR5bckvqIPqtQhG/eLjx5ALjdNPCI/4mHCW2rNO0wvYiZkmXuuDwg2V5foibrh9MxG966sG QjEuDqU0qeA6ZKNCbykq/yPL2sZ4TStaDoEO66x/UoGpBLwbmIkA2nrCHGoD9+Otw1L7VDGqN50w +eD8XUfdV3txBR2ckis7UVWReZaHilNu2Bbe2M8mqJ9B6k6cmmrKI3K79py85P+6pMahtYXs/F0m V8egX3e1EU37ZTydfv+kxdcHyqcsfTaQatIYNjosOs9d5lWLbaemYKY5XN3/ln39Pm7apXLwCXQH BYkE1YI3YVUc0JkbIMV2pgBb3OEx18YKmdEqO4Si+otnxO9mpniCmrh6AqjqM9ajWomg4gq5DCav FCyvFroyoXHrIAxVDgT6hyk2cmR1PTb3RhGYGO6zeMBBlbkBMURltnNBFpkUbERb2enLPh4rLo/S SsuzoQfa38cnSrW6g/7nQbnG6WppSBLsqzbHBCoLKfGLFRsEvkkvKgqWoU4qiAxw4EaguLeLrcy5 6jh2/54FKedmrc5w17AM+CdCGsNkQNfh9Hor7Ql2ewDN6oncHDhkzjFofU+J2GXf74uiJ06unjFd ogCZ5m9OtkN2orNGDv2jVnoKzqQocO59J8+g/I0ls/xvT1p090oQG4oTKXi3/t77Px7MjGo7l/fX WqH4LuMCjHa5uumKhgKGSf72rv37vW1Ogp6ce/agVQmDTkUBgOFJ4mT4LmGWr6cTctzQp5DBjFi9 ZrmVru8m0MCnjBUGU+JcTo8qfWPRn0eDb+Xj8hKzsiIQ/CpmlDbkrfHkVeBF2xmj86PiLi6m9Cc6 fApzZSFRxuogYZ0cft8+bwYQpfeHKG1T7Oobn7EYgtTd4vvVgEOY/U4vcZvbp1BPPjh0s+1jjbuN qhiSDXxmFnYrzbEAQsVfPpFvidsQObSUdwZK/w20Jz+8kRexs1fIrIZOhNOGfXxaisQ/Y8L0rZYO AFyKQ5jabGRFQHCx7qN5595EbaepvYfe2h/63cNSp2HLwJcUIfnRorSkleFkY3bfxcYXkk7IK4Ib 0XWaXw9NXw4wa7KaBa6m8Nwvw92OMQAp8fLRWPzNS828Oio04x3DN8kFTj/2G9CzrV8mlNIG6HTn thLeUNMWYvjQkb/XwEWrzCFDA5ESRpoMtuPZYGcGiLZyciGi1QPsLBsKF9AxfqtmXHzrzthZteB2 0gReRprk1hkezX1QIxXvkh3XqNM6uhD7EEMSW1SYDvVDOXTs6CA7UWw+tnTXJ1yCBSqtDSybFA8D 6ps0q3c4QQnwPVvv+y1+2uWDkX4jAlqL1bzQECSHVVV3OOFKoY5Lo/vaJaPhgQeanME4TpyKdXp1 YdBRVetm/jraW7bTlTvxOO714qcUlmq0xw1XzA2eTYNK/UdBvXsrTBzCD31drTaG8hGyYW6MXMa/ hdtjd7dJXq5KoPc4/hAKy/dSCsuz/SvTuVuOPEo3LLtz7Knk6910U/DvNvhOtWhi3ywlOT0dudpl AeeKPRrAFi/SjB/SHzS7UKWLquA/JqTfknvb7Do7gcG6IGiQpOqLl2WD4j7NZU4mKcijBBq7bfue 9O2FgoKt6SgLMPvOO90UolCZqG5LnJQ2XMcrFK6aISM4TtoRu9AAWikcVkP0E2O0XRwl59EgLJi3 0CMDCjVQdMhtaa6pfX4UJYgEidVb/Zr6QZChJZu0aTv3vvyLCO4RX01zxeoWBeQHVA9ZpEtyn/j0 6GmeYXmHAIjm2mliyYuu0YVd0Gn43Psum1cC2PWNyzAzuuJewJcvI/NXYB+H95lCwMiWFyvRU44x BZci6QVZbMrJQ0KmOEOVUDXbrgU5LF8lrr92fJcpTS0V/t8YfvieLHakbkbsTC9gUDKqh8pa3FUp mvHjBVQ6it1LrP4gvNhNiMEoFOqe/Q9QKMkKjWTLPppdNc1bVV7YR/P5cETLB6RZgxIp49BxQUeo zFpghdY9um+zZi6yPqTbKdD0df7Cq7wwhHHXu7n/5e1ZvOvvNzQmc298d8+DJ4bkbdJNtOn3uOLj mwBVrFWCTePoTk+DIoRDMb6q9DGNmO38GLOgKFXOO+2cs8c4iAVDA+EYb0SeSFwLhrwYSu9dvoRs 0cldViB4gK8sErpc4WYIUWkOq2wegRmDhcPdbE4apJY6UjehhQDArmSzHot9IRmE7kJAdf1yoXXa onGrALI8LJaNb38k/qmr9OrUCmcZlY5PnxUwrjd68v6oV0EGpnyHbd6u2jrVQPezOosEEEq3P8a3 mPsRKSRgCqnIKiBjsyNR7rMrCXduV40GbpDUYx8ZzMOgvlNUVbz9iWKRbFygeoVDIHh+q32IEiHv qvPCImLibplYYlkyMv4r0LywSFuwaKbAHG11NdhYLgwmi/okRJxJqZGBX91STlf07u/zNZ4Dp1gY igM72+L2lgH5NgKNyR5ZSRDsk5IJLlgb0pGHZSIfBx3GiyqifijFeHDkhHwRk4q+qkAvQb+8kEsf UrNDRlfjRZ09b5wDv5DGw7F+2Q+SNDJeEvUZbVUy1hMST4W1tyFNVu7NOY6Ad6mGb0My4hul4i3U o03LQu+l0ckcqmbFMgP01bXMYfh/m2SrB75CZfV/vzp7F9JjfJC8Lr2aVyRHKcBCtKeP3BgWu41O uqiExo+/jyHXmdjRUVW5oI716QvPkPfZj9P/2XkWYctEQSe906M6vJsfED6TCFwhZK68Tj3IroTW CiqyFGoqJBBJPfv16XHs0272MQApK57joKESlNU1nbq1Lff995T7aBYRubRQG3IPZU8604zmphBI AhnHJBJANhZ8zG9FY6B+gLdyMYJEmcXaFjGFKcBCvl0XUm15aMT7gpKQqEVKx2xAhChpcICi61aI sIjQIQoePk0sybD+4ttKaQR8ZQne2qtiwznzThmLN00v193c4tsnOBxdCnVncWdbY3uZSgO/MHsF xeytjZJsdxX/PAW6MToGhB4fcjN0AkWnFTXky17Q3a4lcZYw9tyIPRfI6e1c1KK8I4jUZ1Efeywa CDJZtgX3hivh0XUkE4Y0GtFyFnb+Atl9/6sOOfry/900n61eR7ALOoEB7tOvuYdrs6qR6CgMdIIL PN5xMYK2G+XJGZ7KAS7ftaMigHzOCbr/9c52UOYVQnU6UYNG6vu1WVeiOabW1AoxkOn/3mJvOnCD 13sf5lKZLMnjfb2AjGRrfPHo4HrwRQUiplb2h8nqecDNd1fwEUAxJQFm2AfnMYyttI0/vhr96L2J JhXNPrnLkVaZmooF6D2f2LWlSVKJmjm5UwMDh1I1ichgcDBPPKvnYhazTjBN1/x2AzbkIqpzLL3Q L1h19Owpi5vKcgr9GIn+UMssyUZO4MVJfcr3LozOxxZ6zYKsdiW/p6kCf9H2SDclvlMEokU5tYBo oyFjKaNVTDaU2NcDG4mxMrwDUv6+uFulvZpDepxl52Ila1SkJU5iO12x5qqADnz/nflO8WiLeOnt TVPrpvgVl04mjvt+QTn2GTNn5fIMAsPgxX09O7uwjh9PeQRGG/B4Mnb9er4le8JX8d90XqSU84vB VgB0y1Pv7g4yqFiYlFRe3jHcHMBgd553H8EKV2mVBwuLcZpEe46DNv5lPf/vUsZIYxLOz727kguf V2D9Pq2YNcqVxEhMcadMrFZgD7ylXBBHbB6vI6P9mu+lK6V9i50fRjfZEiZdSMvcoeAyp8uA3aLf 2cMkGKAtxCTjtgNsNC/TmCVn/R1ftnzFr2RtMeWCzg4R8wbN0LZh+GgEcK77Z8tFqQ18OaSEQw8s DsG78FTaRwqTjfsE8kczBKoR3lW3pVj1HzJaMQN9IEqVDu+SUTh6UzPIRfwKxuxhEuxYka48Yflo L9e0MLBIV0BPusix8E1YQX3kVY1jxlNl57dpQdSxKVNUoHiJ5ESiqhZnFWaUTpGkCr0TwWTncIcy IE64Ysim6Fa3IYUBCtd6RrvJmbbeq4nYa72AnN5iUVrSws/wKXfv9sS1/7BwuGrniqB3rkHs8oee NEd5gn15SsQXV7dzBmYhx/v5aiv5ISEVhkRNmkKqYkvKjEGp8QtQVniv1rC/en4THryZCJy1KnfN ozSWAUMCvrVd//YRmgeZBETPV0EaRxpEPKMM8q+DAkIBwTLvUHLYW0ZTuE3MylZUWabthyORY/0H PyqIJ4OLPssN8aZm20TIlgWdutcgsoNO4jz5+M6PZm2O7B/b6aybDu9g0tsRTmmtk0fpPOlO66S0 BDh5sIyJEx5+QIXvcFHB2DlIYMkwZ3S3kis3PnxAyD+1HVVwYLzjzjCfMQ90tCozJOAEKm5U0Hw8 TE0HuifuOTN347hnuTUaut5MWg/bFTxnJL7px8qwqCaBmZy6xJuyJLWySHdjKLbq8zTjzSkYVQ04 4R61LRXiQLtzJqEupeTeE+ilrhXZQJldOR1AU1F8pl7leSfqkzF16dH8Xs7TTPrI6crKdMqACRyU 4h5Vqp+TzckQe8y/5dOMOuy/M58UQKglbNAWIHHvep0J30wzB8ynE9Gw47+XdCVtMXUOs2TRq9t5 K73af3UB9hrh7U68UgxN+YZhOMEsIXQStx82p3cQtYykf6k19Zi13nSGEIylg0I4R/k1PmDKCT9m 7SR0vZnZKtGWnOz/8jr1N3Vyg8Kfv/ytb49+TuFpBmQHEZ8yuvM2h/P7EmYGOoLOZAlnNbKBNzmd x7HwrbirmkfpjoV+uyg86v08bLA4w4J+1nVP2ylTCnipTRVZuP0qpIBt21Y8aDQUW1tiqkz25NJd O0L/UTckLuHv4KerVTPutF/AwtY0OhVnyH1HZ4JUZqnP2CagdKaLoG9JttYlc8h+8ySDYXBz6pII WLwmV8Y74i/rYHZ19kWZlHYqTaVYgjSjqMvwv7TD6EddCflDE3f0bakJIVH0hfcMw/riE+m/xf3p z2uPWs3a52cxivfhX9rjgsQsVZ1dkThBDNEi8DYrzo66G0MiQdqtp6Ce0V1oGASA7D0mlgyyBejN CWsaZCg0NQNeS8HRYpsSJTejnxW2O7VYUIYdJfDz6Ygkr0Po2jtIcTdJQuzQYkCZ9gGR4KHoReHW Lo5i1oHOQyz3j/DXW99NbQHLHbS7/C/nDH7AyDD69JVhLXAXsTUdWScyOLuWTQUvb5y3J1FPPkwt BJxh/R2POysUjwr0wy0+SUn1HmmPWkAn20335gz6yWodphIVDlaJYyozOhOnyqvcEx6Ddae7YDlA WcbFb1nJK0GPvXthmMGYIjo7UzYGsIhiRDw4jI/SMh9X7IIFALN03QittjrEN5y4eEuNq2AIjwX7 O3zi6CMmJVCkCLR2N1GkbhM0qaZ+8nhl6kQ8IMq2rq47tqFOIDfUI/qbdggflbHtPvcKQJt0DqNU jmYZYtMRIc3ZPM2pnYaDhQhKwn1OZCzASyP2y7jbY9ss+6tSKr36W+ueemtTo3IU8sd6a9xczS1w NxjMvyr2Bt1TrV8THiDnUG2mH4sKeMCIlD59gw/tXiJLXsaW95Eti/FQEJn8Cd+jhf+4r5zWP65C rcAjzLKd2lUacptw6QaJFHkue6ZC9DeOP7XWpeEzlCETshEzGo1bPQRw9L3yyi1kyq7/9T154Jmu 7PA3PKX5iFYOJz93O2QoDa/UM2Doabtq46JajVv6a7WrWdbuI8MNEU09lWrANCye76bKE1m/GE0K jw6asdEDNFZ468Ko81A4R0P2mZzup02ZDW4+q/Zp8HOpVoot/8yYJnb+zkGTWNMQGZ7TJTTy2U9Y 7aul4pUdbqLddTy5FLj12swrKOsm9ilCVbYH6FAQyV51NAPSX3mxqCbkTN8XCv1CzfxqpJZFwcj2 ieAKBH2QH1waBEZPfvQVMwM8uSMzDK+rKo0YIgw26GwEgCsGHBG1LgK78NYyE/01ChN6jfMcoUBT 6yCDBc0GYJVXMl60Q25BzSbYkUOE2EgQgFwt3X4zCWWfo1Zxj2L0FJxHdOCs+WlVYZwpkBhRphdX GEYgInyA6zFguMxFe05He7mZOUmcblCcuffZ3WVM6TgjFx0k4gXNg2qD2TDWkADrNo+5LMKZd/7U CyI/hVKcwrRejXibusc45C2hM/fNXUwVafJsLfIqMe4Z11aNpEmZErJwzKUJcf2rSZoHqxICzDEV YpNJdErJyOP5NNTVopGkegXyQ8XdntHl0iXZnLU9bSk0R3mTVOaBfxeOn4BnepzhQRu6Kolh/jKu RL7WH/r+De3EcgJuS78U4i4ebVrzXzInDcTHtm0ALgTxyfcFZXdw7+bIZy1sdkrv3U4W+tZaSh6o Er45voi4iJDsMfheWKXJjJIQH8ia2Gd7/nYQS9j561OIWYGcjIrDoqP7DqFoLOTbVducrYewcso6 bL2KxdIwUvzC9fJPJCZKJUHA+S9iRZcdhS1l7BwfTT+ZLfGUcjIkheAYTjRiNoN1AtrktzLczmLb hn/MHBhGChTkISplUltVC0wAcUTOzrNCVAcVQvNtpNsepSxE0q+dVbEKA9ovPz5PZKnkcxhXMYwq 7tawRvb/2JdkCO/ZkKhBtqqbfQ4OsOi8Y1pQJugjvpJKxp/UbHh3eoNXZnywNitq/3gpDXPRJ9ZC LyutMFfABeA97iZXO3aV0eqlhgKsMUcYRtOJbjAUZAl9ac4rh+KYyRoXIFs0ZDNUWG47gJS3MJUC b+itV77RB4n4FByTkanocGrPtEjHF1hGZh1rNZ8/BGa6HCwQ1F2UpUvTaZBROIBsy2318vZNlD4y RVy7eff9rbuxpmGU8JXAwv89U8PkmPao9JHo0UdOlhRNJAHY2moJQ0HdhZfCjol4dFdrTs3AHyUK YmauwKjuhy1yj7Iq6w6yDhOgwnncLQOi1jl5ZzFw+q+Eta0E5Z0oylys4q2AYjgFueMK7HsO6Yl1 tbv9uw2tQpAfaBC1Sk+QB61tn3zzKIGKmWySEw9muezZJwOkJ/9h42iCxcwrERKQzhR/oEfRQ1vU vftUW0/Q6u++oGzLmKOd7n0cFijGDcFa+YlvFmFRS6fRw4q8VBPcTwD6ZzGfYKyIdWerrXrlVfqi R8YfyIZVHaJgTiqT4ENc1GF26BwuHbNo8VGChGcW/NSllMivqUUZlk/BUDqhLjXHIB0uyMgan2KL pGSKQ9hAdyYl+ZYXlWokOcIfmqHsV0lgnvkwkzoaFndX9/6+5zc2nOvzGfPyf7Oo48CQUZ+NXEcQ Bit0YDyCcn9rr2KdSCCN+hK32BbUJX1vHwmnFT6TOHiKsoS3mnx2ta3FIashUp4zl6Iz5PZh/WWS e4UmmVs0CQJKC0e4yOvKuyJ41OEG/82dGL/5laFoLQ/0vc6dJyrnKqR+bewgRWq0pGaor0yS7Y/n P6+zRJ7+klXBgy+Y549pdO9p9b4/N0zuiTnXjKTbsO7QbTlbVupnKG/GdVcAOqFQ4sPjhCuG7ci0 OL+/wzXt150RbcIhxk0mkUvPf6McTau6UPgTo2D0bCrb4ZqeTIaxALKG/rHxEa3s87shhbg5qRPH MrQXXyky6itRz2wa7BxuS1XhbriwWGx+f1SVxwf4R1H0xjPqqjcKZTi9cAPjRhLxYGdaCI8k1tR5 JBeh+Q6HnC9Ub/tSm5qOW2PsFQiF3PlPrSWHq4z5PUiKpMsHUPF0XgCBnnuciJJMNBt1KrYevvD3 4cP19L+BZKVka4VD9uxXJxQF3wLvth5YQgZj80gRZUEJW031xiu6pd1VT0R9CqwIaRyvTL8eA85L R3YafSEqYxOyKsvQJRdPgn2LEGBl4YZ2JAyxnGIWZw/2HvPq1eYc0qWWaCGe/x2paKrKYlOWAzVx 5+kJAs0RmC3DljIb3d8tJ8/gfVvvGLXQmXPPz3x32ARdk3RNL4kHAJXQZppWpsGJmhavOmUTLIm2 k9ZA47OOj/aUcfZBNnnZRzzRY0sBolrAWaZsgq6+uSq1tujdtWAvQ5ra66KQWepPiSbeQOrp9/pY x8MKddIuL16o3OmQv5uJX7wGMId+zjn74SKolZzQrv/6bHntc+1ybMpqAZxapsSig/Upv6PG2wD/ AlAXKHU3t9NOT2/Bi/5+/oG1NiXHnYLOWsFkFydZ6aAtxSZOdXVG+h9e9dUwOhXfm6p/cSiTD6FO MZlcuo95Z7bqXVSUM6/2YgqZ2vZrnuW/QmkfGmOTXC8Kb2lgLieCdMfpngyPkKsyjVptlYOwYEry ArnbkFDRAxoZTao54HpWHYCZ93P+ISuJ5U1aPbCD4tdGK2txdqfk7rk0HVm/CqXIGeXrww2p4aeC NBeMnV72epyiO+i71SpkhasWso5Budlea7q/SPJIUorI20+UiAKnDjtZo7Q2UfG4zO3ykqZXRUmZ 4I6xZuRRVChSwGGwk2axLQvTEHrbHZBOEOVDsmByw3ktShQXQkjOkOaKhDOBZxVu84TDj7/nzYmr pz4DfXJ6Dl/zYxn6qsP0CdlqExY6DN2QV5Kz8feM+BdmENrHh7cE6vZv6qRu8xy5Z536PXHh8MxQ 1Z3bgIXz6Ctv3nkx8UnM8zP4Tn/4kWFfcfKcgK7MSCEb6jecJ4Mkrsy/q06o4P93MFFW7/L6NYuN bpWBFzL9rsMd9d6P/xhk37dReBsggDYHWzCGoBGkNiDbXb+18HRLKLanHSb77Qp0PEuBxXi4w9xH //oAZuXrfOlYOhtkEUrD2Oq7fZstKTo4Dg4U8pVD/55L5Rwoq7fQAPrx7IpjfX+hAwN2YImMlS16 BHgwtKW7/UiIsoKsberkuCaMQ15WyUyFNejzGN/WfMyKNU+dAZozSIqWkXeM8zkq7iVHwNED3+nF pFADFQ6+uoB3UuhLLd7UblTPyPek1S2CCYI/YBGyN6n07pz6Rca5NbhbaVAiamjIYqI0PxtWNMK2 WJyG00IwbIpTo1EUWAMmSZKMdKhIhocNGt0xv6+GKEcCDCvhetOePJE6Dfp02PqWKkLzJIU4ki65 jd3uvGwte4E/2oS3Umcczcc+MS3KTtmXTQYy/ZRFeQYU8DkRm6GOPmWpglVyyM3cfmCftXE8HYlG SsFvDw9F/Ce2bwJeJ9/PqlBSH4m35HF3SSI5Hlcp31117I3m2RF9oORp7XZTRPAjP+eBw9YAWqPw 9K18TGlK/unvKeuLEKvzKO8BXhXGoAkBtP3+1GJ5cCp5dFpMVZtKuKCn38syZxiLe2H0F6mcmpVA ctTcUctchX/k8jZBWEXkBDqDyd+/6Jq/mYwGre0xf/mmASGe/VnsH+KdW6JdM+aU2y6qOA3bbl+I ltpD6Nyv3MwYZBnYaKNuPUiXo+VII1b02tbeyHv1cO6bXRLgH3RA0arzNBpvG5UCJYVmdIdwcIci osC+NkE+br2sGRZ1XOMIdhow43upbUp7XtQ9Yt8czOyT+s0zaBxiNzxVpi9s4VGu2NqzhlGj18eC p0TRER11UBqSpawjmc3RpNbBH40OUM8o4LaL7xW/Le+2qeuVhb4btBJ3SIbr0IBLJZN+Yq9uuJSq 576lvBq3D/j25AeIRk8sVy2mI9D85aIzCBePWHlsdTPemlv/IMM2/rji7ImykP3cfUupHz5WsPke dmbHwYpoxo1k5pflcWQYGK7lg6CVzb8Lx0IVYicOtKkZme8/LWEJilde84RQwL7j4PcS+KSPRXTH 1vfXiMPQObqvXyAqXR6zUq/G9Qg0JgWh8JBqpFLC+/N5yZZIxIf4glW1q/7JRDbdGwEXUUHC1FoS EiweQMBK3Be9nEblAAzawk+VMh8lX8w5qPmfJp8f/UIS60O0SPBksRQjymtUxvksWGsOxIZ9IT+W WSoLpmZLggpTpm+tsMNgl6+GG27izGpN4+b/lUtry6P+qOOgNMd4tTR/ypkCGWg0Nqet8vgmIKYP Lznv/XO6OhWeVLRc0YMlZO0XRM5yUGi6NTPteSFgjvOoO95KQjb3LW8SRTc9hwPVKvdDjDtypI8q JOgiGsVt2oHDkFMkbPiLcsBK3bn2OQ9/NnX215GOt+vilATdU0vkAqo9gcuhlhTMxCFGVb3Jjedx EgGk11lmRgyu6DHFSE7KXWL5EDbxuoRJf6sXmHI4d9/WaeFVOXvEoEmO0aBOfjw+hmpWFV46sirD Ho1IhaSdAVSawjvwOJbFIfkbL+mDyQBtznmfvRjx+JIEjCCC0tJ4g48yqs4JXfxzcEMpr3jT219s qttyytV5L/AaZ6X8eMm1YzGVP1Mlxvlb178J9TtVDIXKYlATGJ+DrHK3M34ydvWpEW4FkjK3qIF8 UdCks0A0Vs63F/IO0HyvK7I010ncaNxO4j47aIfp6VkROjGy18IemIXCwDsK444BVWnZioCs6DDG mpEI6Ufaz2McKw23+Zfzds5D4drol4mKuKOhIXGXnZ6yE/TtaFLUG5go+BtsjwTXGXCEoUBA+iTM bzk5k/oXxRqulUZItqQAGfoRP1cWv/njYTwV4VDTI03QGW7YCrXyT9NXHHpSSVOUTicDtxollsMf 858fFyqA1Uo53GkE9jpEn//b3ls24ObfLds5Bykrh+clAVtyL9lbjhnBZoN4/RN0K0kc0UKN66++ uHEGPpfFVnbsIt9cS3dyQOrB7cPitSVMVo6WOzz5STIyDVKb/7CoN84ayYPvnpKVf2qNd3KrN5vS 92sfdQ7/EpS/uBBAxEd7kke90UaAZNbEb7IhERARwqwZvnL5dInKiszeq0FOI7so4dvICQLdU+4n ymZnQ6TVKFapFxQCrjnN8iUhJTYkHIvgQt+jy6tkWc0qUKCOxi3mKwwSoOqOnKa27LwvTmQPLRzN 75JHZ4sZmeCoJWm7/l9mXdTiiY6yrva8RgxqzbI8dB8HJ4jx9L+nJ4LKWD3RiHjkBdY9DS2vVjIU e+CCbFM24GXmbZvRHtTGweGbMnQkOuujja3EoF3wWclfUCvd7OAMCvxMeFRTtxcrUYqfpNPet+Vj B7MGzX0wVI6IFKp4ui8Md7ZidaGrohxwSb5KX0GZqFVpphc37EfZvMxhUyq3pKEffJ3jX+w592TS OaHwV1P8g/82ZKaa+JXVSYIdcNOipRuhHNv7WUZHDnYEmmiC/BO5n0FYYabRs8sNtHHiBGthZJ7h C1Orb0MEYL4V/GmUFV3LDsS8NosJvEHupm77O3u7pqv8EYD9r3rVIJ8kgauTiNIRC6b/m5AqEI9C 4KTd2q3dWynpU8WAghD6FpeWA7jRorLo65dZwkArr9W4phKW6iXdhvrCnd/7s+VAFLyzVjqNmsL+ y/M7SaVMcOCkjfF/LRWiEpjlaCehV4mLeEVSB6nLV9y4cvN1Hzv6qtQAxZLoa8tMJGP4zBKA3ZtU QHz2V3/lq+6OAdqAFsmsFlyhpQcnlWtfg+VvhFH+kgi4sa4QJ6AU5Cbs2ZLoIvqiS9lHO/xjA+2d jcwH/8ZSjdjPN1OnJDWUX6SI30mKm1TCjoXNr9GR0A38ib76GLtker4QhJzhS29awSZ85qSrw5dZ lTpUNeg4w38XjiYNJyxj/qNiIreaTB5HEYdIVk6rtdQr/w6RxLLx35hBc7uk973YIQGwsw0jH8mJ xfuAQ0GQ+NsLHVAHwPihdCWeED8pY9wCT2TAqOK1Wf0GkbJPCRm+kCn8CxIV8rPkF6iTLounj0/L yP4QfLCgTt8TiaC29zRsUhzutTWP9ACS1wXfwAiVQMtbagfv12g+Tuhhj/TqihOLCFYAnrZxV4+N Q3wjJuFMZAkb47Ih4J5dZD7JqQckN2X2sgdL8fBn01LXuzlAsqDYoCBnrAKHhYNjD9+QZ0Kg+dv8 dHPY7DNqsMK2kbSCDt5cqi5VTzfxuCIned1tFNvB8EG0o6bseXssB1UssOmdlMpWv3l45ZIn9FX1 MIPaGDpV6de65a+KqVJb6XWwBT99D0BeVlMQnwqXWWSTEw5J4/ApA+kWCZmiMt/MBAw6ObxvPAyG Tue11JB9HDiwXe3oyr85UW5ZhUUfnRWxnvWSCdM6iBxm5VIKh2PewQijf86nZJ/CCRyoVhHSnDG+ TeOi9L1IrxOt/cfZ7G4fwUAI0Tr/M8CgRfgZrAGo+T0Nb3UnCosDpzOa03NFTgt5LMHDmAOWaiKR WW7AzSM60jYvvHiyNW7wHOl8oNDVroBSWouqdJ1nHsfgtbHewlq2/LFI07CU5fbk8SdMpuklwGBr BG55Onf8WrfCLA3tvKC9MZMGPpGqW+pouOAaPdkrsOk6ziAQP9cFYVsywcxOb5otXDk98W+pxWaH e3nDKyUIVwrhT3NgL8WHueSyF0OZK6wIckxyMAtkBhY675zQDihuR8WEAiju+ijF4vIk2OfsItFv mpACD1SxiZI7hOik7GuREXxpiLszkttz+7XuN82azMrrGuo8+qHwUr0hXzmhMHYuSwzsapIXFLEZ DzrR1YMDtHScuamQAkhhdFgLrbpFhpcKu+ykyHn8tos8VcqB8+4V9WQOdV0eMYRjdVAxk1J2LBJe dRe43Kvk/FNuEB9gHHVHGdys0R0kzBhjCZl41XUX+X0m2dhnctxU7PEO+DBXhwDtGj0E/+6/dXrC /BB/HjXqbgcMjdIG3klT7rdLIq8q2HJ06DVvfWit7bN6jrqX4YAkmnRGXZtOVHPchQzYKLA/eQdQ xk9nRIe2DD8Jn+w78wQmxd3aJUGm8KHVx2MhEGzrw/f3eq/fVS8jJRLrm/fbDgm7mdxtkqqcAthJ UdcDWqn3YNWRSLflyvQOCLmQaBPrlKMzbEMgJ4eP7iXA4Cg8iIS/XD3lDZx8AvRLWNvRhhgPWa9Q ZNQDCFHSfec1RQuteKpVTqulg/XYGEhXnCPwkn6aaslErH6AcwVDsyMtn3YolvaHN4gWJxUfCIgG HIaG8iUHlmdeFDREWeFdNB1YZwFs4su3lqLSNUG6qJvwpOB7ZkChGjbPPV8ldBDt/mO86qV5ri6V aJkoVhXBoQIYx0Izabskul6wAzN9F/zKnB/7QBLVY8znDZkIT68zDLSXLhrfARLJlS5gi7dChQYm jbB6S/O06XXE+l4mzmaIXOWLFkHibX2g23hQnXFkoRsgxfJlgjUelre5K1wiaFZPF98mF3VhLg7X nbdx5dahe6xY8u9pPPpeP81bR2COFwOl7A5uLtqssZ19L6E8y52zkhEHG3xKdbFyf6ZrDzVTyE1T O4SKPt2XGskY+GVHACXV6d7gBvWri8zm5aU8n7JUIgJVe7UsY9Chns+vp1J0nkpQdtBQCem/xAy5 +zyxwdINb0MrJ8s47T9VxuRPvD6+f67x2IradCPJC9se2EI5WQtoReb0enbiXGc2L+BOAcMiJET9 fmdIA/CXw2cvt9DnUGzjDBggg3xDAl9ZDEsgQOtnq+K88peH6VqNZMq5tlHAph5IiSO0KBl+I1Zg uYvwOX7ikmQwZ/IxME3DWlyCid4FmrVD8W/exrlBuBTkJay+85h4sAlMuWB1MRJeIm80L/Y8J9Xl Y9aJndkIDCypEZNO32zu/f2N03xw4dgCyXbcVlJ7jLk7HGV1rOOtRiDP/OzTmdMVOAnOBKywyg/9 lbsTYpfy4r9x0vA4FlalUOUIG4m0uhjQqH3Q6h5BkunP9JuQ9Z9Y5wIfF64gb9DORKuiUmlAmZfC 3bd1U421xh/5/8jBu4xqBBaQS9Af3iJcmUOXxwa2Fm3sxkeUaJwmFHciq1g7HmBiKhDAD7iNSnYO dW3iwzkFdCakBCYtqHoCWakaTaX7v1UM5KZUPLj1h4tFg5PdHrcMkaSFaiUT4RTtF0c+YLqOEed0 wK26S7IrS6R891ZqfJRU4I91G0K7TE9VQcZLws/QXojBnR7iMO8POr5c24a6Marh9PikF3jLnYtp 8PQX56EKHciVa1h+gP59567cPELRaR5f/Z8hKjTBZDsi4rpMtyJ4BZGSPhOScOJ5TEgzxwfyoQBS TK244yqLibtkpAvNagHfr/SSFVaPoEbTYevCu03H2fWOoBieOqj3MjBA9Du5tMLXYHN0iZ3ohvVW D/2uMGAufUuK8/fOYit2CVcgmRYplp52R6MLepAnqA7ehCD7kHPPHKAm2mAgnqw4lT90/YfZNjmV LbjdtONyYznPM1gr80k5szAnomkX31/NQwyh1ZLk4GRliM+ujLiV+WzS6s27/3IloMenx5nMazrh MjtQV0XSgnDunzluugPnEQ51Cm/JmIi2CoIO2oze+lQkHn3Cl4BcrLo5VLzCeHHIDUlBnGAWzq1u 8jhMlJgXD9gKvCfu8qAJxtueWK7yVrv92Zc4a/VsDoddTsZ8qsRy5smHSgYD0tb0ptc2pHD7S+DG x0Z2i/SbB21i/+cc0iXOmWMZfqb1Z9iLJ9BWONp0uwA4kRbojTPkm2x7j6d6rTKFv/3SM/vjipm0 QFJ15zoxwGqiiZsKiQgBaxlWuMD82K3Bk6Bde6OiQC4OXEzzkSz9qW4R9PGikLTfzmq3p1rq/qRG B/YgnBan4lxjbPTNGLPzOewzVlTuuFC/a0CuF27EmCVN4hDQyQ/K0rMXi14af52Vj6GO4yvfqzNX C0wgohxUHRaySjVRIujsIuPE1RFBSAUyBnp3CpzjfoXl76ocIetP0nSr2239lG6IxLjQpbOAENS5 4CP7lJmkrUa7iQ0naN4s+0tGkbbva2pRldax9Mh5IP3UqK2CGOAInNBNK0fzh6T5RhQaYhiKVBh6 l3IvQ5uIyu17raD6pfj2cXKChGRb86ep7H+K3Q+etBUBHDNIwZ8yMSTFKjR80X1JYOktO5EYM+0Z mZvwo4p8wjvQavfeaTypbgKmVgxWqmBA8fl6pvCnr9ffphauIwUrmwdKFDnFQJSTeV5rv7mUxyTp XVp2PzIQKu/ZPFDGgArV8TSieMPV8FwOSoGn+J4/CCRs1+CzC8UGlBNTDp9KMpb6Iam3rPMPkRQR ntx5aWfGmteei3CYGdqT0dPBz4tnnXIl0k0GUKKlonL/BTPEC7x6zCMYYjElBB1DT+OfXhbH7XCn MhxhesunMu7+l3tuIriNWv0680Yt5cLyFN/baRn7/OSuqyOsZIVv84XNlnOVttDNXMwOEbJSrYr8 7VIc9tv2aO9yMEh7f4beRhhSbGWPRMz3WgaeKIYvy+y4U+Vsp3F3fN4RekLE9W7Ruup9IM/5j02C jsi+gsqGXkEoCnv6Q0e41w9WIeyf+9qTetm2JTvkvGn1LXO/uovJOoivq5J7ndgLGej1sxKyi8v3 jwO2nQi6VYOVcOdVuT4edrn+wBNjpz7BAinQbXMsRe/rz0mqnQfmKLeVEqEWWrxWeYEzcfwaZaap lGrqPlV/GkhFxLy1EnVHR96eikKy8fsUWBv0gzhIOMj0T5FpXfOC2Jaj34bwfmaSl0s4g0a6VfSi uP1pvbzkvaim9Zis1VRS5HWZ2y0K3xzui/793kJWAOgVucZGhC9QRdwQ7EMiDLImY1Z+zyFVFLe7 IUhJqqewV5cMrtK5mlzWYRL41uSry2YHYuHNQYbbCiMMN0G7tE7cNyvQYWsRcviHNzz9zjkiBWQn C/qoUvlOfibX6d6F7jH0qgYVmRBww74OTcOeTYMfaD+dcrHS3tasKWlQTGgXtSlc5n0onOAA/a/d nqWC1hlnGFHTF+haVoEQyUBFo1/jGMtN5RI5psP0zIm/FhuUHB5n/aK2R4SWqROxjbg7567P3cHx HBlzDWnPvtyBAwOYpvTTLWck5aZL4V/sgdr37geGgq05IOvlH+ikbvP7fSj+d/tKu3X9+kB0QWs0 IGOsGm15iIZQcnCPHoKE+UHiWKdY74apV3o0z4aXY3NiIizzgbguReeS+SC03S6RCZi8ZmF+2UXx aAq5/YkZQuIKA2wKSmKqBiqv2ZqLXovbLYaHdVODNBwlF1Kca1Q8qZwe3JsqUpVjgvJV0JyNm98T 7P1lvHQDZingh2zpNQeqLLVca36kt2NLrJlC4QP8mTobH7/Vkh3Rqh6x+wQ0aN+H6+OELTxjfyyH oTVlniq5TRG+PJNBvbt/9pzKfaggqCAmXgraAX/f0E3tZSLEZlvsOVGIGc/iUdmW/K3VvT9oUxNX nwI/LCADr4fF7hHrWoXT8GCFfLrRf2t/jibqCY55cOlJmKYGRIlXSJDtSvXYXks0icGdlCIw2+gN QnUkp+v2jU49TtA7/sUlN7eNT+4N9vlE2yxFnP1F2OJvQGKJTQYPqZLU8dyFQw9Wpa8SEsmxXn4j y1pWijSh0cwu19nJksJ9KHXHohxn+VhtDe3ip72VZV9O/VOWDARdBrBLMVB1gGjo4bZ63UgdNjk0 JXUAXgYFMsneGhjIE2hD2d+iFUXO+V1825uaYzcuEJeF259pvpUgx+Px071pvBPKZQByqTjUym+a BnDSWMVLLk0NNjPTeQ6POrOPlQ3dtb6VlRGrw5xBR0h/Ln8WiDnOJz559yA1d79XWx8RA9SykM2w U+bRVjpwnGpSGF2CnEKCB7z6CTlrysB8j5NR0suji11T/PmEh9/qx7v9VKRVDlNQG4JIArqwm0GX Nn84arb/iVQ5e3tbI5KZEAN0eitFLD/r8VlEbOtJMB1IqeeP3BiwgMgLqTK8GBqWB54B4R2Z3ml8 eRIejXF+YrFLzPNdSugxjN1pcytXr0H395PGaOhcNuJ+xcbw1oyVKx+I3ypCjW0Fw/JeHYuv09qp lg4ka+H2ILr/HH5tlSAHPywoGHikc/ugPeB2dtKqAw23HSEvDN9rlAC19i2gQxWzK+x49tLKsGT0 /QHWtBxdJ1YeITCgjj/eFmWHZ8A/tPTe5bXBBhH4UC7ZiZRpapSTS3U2tduv/87kkFvi4kyMhhMf tm7aw/jZZ6qlXuCNYA/rswAfTgDTuS5scFaAZNSDSDFiFCeYfbGYty+px3Uzzl7Safl+qFTwyuiJ eWE0zlRamxsS9reS4AWAP6vG5D9KTLO3vDyXSvmxUl9Fi/Dn/boCVQ5XjEuGUOWLwkG+g1b2wcVp zCi+3BqjQLtD62Y5RZbFeFR4syVUJ2LoNOolRVeTqWYsGqb1eLhTi5cMwKYERfPMToik+cifvAgg PhlKBYgapCIGQvT1vJhGaAqWMzls8baxOGB4foLP27Eq8IsqLvriDO4i6vM3qOe1p2RZb9MnsD8i 5lrT9x41n9t4Zh9x4b3nIg3womuM+UQZIIu39ImhY3hxtRE3QoF104NRDNOMjjCazzrJw3Ct8fvd qvCFdJeS7/+lUnSVS+lQpEPPgGrE/q8N4FEaElklc/OAmBAm5MQXzeaGMVHuTirWRZycJD0xrj4+ Ep8rvFLpXPV54aI+RQ8Nlka+vUtWpzgx+Rix+2++CaE7xZ8ztV03Pxpv+8U+Ps1XEGMZVdWiV06V bTtR7r3NUbhViFiAGWUypJ5Sz3A3kXEVhYFgR0zSHinMxKVOZN2/xqpXUubUNn/zFK1X3JqVe44g LpcXZZBikQt5rzFmx91Nh1QyxKlIUU5cKlGM29gtFWe/yMDC4ufKBFUejSDLpTA7wp/ZVxlbMyOk PD8V0LQtu0NxJLks4QHB4o69LJjWy65ceRDJJVrMwfMxOTQPnsOMzLxoDNGNXTPEyS8gDLSCYkG1 PDMIymXIkzcItkQqvE2Z2WT/arKDEsmqSDsp2xkf+czWKAAxeifc9i3ypIgyLwVt1yC5HX547NHB 9PEqUyNRhUkAJsZ4y7yodHBVDv8EvXFcXezfyYwJlyWAvyvNaeH6dJprgdOWgoaFv+M99Vn5clEJ XJ8XjNC13BjnXb+dQ1xOqUeMmTQNf6a29Iile2wQWE865mUeH5Rgj5hISehoW+xVDkYpEBScBB3P l5xcDzAHSbZhpyitnEARPtpETzauyuJHr+gUah6yyOSRfKMIhpUx1bm1li3xqsj5YTZ6/iFuEOFi 1oImdE65SOv9B0BDV4/d44SgTWMVBHmLPs/oWJSa6DN+cjYsJnV1SklYNlJNgOptMid1685fEnyx QAKaD7U+gyTTHGJwgxVddFtg8dNdAb45Gxprzge35FZigR4hftR7rk0nznnEeyXKcsFuxFB3JQKi dxsn7L344NeEOgUJY631yJkv+iesh4A+f2xGh5aPPsGp7Kd1dgVFfB9o8q0safKmr9/totoy05KI sY8SXqBelyfLHZYgMzn7wpPxT81918Q84Q/3j6n3Q9HqUpTs7R0Zp39Nus+eDlrDCX/g9oDC5Nj+ IgQkZ+/HuZeKhohfnrmJ7YFk/1fbguvHlSkWMVIRpCnSHePt1EMZH31+hqQH8+rf6HM77p3xptdU dvOFSCjiNilUURe2IeiRPwijNpJT+g4NZgUsMZDzs5zLvWDXSsJ+xfpPOSyb0Xuwj6GkwjKwb3EO 0DJcq8iEYR9c1owVkP/oCoSQsSnAjg5eSr1t5TBo4VcpZfXsteXpAcrf0bkx/0hOykbjsHS1fsAO 4BSxy1HQLJ2qeai3BXiNK84ITfjn4U8KbuUJwHs/UPWkrZ680B41mF+AgezGxBabR4RhCxZRAvxF A6s50DO1WiSmZcGsgiyuFySf37WPBFwcCJnePCaNlllQNg0LGyF4ubR0Byy0VDEXIwFSle0XgFIV 8uCAb2SzRXkrn3wlaaOzyNbGz8KsEyloCjrmQN4H8dFE3qUUcoX3CVZl7W29zNXe3SPFcdEiQDIh 2ZKW/6c0jYdopQZLrCrjPCtVob2AFwqCSW2cxLDv0ywC0tObJ8TBB/J3bAs7+e4TCOnrLG6gupS6 UdsmCcjHD8F2cs3EXyqxt6hpJg2ykeEVkyDjrlIgSxkKHCZZgalPWnjOuhXhQl6p3nnwZGLkI7Bm wa3rf0NDEMsbfKBGlnvKTCR5KwVctS1nqd05rCk2x68YY8xuH7TjqziVVo+7mxaNjAVVMCRNhAab dMy6SI5VXvvr7digfiE/7pFrg3Hx/QUmlPzNoHnBvHyfP975EgsZxKwhn6ddBaMJiCKNnWCW1qNW nR0aqXzAhJbcgQ08SvhrQraT0G26+QgAvLW19F2FMl5Mn1cHOeh4uEjgmy0g4GDKVkfZ9OqHneIo 2KKOTbwhzRKIVckBIIcyEXH00BtMP9DYmpRbXcviaF8+dH8t5jK0/BbFRKBT9iLlmoydt9KBMfC5 X4n0rrN31sDtyHLt9Fco3ceyOdFoh9TTAUcZZHk7Xj92YXN48a0lPkMFP1fYSpg2pp/On2KTsBaO mIH4XWGVHsWGxX8JCBbRAmBUaM9QZrdOlubI2AUivnulRRqB1jKWRVnpub1EFS7ptxdQhqTKV3Fs 6cBt39nR9s83BlqaZKFe07WCqbp5elwRK+/rh1QhKEGqT61hE+YA3DK/xVbKFmdALvDSCvpMcVXY JHE3CBb1PClfiqm//2IHljBr43bIMoraZ9mbhXuthdyR3cb3eo7p9aDzWlL+zwjTvsx4bFBZNviH 7ZVd6HluUg0E2Ne5TZtmlDM3pH50J+59mfM3BVeMTtFqU2SqbouSDYYkRzepEoCes5C6dCJQ50re WmR+7UE9od/uZnJTidKzPBv2bVy66pijCxIhXQVpRHqnuIGVlE0eK+hOwL9Uay6tmiecErt3Jmnu vKCB7qUIVDgvTXhka9pKVrbQ+GgxgKnkwoqjXCTSljqG0OP/5xWhNZ5kWIG4hVpDn22I9NCdQ0ek ORsYMSq7xCNdKGUYyuYivif5cLRwmleS87C+l5JWLlf8uIVfF+2ReKXiz36QIr3Y0hI1mNUdkyjz h1nZFkJWRQm7eqrxIRftkig85gh1tUsh/k4Xt2xhtWBoRwHNk0gIJ1lyvy+0m8rAxfoxYDh656l2 Jv5pout6w0bzQL0CkmFys+7A4g411ln3V0jRfbCU0IrK+9jEjQN6nqETqhyqDrKhT4ZofN8la0iU kFPVA6LMMFl7osFOEv5/MFsgyPZxTmZ4nZrNs1mIsTLw8kXjYMHSfNQN4SccU24SiTcwOQMS6EeG OZZWuf/h1blUqB12yY/3kQOn1DiVQV2GsRYV1d0E6ZblRP/K3FXnWX810aKmG11BtRPZqFWmjI9y YRwZMbpy5cKoFmE1A0uXhPV75nyEA+zSe9tm/7NAjiDmxTu/PbgMp2YzlxfQJcNPPo5xdohJ42pa PSuTTXhBNilobeXmHm2a39tMNntm/HH8Fca/fbevTsIw+5I58Eb9BH31blop4FVsUQq0COPkuzrr /a6An0CdjllHPJY3nV1hkQi+a2PZqCu9xQGkmTfIzpoTMfaxyaK5thyzcxiruMRaQAFoMsuRXu5D +vVL7YmJekbf40+ZA+lPdmYEdMgEyCqcEu6xHx99+WWTK+CUJ58TB4bgfl96RNtgJzDxAWpJfkYi /JODA6+LeleTjOsc+RPrCURwctKSi8CFLIkFY6Yy0H8kxUK2NJVZcsnnLn4SayKNLdpAWxFhqS6q T6nfWSVPEHCu4E1g+pb/HMt1CFsjSBwJYkTMkC9fjGHGZHKXdNoWj5bR5iSh108xhr6ptD/rewZ8 7JNvSUrWHzIbtN8nxzrMsdJoaPv0qkPSvhesZ23xFoWx8rw9UiJz+jCu2L9ZClYlJJEjVChvUfeL NZCpyxqX6AxG/Xos4+zGZYyld5kXnb2ijW+Dm6cfWspUz0+4x7m7XcfwTE/lv/L8ZQWTfZujC29r tbfRjUf66N7jV8qpUBl2mp5MTQx3V/lO+20+ErCN7DTOFs13ojacLqplAcRSOPGrAfXtq0uIxLhV dhP5u6hOtodVNiLDpMT29JRCK52A1W7xykeoF3lga8sH9e3YRTuJzAuD6PO5Ad9Ncgdj82unHqkY R7m394z4rdOZnAJxNRvpvNNUszOJB/zi0gsuNQaBwbBmQevi9uBlnsKXdXo5BPvYDUUZE/6sfrTm UFaWjK8/3JS+oJr5UfofPg3OHEhluXXyVCHbM0igg9MFe3F8ihQDHaKhEB6ZWS5hW+xvoUb7LAcn Itt7QnkUkT+uxqRgQHgQgvGj0sPPgxpDWCJ3EiMMk4uweh/oaHGglGLNt7pox0wznV0gZ0pW6cYc Xju4ZllK7yy6urAb81JNubgBlYgt9SeKL9dekSzHbu0/lMeuJzDk7vQlpIGzih3bccqq43HjW+xE gDHlqm91UI3ZWw0CEFlFPWRrBheWw9KT+AuqazMJK5c4bI97hfKTYmB6co7o50tI1+pBX+ZLh0wd Xlp7n4A+mpk4oLlwUgj6EmjrK0Rtj+dH6WuNV6frT895g51u9HzM3Tlu4axsUSyw7Hs92942LXv7 Kcr+eSNq6p61W5luXC9ZRYEmuJgnEHNQua9iNl2dNIdrafxP3KL+IaIn0eJp08GUxmpEXtcBDQWL iC2veD8c13HZJtW6WMkWqPrkDXUDzk7x+Uhi4NKTkkNk/OAp24dBualDoTvxSNKjBnPfv9iEiFk0 rO06P1TLcL8Pnym6M0ceTQsWdv0hsb2iarvS0B4LCPDKPRcy9wyfXm1oA93NdcVaG3G2fPfUCXl+ 1OmibAukO7TfORJmjEa251tkXjNs2PjmetkmII4uoMYZYl3gcK9ekivOUdS3ijhon/dL0L6CcWz6 y3KDxFf53N/qIVT5Wg2NnkEJrYdXbAqAKR7sRhiURU/YX3rFaDTdJbzZOC5DBH5BfHw5+eCYmM6g VFnOw5wcSo6EDCZLcWsxjLMj+iybL7hXtZbr2r0g+5/uWiLGnBWeGVl3ukCQo6V39agkrWOBo5w+ GsJcX45aSKcY+6avXVbg6oP11CF54xpwdWiTFeHA/nG7fEDIvEiK+bprok8f/5zIumcIIi92FwX0 Tery6Dqc6N+05JULeWlG3f46JhcCbrotUBYi6r/ISv6IEUKmkg7Bx7D2x6AOMpAwHAXZE9mYx0ci 5VujW3iYL/0j2RrU8r5SxuNEbJuRh/2b/9BKHSA1avgvRwLlSgIERK0GmVYBYlgqi52sxsEaPA26 LsWvAZAqLUxGnlo+ouo4ZgkZPpFzYhy0eo8muUrUzqyo96gqdNBtJVWv1ZXXgKv6z1MWsYk6u3Xg pm/wG0++noP88uboIEM3tLq4hLPNQlIQtBkoJX0cybSybbuxdhG54TaFaRFHd5LlT3mhKwydQ6C0 z80i4J5SXxdI/Dh0ZxGQPLRe7gV4+KZaSGK7Zpwexayylar7fVN+xovWZLFMOsZl0BlvgAOQsXh8 4nOT0rCWUTwzmJL41H/syVf+Ojl/S/tHUboYBrV8ZPm3qQOXlTe6zUQsVAnrcDYQe/KrgtMY4yL/ C7jSNhJ+NHktb7KVoXa5F6Brss/coJ8p+GAvXvrm6RBP32LYEqi8L1NKBe2zUuDYcsPsnCbz5SiV VoNUVS6VSF2bG2voc2zK0rJy6sXEjeCrwqzjYtDfiJ/rJI7MQPYyCWI6G8UB17axRb6W8sGFwl25 7I61pyTnXochgJR53n2uBiUovucBxzdUbRjC8HJ+XLuAZW0yv/b7wp+Kln6pr8F3O1hzAlsLxqVG G1LptpgAhW3SNWhKHokBxk+JPvYEK0oRjnDrCniZ5i+WGZRWPQ+MKHfU8xo/t3X9i1U0PgKXua/s VIalz6e+MKSwDp0HfzlhpT2zFyNzb4HId7MNh2234FyUoR3mQuwKN2nX/wiZee7OTyZZ7RzdmTec 687ohXtsVgj9Htkbe2NS6kARbqd7br+0SUHz/2+9huA+sr8NCBfvy5jKhAvqiLOVTyxNly3DUPo0 /jqtNirelMmGuh8RHfi5JM/nPHzdPq9QonwiBz9/0sgrPYqFmUO7cAE8zAF/8ZXzb94HlHucwWB4 e+cgU/a/ebduJVjo+zrBUo29J8oGmY4X3ZSFMpERtV9ryouLFSMnWr09/5L7CVLpw7h7xMAQaOrV h8Y/LNRLvtR4tuB0R564UGE4QJVstmzQJGiqByWQ341X4y9gVRFebzqjvAHndzE1tVFr4cMxo6/Q y7BHZffkIi0I/6tG30c3pcqC6+0xcRUZiUlk0J9s5fZEP9vWXPLZMC6jOZwuqVg1wg9uO2usqICR YhTNwObc1Gy/QhhjzVmp+D3t3xd0hOFeGhzvFhvNmqaode88sZLdrnR4BNL7T2NSdi9/O/IoQZEN hEGTpecXkzU+nHdH5N+Ndv9J4R0KYft81gA1jw/5ypgy25VjOx699vszHNVi91UHcOUjd8qCls+w /9MhbYI1Ck8YMb6+PRqs+V4wVF1IcVQo9BP/bsMN1uwQKWoVuI/QMOJhY8qUM/9T8GPsl32cclyK EtkVK74ANKT78OaFq74i7c8lD5LjvbL79XrJCd267lWWfYu+4d1pzjl31LGn45KG3cUf+D3yp1Pp LXQ1ZdprlQPth4yanQ5e18lK+NpmgvCIR6q64wqr+aGi0zaFyR9i9KPzvq74gAmEPeP4xm3m1QwP PFNp+0M0oq7qqw4JqZmIx3sI9fPFCHLqAQDxW0rq2tltkkX2JmqeORm147+6ULeTRl5jV2cj+M7s dK+XMAsnXk5wfgpjI6FXkBCTxLoZc2VhehvTsrmywdX4pSIMxGpejzNjKZAXnzW5yJhtfJhSyzpm ZLJ7ScZbs/AyM3+2JHMc7qeCS4O8cpWDpz7yiZ/iYqJuEzSnvQuJ8IC2Pobt76y2NnDGweZyuGbr bPhHgFUmdj1nrj/eFvdlOnJDU23XhPEHeWoQCvei1qCZqh3JVIGbbZrTEEx6/QdZYjTqPif/UJ0P XtwGIhe/yGu7Ti+hsMXRFsxIqD+oxuR5EyMF6EuvQbbk2aIfXhzkR5SHq4PPA2RLm0Hj6WkcpDnQ Mil1t9EMwFd1KVktAyhhKntAiKTi/9vwhPG3Tjn0SImXloBIIqBWLN/z4kFhsH9UTJvptcJwFWUX lhmcqobWLk/ICMqAdctR6IhRxo29afa8aWr5X7CFR63NAdIOQf4eex/1CKEgmvLMbHzo5onoDmGF IBgbi/6Y4c6Wy6d+4TC7JBBhvApv5UeyC3NHs3j0U/It+3zo7HspFiugKaYasvmR+srds4vLNup3 w5aG0fFxYgwzsgLSnuvQjJUDMlYbqoGS1ZZB6jIOiLPtP2N8bcDsUbEETjZxIRAKoJNow57xFD5Z nregkh96MhkYTGvPl8rv0GDtUwpusVoUzGX1QOz0osRQJ42CoFW2x7tTKo2zNiu1sp+w5DurimzQ fE7SHXoPxWaGpWNzii5FaqVpGrlYkPRA79ylSPzTB3AFMrMZEVI0payWLVHU6ZywXCvIiVglKQQl tnHoffrgEW3BnMNsjsDTyJW4F/wq7gK6dnObNqEhPBBWvTUMQaB8IQNA9DM7ghh+euepBIokBUvv Io9L7F2ms7nBIt4ZDraZepkhgudkgTRa+WPmY0VaDGwfReyh+Mn9q+iLvYycKgLLyQ7VZLNDYW+q eZQpp7jPTCQn3/WFVw+f4x4aY3+FtwcYMoLlyudm5nSlEcK9tbkCqPBlOjhnBI/Wbq/z3ns8XMtK hgbvF0NIHqOKwFHGfltr2NEB/xpOwrISlCvRgW3P4MxgSh4LuLNM/eec+a13Z1c/u6dzyB9HknIO tFurUVEnkiyheibwDVtN+94qKQb5iCuD5pJhcu21lpZKj0IQ+1hl1O9UT8TXW/ktdzsYNucDItJB qdgJX3KcXyz0ILufEHRoCpIQgk4AK3iQBC7AkvmbwXq94w4YYaBhtGI2p5K+6o7i3RB2XDb9rOZj 75pqBN0GYPnj3veqHIqSSI50zpeLQtq5kvAGflLPrwCIcMMEHfsDiKOBw5YQTCVgWIa8W+C7buQf o2lDrw/LL6czW/yJ0NdGswOpKeW0vGgNeZb+jeZxRKKhOy+YFgaz2GfjeNJIl2IDdfZkmn1dEKGU VcbRRmYIfZubq2rzaxFioC/wPWt37QyOsp089vebECj4ZtKEHrsrfu76w1umNCuTiSOCoq7mJwb9 FPlf11MrPTAs+NeH4V3sxggac5+Vg+4OZCE+8mdTBgBS3QIFXuoejk5VAYdtv4aSrW2JUZyXyVsO jWNj6y/+xztLY8Piz7ix23qqsHjy5lbOCweYEubA8vC/D7zWuGW3IuE9UPtagQfK9IQkA0HNbtU6 9Ug989QgyoUqJrCTYOzNB4nrw4ILrcwOSumBOTbpfjVTY+6Ddx4bqsUmh5q+hvQy5G+vPEBY+i0r SKlO3lgf+zIvAW0Lqo+Edwfd8o9HpUdUVyJPMmzdSSaNNCOgUaLo5DFvFp4cfV537rySFY1WB8to uE9N7N9iNLkHGIpxf4AKCUjy9tyhN9iSCe75vfstCZJ6ENBAXs5PIpT8+QrAbNIJM5wx9l914oWU Jlp4Bm3JrHVaXII4Fohoxg+7fsVaXlop6bDjv8Bfae5o4QKhPtw+bhaTC6eEyD10Lq2zw01DXARu Tw6k6hV9+p+JL9Yqhb7xvq4G6+0QJIvSTissc7hmZth+kZXK38cF2T0fgUWdrp7ysmv+1T7NDhkz k/Pp8IahXySknLrsXpoA1cZdqhCTW4ztHgZrB41GA/WScjrKuvXRYtswjySxUENCbBUhg2MqZF1l svInwC6JZT1WvWKgPtLnWPisfdyWvEQ77TLkgwOV/Co4+Ls5Qj47YHuG9da8/AXZ4NCNsTlii0Lq vfZbhvUylLdVNA/hXGXAovIBY/029w8LFBR87PqLwTz6jbRadTdzVQbU4XexJn7yl1bIm5B7XWnY hYJ5ULhrqJYVbRY5xtZIvJb7/cWjgJosaWhhpTMXzgLVBPsSbj1iYOFk48EuFkWA6wxuN7MiW4js TigSChJB7C8IZTY6SXV9D+Bu2v2L96A0p/FcyMGKmV5SvwPWyJNRwyvnOI0dAxuzQ42Zhn/NnldO oK5TV88yNK07woyWQw56IjiMlrCHGKsci2oeU8E4dL2e6beFuPSMKhNd7JdsZNFhiHm0S+LSi8Oq Mudz6E0gDf9oVvqsSJdfVcuOc4TYv3XT9VOlmgOOpUvnjh+yPBwyAJ1upjXyvuSwV2ZWEzI+XBF4 3xc3kGVncfRA72Nyfi1d4FABgTOIhBYMas9ITsh3bbdxIGr+ATmVW/hsPgBtMYx0I3BaB0KARLgx PibVPJZeBeibtRlmBwTyO5qmstMyFtrnDTQCjwYqsYCRftLX115yp3fTR6746EEo9Ej0Q7cORUI3 FQSyUC7lIfr/GT8Radx/lSJG42+G67ecqFJMgZ59O890mpQ/TAr3RZhZU8fm8VUx05stT0VEnXET KtanbnF1ErE+mWEJ8OA316TyFeZKQh2xxkjkPhQNp0zArkoNeYOg+plcuipPsEy0uf/MZBgIHpoh i5hafwKMemExh59r7Ht+szqQRsQv2ITOAYyHpdhS47qsO2rveIeRWs4eih0k/EnUKrsMqO1vAyJZ V312fMbTmGz41v/8DdlsnFvcFeSZorHDQ7p3QZIjCq6c5ntOgZMJhOm/EPH4R930DxE9yr/+Io0L zlBmz7rQDuamQo4yvH5C5r2x11P7biBSDi8v8YV0NQReta+IcPIfXigrOJLiv1jsjzR6t65B4m31 wYxyt7RockPuQNeaT2ARAUeOCG5Dnx66MPj2viV4qRUxkapBt3HeEU2qSZw/LCC3OuWlBvCN4pbV qg9triStzhgHwAbaoEMm2nV/4JCQcMUWWW7PTl9ORPmIx4Lzfp7OeF4aIQU3S8LZzzTRl8nAHYAF HTQvlyLIwK0f+Frc4y71kym3aN64wyxvOOlr+xdwyGAUyiMQMqnJucEjv8aqqOOjeSNXXJ9E9BZ5 d+2QgP+mtOQR/FBWqbgDbik1/QGXwesF6oM1EfmXFbutcpfEHW2rh6xiNfyqz/FTHBDhUZMhbjD6 6chJ5PQSlYVKjNkDe/7XxsrgzxXdOVhkAaLEu33ZOqtap1p6/NJ3cVqAWgDmmc1G1EI+ixosy3QM CdVhI1vnaXSHtDNmEh32UmFxR1AIGcO6+WeQciknF0YQRPLixdjAu0KkIzpQ/r4YclPrii1fo45B ee+dZhnrBDxf+1sp5rtojCOhoZ7GBWv+ASimFph5+JNv48NsLIrvADc7uWym8HVMwfrgjLf4Iy2L 7HjxarcPf/su0tiXUMeFT+t75kpL3C9DWrF5iBCOTqLJIo8IaL5LKX39OzbwYq0yEqEw8gUIyvvb ldoRqn22rMKjSiTU2tSU6zfM7ZBQKgllOtUzxNdSlJWCYyVODJ4wexWxgOxTUIQOYfD6mQSkMCSL 10IHur3VqyzHPQducqdD7Td1kl2m2bEsbL2spVoE+MoGZZlmFe3Ddmwc4PnTpO+9suZh10JxLnsQ j+/NMTjGhC/4/JG/cFf7lSLFEM7tR4fKdrBbgIubf0zuwyrtU2pZ+aUXtHjKdU7eYkKrZv1QupeR xZO6KJlwqOJgMkYlPCGlJOJjofzyhHB0/AcX2uHhSzJR54auIx+EaxvTKWVTkV8+CUTp10R9dB8q jS90B6MnvIJq99nfAJozeXxmSpyNCJTiCk3Ec3lSrkpRD90ORkJlsvKEloqzBOHh1kGm8ejX3cbv kUrzNdEwMmLizFVMiaU+N0e/H/ckI19b5l6pQxVoFAL45PxkcKyCmit3AhdHsWJo0oq4HPXnjTqt s6/cc1+AALvPHHCP1XwrQc9vHCYgiUQ18uEv46ZkG+ofBB9TvtuN7hwxqKIOQ63Ee/TDqDii3wLF I2CaqVLsFD9+cQCjnfd4mWYQa2byZBhZRAvLyEkRvIU9Sd5o5MNeoTuGP+Bydpi3G4SDNbOi9Zt7 k99FdB1y+t/0nxHYmJpZxrYEoGTMzCdm9RK6rpokWAefYI1kUVJ0sfVg42AJSAKTifOHBxbXy/Rk rS2jJ5DpkqN6EdAiBgCOBoRDNXjGYqXvKMCuMUVdAKFyY0P7pcyMBYaDkIVsf7Tx9DIx4ioW2+hQ YIjEvSkc+xywwKFKmdD/4D30UnDkg6O23jQXYdeP0mSMPN+09BNmP3ftP6ShDfeiyK3jvDWol5mc ZUIRQ2ogiNp4O4l/uFLesYdqAhMEf9wiNzwx6hKnSSr7SBkLLKiEYlmwHBXZRY+2ih/0JWq5wbli F0V8cGlhTp7s/jtz0mWLAv7x11eJJDktcnK1/Thu7u496hdnHZ1ilvzjBqtzLtlWNCtUvlM/56BN ok3VAvoUJ5HuzkmKV06bbkImTadv3WyCUbiNFSZNpO8v+n/3UsAOW3iQ1Nb+hM28+xOThWYKAP9Z WbX2tD4XSZk5yaM7Jos+X3c66f9zHM8evSnY5mqlgYzEZGoFFK6cPnxPJ1tXXQh7AlUjs+Jy2cmO yfnuCpuJIG4DYvNtgsSw4skzg3cy5eJBtz2P4PPs09GMi0IRw7QMQmrh9a/dvI+dOMXfp4X1z9/X dzgMAMVOVC1cXoFvrjwW57ahpPWr5EndaY3Z0YY2VQUbrKFNzd39xqmGRw+6h0LMsYWZUwccN1L4 NwMGRNjwEFpHlLeBUMPt9QXjcM3sYK5oLRqoUmnYH2whdmv8+CM2iKE9v4z7HWWZ0SXcqsSAjb8A ZeAlKcDIu3bs5cG27q9F9++6dtshuUCYH4dZ/uDc1wI5Yv0qn9i9/NzMdcUTSxlQCrEKU+VNyKaU KQiolzPRbEcxLSVKILrLdXazED3eLhYh0hVlod/rKZgi0ikDTzWIOyTZ6l2ZRDknkLRSrVGoAOVi TlYipqd0qk1/R3FatUsCJahjsoPS7WoDS7zBB7KKFfqWanRC5bmT/zqIyqLf4QuUbW1uCAxpPP25 SCqtFA481l8csRFTUvoASnXdYM+lP1hLr5fNV0IarLTmlqMj+wSIAV5cEMLd1cl7OeCM78pzH070 r+acXJAP/TI4/r8hszV8xu6iJcMUcMQXurKeKEPjkOvVsaLEC8j4Sa7bzAYXehrEpiurxtC311O5 9yvyN6MNRGfFUAvg5YR4sP6/in+Kr9S39SddU5YLw2+Vb8OFSf9/fXyuwd59HPmvT0aIEe2xHUXU oQA1OciKBSWOhg3ShdtwA6kuWyuK1yRiIaXED56KUFnAkqAEhTd2WwnAwfWW3k/xu326owFC73OQ xT8BwDQCpeN8GFNI9ir2Q7dsn7u93S4i8PijN9Cie7YkjwBOpKKE02k/iWCzWN9KhYoErW33i8AB 8uU3eFummeipbOaOXXZYzNL40jaogzr9THOTO++4T/U2aQJ3TY14xGk9OmmwW8vPxGnVaA+rS7mE Zxha+qL9gyVX2FH2LXXJgAnMM17/iSfKNX5idVUTaxkHL6/o+NPRdoMx9jhBsfc9AejXHGNgN0ZZ JMCDyjFwIVRZIwpb2IRA6UZfciyBS17ATxbbGbkqWb+F/qe8mcXM+0H2fJ+lIMSyKnAZjuIodYhr e4Ies/mTF0d7PeCEUjhk7mswfzpCiTUht6OXAZh1dX61JLCWK84Ldv/LfBX5l1X9pTvjegDv9UIG 1y2ofp90rxJRvBUvDrbppAPBEVm38kGjJKUjqhjHQC/fA0wzAsF+yf56VyLvloZEwbu0XF4oYxPd xqOpI1hUoUlqzPEUdDezF2pYfSKLsyV9u507drlXgrbchPmFAPNhsuUiFwaSGp3YzMv7DknUWimL NXU2ZcKpJDlzXojVwSNSKyQWhKjVk6Q2bmWxedFk3pjYbA8kuwGzzffgRm4cZwCKVLq08lIhmA7O vcDG149AyiUZtqzdHSGY9SSUA4Hb2D5NikOz1E0VOGyNMv+Yia+hP5wXahCnQeI8FWhSDC579okH Bmfw8yUmyN3vjridp/yrwESUijPFYU3GhGdT0f1sjTCLHM3a8rZwj5/vH6GJX9BShdVJvOTAFGWN uRwnsftyZwCAPJ/CdbTnpAZIZqZs3QE62YVeVsJQqeAGq+830OkMC0pqAyXIheT73da6TSyRUno9 TgjeBE1G9nlUJccErjNe+gOOSl1v6eTZXZ/3zTHWYz30n5Ygjs4nrUL/ICEKKXybiGd4+6/OGPkO zgBOCAGwn0V6P9BUfhdj+WCkgvVQvUcludFXzn6ZFK1o/YWSeYua7whT8Ae3I5rL5fo+xarUzLqI Fwh12fPImdSmv/GkWUwSdGH3ERwf3xqyJfrkC68WAvy0pgwajDulLE1z/e+4m21WoIhfvnlQ169l NM74mMpXSNwQGar8eT3w/s33Ht6xlKb79TLg49+i7fLKSDHgXjmZNoJOqzsqe1KleMA/jV19ls5S dhifkaoBtoXpfGLgQkjJ9DMN1OxrRaggZNaf0sU+GpSqY+I+ak1Jqq1wTjpQIPuYZ58KlRcGamDN +1RZmmSLmUcX2QpPc2+EQDoyZB3tbLKjdG1QsFyldy6vNPVTf/Af/iUwwLbf+DTLmQJ2FejA9WOz INy+krU+IqKYdvlcyKOIrnNiwnQ60aipzVkRGx/nrreY/xq6cV7dCNOXhE9gWww2cx30k/dURhpV Pf7U+qrSXRHqiwN5BRKwfpGyVSVuImoeFlsFbGakB5QAhPWrV3wsgPZOU8ljuvYaiU5CNGtZ+HJj /zQd4j3gKQTow2XAuIBg4m/ClBCPDU1FDN3ZtWxAv9/dUi4JFRbTHiv47O7qiS4b43pgMb1aneOR XGAL5dwqOk9ZuLTicrDV10t6F5DxmNAWG+7rpAuHOOT2dQaRyi+/uCLd0ZjimzlibK5XtydcWO5o Q+aOBtzvd/dxFSaxdP/4gBkTQFr9aT6snr0EXZYdgj1XzSWSMxYXTbR2Y5fNoxmCjz1vzX4G5cvC 2JBQ0Y42+CN6cfD2QAXRZuM4C9NOLo5Ox0mVm59+jiwKDKTlLAB8zPpkdjjw6rY/qz5xCGzgm5+M huMJQH6Krfs+ghHZglOK7/n0TSXXMi/dBZ0gQ/hrlSzLdlU6+7+I2tvlPhwaRW2arLaIBYbDcXyl sVKFbnTansbefAxepLHlocT5A0RyL/S4ckSbydA5w7G7Cs3BKxO65zqYCTcLu8hWo49rn+a38s7j ag7izPGBhQ4mnlQIrajOJGF2IAK+J1nVSahyPlDIWw9r6iFTF83NJ5jaLmNK0kAq7kGoxev/VMOy 7eSjqEb/+1CYP3gvxBnrmmwSdhS9de1jHnfp6caWzcsOV+h2L/Z5YqFLZ6aWhzXA2+XbVGLmREog 0Rgx1kCM70NyjfY5ESnIErXgyTSOdEyAAJslINUvgbIl9Xex1RzozSRZR2uODcnNcy5RXDW5JqUe +DcTRYVKT3NEhbVWv+0+xFheRUN+OqVYa+WKGOSqbX2mjxBlCt1DZbiSudG1PDtgWvj03WOjRNdQ 0ppLMqBi0uHDC0ypuSV64fKh4qdEWOQh5mrb4whQedTdL7fdgni8Z8OKMhwy0CR4UX8Pe+oXlnUL Q1K3/+bqUuALCGyjaclskv/MDTmQlvEIkbYNNhnFho+GTnDqFyIH23bLcwYZkqqEGkFXxTh/+2OY xg6176e+5AXOCZy5IHR0MqZZMIzcVH8/sp4MNCKUUZ6U46S3oWRNv2kq2f255jozx+nJwdpeq1fy HhNZsuGy4HTVv0USj+K2nH/4FX+p27BscSGqm+W5V7gYxS5s/KQglzZup4Gv6BKQBZ8qekIHii/n H1vA2Tst5yM9qY3vRfAVjsEH6zXx9gzFLAk+vJ1JNuakKdSV2RP9/qYodRdAnqSdihTHqpBFuwoO dhf7xZ9xgDfelEmgAwLxeKhvWkgdOc5o3y/PT27NpCud0ssGWR4z85y7IXYddV3s4+1UgdSYKy2G HM9MTDYse7QM4HWkA+CRmy6O9/yrHjPmuNA5RvfSRlO3enCxX/TQwJbVp7Lixdc31GTfCQ9EPNQE noqVd47YE6Hj4XSy7qQqu94TRowh/EVGrsaFwtoXIJ4PjGXZx4Ohh5hpsS7dI7wBF70BXe7/Vl3Z 1wWvEy8KFdN/2w4d6u7iOrnSdQBSX7Vb0Ha7TOGfNAL/Qare7dHP/ITQ6RcN+TmWty90FU5FD49Z nGOGAirVQ8bG5hpd6sUm2rI/7K2mX3mDXRrnbwQFF4FLXpr6PAR7j/emHS3uI3I/hPdvBn+BNDu6 cLst36cMg/dUNRJcm4Fs69lo5rahWr54t8ln4Ujz/4z3bP37EKAcPEOhPd/Q6xMMC8G7t3ZSWcdY mnSyNCa82b8qVvKOqTlQLDuJWqR64T9AsAQUfUBFLGP4VbkHcygYA80IpS5EyzHCU2xCdz5v80UE +2fS4MJBIBQn2ghd0hYJL1oaQsSwaLR22thLwsReMiTAcjsygKLWFHYeDPh/J3DL1dx68zTFuqzG Yx2SqgxfrKE0vnHjHx3fYPMKltx+4lUX/jYgUBqLYrC9a96voE4v1hFY6nUyNBYEIYpLrKOHuPz/ cG1cMBlkDlhQFZ93+CT2ZHlvPJ2K/p5bCXEKyPmuPxuMa1YhBQMVlNj/wxVtS8mipw2Vl/05eY24 yt2G6YTYNNLnMm+674Iw85y/fDd8bFs5x1iN2hF8cGaVzQry47ihZHnccrSTqfTA+Jh/cuj5gR3i rtJS/dSe/Q/ohXHQIUg0gouX9gayB8mbCRl7zjMsrk8r+OXPBHxlUpE33W6w2f96FLEiJoEs8VEJ NMtxedRjMMpdr2TWB6btWEQa4OFtz32KgqUsC7/GRfAvh0Fd+dF+KYKRvLZoJYrGZ3tN+jlL9A8Y /H+0IHb5Rex/pJYKc1Jk39GY022r9Z/RbUws3l8dcBkT+VQv/fhZQ0Ex/ysrl0HiZb4GoWz4XX+S bIc/n55NdFuqF00QPIjiW1TLmBMCaVCXLhNm9hhvvmRy9eR0GaJIMPiQh1jnJhd893o/leRWMYdS KIkAfUO93+nouJXysI7rr6HXtbUYRZsx++L23MYA5MsvA4yXM0P2BJ0i/JKRD5zKTfIQDfVcPRt7 DR+gm0ay9J18NHViB0DG+jK48ykKc84R+k6VGgvP8WiYYNHz5KOo3swXWogSRo8wcXtYfuewB7UY CQcGA3bcsGGMsRoq1aVq+xaTyLPLwMhnFdYcS06QNCb68fw5hhWQhh7ZkJG7uLMOWgChpfI6pzkw ieOqmKPw3FWwbVZ2G3RIlrBSp4wx7BWeJqIl4jEDIhAYTwk8Ct7Cdu3vqhLpJXr96f5kE77ZmfWO om7ZK4sC9C8oMAGioFqsxq8MHf4YT86U1i9L8PluNG0FfzcjbOV7OLxE53EfrnIlqwbVp5+b4qYm bOP0d75cKJmVKW6yqSMZsYTmhAwD5wcCMYX8wlGJsC0isz6NlwD7I36x58UDOI9rwi64mdaDxOp6 n+cYPoi/KBVe3wOt4aPwWoGv+IBCqtzlWbj61WDrFaN+x/uBE8Zor7Bq9nMj6BtNGnJGneHNwc6r LvXv2ILjhP0FKoU+HmZF3wZtv3wyjuyHlMfOdKMCDxoaYUXcf2E22f2JZeNhDVLfPHRNS4bYKKwB 56DRGOo7J7SVihLg96JfR06mhHG0Rt5NTdnAEDDZEGVC0vL6RxsQh5IHeFckkP/Y2Df/xLGtHF6n 5m5SXAKki2cQDuw7ngvGwduOb85L6Ab53u4034x4xDXkq2CwmaNi6oQh2jeBMOAXd0a82msde2qx XRbnP9OxebXIfxOBojaC299lf++WaxEQ8kNOGF+dA1bTaBymwcLT3xXJhZyPp7S42sbaM9vpVZP1 wLZmz9lI967wr5J3q+vaRHkK3TnRT2x63ssKh2U0Gen/Lozz0FBfnlcjth5iSMSVRUj06qQdLNS9 q+eIpIS//WsNjgsbgr5uT8jVplkMosWeuFzlODcI5TchVs8P70fqHtCuSqIbTsCWYD/K+V7iIwie deyMM6uuKF1acY+W3sCJfE3GeyrhldQNQOh1lnBIX/wbLG7/AXi+WfPInDLem/3IbGZ38JRqNS2+ LI8YW/3FTfrRDLtVXnOX/4xmKa+PL8AdGysP5Ap68Lme1eTmcc11Hj+W0EbsAmlEWNc6T7O+H3Zm vdyvi2gX89wUUN5uscihO/bEj/jPHF3UiXOMC2MeIKIDoV1oRbt8HWGtHqvi5XHcQjUL5sBvG5Lz yeq1W5Cf+wuHzWmkwkohB1b3ejMn7yvgmZ1a9nWtVSbrJv0YgHT0vMnAp0LKG2xjx3jAPxkQaDn8 S2vBwdI02tonYTSJY7aAT6BEm66oFFyKXb/6jirJTrFBmTfwMPqNNMFzex29mOeps6NDbYWs33i5 qidIYkbLp6oW5mXRaBNtQvgeFkZyuuM18hpL+Is1bAyAKYeez1fZqikEX88I4U2dwHED4hbuzG7A Jlxi0befwzAD+IRxg9djN8w60imxt0dK1sZA7tIVet5/d4/yec+B6+ARFGs0ofPSE5JvQbIaQvYy UpNIRBvKMApLP4tnQ4GD/D3cWn35PXUAf4ele2PZda6w3+4VQTt26yaSx4doQCGT3zTKmnv5Y+I4 fjFVELi/gESKzNlwoiH6199pxXCwm+p8tdxLV+C3upMxcJ83My2/Y0JrnC0MiBnORk05ijiEevmt SBhCBiAB7ypA+mAtURfyKj7IpuyIh/z8xJXaknltjDERy/Mn8/wWKsCJiEMQzh6oNcPUy5dAoygC z81SE2tW9TyMEhu7dzYmriQDII6rJAVkoIERtXVw6lfCxtgIu/j04RgjZ9VMrCnanAag8EeYhKQx vlkxs7D7/4Ynj4u6aXDu2mSTSlrUwqBOW8QyWbKCXP42U0OkjE/vfN3hZDCqGTXnmMnwVyURsF4T 0l69TH3os35fkSt6EpukYdpaXoAiayFatmjBOLRlrUabi6v1BnkDbpMBbRvdfHliDRBdDkTGS/uk gzGYbFU1Okr3Jk4wypEWkn8bD5Z9Ke+htmwYb9yZivrUZOn6jaTSw0XejbkdBI4ZDPVAhEwVrp/0 Yia7RyllM+CZDY2J6QroqhxgsHnVKUaSivUG6FUylQ3nHvBdoDw5/URxchMf0x304HpGnbRlO49O w0/wpa5Eo16sRn8LhaYOd3FGWxxkAWxvcasvrvqyiGaxR2FCnhnD01HolO/ujOPBt1cQeeDPGVZM RwXk8TVHn0r73v741Qt6JiaKIFykIlQmYgiwKCPMpjoI6pQwAV6VSoQBmdpcV2PidJ5ZDQi8tyNn kG8o+YDG3cwK7pWi3X1+6EK3Dam9hLUmI2VebX6+60oEgy9jOkjV6L4g7u5ADggVGv+TesfPYE14 bMuV7P7a7lBxYNite3862Gv9WVbo9EJwQGXrlsC/8VvCChAjolbj6F0WRw+MVjMp4SQ62IE2F8Q5 EMxzpHbPkza+3LYSGr7WNNyTwTbM4R8+gdknbfc6i70/eKPlYwPnR7hx4FqPn+XRYXWDTtLKViSF 3SIsEunc87QeQ/yMuuP7brMJX2ZJwh+Kg7tdvVjwaJr3Th9+68FqashemMxeWI1FAPJvdtI/XpQ0 bjtCFjZmZ9dXmlZLoGiivYJoEdZRWJdXVnkFKTomRHZ4GMZ2puXXEz2OPgLh3vxuyT7m2twQgfVr dRibjw9kzd1nRl7L3AD+a3Cc9IpnEeDWCBkJGYm91fcqSKxhqlHCgXxFqZlpkV3fpOdWM3sqNtcF i1BeC1SKD2NwzPXFK2780hwdMXS/n4qYq2HeMq35t39xqvgtgDkg+Gp2GEDvFg//h08K+8xQWbYj SQwJC2ei4GwGl4F8h22hm4MZvFRACVJ7HRGrfIPYD9Yzyxs9jNYfUIaXJGmg2rvyLcMETyX9eKO4 AaAmboB483OpFuVS7TzPZ9sSXwYrPSqSMnMAP9lY5BMP3Q+5QI7EyRQJtDaSzQepvvK4iYJUR6Ai J5LX6oNzpe0qMC8eVj7ZqADUPDBG27R/eUzqfok4hCSDzY+/rnQNLXbzs3xPfH0qGuhH/Z5KsHjp 0BgEYWFvDLA+1MEqsJJw5ucxg996YsXBwcqirGhFbZukKn5g1hKmZC0gZ3UM1Y+sluy93/nCJd3y TgPu2i4l+hW+Rpx0DD+tdk7Guxhd5QNjuNel77mt4+M04IMtB2DN44Np9X8gAdtKV+QGvvVejN4b TXwJMW1J45posZEHTFDXzRsHRXsXrV3qIsg3bz2/usjPZpiDswtKl16lRdIP9IoFOZbcXW4MUvSL 3WcVIh+Emp56w2nQ2WV1DwDsn85Y5sfbAXjHtOhuyS06UHV3yeyDlECl4wBAoDY+R/wYpdzAyBS+ cAwxeMoM9XoRUt3p1GirqkFhA0x/QXhgAXSTQresHUUrIFE0EE2hmcIbKKbYj+rDd/s0iecTvLXA DvJRgb4r7mjkIDPPYdAoLkrXVp0hzLGu8p5Bf9J9fZ+wMoZGFo/Tjyzkxe/CgOcvicnzZFSGD0/R fpBbL5nP9p6PiNLGv+Biq2x95rHwYehlWG0bQ0ynndG2e519gdG7jijv+BBkF7NMbWwvR0qgAelF uSDoBsGhlfLTnPL2tW5FaVRWzm4VRiF+GIEoLmbZxv/LASBDuzOHJ/6dnFl/mfPjlOURysTNJtRr xFcWG7If3+W7RszA2dYj3XHbEhA7IXt6V9HMfcpX0q7y5DWuCTh0055fRmqxzLcSOyKegDOi2Q30 X+eI7FwuC3dyYAjUfdjp/Blcm1G2b0u/vN7LDq/U74UYsP4bS9YKgty2lysS8Fr/CvGLdvu6PSPY V9REy0fv0Ax/cs0kbCfMxXSKCGwBVS1biXodFvGqcQJ9Zjpvois6zGmDa60vVuBkg1WDkAOPSd+B ab6HZ99uc+dgk+Ayxz0XwMmKVxOXc9/PYBsSObw0jdeyyKUvRWmrt1SnFLiGdDwpPXrfTiqYWjo1 pnYgddq80KaJ4O9COsrjIIJYlzz6e+F+1KnXkUVuseCiLKuRXzKCxxTEM1MlRWKxBnXG6uwrTueB 2pERy8UA0cd1faZrgfaGOx9UJ2xrNWWOgpSQeAVqtU1Je4VKq4KbBDR3Sr8fSrBGaWwA/FYbxmuw DUiTW8WMc7KiMshUFZ0Ruh8OMgAP5HJWF3Ldk3W42xmKR/WevIGYyU98+z773QCG9sP3uOMiX/Yy Shh0F8qyf8eDmBCt4Zgal4PZuGTiW/OnQOF1DKBXPSmCloBCubxKAzlv37MauM49bCJLgVeb6SrE V16nzx2FWSTj9qSF7MLLGcGexjeIM/nj8igAVQEYzjpLVsyWtNftFVWlqi/DOsS3F+mIfLemhKCE 3G5RtrQ1iTOPfs12d/7B99bDnnZ6LFpShwv94CSuL+f4hZQLpQc1baY7B76M9OYnAPMdKdDm7dqa FrDf42J2ar5t5gzTQR2XuUVEZsBNrF8I96T2QPKYFs1I8kSoWZ/3UQIQWGyfA1M5yTZvBerwJTNl AGxJo+t9Tx+bqy20am+V/83I1oiqSy6IuDRUBNW1Hd4nQiN2BvEgKGmveX6NY87vLeEuO2RoplPm LacDDQpvsXCGErtCLwY9A6X+FrDwbk0PcBctmet9J6TcDBsCvrtdIBhyLUHQTcobGUNvmmQJED70 50uhT2HjMgVPDuInAVtNSDWdHpT84ZYAGGE/vGjfFKpewllX2oa0uXcApDj4VUeVD8YrESN0N2SU KEHnicZf1vBZw+8tiPqMVP8hKW4x+TgMGSAKe3AVq2rwwVkga3WPKtXem8Czx8nJg7IuV3V2cdsv afVcp7gNpAHCXFbKDVYdy/wrFI3cOIsGrHaRXDLzIvHC5dgqYqZA5MO0y9D7QJL/vrwXkRrvce// 6hDA2DXAXhG2m4B/qhprXM2gnEhsFTHnMpEGBhHl2cumuImjrVu9tboUXprpNxiQgaNlJ/VR8A79 8sXTV+Wie5+iwo9YIfqCGI0IB6f2ivTOL6aqPVxlLWWx99U5Hm+bpZk6PWt0eMjvwg+Ep3IgaCGO 74LsxlAyvmsO+HrrFcD5QS/n1fTy4IZ31GPO3SYRvtFQ0MX3cm3EPuBOvWrspYxjG5aPiwKqERe/ qwTxzjJPoQRanQxwjRecl0WEtosbEvYqZvJooAZC8F1AWEQXP7SYB19EY63FJEq7NCBwT1GGU2u7 RcF6bxWZk0E09UaFfG3WvaCW4S0xYxMeee9V0ADoxg7d+iphBZx9/3p8V/uLhhvZvCEI2Elbem8+ HvsGVBP9yX15Mqi42wA3GWOzqZISirKJDGPMW30Aq/yFm9XOwRAN4A9ausVUFutvg/JlHYNUXBky 7A4avC2jqVId5+jLH1KkKCcHWLC07d02oU+wBZeoJfKHYYCYTS/dh9UcSLy6Y4QSQRvXJJvR3B5T lR70Dbd+iVgeRdF0PFwssrc/7fQ4upLKpOLgiEteF8oCGeSOTuf0XnKpiNIE+IQ9hfxxeDh1kRGg wgV+EDoLxiiI1IINWKcEtWHzetLf0JOjgMCmzsy58b8EzehDSopRhmnLMo26UbwC9xfVASJj5e0F l2L2ZZL0i0Sya7xA6TFGlLzvXWT7mO28v40mWYrmarR0bEEpJfSmjvEzt4edZQb5f8uRIx6CgNin wXXR0HdmK6jP5iWvUE1CWR0Qgpkz1xgr3xM29EJDRDckfd0u3oF85HXLb2Y8EWoXoJ6PNtjR1E4L RgftEIZ78yy1kxxL/TS766EPI00lvsv5Y5wzLxRrfpL4LANjpZ3qqqQr3YZGmOE8KWjeUthcg7Sx Hg1jXwA2MpiGpXgl/hsHZttnH0t1me41tT5HR/Qgi8fEpr93vORXV/Bk90O2/IVjovZIhzAVhiKc OKpr6IgEmAbz8ICdHm1vMyQQ8TG/DTA8Ky2n6etoxwpsC82z1aFIPIQLWV0O1DcES7ql8nqFx1vw gWzHDzW2/+oUM0kvJo+xDUEXsqBEUAf8EM0ZLD/YLGOd9sePTit38CzoxLltx+pEl4ppKhEM56vM 42JKYHy9UjgKWW/UggwuoYxpQ8JN16ewvK8zbJvJHi9FkBPda6yshXA0iZto9k98alZg8WEhVAGn ZjuRGyhujjTlwk7nTmUxBxzp614wtnI0AZGwUhPMfbOimnuw2gVFHtP64qsXXWGKiNwlX5ymIjF0 4W6PRezB5Ek6RJdplKLjIBTaEWLYKpVIgMKfa+o7FYrKyGhviwMsWgofinPP4YiTehn+L+O7NXHr GFdv8XS3CFzsvjhoH82WMlC+O6cMLP6OYMuIvm27YSKgW3SLrbH5lXZ5LYsUlmmq+e44VWgzeUlM DO+jOsoyuckPZaUwB+wZpmC6skIWCcPXF80qv1LJhKjM2BR824DV0tb/PiJWmieSbNsy/RY1nBhr T8fiV9NvR39oCXLXA6q8RErOdtlXQXBJzarip6WWzdF1xAIedYT7+q+Rs7dZiS36NDwbhT5fq8UP FwCTE2cXc4VdA+vqWOHsp6l0rP5VvwSNL4mNCUXGS+9MBO82fIgXpy6hWYMdKwCLbH8plZ+KmHQo 9x2s65uygr7HnluWAGwj8KRFJGB0Al8pHZYmnqdlYa9ui7gumFx0pGZh+PBoLtSpao6a7ocjDN+y 0q/PvBk0eE5sheRlifnX5fW9X8/tQp5GBORS5cJZGfJKnILr0EIQzDnR7VO1Tz5U6XMr5RebnNGY 9VDSXMuNjZPrsko5dhnW3m8fwLzvWHL6FbjXiNVPTifmRuM+LJwnaUtevi3F/OAUlsn7HWwfy32K RK/wBW1XddkVAKxpQtmG4zSQvWTMpYGpGpS7OnoO6QPDYx+CvlSxNHCNMjWw0IkkT99Je7t4aggj 33eZu2NFf6xsCWcRW0G0qNutXeDL0wlJPGjDOB2/xP467hicTSrOiVYirUn7H44qKIEAs3MeNFP1 4wZAv0/07YcCto8ujAicHlFFNUe/54hJjwJtet7HGb6u29+l7Wf57oRERvea81IIBlRiEMzOsB8v AARmZUcEDztQTIZ4lZUloPIWapO9cQ772dlhOicw3EIGb1XbB2ZNQZGQBzYrtP7sEwJqy0tqWm1j L2PU2otZVGkwKHyFL2Us+vabLFQwEDZd9yPq+3Bkacq3Rr1Xpte6k9sJh4gon+eRtziw5sILCzfh adntkZNCF21OEqIQ5bGoRH0P8EqDOVIMM+CW8NzcUMK7EoUdB2t8jEi/UXSx+uZRLfhiTV2D+T+/ QUpF0ZHHTggT4EXxSnHB6AoF8DK/FeIp3BTLfAT4EUjW02wNVgu6p2MSyb+0kyHxQHyhPrIbJIux Ko40bOLmRQJP/OEyRawhcigyFecA5smx6MZcEjJVqJDwLG92Tb0zqHzyrlzRGBtKZO3jkGDN8FYq WwCfkWqX+MHCZgfJqWODcV0g7MOf+yKB9Sv5L2RG063bVRiBggep+DK9uO19BZr3WyL4xB63W3zq zdBJfiZKEbXg7uUnikvaP6TlrgIf2GhCawy1+tnmQJdkjgjZ9PxkHxmnXmDAUchZEIdebAOsfZPf p9OzOkVUUtqbFb5uVEoDTGJYcwedv2edbaFQ9BeUlhDm7lnitEjptz96Dye4hfhNztGeslWkmDGV j8lOTnfBWB2zSY+/XyW1iHL+asxMAua/6mnRcMbYeQnXinEZ39zUCpdMEyfznzRWukxMZc+cxhHU Z8gfN2hsSOQjD+Sjs1f0M2x+pyPGULfA3Y3+9cnzNsTnROuunavWfQnXhMflsPTwe6hd7Q5f6TMs Wx0uKNmKtgBhIaA3VMiuX3sNzuSAXimPSBRe9sVfFQaT0eV9MKbjhP2QgPdxl2uri7jhxsd/h2vi ynXZV5jvWTYnNJpPOBIGyw8yFGb7WL4tY+tLjGbSj4eMHoYpNoDBk2Q6sw97AnY6sAJwxuKsMvH1 qCyWipWgXX88tHv9aHzc92gsha3eAv2mJpcbvgBJWUm3SOIL45gFR40gUl/U6hDWsz7IOnL4JPmA jTrpZYUHpYxczvpEf5qny7wDfJDdz34QWqXX2CipM2s6l3QptpVTqgHGyb27SXn3XmVLR/hDZhpL gnlYmWvcjaJmN5Bo+XKbdLJZpt8xZ7et/s/bCSyUzCejb90JVPWKDjELoTyki58CWT9auSWAJ+fj 1b4WyGMywGUFcIPUkeN/UOFoRkxHSD801brW4P4olRQNG8nOatpz05lmLNFLW2ANXQZhcqiqj90X HITV1pNNmMtm+aiGkK0U0KYn1rbG37V8YeqWINV30VVVIvWG0O9qW+ezZJlWlS4la/i85x3m0PVp rz8hiird7e8C0AJv5QSW9uf39bLzW8sco+3B2/dfv62y0EKHf3NSwJwQtqgBlKcOAJuZUtxlpUqI Ret/d+W6TMfQBNpsqlkDUDDgsJ/IAX7otJ2j0ayQTf2d7RTDi6CNYwvWFnY2kaOWyPaXnngG3t6L LT/j0IR7OxlVieNclmSd6I9FF1USAf5E6pLkXjhywNFmmVCTIJTDOvNIGbAd7LMQO2+u3kOOjmA0 UCLUXvOB4Z6C2xWkakgdgHGThr+gjHeHRaMBe45UgiMbYq+xKSYqrlVSFG6OzgV7q4lx9glZSMEW fDT7x4P/Dwicfe6WNswsFivv27ufDaUI/nWfbZHEBhYyOub0ShiM2hsqg2HXxY7BJgSmcFtyT56Z sc/pA+XpndzSMWB9coAtmTh1SQXa5gHZ71/zT/1k/2Pk1vMXJk0pA0kvKVO7Kyc7ClxHVWSLjLOv 28O8maI/uhaJxIbyo4sPGrHxoksAJalDaVsRRJ4aNauRS4lEEYfE7PwJ4NGkULX484CBIWqECWec 7MYq2w7S80NNLmHXHp1HXei+YlOWGFiKlUJSI843rAkQxbeQmOE0Dn/DjVMbspES2GqIuhNK1Y1j 04sS/Wp5rXk2oOS3v/JFoa38dlyNBx8FgNFjSv8ih8L6B7UMbckkhrJ0eKtLbCr82Nqhx/Us97IT V7hkPNDQspDAPeeiMtg5QXbw7osnzVKkqaFGbXoo3Fy7Ro4JN2arwhguWMvtMh2V086jlgcI1rfg sRLBScrRXNVPCvuuN4Y9DudqbtpgUW5mlG/gZNS2lGv3DyPc7U+eW0jgvmxBghotunljkhXQ+2bg m7LbbY2K4/CLIDwvUwGkFvHJvCEhc3jLNEsNeC+tQHOMAVtCi3lSlXnDDrp/09bwFTpU78QQ+Gz+ 87uXNbb6HWohOoqyOx7lD8I6zDaF9WKDutJ5+y2FOk/kK0zKpMzhA4Sv1KksuYxBRazpzPxY0+Pk 69XnpNBIaa5z/yuTzcGXWaRHN0P8UGdCPPAJ+csMtb+g+I9mUUDVoUffBS59q4lGxFuGVlwAbDak OBo6G4zqpqSoGtL4wLLjf5670r1p7ipeypjmsHMGdqw//cL3H4G/UkmcJ2gCKz5UEMQtoG7Qpg7f QSR1A1imqJJdDvbbPELkf2StXjehhWCXGwLqLU2e57KzG+tbFz2nKY+ly3QPaECiQWIDX1ulbWfm avIojN3HpWseKjmy29oQY6Q4uyVZ+Pw3E7hn6liPzgoyq1xe/0R8waxMErzJ/l8iJx5rlcFvC0dJ z/o5X9r9W80KqiPnrcQQtak1JpunBBGgD9cqvWKvhQdrqr4iHxVOyWIRDVZg/cHY7wst17HIwhG6 8GrbBiHjoNkahYKCFXoogI/qrPwcdrPK92EhcCG4LlPuNYTcEty+wC+Di/EduBHxr3t3tOidPsrT xfLTE4oIfehWAdD3jLCHpFmbjHo9ydu8iW6Mqzck9dSMT0O67pGI5S5mejULYtmRsiM8CuyhMdKB TmZbcNnrC0OtF+dqbvLq9WLXT6Imn54ecy1kiZuRf441qf8E6xnlxwJY9PRoZ207qE62+bkChe8Q 5fW8LzqGy2y91kodcDBzAZ5sNnyXj+KszgpcMoPVqzs00C+ZwYOGlrY3Lc5jDg4+ezB+IGfFqTjk ZfkAIqCiwjjMjvOviYmc1GxZJs+YioL8FRqrYA5GAeJ4YiZA7Xj9/J35+0gX5bu3ruIzGQcQSgSJ LN7OjZ2y+Y4pclOUVZXC7BvhwakYzoXucym0Jh72jWruJp+/nl1vlPC3mM3FZQtJPy8tXW8DMwQz W1XVBh2dgBuirkcd5smpR5lvdtOZdTxpv9SF5EZens0mECNua9I2UxVEqUp19BKSXAoovx4Xx6sV vuFI86p6AZXZEdgHtlw0fyV5su4wA+i8iJ9teuR+qpQ3VUpzFnujp4SJeQT82/6XiLN1yFm7O0px yuKFu8YWRiCcAt8KRRyDLcR1UjPgy0Ls707HF+PZoBVwIsEqRLsvH9Rj5YhySGaIVsoAm0fR7YSF kZu+/SkgKXuaM/ws/Y9TVdpXk9aCASizFn7bO2mM1BnCb4NUpIqwUdw5dNIMOfq9I+74DjCW4SeK ato1VqRU87+p81F1LoBuTUCv+ju+UskN+EvM9KSmeAoWDgHK1ggJeyvsaJqia5doKzX8EVEKKowq 1ogj8Pkrqm7ZFSt3yXr57EbBJRU4z6nGhFPWe4zTOjmkfkrkH4Baevbxr47AvXzue3We6G7NLqy1 q0G8+9npiMk8LWWdWe11ixgMduCK3vSgUR82S9wzqPaojdTqrjl8urdoCF9Wgzc5sztbrVlkm5b7 w7CMIVARI8xd9GDSchFeR1QEZXjkcpOLLoPynireQAlgBBh/gfcy0kll+3HIRsHXkek23ZTggQT6 tGvxwbHvb+lFPVLED2N41dtBkQtH8vRjyp9NPNASV27LKH/nSK2b4gcCrbvAPAlswXmW1OFVVD5S FBa4q1Ce2K+R07EBiOCWZm4iQRwOrY33dzd06gOqU5dDXdCwH5SSS41NPdH3s+BaKFKzGtYDuLON eIq3LOFgSIAVer7+iIJQaZ7tIOiTBCb76BXFNyXj8KP2dJ0CdfW1xuxU13mPM6WuM+pfcKJclgWU TrkQNFPWJuFNPPkZD1CYpoFb1+7c2HBoZTm6/QO/zwLxoFCVTzlVrFSb6SCJeNQXz/cpeG3Eb1EZ pSS/JgzI8f4nIM8GHZsvqJoTSwAtmIYtuILLItKAHY1nhPuMlCHarh/dfhVkeXDBMKcH967UAMJZ 4z8o/1lkR57Ab7g3YsH1iQc/xMIWMaPWQEp2g1aV6inCcCoLRr14/zTiT/BOUG3RuXZXDvQJbeJY m9mXgqMWTooLAiMETxpIwg5Jbh7e5JhonIgPMxgxVtN22tR9uRvCvu9VJT2vmhksGyYsEMpqLvG2 HFlsMsOWCRnsx6LTqNqOJGNLyAr7PwZvFeiiw+0ixOuX5F8neTdGDs5vjtyyj6u+/c6tH6eg9O07 WXXQLZ5AWHanaosZFk91FdEUYXZbm0dvC5Xqo2QCQfWrg3Z05hL8+P20sZDC22aLy4WKHXzfSJwZ gQ4iYvG/OdH+ouG7OmNKlhT5sUXgYcifA1hztpd9NvlZcgQhUcdNTsU0jafvODRIaiGxaHySMh1m IfsW0uusfOCx6luNU7vpLIGdUylLHaxcOjXZ9jKQZHUNwSlu5QHMgGIbX6nGX2o27ccPOsw5e/ep TMshnym+BBik2+X0GBz5U0zOhUTv7yxUnvlFox+mbvAxAdXb8+7G4G5IEu18UcwEBMFVeaVyVM+P Dovy7OvQZHc11KMy7ceoBG7o/nUGYg/X2QaaRddqKLmJkhYjbhJsQwIVtZLS+ONcoUrSVeWQ+wy1 /1dwqHApStt325bfVaULTxAw/KcXMlznLi0yj0Vifnvuy6OktuPGgdmG687dt1Gel1I/47AmUSO1 nUvz7wem0Rmb7HKWjiRRWewyNlH98L6aiUvTHpGelz52ghCnsWhixpjZBTKrsWxIODOyGSSMptdx Ry7qIFcpQecwZOJHq5/0uPYuGJhCz7nFDn2pUdRBpsRujy2Ekl09heC4FmMFQIbCjnZIybSQHg9j AxFq60MuJYoO05YHczHaB/blE8zJjb/fwaFYTyPoXvv0FTuXAQAR8vPMMjirP7C+8RqNz47ls+N4 HdMhsLJqZL8jLQm6QYuFu68dwgO2hnMUAGGdvpDcoPpC9bs1C8hyg0QoAeLH31P4VRw+sM5fGiJ5 o7rKC9X7GTuio/mDMSP68WpTpo/75Ht4d19MaI9T84PL+jG0X1LZ6ktqYub7fKa1dxH4vCnAAU6n 3fRhxcbtYPK5eHmuZdQxTgbzarAYpI3Bb5QQlaKaBBYUrZ8Dx6CvBCqq4HC3HlbBmXac3PiLVe+D blhkLr2SJb9tdAf8zagSdWHdjfowl9aQ8oMQRMUr8yeq9hSbbCWoAl226eC/0eNeSodgC+qzaIvI 8cuYU4eG6ZBGrTSZpsB1zLadLq4KDZRLzW62hB4ujkZD7H4ehoxibVCyvqAu4p8MsN0MMYUgqOf4 TdID7CUeGCjRVDj+18wnuLzgXKwSxdL4g5BskLffIxXWmj9sGwZ7lv/1XuRZXqgdhWo47EbRLYZ4 U/hT/4jk6GcSkzxpqsalKRCRnyK+IYEMPT7qxr3I/48fnZzBR9F790AI/IG/hbg7iS77S7fL75pI 3Wb7+K/AbS6HNqO+dCJoUt63qnfYxCWe2jdKLDaOnZkcYlTrlvhsbThs4LGRsXFwn/MsuIojhOTN 2D1LlDbEML7D9PG1yi8r/A5X7FN+17IO0bjDYf8SNY6zNmi+ckAcZOwIzc8nL3waY7oQqrEifLHe ghRm7NnbYqL+P+/k5UniAKbFvaA7JqN7KdTVhlH8Bi3GYfSDqql7jO7o2sRPIdop0jrBDchUjuD0 xlPf5lltrv3kFiGoONbbXpHFjMbx8ZS7Kur2kl9epCRPN/MXnDYeVDVONPO31ZV/Dmy5oketAH3Z upoULBAWAYIMjI/n9L4xaLDflDZ5iivBfcK8Jb6If+4saNC2lI1SlO2sCBRbyrtgd7FLGh2ZUCCv oei6SUIN013YC5c4VGgQkkGlwfedGYSasCxkfLy8ltaYha623D3TwKJJmhpT6+4Ihk3KJPmc9COs UbeaA+pBFRrmdWLLKcwUQ98g/fCWrdA1yOe+/9YMzpQeQDwVwNIb6nyxe56beyWONiWsBrxI16Ue uxe/VqRld+2L8wOfVBKR5KyZ0ngUh5KUXllZI7jIJ4lyM/obddjDKv1EZXcnUbDw07ll6vcBqC6w cfEm/uhxJ+vkU6ZDGVv9CvpMJXQ5gt7KXt6uT0PLGuh6RIThPMKEKvYs5ACUnIviNAzKtnUNPKSk axkM0dkxIcXswDhl+5zyEu3WvgmX3weqciTOL142ElSN+SuiKhjaT2p/JsIngzAkwDVkpFALMB9v GuAciu6uTAtZ4dCIy5+ObsWJP1mPlQnMqEd7SjqvIG8pnqYl+W2ucP7ibl7uYnJp3DdYLF9zMb8z T0OPKqGWHKuspf9q6L41pcsr2qQFrwM/eSZo8qH0j2ZqsttrsFBYRN3NgGrgIw3U8/Sr8+PK7gcG i4rY/wJMLboOafq/nNwyamZSOSaqpk/z7AmJN0wKdDDHsAdBa2T8+A8Ju+dEgNcBcSCOk99ccKZB S1S6nzIu/OWesv4qxcBslgDLhrs8S4YlzTZbh2TI/K0NFv6CwPsKM9/LLbq4P30AelSWrCrJzX5S F8xCAeNzSXCIsMJjvheqg8k9o25IPHBF+ACvZZO2lotlFwRqZirlZrcCo6G2K5zqMTjtZuDDopRw ZRpv/QcT4aGmixrSnrvESr/EkkUabxUE7NkHAHp7obleoZQX9lehvLQGlg6W7IGOcRwBE2+7sg/a cwBG9i5pbYFF2BL9odvy96JWb26IotdjhgPvXhl16ccHH3/mYbU3+bMWflyjt4bN3FA0685zhTEi 0a82XazgzqrZJSVwLOdtFgofTt2tnIgMIwg+RZVpJea27wUGsE+5PligMgV6ruCC/rCJI+66oLI7 pdulPoJguFX5F2v71/4kIgz/l5r6QjFiHOx4ydlNJzdVXg7yvHDwvv5oxVgQjPTPRSv+aYOQYK6i VHOPsD2+l3kjeQp2JayIzwZ4O6u2O9l1A4wtz+Iy3NaPrUta/hfCBKP/k50Z5iHoszztczo6YHmW QrelP7XXUcvcIQod3gxuzu3FibeNPM/xGGi+V1uUC0Lg9YdgZe4yJ9X40oItMh9AJMs+SzREGwl8 foyIGVLvUMr3qTY4LMC8+6zKexPIW3l/ChjOk1uiM0joFVoPmxhnrFsONgO3wjdOtOC0MpzzF8/h QAd8JlR53P6au5p0nu5KC8vnpBZsg5xmxqYNfgZ2iX8c6tKTS8mU5AmL/M/wld4aOi/nnz2eUbM1 tIfPWiVHi2C/Yc7S33hc+gVkBoJ50tPq16wX47QTG8mqiDhnW1XXuS5z8OqGbPwkiJUwRman12Ef pTRfQAkSsbYR/ePNKrdUH8HDpvdtcpi2EjbSrUrCYLP7c69u2PKXGmDKCg7Bmdaed9m6ro0Jb9tk arTuq8wnr6U31Q52yzOvn6XViUXYb2TUrWrwpQGZ+oLTEf6AMTtAptOOFhrCh2lP9bb56UgrMO1K MC6Ggl3qQhkKcNfj1P6AK/u/QsmpHQXZWNBJQMvvVElspH7GXlVi+xFWZBdJLpYmXacz35sPJniS Zji1ekrlYZz99CWozmhjsCU2vQlqkv5Iv3ADBXjBl1lexSNFfWTKbuYFbfilVxlLF7aZnRk47Q2/ zCqATTkaTCcQqJJ0JiBcPH/HH5xWOczv7uWrBKVDY+oXPrhJ3nfxtJveZvYgYq4foVgZAFxNxns1 COsUwoqAXJQx9iM4mTw+yuPpSChBi0Ga9Bl1BuQIOq71/7xwBnef5R9dQu/iKtkW7PlZ1nljS/Xj Ixu8tP6rJ1IF31oDoyWHlFkNMIfh7V8PfEIa3za7r5+0FrsVW7xCM8HP3cXFTfYYTP5oGH8o4ke/ GduQh2bFr+Akietz4kOQtHTN5qeYMNLEL3JSVBFqYhdO/2WO2GXOtrN6RQJ92HWSBU3BAKnVxxrX 27itjgsDObgVOtfZjSzB+RoPdHlR4McoV7uVmWSEFpPeMP5rLiM7qv3OQ47r06M/TVUL+qMDn2qF uYWjlP3BHZ9tFNmqxVnXBELHn6pM9HBaDwEyotRlaxjqzk6esgWIHebZRDNVIWxcnzdiDhesyeYK PFDerqTpgY17MB4K1u3rhHeLLgYFUirvgAK6y/qlezCxITsyOVXug0zwZ3aNudIj6/N3D/T8DcR/ YhCSS7OoQ7XDlgO9SqZT4V1z9BPS7NTXAEdEcIKhQ6DpN0h3Iz2n45mZimW2pyDgvuQDLFnNSVhi 4g2kYbpEs1zcOhZK2IxHBVMOvXErACaGikrEXUdwA6omhyYnwvHq9s0KER+cPNtVQqUIJGGzEm6K MXHO99yf/ttqCKigpLrwzaB0kLszgId/tOvP+hIe2xR0V+DDtc+BWub4LURS1uBArktfki+ZwRK+ wpO8xKSwp+IF/jXFVpA8TZ+mvWPdDMEBcmq46V92wY/b2PxHRJsrVVMYFjN7Awp3oRKltAzQFlu9 Gc33YNYhQPqptzgOOQ8+2HeA+XVDd6gjksaWhKIHOhyrYeIUPRwkbrbNl5aGqEv7OQHWviwoB4HO M3cPelpm9gGJti0S6u+fER7sgXEF3HOLjzL0R8XifRAaYBpvwuMf8RXeywepooPpi07UWaipb6B5 xyzRDg8Ij2b2qDUvcSCL8OuHOwB2I7chAa3cxhwvM90yBC3S11Ndt4ycqiYo6650FU+HRTWrpnmS STZYjtbHtaDJmfTdgtfc3yEaA5NM6LH0csDOgxFMiiQsvlRqa9iOEfxQ3f9ge10VhGqkjXUI4nSn AUJBrUnpEk8Zkrn+EIhw/q1xaid0Cwqklfv+xZF09Buj87yLVeZEchRPIWpJP6jK/7dbXV0hs01y DpLSYrTFJTis0PLXxBg+7JkOTyWpJdOHnRK/09WFF25oSvtKpHKTBqrekX8YAtLMRk3Bmz1rcDye 6WLdVwFORqyjKEalWj61Qro6pEIvtPYIZ0pAZKPVWSu2aU3k+lDmGN+Hb1+vym7PPljb9s3I/oBm BwHbwwkMek5/JE27ioefiOC321czVKUz7S0Ik8GgG+v38zhbw3LhMYao479A45+Gbh8NTA2TcQ5B 7uYLm70ApgxY/j6vU2kvU+83auMGdaKmm9I0jCoUYE8j1xsm8jAF1LVGMfDSNeAn8ZDtMI9RcVQM m6LPJfKsY0HCTq9pc86MzMDYXd4bcLR3wYl0ERcaiqEDcRpfsQULhwsQyj6Zzat8EjDrpglQlQxn YA8K7g76bH5RRFhfRg5DsKXykFsXzTEqMkjY8qZlEBMXbIE+D5psGloCkWAJ3f2Aje8at21uG2Ty E5WD5fARMtbm56jUsN5lCnOi/Gpn0SKe0eWoh8eUgDTxplQWDNxKJYMW6m/zJyaghSDsMJlKrK78 4HU919rmjaSGfKQqr15MJtWGEwz5JMkgiUOPbbzkzxKJavIu4VUwFSQ2fNvA90SNF4x2ZXPNhP5z tgO16nugAlJMiyOW37EVws7JD5h/HOB9XDyAWCRITvg75cF6olv0VvxtwI6+eeREFelPbsc2GiKv bJ+g0CEv21v5A5RbuhDdteQTZFmhWKs+/nFKvVUtxRipgE6IDhdiRjA7xNPhfpjDPbJPYZkf09nM qUw98pO9We1VXa/uSL8hQ8zFBkpxIFPPD+r8DbtmA5Fn+quMx1eW8Hx4MA/EmflTfJe6U1T5KF0w M8UGBLvPWc6WIr0EnM0QRHHXe6rKX6rylzLk6xVEgkE2gHdcsxrZbvZ0HtSO+bp6d5MCZ5Hek9Pl bDvVclD9DfHGm2nMCjc3IVazw67caP6NcVf2qnQ07gguXsZafTUtyFvv1x2XZMSTnTjkhS4hHFgc ChFqLoi43D0CE4LPI51osSc5kjAaOJfOceNWTWvJzjJb3X3h7SkJNMGropaoPZp2dt8oAX2m2iHH uIxGUVpWl0mD6JzVKFnN6ajqvCYU4/wk9har6e02LYrvW7OY5biMkwSaEREOb8xeHVylTLMqhJoI yVSkEf/EvMRTBcgNl05JLnFsmt32GLScZP+T3VAtccMe1j4g1BjnxbOriT+rzyEYXyzF4D5KHlXR 7G9Do1TVJnl4KA/NsxRsFtb120Ah2YFS8VWVagyaGPqX/wDpyJ6WRyl8GOk4oXRZd1aDWeQHyzJW sqNu0AwtQcXcCdIpr2XE+RSxSmrlhVb028yy79UDbaIh0kmldBIYj/cNkdrMP6JIIDKKvLEMQmjC yVMP+FRPcMSnIOadEtAOtDQrwscVNdsOaDsgFxrQGSNILlPdzqLmKnrXZP5zSuT5UkBwwCdTST3M BJPrkQ1GdDGx/ajXT43CzjCE64r1ODQzY8Zd8/eQLYdO+M7VurMuFU00+oFBA8yrlioZAPtwAcHV 1b/qmIl0AN2h4RytGonm6i5hzSwo+3Ui0/T7C2wNKoPl401e2s0EHuRiwS9d3VRGF6OhEtUpqo4g KmLcMxZ2DZ11qRGoJZF2HVY712HtFuw5L3QtZxF3objhXrxqAdu5/TqGpQfuFrb7FIdH7BgTb62b M7N1iQ7ZQcDxOkxUCPvV/uJQlOuoITPK1UOWMG2+6MjZ+SXaFGE+m+o1Z9e6Q2KglNKgETo5H6zW mCRJ0Kj3bh26WHeCEHPx2jbPwaznWEgwvZtA9lrYo359J+gNMgxiQTbuVXmfr/vHWHrSSgWF4ASQ CtNHpizV9bQ16SW5vi00CS3ZJ86q81KAyeWZGzaVMSdMp44o96GNY5RPPWA/hTuBAE9XFbr2h+Sw gVVzF3bmWWAflfMVd+HsnZX5qNjcR0oePaJxK5hDzc2G+/q1AjSkCblUQ5v9woVhfdzTV7YIo1vt Oa1NN9upCNwqCHexV7y8zDvzemxfOzUGg053/8yyo6HsL9RhoVRnE1PRgTIWaAB6rvd4RZ00mU81 EJGgaDSkBiNQMPNtDvFNJFU0QTJ2EM8+IuXWahgIyEMpNiRpjgzWZmgJ/mQG0Z6zqqaFTjUGiXqO BSWvQQD8kfkdroHbBj/4arMRvTNTKr2v6YBICZu+CIWk1khmE5fkHu6CIjlRId/bnhWUOUzk99jv E9HXVgWDXPSZsT6WxM4K/qUMOUBk/dFifCJhbUbmQ7BWz4Q1OudBdPQoWPs0lxMN9DwZjrMvhBqW JQGdC5OMCN+3sH3KWjI+TiHvhUcFr7UHK/5g71Q4B5GiZHUPllSvsZK4OtlZqC3/NEy62gQjlNmv 4jQC05neIBvX5YMukR/PoU6+nv/F3t13Zip8aqvs5WwqtyHm5BZuHLxEQ58mH1ea4JmjhDsH9mTd 7NJoKvOExjlLfTW9zkChoTsAikVSULf7Tr23WuZ1Szc1O9KB87VurhoZGaVbFXLj3a6MK97G2ob1 /FHYwIkqVuLYskCu7VkujLxpv1y2Ii1aoE8DF1pSiyfBTq4jekoXCe1x2IeebHtt4kJEZeX+nT3b Y3fyS5uA/Mg/oXM13AWlq6yWjIC//c74r3fu7u8N5UML8FUp+uNYrbFEvD7tRtoDlyLTJdlHdYZE drpRe3+BC/KBvGnrl4wlZBlRc+AoLahlK+ZBHrLbjRRWhJdq5VKHfhgix62B6hYFgx3/MCr0wy/i +VbUW4HHj1UVI+sMqv88lSNERoMwUlMc5qvgDZxnrrSNoZRnDs66vsnVv28agDJ17iwTKuQn48MQ pkmaKmvi1bkk7UpJMOAJas59tBv5fAXLZNGB95B5dQZLcRsrE0WL9sIx+pGyvb9yH5OGY0CbQWqB xtJrr7oNFWCKaSS/pBRyfr8wjIWf6XCjJfR94L7rOP3sZQAiHa2Ai/zhQ9mEnhExO09Q5onndt3B hcPMPZG38CACvEP2wurgeECGR8p2snXO65fTYOn/pjDc8jLHGjuvZ8pP9aQVOG1HuUSdVbVMsjVA CAcyQiOhf6zooMRUSbEQkObdbE9qvN3u7Pqce7Kq29FYFPQuM9QQ4v4Ql0nFc4v42yw/s45aApwq aF+Wc3XnG4PFRVO3cMAMZLhZB4VO4b0UwP89Af8ckyGlo1YnnUYazSVt5azr2Hcd7U2IdCfddvr/ 7eq/dwxXexotyIe6V4L6QqwgCe6TGT+cJzg6zGCdJ2pG6Ay64v3Skra8dFeQ8P7W9cXtsQ5Q3o9+ xFAi0kcl1QIsPVEjo8PmiM/VCQghxKTI0tCZYhDjhBYD9Iifjk0hBMG36Bi7nh85U+Kd2J3Iiuqv I7XHYbMk9R1YYHNpi3jubxR+uEhfuJqxHjrf9XZk4o44c8XFOXkE8pkfQM4/hYIIdcEnFrr9Fy/U ZHdiFU+VDWSd/FdAwT4wEWTRH5rHw2vHwGr4Ev5glVE9R8l8FWWIfW2vaflox+JVdqaEfCQX5sFF L6KEuPMH2ODXhcxh75p7lZtTqrtQTI8DehRpAV9dFn40ddex4ebLxCxiw5btzwHiNxH9Qggas5mZ AgzHiwV203Ibh3f6VKNXuC4BAWFje8ggcAebBjGajGM/jmvw4za1J+YoZbtqKw0qxPWrzTYlu+fd BMiy0wCDT2lCzonfrA1kpPRprtH0ZOgdyqbTPCATKOs9y5a34MaCfKB+uhcnJdKvhgQaIE52W2ut X13Zq14dwc29vatyhh2QaZduFcwjnvI0QH/vNxtIsQgu5+z4HQsjPpXfo+IQJuGUym5Q9GV85Gdg ikpiK4Kb/H/kOhgDvnmoafm2jN1/ct0Kkt7p8NgpxZDKocQAP2JFBtaNdrPDrL4C0UVcuPHWx08M GgppyKhSnGDzXN1pyOKYys/KYYPdRG4wUF268i2Ce/OA0jLvVjTDQVeZvAdeKKHPco3IcdgB6epN l6a8TiZn/VmGVwoZoffhFP4evMw6poPYyW1nT2uP8aeMiMGqVVTDKmS+/G50nHIrm7UKmb/jDElW FDyArS6CBgAYYVhCEPMIFCZvpXYZMCdC3C6qwtls+fg1qh+w5SIBi0o6fMMUr6RnEp4uS35bVZMy xUkO56NIi4Lr8OaxzH6FAIcF1JQXshNS8xaS68gyGq5hrlIA6wgFLp9ewMuIEOUj82YC8Uciznof FtmqfDAHJ+om2v2+LgZ1ZvlVOvhUtMSQzkqbspgT5dOM9AdjA6IOfp5COLggj1zk/dHnvaFfkdmY tZmG/9YV/M3wwWEVy2Irlate0zJWt+X5ABlIq50Hh43+md0efHVytMAmrbtDgArAWoBK9gj8QdAR saNlebB6d8cLSPdLCO5QpEEwrH3IrMaWA3vbgkqEPTgER/BE7YQtZZHLY71gyo0L5CNS70oTcq9i ajQOtSuQTVxBAiNNMwpvrIcFbpRanFieen0pk8moiRAlHMq2af0m6s4sNC/mSZcNNUoVATVNBB77 gLCKyCVenO6cgJUfB9tag7becSFDjjLgV060Bu64ySciMc7UvzRunXG7f/vUQMs+fH8xsyGdNDy5 IuWDdaAIAbpRMQ4yWHl3Ft3wLC/mI8cpWDIRS5tyYE58AMa5E5jrBhrfqx+0n1Y3bMTA82Cp/QEk 1Ttx1YHllU3XG+xj4lvGh/yHWmExi2lCjGh7npf8b8ASXMVqnTl9rtaWuChm49X5ketgMK3ijIdR VKHqqNvUMTY3/tAm5BYYGE+MKY22EjMw43YBVmR8gx1APtJBGFxSm5t0yiNeCvLDb7KofSERluSp Qwq7/cHyx+CioK9KGAOGYaRSy2ysX252WAzTyomMuUgtJrHjy9K0I5fIHfeLaMhCP3ghm475iIPT TLTWYydDF33niEOFE2KQCi/EwwIcpB9eJoGBryVpvxx2rsEfySrL7HKh8yBwJZg5okYZYoMOiqxT Qu+qk1B6DNHamx+XBRD2WD9wzAIA2+MBX6GYlaXuVgculdAv64oCAtdnogbmUnaUhVutIbFi4rQY ng0REnsXrwINf6HT4X66MKKghGNoC/NoL445ySRuKcAZb5MY79rI7BuT1ftSB0dPyk73SrrlyPLa W0e3uva1SPrgjXXzbvfcPuYP/5VquunL9GTGg5eLu/UcZfjLK+ykV6fj19Ha97U+OQburZzZ17cX i/gJzZs0PWpTmVrDTUdBJzG89hFhWOZ5agO3RZ6h/yCaQqc+KsQ3a9bjO+2o7980AQEEibYe1Iqs k8FylxoLyYLWc5igsZ8BJWlIuJaIF8y9QWv/hgBfhainvcQQQqGLOp9BsvHjJOgYEQWL9wJK4dwe kSr4z3+b5sXpcSiFMEwRNmwKNGuZRKFNZ6heFENbQag6yfe6ytU0/4UZJrEFdtvu1j53SYOfhwxl 3voQKdp8sXgdssNp5pWJHJCIJV4EZUtMZz1qbNK7XvTLLmpmxwndXfXbhXSZV97ZnOr9sakMyE8J hk4jhDe/kITZ9uAwGrqyDvqBPEcAnLxpxmqxxjAEh456KnmhtOjJtPKOnCPyuJfSp3LBVOnHmGO3 LnYEQfCnslbehGXqYOGhab77KZtl6Ekt1AKm0XjhWs5OiDUNVtN3m0ptKVvfClWDlD8PI+o03xku 5CwCZfaZEcqofBK8ZoC0Jf4FD5W9X/DgOGr3OTMCgiVBQO2m0lybsj5pPcSC1aNDUbN7Ji74lwQv /J90LCAlRHAG/ssSpOcR3JDbyabl51kpqtZvr//uY1b6cx7Ekb2dXXJMjnahaqx0fqTXOn0XrKfI I/gMxgYpZrw3lZvaK16FTkZ7307hA8SJ+XgSxNRFuYld9GdrP4hATajRj5ENJDNr9mDWnC9JKCMI GUp0yWUapco0tPAFoKzLcfsvsKdZPCfOMD8r9ub7W/84xTBwEMQEsgTtuYrXbCN/t4LDkIbyOXph wbh1S0y0e4Tg6Ek9GLF/5DxC1ay5rSIkMxno+eo5l0mVLJscurzxanlD2YBN3v+B5DtfQNu9+4eE VO3wJk8+MVJFYyePxBQ/UbLyHtZPnlQ3fIaLa75ZcrHorRpciSD85ZI4hoFysi/trxbaDjNV8U7J z1t4R9XWHEtU3wNnIRWJQsYjT9ukQgp1JTkN85rBnLDssC2/mPUWM9ng/SfP+jMU8LaCPiczgEmC fTmOpiazXqbbSnFjc2+36qSvT5TNi2LZGTq0ImCaXRgiMI51TcOkyu09pK6pYEsYd8lJh4IwC7er RYX6Hn0TmHNMsdoV6c/EyW1+p2VFgexaFdw+fDFWygWk62q1Y0WKG//YjPor+CXalqE9oE2pktXd LV3EYkZ9V74XauNiD5jXiezi1ar7DKxHYX7Xxu0iRw6nIv8EgbbOB8sw+X1f8CZqbaiUWiG9yj+3 M0b4qqZ7SIowUXAUuNwjUJwBFa9m+ozkjV0oeWRvp3qchelICjwlSEhl7WZu4OVi1Orq/43qsiju h0Z7C678yDbms15fG3TbHf91fUL0FNXZ4aE9p6vocIOGqT2JvLpW8uXtcVS8cVonVhafOvNkheBn +3Ffl18Pnj47at3SWmi+dgBSiVw/rj/PxO3JZdfzux5/Gz+3VMfiufHehi3RkSmsVxJx3TZ6Svka Ec3TNfCy620MHJrXMk4DbBfy1G/Yi+t9/g4Sgsz8EKIoKcQO3BoLhMUuhlZKEpVFX2BQKsqbjWnh oZgtUlL32I1dve6qpbyKx323Ff4wB4CBRqjbCIJ4qdGHNsi2iJOra7ZeY6iFqir0uzSaW3W1hnpf gMeAe2gqzu7G5ib5nqlnwh7raexOh97DUU7FahVTg7gqp1BwjDrMueUTEAZOurtZldf+RwHW7jAS +dJC8PKoRNqNSgWAmPbqzMtEO3EOYujo+0iAV7dmM7/B6+N543wKiLkQnuHXWNAKbIGshPD3wTIz D/EiHPpuTSUS+pOJf0+qbgkdBqjqpbBx/mfFzeJxfmTviovH/IIIHBtGtnVeWM/8W1EFwMNz/9PB e6nIExKYtXSAdi2/P/fZ2Qe5iBpplAbVjNm4mvCvoNkUtu4veGLNr1WO9G+J8L7QcoML+34hDQ4R 1StahunNrCe+ewLsiCMntz8nL7Jl0prOA3JJsBixURij21HUlDeYGiOYa2oqABdXchtBpmqsBbFe vP/EAGAAJ2bX4BxdbdLEQhxCRYm4Ve+CQGqTDGjGaEZZ9zFlJAP3S5XxM0n6GRKCg//c1vXDRHtH 1Cup1dYI2yWGjz0k/LNIe34mHOljXYKyOy4JU+Fo1u8bZ+kRVnZM/F5by1vpMPx32A2aW7lnAbIW vnOeAYcs+5URvw2Y1fSHEfXm8BUbbDtKzw6e8Z3TvMpe9ZcpdnPDAV/f5ueLWV/d2CUUJtsKYf0Z T7DG52qB3P3nZJ+BCRysm0qJ32oVoZ2FV0D/Lk6jOyDaGtL/2kkhkG/wwHuFCltIOwVQajg7jXk1 krLjNXMdtyp84efYweCEnp40pwwN+GGqi6LU9SGxefzLlXl1hWBlca01FIcAEqIJtzqENdsOoWZi yFYrTFkp/dkXQKlCOdEn7SX2YQkO3fKaKMmoFOfY7LHdzIAyqS9Du7jTcXKllvTRyPzHAaWzhYpO jeJkcgVyEsgaHPPwYsrkA2bHjvVQ7o7AyWVnG+UinWgNsSue9hPdD2vtVjdguZdyIEJToeII2x8e 1dxnqNileG2SHZEJBEjYxCHcuM+6medszookW8ufpiFrrGwoOa5zSPLN3va6UqSO2Yo2wUuR4WQM LqB6dnVuFR/UfxidsSDc/oMlbo+CIUZZhCkoEmllSsg7dbRIRmvYVr5smdDXQWfZjXad4MqXs1zb hiEZSFMdN7Ez9WbUEKcTGJ2smCLaqufWg7UbaTjm9e5QEJEQvYEVkISSlqn1g2gTkLxCjGdC5tmd hpmemkHpTK9FMqnn6rwPPuOA27nFW01MIuAh2Yz98u5/jqE81NTHo8YxSqycim3rXo1/fgAr/p26 1hGZi8a5CSA6UHddoMqPANGH6HN2fFz/YQivo5nswlFf/tWIyvYeHMvQMaSOa8n1OmWSGgMZNEEf yUZYimNuYVssQhZbkOp07W6+rbCs3GTJvz0XqXKLiCY0F0beVnEajjlSdrrXVIIPsxgR+IhLFYDV jaalai5CDHAKM9ZSPdA7GN4cfHmOR2cC3ilgNxsWOnQuuDXlBjqWMIbkkAbFegUwCTnYloSRfa+t Wo63RYXRowaEHebNslrt7Vpsq0RpOK3EqchkU1chdSKS16eAT3qlfEVSISbaUjw9X/fSdFjLZZtG hosHpioBfFD+9JP6QTGdLC9hGoA3AKkPu0HpddNR+0a7BHGdmL8aN13Xb6WC1v6eetjkIicOXkDo RwhqcRjeU1LaXrqEuB4jHLSZCfrMfG92jIO9P8f1osH65QYo7pWXl8t9ksOo7EaDDVeLOBUSVDgJ iLY1iQBNwSOG5glKiwEpV5nBCVaUCPZRwnCdG+ekt3VIvf565m/OqIv02Vh47nAJ87rEGh6GNmb+ QL/SUx5PdldeAoXUa98X115utQ4wS8VGoeYx5qwLf0n8ToSY6e/m9h05351KU/BH2tZDyAB7+y02 Xal/eGY9w2C1XAQQSmxoWmtH8z0GuFzGuEpoPs5ewAa8P8VOE50yBPEoao/InhFCRaHICZgRGtYN efYqgD7d2Xrdme5YR2ocoSsRd8dDfIM2oW6f30qyS2KVJaXTEPv/Q+KEjkJJLNmHgpESU2aHgow7 5F/XJWgw3RscowfqrF7qwzB1hDhpBa8v/S6FNIF+cvNJu2vCn0g45Yr4qnwNeZi7eF+ozBTmZtHa 8v2AMqbLpYVc9E3uLvXkKBTPxp3AjbgHKEZfpDkVilnDWranGONfGPhSos7ZGAqUicSh3N3ECdre EEvLmm04JR2Lcw7ywo4cwe3B3+KcTUdDi2ULSqdXG+riQA9iQrJJb+rd2JXq8gNLk07bNopB/6E/ Yuj+KUNxPX/nV2AsbRSTgyKDf3HwkhcOsH2cCzGpzowvqtQJhXYQGPe7ehWlXO/UHcw6mksQYvfV NXZ3CEegWlQiG9cWk70AsYTyw50JaQ7C2ppkWAp4BKNWvaXPYsGxeHHXl5RZS0akDi+/eLcdkQpn 6JWmtYBbGBliZzT7BKDKxLkkHyh+i7wgq8VyR+WU1bx9d2M/6zIfr9tCTVDFbWUYL/26RBQHSLU4 RYnsaCcp8R5/PQye3G8ay8ub7V1u13zVULsxGe/LbvzlbuQ4VXz/GqwReE2QgF7UPKNtrGK14Y8g M4iSiWqgRyPT8FSjYK77EcOUERLNVf5piJyyj0VaZW/6qM1zaUX8tMKrXzE4VsxXUyYPrhIiL1ga augnNnbIDfx7tsz8ji1E8D+gGklWVcQyjgIDkU2OgiajYVHp6eo2hQ2rfP3BJ+BrOg7vprZ9Q9GS uSTqLV3SV0JR2pNIIORojBmzPAtY+h1fz/+zSXtfcFXm5wpux5MQAdaBSiTPzfgonnAbojJHXARN KxC218ZbsC/Rlp0bF93arERwUDkEw+oo4S3vrxMCCHhQLpYLvSRN6skTCWucZsi8lGzce+whvGue A7nGGtEl9rSN3ubA0NuI1cKFNOYekRUH6k4ha7L3e48NylCwkNrOfI3LArSZzVcHLY+Wcnn47nem AuCcKZYsQytAlmM+jR3LPnV8Hv7YGV/9rgJSk6CDrjIi5AtGBBMoBj4YClmDeyEVptEIj0d8W1TM R+elXs6kIa7/1gZyTRBjY2sD/51EaRgmAGX3YGtk/tOlaBDlezSWabgvlg2utJGIJR/QZeqI6IYR 1Ah+f2uahWVPiOaGHCXufKCdFxoZHi/O479ppKHPqxacWcNMWdB2URFG0GNehrhlKPrpOIoNmztW 8TXPL5s3H86q7Ny1LJxW225Pa48o5rJVgx9jtou0Se0eytA4eqLB3OnCIIM1kLVLogZtJODECPFR JnjNhyIe5BKoc0bdD3knK+QhAyTpPEg4pF4QnR6AQimjxWDvgj6Xpy72MIRsn/3g/rgoinKXzv5f R8YhWZHIKh+GBeiZAY3wRnxYE1Oc4dqoLWa3fBG1pvN5S8zkp8cZa1naFmuG9m+zDpcK+0IxDHQQ ECCp2jqS0ZcuX8Zsxr2561Ab+qr5cp8IWgx6ppt3KIJO2suzaN1EVqSq2/HxN+o0CMe4bKdzWbZL ceohrp7fm5+8PEYF4DwHo96Uq25sf9MDnO0qjJXLoCmRsMxYWpErgpMugJQImWOXF7u/xIvwRfhj cnUTtRKI/jdUhtU4nwjqtVLsTzAh5CZTsPb7k/Ce46kicBO19ibLBTn5ZlkpvzXTlmDa6ZK0xukb fXcT62hWbRtgHjRhHJBjVHxY+JHPCp7MVNxAC9fQDN0gqAH4eCNzp5WixSWDmGrkfw5E6bTyn0u7 lj8DDVOQL07q/BgC/ykhqz3SyPGH9eHSS4OMiWRY9z/mgJoaSCWsj4gk0JaaDXj7Zsjq0cmqZ69q Z+/3n1g9DFiFupTW01TalH0NovljEl099CGOnEVv9+8JpM5serWMxtxf2a4JfYxItOsFvJNVuQjw fvM7dYylyw5pfiasvyekwqWZOMr7P2ejAjOgnvbgy5u5FjVLykEj4rvoUs3/YqbqMqVqcvfo9qR7 97EEvbsFuNvyISf1Vgq9jwAeGv9zBwF4o+7Epv2FuG9jJXec/epH02hPmFmbrSe2uv2weO/huHHj 2jGzdRVHfzrf6pwn+4FNvl/mngvhwFDNsM8wMbQtxFNayTlgzdi0jSQgBOFaUxDzpvqxvva2jtDC OhevwXWyEeVOchKEHbf9+gWcAS4nE9LpM6zXFiaVnHHmNhfbCng7r7ztHSyyPrPv4ny4adMGAexA HSjOtxO0F+xq4U5xcklt4een8k2aixmxKYFThQpybSxeUpSEP6VOCAwGI/2DCmHYRr09ErzJ1Wyf zEtgfenrE9ExmS5ml/y6q0OJo3PCvwXLfGDfATAA6RRERe5GOe2k01P+ipFlJTXiQBRvfCkTyc2G adAIJHZcRw3sz/2Ry9lm0poOXZ4iHTQUPopjJX7J0pFJ548sW39crjewidFit1wQohyqyinMMrVx fboArMNmN2Bav2zTGp1NuMqox8mUuZw/hLewnGmz0WkaI4DYdzCtF45qOdTcyaTOuPM5ka0OuKYY +51ZAUs/c7Iq1NbWNs7N+oU1mDhD7Op8YRv6au7ZvG/bMoOQE2W6WM3wxe/L5FYAKlm27QQb4u/k LMhBAqYbXAGqdYhxeYRzbPgiMnZOwcjTQ8XLP4xPMo0kQ15z0S7lf09Wa6tuVDBGfEsYGMHzBOLN peueZIZwv15oBlOJE+hGmhtqqvItiQqPBW/Sp6jqR/9Ytdqk19LAgWBLHwYA83lWxzseHTsL7puE ngb6V7t8S4V4vq7WODpFZFiM95jmwqZNinHwbyoKdcNZ+OHsNMSy7KHEEHHCpBoKPa+iasLlqwPT KADdJ9Q4c+3+CZDlanRg+GCvcZQnAx3tZTlwIxJMI8toGbymn8d/U9cp0a8Ncws0x8+BsAN0KOLL c9L0C4EmxAPl99bFwSQD2e4gngeCHrR6hQoryFFFXRiBDl94HPU8TyOGOTin0G1D0d6NYP0Wobq5 /pvvK7y1laZkT7ABI5OdgaYIOrHe7uh2d1U7Yzg4uzzvkWHwCW7fYB0R4U3lh5qykvxlnHsAF8oi TSsBESCszszH5Oq5T1gJnviN/mMdGcYpKhs6MsYbdxuh9rREnCdtw3TdtXgWElVgFKH4257OdcvB xa06BnaFDfo1Lt/VAUgqt9UWWo3YN5lUXWeNetsGJOZ0HzkLKVz/kKeOOqyimyUqf7mJNSYCajZZ XwsOGW6nGYNODZ4/UhnBPxdc7Xkd3suLyTWjC2lyGGXKyEicsQxJWfqazuLyeW3p1q27G7xTQ3fM 1hqjGHW+KDT3dhUPatLE294pqMGcUV07982Ua8K+XlqrSOptkwr6egZ5zZEsEZO4aFWkl61Xq5gt j2sPlOh7PJT6XBpDZi3axR2xbDrM0AXgxNyE7B9eWbZjhPfUjg7bWj3y1rNc9F+X4gds6Z1lw28i GCBp6tPCWIBhOXv0GJIZcmpBCMdjtlDETGbJWuakni3tlnsH2aLJKbXt9SsblaOgM4VPO2JESFaQ HfwguwYdg1mSAvgjtrUwqY7UQ1WbPCnwziCML9usjg/+EYS85zqu++lqebQsr4luiP6aAZt8i7hp hmROTZeidBbK5PbWYwxPvwJz3HiHi2c8pLGvBc7RR/cZ+zJTxnqb+cxU/ANGYReMD3GIrKv/DZtZ LS7RS+ESow8YX4S9KYOkuhhJrcaTI32seYUoSRW97Crl5vNsCoqikIOn3xCe65MNVcJFa6BQIAUu 0WkoagddFx3y6HftcdawS37eQ5hmiCiagoD/qp2DWR39Qe8YYNeVEniqI6C/4ILP3Vx/93GM4rYt nztjiFumE3sCjiS/GZoiqsArJC+JXHr5ZDXIWJuajZQybLY5dmVvXdFHMQfyEHPDyOc+GPtP1L+S kpnUBDosA8x0dUOR2yQ01JSSKs5OV2GjAsrAiy3jVUKt9fLAfDaSlXyKPuMPM9Pahu4kGvr5ETYs dUbpkn2/yCpiAeiS95a8hukFcOFKXBlM/6I8CPr+PnRg1QvQNO2vwVtGb0NKuA3dOan/qgQKX+g+ Rd+BOY9tve6aTyNlsdfETUe/IaEQfAVsVip/wKI6fRgOcIg9p9kIKeb1Mxn/slsPEXz/nyJJSR0/ RH45qFMXOYDAowI1VxbF0IJsm6MR0QQCNqztNVWIuiYZIpSNQa6Svc99LayAo6TmSP4McGMuV+eV cNnAcKBH1mrmFF3S3ddh4ag1u4HGnG0ZFhOjcOV9yXVEytbDCLGSi01zwEz7BE67SspJOnCHOjZC E5CNx8wzQh15a9QJI99eJOgsfTrzlyAAQjn8sekJcVQgI2JQnEoY+Qy4XIXvyxLiqXzXR6ITtWJh PUCLdBq4Mtz1pW8BmSmMjh4/NM8bYsuQKBT7IGOznMUSYZ0Cn/18WDqYmSVM4mpNPARWuZ5aqEWd WSrLmljuAuv7VsI/AoetMcLAQU3oScS58lUN8DB999dG0vnFhzCAMV7c1avQpwdAjV4Xm9ROhqpo /U8ECZbVbd15wV2Qtjiu/2SzwRTa22K6NhDpS8N5Qrg7U0zSQS1b62Xn1iKFSPBQ6wlM/RHa9CyV pa7Kd8GMA3/w3lzmg378JYNpZSbGfkGraOOCOz/p3DX16czGSDcWbCr05idKuYNCTUVz4aYR8e7m pT2m4WYWfBQAzRFBCu5TC+sO9Yf61HNxMZIGNqvzSbaSbs39u/ZPmnT5GcdDzPEfH0xMF0BqdIv0 65iI64gQx+aqs+TWgdb1uYfS6nKreuhcSCUpkk8ZO5ZrwGapemwbSUcXwNtcCVN4Dv59S/jseXao 8P+30RrmEh6+mNE7n993cIhZ59RnN9ixx/SG53g2axHBKAQAGdX+PtANpo+0K/91OzZA1qBchfd9 lqjFyBLOe3evTl7r0KnwfXQu+dSYA9hL+TwQb8q7k0dEmuFqQ9knMUq4dPjSOkxWaFRnhi+7v9Ss 02xEKi0EG6IxmDDbb178XysSWFP/AbIQp/IF8+P6AuuNUj8Qg1PxQumILF1t0LH7s8Y3GvC1/rrb 1u9DNu8a3UZHbEPTKRgIQLk5oaLg/D3T08t+ycb5efTc0FunDUEpxg7YfTy1YjyUuMhhH/CFdmDE WCQTmLCj2oBaSmoku74zwwswQ+lq9aBPpZ2WVECS561GlFZeU/uPIkQfXZb+IomCVYv7b7f6LsSw PbT0WN+1PfmAPMnEQwLKGqlmVadLSAqpDEN7iXGA9eEMk7YhVmz7UanEpvK6JP6N+o2FJV2tKid7 8agV7tft08qCRxUT+MAv63np7ZuI9YWUIfcKEARSTOSOBrLTIcH2diH08Q7R7UzJK5dAcW/BXNA7 R/gdUfk5dKkziERygvOOOimFnFySTHIUw6xwtCmfgG4XzIfH1wA1pD2PzEmgm2NMqQKuqo7c0BtR dWwgCkObnhJI/VRvq5ArBHUhboh9KL/dD1YIV7vf23lhTSSNCiSKblTeR77MMAk1wfNiC7fsyhSM 71kJVSs/+MJTNvQItGUzl+sYIhydBLmXxR4NXZDIAZyZ67YYZk8FxD+EduflsIW45/YsmExaTFhl b67kce8i4a7jGEHEaCQIZNQRHOuSNIGywKPm5KxCL0IODQeTOn5vk3zma46LGmMRY9WVmU4MUz4H E2s5Wb1aFnYDe6Ii7e2JO7W4BJpeHmiuxVrxGQtqHXRHjl+l6w/oCQBcdz+i3M5hdo/iwYsNVi19 nfezFp86370sMEI9HtlWLjG2iziuLoB+ifxn+5aLLQzmgFjHIk05wKF/Hm60RlHNYJgE7j7gWx90 LG7rRlOdoXBBw0zK5Oa4WPlu9SPSsS19zghYBi01yEQGkIRgJW/8LtUimbB5Byg5J7JNWrYpKe7v dAxL01OORjt/uhPoLXtBnThz0Z/VpUH5GHTR8h34z0uuU7Q598mmoLodUCTk1YLOdPb54Vz2p/Hy fsSKrapDFjKkxmuf+KZTiD0kBxZYtcGwK9J5rJYni5iAXCbpA4Z5KOCHph8EwG0vmfXsTO0c7O3B fH7KDBTmIM6qFZ2oO6BU8/d3rBmgiGXhO22lUbiQE5p+Yng5x08paXDWvChas6IRIQYfJBzFD4Ux Or3pOTunEQIjU42MY9dJB1WKO4vrIla1CWAtZSAo4L35YGAbwmPThHTmCeRb2KhfMZAR5e4CeVib svUzJqjUtLE0K+ggmepsl15gZ7coQ481OLA5uVI3PpDJ0Q4TnWOLp2ddbi5THe7Yyo9NFpR08qFH B6FYRo3zDBoXFPhv+Jaz+w8j9ZMkEGEhtnljjJ8QAktU9blZZStzVDybnTfGNe/DbkadBqVpn8zQ hbuBzbOkEOGZ4bIrQfobr/F11bCSXCZaeV4Jbr+ZnpZm3GhsOzL+m2Lu2rfkwjjuAn9h1wLUbbdv INDMbMxggdWr6onQo7DE7GePpr7Xigsu+YYbcdsx+TiHDCvhGYWONt9gC9naHTodKDPc6wAQq7xn asIx+UZXwAif62V0GethT75en5elWqJfaqj5mCglo5PA48i4HQEKBborvpUKM7VkTM2YIBBjqpT2 TmuzQktgRgquPogl8DUAhCO7UCgCB+2sQXNxy2kvU5sP5wo2aAXPbuu+aW46LVtr9Zsl8IDlT2QA 4vUmuyLQeE577pk9QQre0JYfMN7ZTbGysxB52994VDDb+IIDgQ5dj8p5OxRz69TQohwqpZzf3SE3 VXMgR4+njraIP5koaxqvrvSvszDR7560h4NBT/xF9DnQchWsfYoReCbvX1QnkITVEgRWe3u/vhXY 7/SrRM2QM18aXom1IYkd7GGohcw7Ge0WUd7s6hvwK1sjgu5y/ToPRh6888vaPap9+f7fUQydIjoc yB3XKRGuz+pMK1grW7FpNonkEC1Fitfq09NwgNJrjtV0TTGJ3jcNF7jDzoD9nEbPJn7OyLykzWUL qeQ4y1Sly80P9hfV/kXbrt2j7arDxwmSzvnlbW0sgyYjTC8dhbfch/LL/BEyNCdZxC1sc4sgh+v/ FvJ5u+u5TxHMO9VAKWRzNz2i0INslzgn78R1BaD5I083QSPAg7BxBD8TJUxrsXso7XhW2Z2aJq6z 1Nnd1OrhNxoOzeZBscRdNnhpps+IG/wEzGKOXvD+akuii8T5uqHC4Womivl0MdkaxOz1MQiA6OlR 8CTD7wpFbU0nble8tKmqppqJX6+Y2f1YqzDR08J5i7VNWSPVrO0cW2Tku9I6+Bdco+JjTp5887T8 kYfDA/54A3fMtEHVmgINeE8JDueBiDOZq0QlC2LnVCjzCVYMar9/cNnu7hXrHgETmjJJ2Ewdqo8v 6WsH/G9tH6hPIOaRixd7pkhjLHE6COh4ovROYIdt1pBykx22JSo3hd3Xjb4lovAo9z3VK+Bbp7Ou 1XktD4SyWKn1LRSLftRO1J7NNojyv08obu7QP+NFlL1bYEe+Vg5KEa8jTA22S1V0XGnlBknsmLQn Mlu9k+ZBElUh65ew3zbZfYdApxNyJ8EPSODpSlo/moszpxBRR2IH5zSRpuij9vBaJAIwl+96j7fW OOVn8G487mv1S1o92GhBbvQlh3giHEIG7xyRcOkk+FW8d5DOOt/Zr4FwucI9B2+2AvdfvN3KBDqB LZO2lsHEbpiZ2/p/xASO9UK8n4ocm72WGKp6cx6sdVrOxPQTxt2+BHqWH9qGI38vL8zQKlJo9km3 z6YwUrP/34vCUGx0AFyDNuZI2y4yZ4NQISrtGXJKNkouQUjercs9ijf9etSWDqeDbvi+jGyuMywR nedNAdLheemQBSfXynphJRaWsQHQ54y5a5m+VdwOyzcKIqCLtXnaiXfk2GxmGTU+ixIgKn9WCPMD 8YLb4Hb60BTmiAUbx4E7VS/0K+iM6+J+pt8Z+KdwxwJMxLJiTfZRGVLi48NUmGeyWE2ljDih3DXF oii4E1gNFDYpFvlU6VyXOUwilfW78Kg7gBzHwFBfsl+hqmnCsrlwhzrJ59tkNbW/vVTRSPvn+dLf nr+h0G+qOfAopLik5Hz46xdinfvs7JCHNG2TrR7rVfKUjLMLPHCThseEDsvJtRyYf2WYWoe0AKdp e9HSNckCO4S6+TwfQkIrJgpcS2Dbu9J2YcpCoA+M3JTHaAn9Lofu7TmFttJCmZFUlRnTC4ceHOR9 nbiS4buPQ1W2isFVLP8Qv7/5H7GDsirttWAwITJWBMswpDiqxVUUhFcPT1eawUk7tJgqU0OUd1le +qboEzT7yg36Cj5Xtdqlrte3VfsVQ1ePskyRtqlO4GQiSlp5o7deul4KH5s028x8bq8/SzVMS6PV LXtMShQXPtFOXBE9IePjUhm5GrUQU0IiBy4vAFGcURbZS82+9NRdraZ9A5S/yEHuBI4rvnE9YLpu AD/uefA9ScLn30n7LEZa33ZrJaAh34htWbxneBVoknUn7f/vi3a2CPdy9z4fRptIJLSptef//HiP izthNTeQoHr6Aw62KNeIEf0k3qaKOo9uhtXdiqE7lUvq7t5dhu9pjr2MUl5++/B97/XA+0Xk+bNt LqKmvbpl73wSmbrcXpws66BwNty+IVMmKz2V6PDxBl44JBqt0vp8NjuXXrxY5ZHUPuCvI5xf+6HC wK4zvc14Tfniy8tZwowuMW8hJt3Q3uU8wPGf8Kot4iqaSsSpj6kjkpO6UGDPbg+LCh/p8YAcOlxP 2/PcYyhG0WWhNuIvC5jG+forbtrWbnxxQqcTWhVojBW8kUjrcegoq2IFtS+dLOun0xOt8yrk4Heq XnGfrKCnFEw67eOVDcm2dgjXVTIuJc85LEqRIABlAdCjtb2Vnrmmg+mmR5XwkPIc8o8kZdhrRU2l rF3JwpfpRxKC1TV7IT1I47JiO6k0cRUfmUca9Fjbruw3fCDPJ6E2RgJQ4j5fxO/Dkh4ZT+1PiRfV vmnm3W2cAvui6rcv5GFCUg6gCuEaz1K6hSV4QzYiIPhueex+56vRKECZp/z5KjaOd6LSmQCHQ5aX 4ibi0s1jVCdk7cYCfbw0c47slQ/UYB75VsBcLOzkKq68PRje+i8SOZOUjCpY5dXekRm+s2gkel7U uiH06+UBldVKDyDXftLYqy8MopSh19Oaj78Y39/9ZuIh/qWjMRL2Y8Wo+4Vrn0fFTp/GSjWpI0V9 lcyN1Z2G1KVlVMvjaIL1PoYMuEsf6HHyXXTTCLlP+OHlJR9Ai715svMmBjh62gtARIQhN3qzUbd1 8QPi6QRK9fCp3jVL9S8z6SyQ6TdAMr+7uvpBiUzAESRb4iKXKzLc6QyrPSlPTdOzSVFIHxgVfXVo Rb1ARIoojPcPfUjzGSivuw3/kZSwDwolnhMWCdJMI/t/c+zihklciDv0b+3L1Adi5a77eqZvA9bk w+DFp3XxBahe1HLBGE6lqXs3t7umg6E5o5hdti8qb2LvpL9zht0FL25ReB05PQbSnP341pQxfUjn 4krgtz8GU/FPvo2OHc/WKwFgW/2hXL5PE6PL9ltq+Gq+ucF/YwzZ9kX7IFGc4YClhyzARVONwDJC oapLfccN0lY4q8qjSlFpZDC8tq09FLrHmIryaroUKI0hR9M2OLbaQ8CDxbBC2kKWSBleQ7JZ3jaB /KquWR7l3Bh4UUMwok6pN74EHFIavie4A+GqhR01q7Yj5rCahb9dxad9g+oit/7N8rBjfMhVf/rw mmFtELoLJX96SY/D0yNv2igOAjYgH+fZHZK1YfCtRvwzERqN4sjw9tNbIvs6rPYBpS7l1uK689EN XyIl508dAJ5VZo3PKoCD2yvukCZa4jQiT+2/RaB1IhggzeGQ2DDcyJCHp71NdfbSuH/0JfpjkqAk zxquWDL6+vCFuUvcQLEYMTq5jH1DII9Rklc6xsDTbBPd/QV8letiF7z0/+jt+k3DOK8kMOCyWYFa dTt50Mb0XYI0N1/AOdJJeRUATnlQPXEEVXQp04tZ/GCsrqxdEJhxLE64uwgKSaTDT3e5rairdq9S fsOb7O4ucj7r1jwOARqju7ItlJmGkwaj6DBE1F6OJyLBO39qawk1Wz03WbYyf+izO6PFzEEyM2Um e9eV3DK2KBCPE8lyLm0/CGfNTJS6A6RKuM06VqOgQWCrvmhChOfoQZ1LR5Y8WjUHTz6NPBXy3DNN ENATWmGVgXqtkKLR/YyCe1gI+2xRconbwhEZDho9IVAhlNcnk4fKHQLAsh50t23guhR8XX0I7ZdA Q4FMS7cTSX7f6bpbpILfRU5i9mVNjlp2rgStsOA6SRYp59jVHYpUVRYdliQnzuamJdKuWYn8Sn70 tvI9wGpFA09EsfqB95bqyKh8d8PKwVt8/0DpN51DrJ1ACTSLcscWXYGMS7t+pv6kipSQypPP5EZA CRj+2X0FCiwMIFYz8eIs/Rt51VJ10wDeACTsG34RqIGJ8QA48nDu1KOTux81R7aHGUDqIO1diu1k AomIaI/cSeliX8rmHyO7KNA2GvofdIRlw6mhOAuvTmCQPgcTbJqO4oGBqdFtXX11ra6F/P1Tv4+f zSYJJfaRCsLh+9mnIgRO19uVLjpm/S0IVRrAyCNvr/SU7YmE6BTwXBMnHjFwmKrkaSvWoOXlGEyN 88dl7Dr9AmSqD+RVNykb9frvIrftHhA+dQnD5retR/aPyKair2MJQuHsaEA5B7wkzVOExRO/zwPW /ujRvv709QaYkI+rA2fxCSFJs9WxlKBXGhPT1ryiSxHFt/c9sXSahY1dx1zSgFBkeAWT5xMbjXKG cFV96JzKOtwj6Qwi0EAua3Z/fyI4yKUCwxdxFOeEgX3tyPG/n5ZzXoB47Dyey/XcGYlFyAJvhk1R lTa7XlK3tAdUEQ7B3nB2ZkOZXpso9mMQmLPh63vIPxy7PdRwgtbLioLb96w09BQdxfmKIbgWaVIU WvWIyV668C3O1PXjp3yrRKF7Xlh+ARYzaUuJq1R3y8RXgQpj3YV3Diaan5hXv2fp9auS58Iixude 9ofifsTtn61ZKRpIjfH8rkZX7eeGAzbLXIgua0XD9YBqQHLDinOSrb3jsi8DL5VPFn8ZXs8Dg5gA WvUzaxUqnesiEHxctMqILlGdkk90CyUsVSPeZdO6mVes6SwdycDr5gYy6wqv7h27js4IDkEvz/0o mIAojE/zf1qlziCfqcEwbdGFJLdOTIr6YsoRgOjbTroKXOdFNThM4AHRF8R/oVJD60hKt7w7u0s/ ExJTDlmiPmZUeDx2oADbUmP0yPn67578SQLT/B3YfUNiPPy5XmnS+CmB5M94Ww9hedU8YH/sM2pt VJdWBS99SLf0vw7VnuSFDBL37SbCaS9GINFnZz9/HQ+6fMVAthYeoKmrSbeVaLakG0l1RPe9UyfF KavEffAXhzjhbNG743kTidbfvOCO7hvk/mDaS87cyFMANDCKDPiTXVJWA3EX9RnyJLkeXJgrcWVz LFawTpZm7p7bKLtuJsXwGM4aVY/jfVPg03XAV8IljITmCbquvyns94ZbU9/MNJuq4V1iMpASuAq0 tP9xpCPNydKDojYxrqOe46OaI8cH5r+lVvcs70eqWARSBIgTlMXxVySk9efWaVL4vtaIZKikOatc lyL+82zwhPKYSL0RRC1BHC2ZlEfZVQLnxcZzqmeRIsnTeN+UloAzMFziLLESAEuZl3iLG/NOYENQ XDfuoQaKtJoMUdCADnIUKHBpfbCu5+t1O1C4LxdsMxzl/GzlUMnLtOt0Blv5hypT1JGMbamGiRZ/ PNvuZNuu8AjcIatL3J6UnaG5Jna8ojsfzNMEUfEg/LUGQPPfRb4Fdr8i2HS6eGtFW+upP7bM+Eju ByxoUYfBPDUChd8CRmMOdtUzvrdLw6RBPFFLh8Ng+k4vWrdQDM9hbdEl+1+BaDoetnNzUbHejma7 igSz0iFRrde9Q+BGUmNFm63NsFbgOj5CWrX/C1oWq1UZRpcfZdFmua/R4p4Efeq4Z1tJgEW2Pj3q kjtN10PnpRhwwMfYxOe/LUt8NJuK+jkeJWEpEekPY5YUADzxdDhg/7Wx6yUHtk5NR9isvvB3EVAF GzMQiJ3D9gT3uLgKGThYeqKVUaZe+ccvMc3zb/0Y0kNfCYCdrFZ2U3vAPYyZGxF37cFWH7tmIHoB g7pr1/R5f2bLGHFepVOhrGDcCin6zncCua4KaHggeVqslx5Fh/RdNQFebyIyhDcwjyoNSiJBwDF9 WEeRJW7fgXEdiek918/bSoBWoYl+1oq4Jm9vPjRNkehROI6Ae9Sx9Wb/aIZknbBGvdsKMdOxCEV4 XvZD7CkB918uIqTqurUDXv5FQLTxlh0llYZSzaZuOpnJOfgZbfu801JAjvkO2Sn3p+c+hpO0wyOY m0Cg8E7R126fZEzWGiA9uxWbuxroH3T4Kdw0E6sfeJISbtXI/R6DVupdkj2+mZQmVQAQOJJmMky2 4tdzeyxsWkOh3qXJUhZsEi/L4CEUMvvUTCq2rLNPo0pzmNufAFmRrRi31tUHQolFTGOZlzSRjI4y eZe68DL9frPEO7mzuYwj2jOcyy7CRXdRvGENVGc180lhQApSuAtnKkzI+h0DYUjL/FYp3gY9mm6S cSY97M6km3ZG3NSljvEefIvl/U8e0nb9rtN6iwlEMZY3LZ1oxQ/3PvvYN9q5H4S+PFhvqNaHbl6q I79s0ADaKmguZo0e13iNoVGbkzeVHXoxDvKlJ6K4+d9g+/HWHRkI2IQEkNqbZjoGVi2JE2SMkfOc 6yc+yS6ZHq8/ysknRgFGZWBDzGLDW2sZ8GPuki8sdLpoMp3JmPipsmjMFj8QnNO/Jp74cis7rgkL b4UqmAMLArE0YKRJ0227nKavEJ7FhQNN10cU+8X0Vu51+ehUxAkFHCjygu0dKrEZ0684+r9yB3t8 jcCDFgdLT7cDGOh7TOZCHSQlpZqlDMaGPHDMWJjJc+y+iTpPjURdRYv+/e1TMzJk2EFt4kt0hVw6 78d5gsT8f2c/7ucNzjSx4+nkTGLY1uiov2Odm8SpVgV/32ThR9aDXd76ugd68VUio43Wssp6UAUa CxrEbSHBWg7oQWPZx1eXZXFeCpdEwQdS7zbdhMVX5uLSF5nlQVi2LaEAEDc8ma9KVyiRHaj1vxsR 6rqXgl7TALiSce3XZ5JeTaq1aqhHnYsuhS+d96T7b9t/Y6qkAz2BQ9N+zdB605I9xAHVsmo0/ic0 PB8mMl0eXDTKEQN9zNFIW2b2NybU+cmjP22E61SYF1NwcARI1JWNEf98w/Y9q2Ogz7JKeEBfMlQo YPveCf3b8bwcT09OmR9oLNxeqL8C+IhzUuChrsoTn1zvb2500UViEPoZGjUyzQgq9QHl9lChJLxI okCDCrfrnoxZXy58v/eJhyRm/p9W1esvvrao3W/QfGwnXLYEvcW8ztY8mw3TRlAxBpcuROfnkUL2 GAk8dHI3XCuQqitfq98h+pugLohnlpYyKpDLysxUG3gReBUGPhywLP/EVBN/WZZk2TUNSsqz7tPw 1PfmWAqxop0Qm0/0D3AhUpHGHSiAcsliviuuJWYT91FQzp9rN+gRNKXhrlvQ+vGhioA2M8L4hUca KxSEa4bx22x5ecLQoMgY2nTQH1KuBN+KGxDAmeSqLVlP8BF90i1bnGs8U4SGEluuDg/jXrty4NBf xs5G8A4LLJXd9Vx1tunxbwdDS1UiKCdAf+bObZBTogHbtXOHuj3iib77E6plyb7llzewGIaMVAR4 dw+hDOsRxnmrUqQcfDJjaJfBk2EScVaWGS6OJ5eluT+nQ1xkpP9U6qmbO/ofnEF197FmixzB7QDC ndC8Fgce1WEplI8YoEem5aipMLFzuFz5fVn/NIco2oUuZxgx3YLAlawnu0sGJEKhMQEq4EE9R5xq 2G9ftzxtLqZHqjW6nL7gs1RtALTOe7p3yRRf9K/yYw2mYXb4Vhl/4Q/SliLhSZ6Ty0026iqHlJHc +YHVepL09FhFOGwBao65KD+uU1NQfThT0OrWpZtqbt2fYP31HrUGPi2nTUhIThLf8J4HR7EBwpL4 vySs1T18CKIqMnrcndH9zdSee7bmq+Zu/cR4PZahOOUegNlE6wKCTwvWtk2DM5xyXXS+Wk0zhOhd uNXQX7Sar1RGFJ81mkRRAIi3r3LOHa5BkMYvO8wwRL0pyz5rVshxo480tp2ohm7RQNbY+BLhb8t0 2ngVRZmRAsShFAcFXReTQovOXOlLuLnDZLZgdLoFx4vYX9F385/PeZdJGTVZYhip7KAcrkUUgaIG 6XJxh0SdNSCTMNyoCWzKN1xhAQl7uz7DMt9kSNROjrST1yy5UVKmRFRU85DQMZ8DdRR1JHpb27jc xs14j2+Uyku2h8M/98gWMLT4Xr4JMzsM9hFl+FU7ITRZ9d1J56z7Ia1V8DuHrTxlGxfHKAYd7kr4 sUNlKtKAfWNtXNnjB6WeVaSiZ7N40rR7Xu4g1BCwj2C/vyLlv5q5GIrdBXLJAhsYcgbvwTOhadIL iKVwE4+lF5N9JJLvyI13IuldB9deX8iAD/gpgMixgv7315Q/1mRqr/B4+8/Elu9L1Rbbfcyb5OMh 5lXzgGhB1Mxees0G6SjGAlHATK8XmKA2PjhPXLZcoQm6KVFcz+5l70XiST1RvTGrU98Y/MtFSu+S YpzY+CrPOV6tTax6rqplsDilKptkKIr9Z9oQ/B2VKuBbpnMY0mPT8FRCseWH74v7ji087MBLU7Z4 K+ttStf1/Ol9iF6RSWEl2SXB/VgJ+SMTRc+6zhmCcu6pnuA3Hm0435HXMYIkxaZNBLWDCIK0d71O Y6XIoB/Gwo3emRIQQ1YnQv2UOCnhFqpI3pf0sv43JWeiW7h8kaw12tJxrQXeWvo6iXIva9m90HtV P3t6CAYMT9LVynhDUQ3WX3I2vLBPXekPB9bNzcOAxpWEqEMX6xKAteLw16DQjwkdhxFcpedzBtc2 DpE3k66qhXNgePfFWHBvtI2Td+tJkvo2OubI2RgiSlIUZQwYnarfC9HHf/KsYlB28xXh48z18YzU 51+qs674PjEW8xaSnbJ7oio13q2eBBGVrU5YusRlpIEIjnDmbzNJ3p1ij/xt4b8/ZBH6JPZjxIZd adPE0EgbufB2HaYB1EAvXCVR7Bbp61OEbzsuD+mf1t8Yc/tw6N8JnRy2+ymWzSjUXZmPccu1YGp+ yG+AA53WdvZCN5p/+PwsyAjOwKtugHc+ZWBYmmyAD6n0hWEX2cq0Dynu0ob37XLHmYRQ8Eagb9im IqYi3n++KWH6SNCmsim7s//Lvzdxi2mBw3YSUyDt4WA48N7Q3nbXUr6Ws/lTJy23RaS+1Z+GWFcx 31Rs+BF732UvnA8/5aOuKaCNXwVEGUX64asiIYymerzovbZ3vqbSkH71iSW9fNKHIoRNkec6sKLN 75k4yN3RyDRR9x3okt+MAI62iYUOs/HokHOJLFqXvfY0hvWJuxlurom2KPEBj01SN+3SYzFFRbjD 6iBQ3oIQe7ZBFzd/TQWA8Uyx95X+6NKL/aFB2AVTOMnmA5KzRqdDdeKAi3dj5LU0m6fzBykoiT/A GpI0fjRTxwuq2rZqKdfZNbaAMPisYhLCrQ5IV2BXT2l7MV9qd0URUvYiYtD0rKoY8ewFo0IGLuJC Q/xBeI3AlYUqdoikihIXimgGr5WODcSp9jVoZ1CvgzBnggZ1B32V5zkAzo3BDDoG03gLQ8/G4CqM MqoU2MorXSENp2hdZxbhs6b3mlqWHkjpzS3xQSajRsQYruFDztH17cLAU93cW3rSsqQoC09DD4hA mFW5H945w+2T4TnnRR/QHCJ2xe/D6TcbK9skF5Au1QEfAPzCBmoJO+Ruc1IcpiODI+PjbLV6ClPU 8B36WV4Lb8AtyydzguHjmgoQwsYrJ2TceRPJKM9bGlC4aHsU/jpN0x44j7D+oybWn82b7AMiNP4z fFtXaSgAn0GX0QIKdnQk76069HETcL/0Qb2QVChi0U7S3UPrkDrU7wJ5rVkeahTL/Lgw7mgspEQX 9qozjSn/zL2YvXszLsPd6DQuuebgTPWj+CiHDA26Yy7OXawYz7HfzNO56pWoDMgG2WR41cENLLJ9 vX89L3HOcH3NkiknN8RMbO0MPZ80mJyU18tUtbtLHi/NVvruMC0PdpwGlJ3s944HauqyRysvII5w m/wsItAhKXElGS0L2LoZuCeRfctbtSnPdN91q83vyrIBHdxgAeuC6X+s482hRriiRB+OkrrHgnxz BmqGxSByZSRvApU5fuvt+GF1c9nxrgns223oEDNKuOIgieZDazsaE+Ig8QTxOVDDiNgyug2XZ6wM 9pt5UPQc5sUiTWJzQfb+KKRgHSCrfCVzjCD2VnflSFp02CFXWDGVTBJeV8pfpqNwVnAVmt4h9H/u aso1F2tnHjiI5LDiDzdw+69UoS5SytFvLAbTCiI5ankmIqo68lJFIQbq2wVe2asVxom+vNOpaJvT 474kxzpfYLMhfMV53Os2LtilG6H9sQ+5eKm/D8Kqc7DSVPrh6vkrhv28OfuyUqlcz2gVQIEgpOdI ZQJQwFFVJ0gGB9o1mDPWW9gUcYv3bsgF5skZk0xjZ03piPK9U+QYJozXrU3jJXB4MoxkKnKTHlqM OMDEQPh40fpb72aRVDsMmR8BOki6Uy+YjEseQ4fuj9aXHEbY8xjVcRkbuQI8hAg6+euAeBrYn7te qG/NDhmqobM+tAZGGlFpn20Jfw0IjVh2yf+M8mO3lWYMdEBSAhyjAIxavF7TZtiXMhL4EXal+IR+ C0+nuvJqG/Uq1w/5CqYuc9pI6f9sXZ8MICXFQ7Y3oucJf6MuLA8IeDf0mC0Axzt7LFW+neaGzt1T bXozmIECz3t0NERPDubPwnveVeoIDD8dGMVClP2xGLRvaeePsrTR+4U/exPUH7xjxmYP+0c+F5y+ OTUw8MRWZY3xaSMGhWSWcUUOGdtkEftA/Tls1qv8Nigu4jW5RQT7V10WIuHd3Yfm47a8/SCSGx6R p3Sl+tuZKcBmuFjstD/bn/B5l12PMW5jZta6HEJls/faR4jF1NvdTGi5KuQPPvrX5Hh3S1qDSlxf J+ADvosN2Guy5u3QcNQcdFLl6ZdOUwCmA83sf1AU6OlRDZKHmPJRkh78F245anGnzRPZpyxYj5P9 +CxXPWaLUZvIMt2Lkt7c0N2V/o9yX/1YVlRDmkwNnOLToldOAo67Pr50I1gSmCtsRaVr1oK81GxI wu6/MG/yW+cLmQYUoHi2yYQMkDt6JGCC+fjcsoEWli2MGRLsFRe/AA5DSBJoGZr9u+6ZY9VlkU3C 4h4VvPHVe1/joBofFS6rmagtfAF5Bfyev9a8wkJVgcZDoliWu6DXb1iHse0RksXOa6dVMZpDsBDy ZNR98cQwe0ISYyFBdP0V1DwsXTsnXlFJErQn1Wdh4DDOs6yluBKMSkJaly5EG16UoOMwToypd+8M M03lzv4ANt24jRTyvZ3RwhwRVIFAtlgn8BGqqs7Awi8bokhBKf70z9pBp6E/f+J8fCfPT4Pl3Giy l1tyzWCBD6JCe7CXEugIcAu2DcRg5HiiXkmE5I9kVFaTuxQlod8NHF2gGV94dpzK/cKVCpNxxefe oQO8oBeTP8lPcV+bFNwfPSK+VqTCdndXuNrfbMgdbcWRiTO1/yB47nRIRV0t9dfo2fxoOkvKzWaU yPV2d6RKahg7M8X5sJHHmOLm6ENOUa48S8AnrY4JnKQBm1pJPXIkLAKNbnc8Pk49VTpAdjZmvNIP B2YxLku1B5ffLITOTkrYcYw4dfn8DuzFXw3hAKFP/ShUaWeux+FguEDB6IrLrTKpzcRfALbikpU+ yOM931AnNKew6KSuoKkHMKXMqTY51gt4qOcvFmwQxh3ca89CWK6hjmjGnVnc3oBaDaI5yt2yIK6T Y4H7VuxapypQ6t6/Xgeer0eDLIa6v07jbJqEQlBJ7hEGFCLqc/lyaKCnj+swZOTZMAoevxJmgk1X j2sykWezc2EbxNcMIE/u4/VaBb6neTxUhm4+Xnsxn8v590u+IhWe7YmkWXC2wLDMicUJgqrU/8uw NQBiIygO54nTNXLozOE2/pqn/nqEBy3M61m6ABYbuECfwfbpR4ukfVvXEjdwlqNfMXjS2C2XtHM4 JHoyzfxDmlHR2H+lLW4d00iBnUK28kK3aEmo7snLRU/wx3awCd/Db1REqSqFHUmdKlohWtUGuyq8 jJWv507my89+1Txifo+MFR7hgvnnowbprbeKB1sHyeace1cqRb6cYD5uFzP0/ql9IaNyVmkr84b7 nikoo0BHHaIzC2THn3haY550++me4KLmRQmXyQs7EeRvq/EN01VzM1LKOZRScU9ajP0yKmEindVs BDxKF+NGJ505ZDgbsTISCsRjRCZVDKrAqvb1xFR8p5nUxDmO0uII95wlUHn+jl8bV01oIzBUFTRO CQtKOGj83WePMA/AzXD7XLt7wh1SEQnpPVLGIAmQVxH19/VsIAXpTfQHdn3Cj4pikwmv5M87jFN7 eDaDzyhwDCdZwzBFBJp1wNyx8zB/ekdiBcqYqd7OJaIdsrFZYfyxuezcMp78irP6QOEhIqsvYJB+ 5RgehjBPA0dU7+/OSI0zBkpVrUFFXrG3ikqIK8LGMNnRFDVr4pHTT5c4Sv3MsBAUpbbzs/Enkiri IipmGIegY9Q8L+CNbdHig6AFSlpwbKOvVpC9yuI/+1b1caLkmfRGmmA+BzPX6Ydh2CYWYxsMQIgj uAT015wTcFH47uk8MYXZEipdE2ZAuoglQUa6OwsQMHzNg4m/h/48y9iitsi2nBa9hVOhG4L9iJ/N I9dv8PO67pEBiB81JkD14ir9EVNJNXvGmDxFIijt0yE62be7QVAlqz1vN464fWIqABrQVCN9Qxpe 1LAKRkwPxsi2YijFldQWhO0tC1yy2VZHSl5oe0A1iWRcYJ36LmYAIoG5x0Xbra0azkuInmbRMaGJ Xqs4E6Y7aUg0BcPm0t6HWlOxVqs3peb1CSsv0wxmLFX+PDznPhciHHHykjIBzKdffKsCMdGaW2o7 6M9kSKA5HAM5vMFmoyupuOkfcjDjjLv/6g+Z2ijDG0FmBQ0/pp6RC93yVhnOg3Zdej7HWPCgCVZg MRNTjJoYpyNEJhjeClKKF11TPeQ+ij5lYIz14x0U7BscM7B1gTshtedWoY00fkyjp/ht2/cY8aB6 REtedqwEdcjqQ7Ckmf6x6huJQIbcfDqNhl0RDgl1kSZ7ZVgNjTDnlrt7U2xEtb3P87MqOBzea9KC EkC5ezn2Nq/u6zTK0OruKT8sgChaah6nWpnQYTjLWd8PVjuJQzbMpO+iD47Tu4QylHmlQdQM6s7T wvomS8NdxR3qMqeKFt/YIcxxF9E7kpXccvFhaU/aOV+ihyAmAOUEaUzZyYNvldkLRAKjJrfnS05x NrubygCAnmw9ZJ+BVLmWsI39q4MKlRP501ONPwlts4JYgccN0a2czlmhYsNwo3kxAX3ZA4v2JAbV IfIU3bK4jI9i8TrDFlbRixcd7V2Pjuank4FEaQCzvdX4hAVLK8MuMBcqrIMtvRH/fH8MXHyaWwlY 9GTR6ma48Qp4bqPyYl23gfmnnYKdR3Ipk8V5Bvx5KZ6mUkR3Gh4E0omnyjhGRoS10FiIB8eLeGSZ Z3DqACPl1V/qrRcjhD+onsT8zMfyJ9WnxHiNZlXnn2+SfCMNoFE7++Dbh7Bilji3IYxz2N55OOZQ W5g5zFdFfCqkWvtIwhaRztnz8yWgXgoB3d8ifFVcCT0opkdSI6V+nIgS37rrHcpK/LYL+Xxigahm Bc7sp7fQniFhLIYQ51Jvqew/dZMKqmzTAJQ+LsQ7ZkYH9DNEMDpLi1IxzIr/G6SzL+EdEJmPUd9O cTY98wvoX7pYC0Vz2DDaQ+tJl/megMhZDsu572MikELBHun+6DpTp3pRjOI+GxJB8Pq5ldCbtrX1 jnzIAvEZdwRBRnk5Yt6PY355OvJuUN7QSJi+dx7+6fTefob/804jz8QDC+FbpOsVrj/IA6iXVFm7 Vcho0SCYApuABZ6vZGWDpYevT1jb40fYCExqcPA6pbVdgfwsuEq7jY5icLB4+Ok0ooHVuGaBgPNj qBEc8goQ/DzM0qGxKLqr8tP8eXN4zTGmrjteQNysTmHj4VUaRYZ8GJSrDNPlGianJmewU8sVBICh h/auAA09wHj/N9KFOcMj6+lYKmb4TjNA3j04pGia44ottq+vVNyVmqwXiI0TE4iHULOJSGHCaLzv dkTaWyRpYp9WdGmLGCFKVe2uoTa0TxqrK7yoHSy41kJ6z6gXD3mNMQL6I79xRUOFbstGGe/Kn/XI yJPCIEX95oTDPB4x7IFPswxi+/DDF3CnMn+4vypgwZatSAm0mJBd3zxSXJZQtPVhwC8g4O7tZoHE 1cWCbhOPa39nvVIC6x41IviN3Ts1Uoxvbsj539N7CEQbYg75HqDl41LQ1/IKBlqYxsB+8vYOBGex SJavjiGlMRnCujHSdrMZDuMwACMpnfFH9c90Y49FBVfMWHje5bqUtRBUqaeTHOoW9qPeeBgpG8aP a0Gz+XaSU7Np0ZMf0vvnhhSm4KXAqkWb7urtfIecr0cH+mB5ZIWxTm0Fl/POvNBCuKYp2+x29yZm zTTENV6r7Gmc3Azm9Ja2c7b84e8SFJSD7V8Sx0AwynaUrA3hWrv8t5qD3gZGTbTYndvzIXhZiBzv iBXi4jVA3ILJP5Uhhl7/Q6wZN1MDPeP222Ifz0I2SwCJisddM6ccWyMs/f81zImIPj9aZPtOKYN7 C/1vMjojDsr84XIdBMnSssXQDeWqaFhNbfEOsutr1Yy0OR5nke1j5c4d25bFYhaJlK3S/9z4Kgrt IzTu95EhzgkKUxAlMaeNOYlgAMqllNNuIXuou5TDDZdX/GUybQZ356mqaMcQ1S11qpfrQiqItGn6 gSKXhiOUrGD/3iVVQYuNahiPmXxwb4h74W0OpjnTwSsPn1ahq0S1k/xRX4wjD8kT74iUiUgNwFBO bTlc0uPCArh6TLEUZ7rVuhP5RT7XPQxJzkTJock434Bs5AGfcQ5EyeGsk5UgVIOw7vngiIKb0g2R 7f/Lhyzh5A5Ub1B31pUwInf2K76mlmJ/5Q/n3iAF172uVsnhjimn+y3/EoU+D0dCYFQhOV+rIxb0 4tOWeJQo1ZpR4b62DPD6htH2iNwvkyp2mYvjQQP6JJ/TDf/GTiyVhYfEP0k1bxtSsa2tM+TH40gQ NpC+DLOA4HN5+zylDPfTBXwSWPq5VFMGcbPIbGE6hTup/Vq1+5toB+8BHO3zbap7N5Ap8B3ruGlR N/b5Bv54RzcYHClpDLUmbW+C88kii6SPRXU+ObDzOSkuK2uQ64lfVkegVucnRa+uDvRSN1bNuxXX muQgqHyzzs3V3V6CBVaL5WhYZsKYEabX2VwH3pbmdhOWFznjQLPlkzej8XtHAH5NRmpT9Oa6NsWK S7kXe7attyhG2BI3pBcdnV+76t/bdi2kBRP+CMtEXchtvXxU2QreOD5Cyf6Qd5jSIqSqakPCCass I+nH6pDdyaZGOZhtQgWZcQdlhMw+gycDWP7AxOceJHdf99gE8fkYHJ6rdtFg3GbcORf6Qbdl52x1 vOOaJXmv1WB0lYkDPdYg6ZEBHgYt/oxKp+dVRvszwS25AUWQlmJ4P5Bka6oDAEgCg1c/UyFOZwg+ h2zhYn32nyDbySbbwsgDFfpGshWkcg5YlCZhTrY01snxuO54a9uN/7iw1ogLWycJu+adxfQ0gPwp GZopya398nerysbqReF9SOIeRMoBRhdUmcdK0kANz7BIOGI0pfwrH8j6792hKgWJn3O9l9HLl/l8 UyDpI1EkXW1AiD8N2fQWmj1ToHzKhPgGDoMGcBuEgOGK1qCohj9hx9eWIMsAPjSJwJjsGDFZ+xpK QmKZiU136MB2cMS7dz8yFC0h20TZOTaK1+Pq+IzrLoFgnXgJiduBJil6I83EoNVTgMxDB0Ja5MpH 3NmCXbA7LKRDTwpqnyYHJrPz+3wEt+XRSTTpnNJdeUTvpR1VYSmUfwB125FM77MuTWXjZqfWVl/n CXlMpvmoKRCN7mjjCBsbzYmXZCTQ+mtsOXMxy/jjKI7ntGUO9KhFfEGv8WPx8Sih4sx15MJR+KF+ C6isFGIECwhDsSR2Okh01rq/6D8L0yrQN/iTb+goaPB71k32bBYry5qSWYkXwwZKCxBYBDAI+VRX lMRZzgl/ieEtU44zFc2VZI7dU1rBp3G+Lwn4wvKTC0rt90oD/A1AkOEBKw1sN5PLTTi+XgZdzNHW me4hzACDRuH77mZHMtUCsBT5Fru2yNwJ4VrSG3F4uL2eV1wmqESP4xxaEep2D13R2vS7gDS33B7t EcZEEMI8qgjAclhQqdwglOZH4e21a1483fiP+jIg/fz2gLfwk9mLJKldO/U+jms39zo0w/juwKM4 wSRrLnZRx9vIohUnvcVDwspCTxcRQBjFn5+4nR86KlZl6swcubr4+MhMdnTCZqau/NbHoBhzh3Gv D5iKhDIfIoKrBEzmJsujAQXI2qkwW171p09O2IHG8cef7KLMFxkQ8KBx4h+o5gkkTFt6Cc+JMlpD aUInC7Saj7bhyWPuUhH6MVINzLrUVN8GyI2Qw8+CPCgeznk5oeak8UPunUz+nxoC17J7GVBYh409 kBPSgB2rBPzRPe3bVzJuiWxrKI2OVtEJ6q7swevc3DPKuIE/FDmklPVRtI72JNCAwZ7zqpku9RYC OGcvv1furvD30hHzFCrBdHXkf/Q4yhTsXdl6QMBVihqKrx/HdegzNv1CXzTsqlR7NjX4B5OIhft2 6qORjCOfoH4m6PdGvuFhFRps9UgTXqMwaV7S2AvRgZkdE3Fm08vhP8zOvG6cPzQnKQPmDHLjP4Pg fYyVZp5bDU8T9z1G1pTeaO5riTmlY5rv3MAAIhYMsNxg0S3aSEqotrXr+gVhp0QgrUHX/bIr1fjg EXkrJ2AK60NuzEQQC+TpYB13E07p+A9IwU0Ik4fWy6ynieNS3ryI/3OEN2r+HgFglh1T/7sE4aZq cO2y9fF/jlCNFGz5k9XnZ8Y6LUvxErVpvd8rnacK0pJEzADn+Qi/7W13byh3kE/xubCVivDHQzfD 21ZCG42S2TaJSiNKkwVyHxgojOs/ZNlf3Fs4EBEY3DNqSPJWiTEufwesLyP/INgysBVfjiKqMUsQ 8uk3kJJn83KXpCIXfGUXAT9+0zivc6yVHABQsGaPMRn0PRHkfsMlodXIjt7oLSPMmxDNc7aIdOWJ aRCpxsJ/7Z0tNkPgwcVLyuadLtQgKFo6Nurcb+//gyNDfpS0PQzwm+UHBkMjHsaiHZ5P90xneHmH waXf8/yqNr2aoKxfG51CGs63Vh4p2VVlgGDAwaZPujUU2k+LmNIKvgQJTURPbZBQIK7xFBMM8QNl yVH1DdAiTijbagXQcV9TULJyLM/ZU+XeTIWj0WKDlyRalNK9Pw/viEcfJwZFWoCrfk5YGDfFLr63 WJrFz5LLi8nmOvsPzYzoOCSi9j0VN6yvDPF21+TNOuC+OQmev/rvnqGPoKfVZteQcXF9WjbBSdn9 DFNF2aYV8FY8JjI5NqyrDsdQKCGA3YU65YRkaHnLzFKLumal/84NBwyGiOT8o8OLRJ0ii1W+vURd 2DIGxdycxsekhbYRVSs1bpC4VqvBJu1cpQ64fED73Y4jZ5Tfbjl6qaCoBwx11FqdOhhLdlmwhttw Wuez+sJyb+i/cjeLSqDweCKRRTZg91sADtwbCSI3Ub7O25xFGx6VeSwb1iisXntbOIc2QvzPg1FP 886uGYUioibD5s5CAsqVJF32KjVw31z8scYLNkiJDTf0PfsiB7BejirNMia22NvrAugSHY7WKQ1e o+56/IP3xtUFq3wi8dzsSemIlK4DVjng5hNpdrT1LkNNnR62p06T0Aud2saZLGfTs9V5xWgrlxYl 9ZrmkGy7UsZy5EMao7X73ZV/DImvJakgjpbRveR2YxRU1kGFTy3hKTXbX6i2fF/ZI9CaCChZIQWT HJA3IqB1fal3SLkv4K0Jzkt16v81rcopYVc9ScjBh4DNybaJxGCAh/fRwW0723GuDamNRvpPjkVH eA7T5YuP4kBzKfUu8IzhuG9rkp8qe68cs6aNQ7T3/QTecdellRqg+CAgHYZcPmDtbj0VbHFneiqW b8HWllLTPPtmbK4I9Zmht0OFvtr9YGeyKnBpDjOFHE4ugspahGB1Y/+lmc2pRqumrifW7QnA3kUN KMk1W9ct1VsDx7/07UONwGG2h/Gzyr+Sul5Ck8DUiCv5+E0lKFEvpAdtjp90l0LzqpmIdTKLOPZ1 hioEPasRW5SS15bx9Zd7H5Pw2fwoV2oUspRBn2UYpu8FkHejwH/3i0912IOoWtkZq0Eld+lXRI2f 4+dMHAEtoeQFF2fXXWJfcuqGQSXcsnANiFADw/OgiWj6Dhocm7yKo+3MSC+I3j5GguhjWHnrUA7e Dqrb36cCmh/zQqacuyEXwjBrmrO52LomCXmiUYCGB//gun2prliBRoEl8/9i/wJHfGDb0phwAAKW r+DFiZd7HH78lmhMNRM7GDvFdRlMNQEbYyWDAl1imYTSFqCwp0SabWmD75gmTu5/X3c3YD340I49 PQcXuY7KlKZXl6sMfaflPWZFo2xEW4OyIR4d5CThkN1vgqQ9QMa1ALQpXhJXmTprwISQVkDJ2TAq E12JNCPq8x0NVWi+egZN4UhBKwWC98eWelfsD9MeZv+Es5mWvpd+2594uXik7KYLNYseO2WQ7o+q O+Ei7pptATWosj1GH4+Bhy/o1UAezXEzzpc3CVz0db9Qx0r0NX17LMxKB4u+1iI5FjDEl+oble0f 3XGdxOt8mzHzbXE+5BBC8cKGw0/YgI+s8hES12KjEw8095t9PrMwRtAMJOSA3xkxQAo6BVrio7eU op/lcO9f6vwQKfa+NikbPWPypzzFJ/rnQOa0RFqxG8b89JmupnipWj9o9N3KuFb9Wws0QcXFtaxL VAji7SkT8WguFIOdiSgoL1hn/LcxcuQzkYNsKEPFycV75rktDZm6g9DdfFrmhyPxKAepwyRyDM3Q gLybCRTu446DtxNjBrRpqJzgCfkvql5Pve/LaOSS4IWU3o4kj8rfEcdEnN70O+oAyXZS0v8vQrS9 s1aLMmgX3+ocBB+yNDAuhI1u6iJRRyvQ2gFv+zu/GD9P9viuQrHr9vgvYfKGurc6JwWncNHsyysp OnbHUMGx1kKpEEgudxEGRlMHIJK5dO0GSKHGNeOVZys+tDvuvamlJ1kfXt7Uw/OMyMMBrkqFByrY L2jghVFIb47gLAwPd4Pharvpuzd5QQCCrZjZlwDwP0J1QkXq4+FDkt4cQV5zooPl1r9RyGNxulaY azxAwRf0835yTivHTHjLoN7PvTYEIDz9J06BqW4UgZiqx+Jlj3xL8RfZ60AZsslVZQIyx/9TjsPs tldYR5t4BWuiDBFkzqBMsJQJEW5JhodeQRbUrRaeitIDpGxyut0guxpgCvtG8QUGHAfKl9kcsIQi VRO3lKni3VL2k7+pOspshLkM0zlw3p5kcDNB8qc5WmImQtDMxByV68AttsP9aEodX8Z0gDW9atHw +f13+IKEjKFLN9byFgK80euE56OWEBvUN3zgcrB7QJvkZ+pm7rUiU6wqiTZLkdn6u0OP2qj7MuIU QVvWMb2vrHFyjkRYPKnCy2mQpDxdhp9WWSeATN01GKvC30gdS1d6utI/nioKkGoLpuwIQ1zO6JDf t4xV6FRe/VIH9oxcfRCIT9nU9yCMYNHJGv7w4Q38WdH5A1aI+1Z52n9Nb5WJ5SDLTA+shd3RrSL4 8eiec5Sgd/qjeRBvH5p9+iXMs2nNk143Nl42NL7GJjneBDhxWM5WVYgzXfjTb7+LQNA+u7oYOUAT bvTtuiVcXgHNR9cNS6+/KnNNg12nN2WbTvHuAme9EmXkNWZYHWYe1/q6PAPnKblcWfUrUF9pQ7RJ uC/p9dU0OMffmS2EGdA7wG/3TXB1R4UeRTPD8jyUhucAbNPEeRQTgc8+ezbxWVuJJHL56c0ZDwpQ lWl05zQgyTqAVezbrgHylMrL/hmqbIJumtFbrpR1Wv7WVGPMEUmt4F2VhmecaJbOIPMIvya14zyB 6y44JGl79zX4LH+BQGstBeVzYd38sP1ZPyIu6hSY48Uq2FPMqAEGy4Wf/j37pmQ2ZuYZUoJMJS7N KCaChH5XxEyIs7nC4JURQOFoRO0KcUsxBqF24pnOG8DnqFIlT+aqlJYDc3EQh42nI/RczQcCDyXV 1lcGOseG/PImq9QPQ7Gyx6wiCF9TkurXoGA6wVSKm8QH5rcLjKplkqdaraogV7NqCamU/4bcWd+2 /bEHVIgeKd5P2hPkoH6KR67N2o0p33f6PY6L+/z/B8XPbUYEFlLHC55yBzAlYEuN0xA+iCIVYN3U Pf4VlFRl1bjfgaz9xnRmqHo4JjpvSUg7Pj2OCDc12SdsI+egHheBBAlJoOzBbdeuK+ecv9EDsw0/ 2G2mRZtNcBw/Se0FAqWm5rcgpNE06Zzgn7CvsQFZxkbjlEpDkq1ze2/z6mnT12yvYuVc/VlaYcmF A4sgV/iSs2P63NPSOPGnGnp0YT1SMuSWQUd7L88nMfouXQ+YlfpgjR7zgLcrzazS+/2/aOHd/IaP KLfa3Px2PuYNiB1RGDu3MetlIbJr/UsS8ty6uBbJMDneM433VJh2do6TJvIcr4gDAZtchG2w515m GSMmmwMb+8v82KBKWl14wbDJq2ETn78TwBiquicl1fEEi0QTQ38Ku6XxqbZoJ+ZpdgUGizfdREhN kbnIuuIKeeWT3O/CxfA4CNIPfqDW2n2ZWvp31GaMXSWM6vC4Yk6SszGJdBzWvjCcmm+wo5eIPqw5 jx6jlD21NagJwBPSLsPmcihKBr3rS/3y+X6XKWW5WuqEpRlvhKvW1J4MHMnQADhY+1NirKOisfa0 TrMfJAEs3oQS8KrhsWqOGDSBEQRJgYzWfDsyEVhp/wdriZ1ywChAmB+AuN1fwYB1XngpCPZD8JX6 QJFNE9fWTkujtTf5fymc91ngRBaykYZmn64BmTRFc9y/CVqaoiwqHAJjlrjoPad5xLn1HW1AZkCe wGDfiMvnD/NtMlZk8rj/SkCIyQVX5hxJL/WJnkAnI+BXBHm1vtDjw+bWC5w69YHLwxPIJthJqjEW 2MSPSPyzXBNBywbE9WcrjX/VhlbGmMRQOUjgOx2VCqKmKCdXGyJWXvcnAKg7hPTBd/aQIWT7RmjP XnFeCu9jmfLLQBRZw7C5+EV62U0jfQ1Wi51U6VJMlfrUNcUVmKbDjGDtB9XJbfUBgoPBbGhzSzhB ZFMBU+oUOI2oqZYdQtk/BpftLKSgG1NqPHOIMGRQqwuUjP42Qi6rNuVd8xzUNF5NYgsNVy1mqW9P WZ2nyr1C8dWbZmfC4Yx9p2DOJc1l58zNuHmK14v52xRSs9XjHvPIdZDuYzVGp5eW7jxlVlZp3w4o NMmU6rWkW0AMz72gVot0QSjBL+Z//1L1H4OFIPRWl9MEoTMByqZAgdJQIC7PCtqrzHkAdAd3zY4g YguVt5G/8D5rQqafiUf1v8Rl/Isj49XHlI88Twm7PHH/gFtRA7FwMZaTx7gAKeH/IbgFcAPqFzcQ K7b3alNAaxvgwMgzOCgBnE7iMeFiLGpAKcm5q+6MsgcPe6d8UmizkTyzjTyQR9uaUeiX2KLgeh7/ o+8ak1oFxr3q9X8+j6/Zu+TzxAYyjqqmJEKAE+s8GSn4vw6rRHbRmS3HVd+FETQVcs94ojRF23w9 mkcGCVRAqRe6Hi1J3Mo9aPxIAyvNRNkwvxA0HRKSnwhRhijdGMdokUa6jceVb6tLo6nDwQBHB/kM Tc/T1rnIAJqcp746Z3LEtQ+QmsgaDSKzmFbYHtjcboUk90TCEGPwusi0MiBSpM6WvuccMk8uQsQW +VmTLhKCSDmPORRr+REpgjJ/fg2Lk7GYkz18/T0jmc/cooTTnuxNRiLHxN7CHhK9eTT9/ULCiCQj tsP2WEp9T4lc6rPDxXJsQVNUal2+Wr97biycykvscADRzvJXP43fYCDQWRpbQDKf4QQdht8NVs9D uK7KBZTTRJ2FlWkDyURByssWlSIHYariEXgKlXjCdoEgWWI6B56tZrEXlIO3uBxcDlrgAJhbiuWn 4jv6UVrS0kJj8ivi8tQRTCAnbux3ZKtKJuP0Jht/q2kL5nnplM8h3z9+PfxpzvC+CW5bEBaB8SyC pj3AmWSEnIgYiZI44EQFbAgpdjjbWZwIZIsiPV6P8jiU6WqmZWr+qYTnFuUiWHQcJv7LOJZLlHey cx73/VY7lbIpmT4KCkmPeWuYsx/lT2k6N3d9G13DpwKZwt8Lj7Ok8W4ATH7r48mW2px9UEA1ppjr ijLT7jjVwjwIYNKuX+hlpknp5IftmFIi8axDpQ1M47Cx9cREdgj97QHZfu22RQg15aesLpq3kJFC pf7eo9uziKu8XVgn1JP4GRfu6BkFu6ZmNdASLECDfGCnlCxAds+LCNhP9288IeTxsB77cGghX70c infeOfIlfOYHaq8rcIFscSkWJYCcpob+vXggWuis86l+Ezf5y+K5Q6N0KFvK23CRTrPhZ2l+tEEg hSSCzzZrui9Qww8qq7EAhH2YlMCSkEr1IkHTJxPh0QUKLLJxH4DjmstspNOPDFPWgfPBGZhU+sAw Yyu0qoL1ew6tEVC2hfmSetyjaQtlCY1CEEz+NjbSnwCWYa14kPmf90nsPNCS230LGTk934Lyhzsv WNWclJtK57oQq1VsTOFP68obOjLhHO4g8ztBY26Vi3Ri+/yyjOs7/rysOwPnfvOGPodHyu4cAZve edmL+72+o9VjJ8EQyOmMh9Cey6BWf+JLXrxOUNOFL40f1wB7fTOFR4W2M7IpJMCsAm92yPsiRNGs 6bStkgVkM6e6gkTjQ0Gl8uMloI5WuHR+A/0zxTR1Kpp4tN/niV3fVjRuJ8qUlgFEWpkBFohwgwak Bd/4i15K38K0Gb6qs15wJw+tcARIk+Vp4EeegqzcNP3nDGUtPLGtus4oZIEC4qzRZR92qz+aMAY7 x3fr7AXkGkIMVTGqqL66hgxhRPYlXLru/RyibineAPyCZ0MnNIbciEvhTKXWxLP6+Veq0BrvZIJg AOVSKUxjs6AvzPQEdM+5P3YRvD4qF7pWoexZ3PTnVEK7JYLVhzmYWE4EU2A5OQf0M75VDY+sRfdv 1nnBweaLtihyk4R7whJq1ico6hC0TwhSoAk+OiF347INsAuYldX3CSaxm34tMlVX7iZnioyd8Mv7 QqaPB8oa8M4jP4x9ZRFvOVdIFm76sv4LyC9OU+gbxtbm0kIkMmlsi66RwDLNw9f8Y4XyHy7V6I0b fpTvOuSwZs94W6yqJqlb6qGNuJnwRexOLVyuS/PUY9eYx1K432gIXrb3+Oz7pKpui6dMMwjMrLN0 pq/6uzB0z54EG/DiMohEX5gvwFqdN8y/s974ojms5Upxe2WtXoKYqHN6ohRMI+jifA72lxL8IZXW qV14vYR8phhWc8wMk25E9E2hyiDboOicCKyrjzQlajL8+edD9dH2EF6k07bE3y/AId34+VYirwUh 3VVP1jmt/2YZMUGDZjjDYn6Bm6eZtE6AeXmmAPw8HUhYEdeF5VhQYYEC2JmOrMI5u/vPcn6Fo4iH 52U8w/ALyrzLI110dp1YxvEAUeG+tzL1prfl8PgDIMrH7nyebnOb/JZsP06ZCfOfVSdhv1YLVxjt GqqDXcLJNO3mPvDCWk1Dqw4dyvymjXxs6waWvKwgDj5gCl+pgFC/yK5t4gPcJwOUywS+7gsO/b1d 6o2mxwrfrVtUuUC89qDat8uPyOZJ6wpSJns68PN8t72zbzcYtBIX3uxcdTwo+0HLWDtj3Wd6PxFh k/0lJtohBtaj0+ykMozRSnJKvJTBIeyqcI+kW1RV8XbwXKRQaD0nPyfk44e2pp95lJQhInPQoOu6 DGlKTASrL5g6agCwWnBrEOPlaDhj7YB2JJVAcGKSwEJlfUTjdQhdTcT7xzfqENicbNxzE60snTF+ 7SY0P5v1zSzHJwtBP141SI1gC26n1oVVJAQytBIeSsUvTPp37ZuvRzMGaiIDhhfFobaUENQ+lzYd PGFldfA/7AhX9VtJeHfJkOoSEYQXeP8apdzbsLoI4cB7aVDLfy4DNkkAZdJar67Rwl0lLDhpILHt 1sXmaUHJZuA8A6WYZ9wS9YnleZmJxWGZpur8Sl+sdNAsCXULRO+KSu1AuIsB59jBBj74RBmHDoaH QdWeC2qHPOxrT63XCev+Hi3/8g39+R3ZsGrHs0nH8oCndUpNQmB6PmTIXAQlRYdXTUF8h5hl5t9z ltLthPliSsMN17Gi+gMKlw6f35C8YEhCRYOv8u2wQk+GTDiuQmttS9BTLhZqPLi+Hc4AXA8Lhblg q3B/7hpq9jzYasFErGEtBX1tKxHo6kc0PqxZ76exN27aCpoRirCTweta5/t2Dbw6Hlryk1JqYySZ OOuM1H8Q+IBjYN3OLCUXGg7gu2f5QxsOJg8p/+05d5M+ZA2DfCa/TUiSgVnzTHrifQcnHHmr9OIJ qsXSIDfHfvmcb6q2vG9Tu3WTXaMleoChEhyYCUm65/ihyLujl4z1KqL7pQ5ebu6WPWy61CUcT7bk mmXWBHmfrOwl9rUSIvG7sI3mXsZ0BCX2ExHBYx6qjsve9WOw4MDTDS+ss12YubjlMKPyK4j3vN37 KHbHl+RqHO3En1ZaOJa5dPI6wLTvRiiNvCrq6SG3kfTxwk+swjUpgHHR6WcFic7Ue+dTZqCnqLgT Nt4OWq/qsPQ7zn0WNSFEEK06l5XKSRN2MzKBgfvZBO20DTZnM7RCWm8WkI/xvQ+bc1HCNHC9aFm7 1QG5MFXo2LiCmgRYE1wvDVWqDNgFui3I4OV9wjMxBSfOcAWsTG+g9BXeNAJD4vI9ZcufWHAe+JeC 16keoHc7xTU9PEeWEZONj0rm+QCGvXRVa30Y10tY0wijuPXq/5atv3nZO+nVhht1tDrJ+G2ka4T1 8Y1goBBGFO62GS550gzD1kX8LDUN6MTGKAPOd+eXosEDOH1le27o0kihNjiELQOYA95W/k3xdTn7 M+QXDVlPwxR/pH7fjFwVIvCL+lzgF6YkcvYqsbIbMg36yeFp9DNHcgWaR1OhbD8+/xDUyTx5X9SD ocoCQ9xWFsvI5Jvg2ws156fNeh6lEEv/wmGXS9XDJGy2BkdrPFiLUxwZDGX1IqL+Uv7z4LkLuxQ1 gOtveOx0tzwk8v+IUSdzcFIBOK0tPB8bC/eFT8YRl2EOWO0wJ8ABOrx6MZjBjhaJ+kUkqTZ3l2zn Tf6Q1Y65k0F9sKz56o/g+V71hPYVodqwgcoywU1jdSebzHMhBqFINnKeovMihQKbt4Ag18bYq+q+ kknlV0taF3djs4BE+O5449KIeoA6ds98bOWR66Jf20wD0cDJVMwy7BmnTmeaHE0Aqas5taq+2J1m AN/JIZRKq5eda1xPyhFoft96SWIdxSn/FE2X58yrQ743zxRdcgCFsokhl2Va6jzWSUHpsqsu0nP+ c5mLdZXXkO2CDtYRrjLxqfXAJaEQeqN9bpe3W0oaJhJHUl2u6RIi8wPycuivwUrYOqRz2uSKoBYN vpGmRiwmyZVRSLf7BpnIl0YJLeg4WdC2fvw7jGpi4Ev5lpYvLU7JjieoXAyGtXVCKvw+9raC9Jru ND/NP/r5lmg/qb1bf7xD4BQGC/9+2xjX740dosxkAJ7UcxxIgPTp47eioKdw+KZQFwW9buRvBQZb FDe9CEPoufSOAugYI46IKArb3U8ZaiThmTNlB4LBpeAe4f2w+Plxrvf4VgtbluPLdvNRxQJAUiBS UMK/iOMuaHjb0CJy2Dx7vpfEJGymGZFFjB8+aBN2bp6h6H6t8aONOM7KxG6QzW2SUL5NqNvBQMiP n4Ytweb4BsFrFZio8R5SucArFJydVhltb/CQU/HBlyPn2s59zUWK5ncsszCCuPwHP2iD8y6NdIfh Ryi3ykIqw41STeIGmOUqp/pgSaC5XBC+ju10D0sXaBFX1lWR9evNv3+9ynIZVzyBUVdYJ/AnnND9 4MlSdnTGpqBlIwL8VnOL82B5/VLEQ0186mS4XrdDZEVjTJ2/59ImKbyVwUOsCtcVB/CqBISSkWXU hCqtDsDTV8l3F0QQSrV8EewsYagjH3K/Jw/i46bg2hM28cx5XyycfHTN6grf3IoG+O8LXrM2AE/5 rLEw05tTJf1GPo/782k/pU9svN2azzWqJo/Owsda/P/+VZ+fO0as4R6uzZJR8t7cXYfSGzYusXAM e83woXKKUiZVn5yP1WBgCkdpnOVskt5RItGdPcx0cKYFWDtB3jNJ+H5Ds4BRCC5DzSIa267Lu32f SmWVzZFwPrZBZd6yWbdYIYK1Q8xFZmDDnFV0zfqHfEzkj9sVH2jArHW4KDPfkrYQixNMDeVxpT8q JQ== `protect end_protected
bsd-2-clause
af49598773eede6cf914bb3ee017b70f
0.953982
1.812967
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/generic/rule_007_test_input.fixed_upper_with_lower_prefix.vhd
1
1,897
entity FIFO is generic ( G_WIDTH : integer := 256; G_DEPTH : integer := 32; prefix_GENERIC_SUFFIX : integer := 20 ); port ( I_PORT1 : in std_logic; I_PORT2 : out std_logic ); end entity FIFO; entity FIFO is generic ( G_WIDTH : integer := 256; G_DEPTH : integer := 32; prefix_GENERIC_SUFFIX : integer := 20 ); port ( I_PORT1 : in std_logic; I_PORT2 : out std_logic ); end entity FIFO; entity FIFO is generic ( G_WIDTH : integer := 256; G_DEPTH : integer := 32; prefix_GENERIC_SUFFIX : integer := 20 ); port ( I_PORT1 : in std_logic; I_PORT2 : out std_logic ); end entity FIFO; entity FIFO is generic ( G_WIDTH : integer := 256; G_DEPTH : integer := 32; prefix_GENERIC_SUFFIX : integer := 20 ); port ( I_PORT1 : in std_logic; I_PORT2 : out std_logic ); end entity FIFO; entity FIFO is generic(G_SIZE : integer := 10; G_WIDTH : integer := 256; G_DEPTH : integer := 32; prefix_GENERIC_SUFFIX : integer := 20 ); port ( i_port1 : in std_logic := '0'; i_port2 : out std_logic :='1' ); end entity FIFO; entity FIFO is generic(G_SIZE : integer := 10; G_WIDTH : integer := 256; G_DEPTH : integer := 32; prefix_GENERIC_SUFFIX : integer := 20 ); port ( i_port1 : in std_logic := '0'; i_port2 : out std_logic :='1' ); end entity FIFO; entity FIFO is generic(G_SIZE : integer := 10; G_WIDTH : integer := 256; G_DEPTH : integer := 32; prefix_GENERIC_SUFFIX : integer := 20 ); port ( i_port1 : in std_logic := '0'; i_port2 : out std_logic :='1' ); end entity FIFO; entity FIFO is generic(G_SIZE : integer := 10; G_WIDTH : integer := 256; G_DEPTH : integer := 32; prefix_GENERIC_SUFFIX : integer := 20 ); port ( i_port1 : in std_logic := '0'; i_port2 : out std_logic :='1' ); end entity FIFO;
gpl-3.0
5bda811b8ddac0f683c1f0c06de08b57
0.573537
3.120066
false
false
false
false
Yarr/Yarr-fw
rtl/common/rr_arbiter.vhd
2
1,531
-- #################################### -- # Project: Yarr -- # Author: Timon Heim -- # E-Mail: timon.heim at cern.ch -- # Comments: Round robin arbiter, no priority -- #################################### library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.numeric_std.all; entity rr_arbiter is generic ( g_CHANNELS : integer := 16 ); port ( -- sys connect clk_i : in std_logic; rst_i : in std_logic; -- requests req_i : in std_logic_vector(g_CHANNELS-1 downto 0); -- grant gnt_o : out std_logic_vector(g_CHANNELS-1 downto 0) ); end rr_arbiter; architecture behavioral of rr_arbiter is signal req_t : std_logic_vector(g_CHANNELS-1 downto 0); signal reqs : std_logic_vector(g_CHANNELS-1 downto 0); signal gnt_t : std_logic_vector(g_CHANNELS-1 downto 0); signal gnt : std_logic_vector(g_CHANNELS-1 downto 0); signal gnts : std_logic_vector(g_CHANNELS-1 downto 0); signal gnt_d : std_logic_vector(g_CHANNELS-1 downto 0); begin -- Tie offs gnt_t <= gnts when (unsigned(reqs) /= 0) else gnt; gnt <= req_t and(std_logic_vector(unsigned(not req_t)+1)); reqs <= req_t and not (std_logic_vector(unsigned(gnt_d)-1) or gnt_d); gnts <= reqs and (std_logic_vector(unsigned(not reqs)+1)); sampling_proc : process(clk_i, rst_i) begin if (rst_i = '1') then gnt_d <= (others => '0'); gnt_o <= (others => '0'); req_t <= (others => '0'); elsif rising_edge(clk_i) then gnt_d <= gnt_t; gnt_o <= gnt_t; req_t <= req_i; end if; end process sampling_proc; end behavioral;
gpl-3.0
da2230801a787405f69e93571aefe994
0.610712
2.809174
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/axi_dma_v7_1/hdl/src/vhdl/axi_dma_mm2s_sm.vhd
1
28,175
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------ ------------------------------------------------------------------------------- -- Filename: axi_dma_mm2s_sm.vhd -- Description: This entity contains the MM2S DMA Controller State Machine -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_dma_v7_1; use axi_dma_v7_1.axi_dma_pkg.all; library proc_common_v4_0; use proc_common_v4_0.proc_common_pkg.clog2; ------------------------------------------------------------------------------- entity axi_dma_mm2s_sm is generic ( C_M_AXI_MM2S_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width for MM2S Read Port C_SG_LENGTH_WIDTH : integer range 8 to 23 := 14; -- Width of Buffer Length, Transferred Bytes, and BTT fields C_SG_INCLUDE_DESC_QUEUE : integer range 0 to 1 := 0; -- Include or Exclude Scatter Gather Descriptor Queuing -- 0 = Exclude SG Descriptor Queuing -- 1 = Include SG Descriptor Queuing C_PRMY_CMDFIFO_DEPTH : integer range 1 to 16 := 1; -- Depth of DataMover command FIFO C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0 ); port ( m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- -- Channel 1 Control and Status -- mm2s_run_stop : in std_logic ; -- mm2s_keyhole : in std_logic ; mm2s_ftch_idle : in std_logic ; -- mm2s_stop : in std_logic ; -- mm2s_cmnd_idle : out std_logic ; -- mm2s_sts_idle : out std_logic ; -- mm2s_desc_flush : out std_logic ; -- -- -- MM2S Descriptor Fetch Request (from mm2s_sm) -- desc_available : in std_logic ; -- desc_fetch_req : out std_logic ; -- desc_fetch_done : in std_logic ; -- desc_update_done : in std_logic ; -- updt_pending : in std_logic ; packet_in_progress : in std_logic ; -- -- -- DataMover Command -- mm2s_cmnd_wr : out std_logic ; -- mm2s_cmnd_data : out std_logic_vector -- ((2*C_M_AXI_MM2S_ADDR_WIDTH+CMD_BASE_WIDTH+46)-1 downto 0); -- mm2s_cmnd_pending : in std_logic ; -- -- -- Descriptor Fields -- mm2s_cache_info : in std_logic_vector (C_M_AXI_MM2S_ADDR_WIDTH-1 downto 0); -- mm2s_desc_baddress : in std_logic_vector -- (C_M_AXI_MM2S_ADDR_WIDTH-1 downto 0); -- mm2s_desc_blength : in std_logic_vector -- (BUFFER_LENGTH_WIDTH-1 downto 0) ; -- mm2s_desc_blength_v : in std_logic_vector -- (BUFFER_LENGTH_WIDTH-1 downto 0) ; -- mm2s_desc_blength_s : in std_logic_vector -- (BUFFER_LENGTH_WIDTH-1 downto 0) ; -- mm2s_desc_eof : in std_logic ; -- mm2s_desc_sof : in std_logic -- ); end axi_dma_mm2s_sm; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_dma_mm2s_sm is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; attribute mark_debug : string; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- DataMover Commmand TAG constant MM2S_CMD_TAG : std_logic_vector(2 downto 0) := (others => '0'); -- DataMover Command Destination Stream Offset constant MM2S_CMD_DSA : std_logic_vector(5 downto 0) := (others => '0'); -- DataMover Cmnd Reserved Bits constant MM2S_CMD_RSVD : std_logic_vector( DATAMOVER_CMD_RSVMSB_BOFST + C_M_AXI_MM2S_ADDR_WIDTH downto DATAMOVER_CMD_RSVLSB_BOFST + C_M_AXI_MM2S_ADDR_WIDTH) := (others => '0'); -- Queued commands counter width constant COUNTER_WIDTH : integer := clog2(C_PRMY_CMDFIFO_DEPTH+1); -- Queued commands zero count constant ZERO_COUNT : std_logic_vector(COUNTER_WIDTH - 1 downto 0) := (others => '0'); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- type SG_MM2S_STATE_TYPE is ( IDLE, FETCH_DESCRIPTOR, -- EXECUTE_XFER, WAIT_STATUS ); signal mm2s_cs : SG_MM2S_STATE_TYPE; signal mm2s_ns : SG_MM2S_STATE_TYPE; -- State Machine Signals signal desc_fetch_req_cmb : std_logic := '0'; signal write_cmnd_cmb : std_logic := '0'; signal mm2s_cmnd_wr_i : std_logic := '0'; attribute mark_debug of mm2s_cmnd_wr_i : signal is "true"; signal cmnds_queued : std_logic_vector(COUNTER_WIDTH - 1 downto 0) := (others => '0'); signal cmnds_queued_shift : std_logic_vector(C_PRMY_CMDFIFO_DEPTH - 1 downto 0) := (others => '0'); signal count_incr : std_logic := '0'; signal count_decr : std_logic := '0'; signal mm2s_desc_flush_i : std_logic := '0'; signal queue_more : std_logic := '0'; signal burst_type : std_logic; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin mm2s_cmnd_wr <= mm2s_cmnd_wr_i; mm2s_desc_flush <= mm2s_desc_flush_i; -- Flush any fetch descriptors if stopped due to errors or soft reset -- or if not in middle of packet and run/stop clears mm2s_desc_flush_i <= '1' when (mm2s_stop = '1') or (packet_in_progress = '0' and mm2s_run_stop = '0') else '0'; burst_type <= '1' and (not mm2s_keyhole); -- A 0 on mm2s_kyhole means increment type burst -- 1 means fixed burst ------------------------------------------------------------------------------- -- MM2S Transfer State Machine ------------------------------------------------------------------------------- MM2S_MACHINE : process(mm2s_cs, mm2s_run_stop, packet_in_progress, desc_available, updt_pending, -- desc_fetch_done, desc_update_done, mm2s_cmnd_pending, mm2s_stop, mm2s_desc_flush_i -- queue_more ) begin -- Default signal assignment desc_fetch_req_cmb <= '0'; write_cmnd_cmb <= '0'; mm2s_cmnd_idle <= '0'; mm2s_ns <= mm2s_cs; case mm2s_cs is ------------------------------------------------------------------- when IDLE => -- Running or Stopped but in middle of xfer and Descriptor -- data available, No errors logged, and Room to queue more -- commands, then fetch descriptor -- if (updt_pending = '1') then -- mm2s_ns <= IDLE; if( (mm2s_run_stop = '1' or packet_in_progress = '1') -- and desc_available = '1' and mm2s_stop = '0' and queue_more = '1' and updt_pending = '0') then and desc_available = '1' and mm2s_stop = '0' and updt_pending = '0') then if (C_SG_INCLUDE_DESC_QUEUE = 0) then mm2s_ns <= WAIT_STATUS; write_cmnd_cmb <= '1'; else mm2s_ns <= FETCH_DESCRIPTOR; desc_fetch_req_cmb <= '1'; end if; else mm2s_cmnd_idle <= '1'; write_cmnd_cmb <= '0'; end if; ------------------------------------------------------------------- when FETCH_DESCRIPTOR => -- error detected or run/stop cleared if(mm2s_desc_flush_i = '1' or mm2s_stop = '1')then mm2s_ns <= IDLE; -- descriptor fetch complete -- elsif(desc_fetch_done = '1')then -- desc_fetch_req_cmb <= '0'; -- mm2s_ns <= EXECUTE_XFER; elsif(mm2s_cmnd_pending = '0')then desc_fetch_req_cmb <= '0'; if (updt_pending = '0') then if(C_SG_INCLUDE_DESC_QUEUE = 1)then mm2s_ns <= IDLE; write_cmnd_cmb <= '1'; else mm2s_ns <= WAIT_STATUS; end if; end if; else mm2s_ns <= FETCH_DESCRIPTOR; desc_fetch_req_cmb <= '0'; end if; ------------------------------------------------------------------- -- when EXECUTE_XFER => -- -- error detected -- if(mm2s_stop = '1')then -- mm2s_ns <= IDLE; -- -- Write another command if there is not one already pending -- elsif(mm2s_cmnd_pending = '0')then -- if (updt_pending = '0') then -- write_cmnd_cmb <= '1'; -- end if; -- if(C_SG_INCLUDE_DESC_QUEUE = 1)then -- mm2s_ns <= IDLE; -- else -- mm2s_ns <= WAIT_STATUS; -- end if; -- else -- mm2s_ns <= EXECUTE_XFER; -- end if; -- ------------------------------------------------------------------- when WAIT_STATUS => -- wait until desc update complete or error occurs if(desc_update_done = '1' or mm2s_stop = '1')then mm2s_ns <= IDLE; else mm2s_ns <= WAIT_STATUS; end if; ------------------------------------------------------------------- -- coverage off when others => mm2s_ns <= IDLE; -- coverage on end case; end process MM2S_MACHINE; ------------------------------------------------------------------------------- -- register state machine states ------------------------------------------------------------------------------- REGISTER_STATE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then mm2s_cs <= IDLE; else mm2s_cs <= mm2s_ns; end if; end if; end process REGISTER_STATE; ------------------------------------------------------------------------------- -- register state machine signals ------------------------------------------------------------------------------- --SM_SIG_REGISTER : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- if(m_axi_sg_aresetn = '0')then -- desc_fetch_req <= '0' ; -- else -- if (C_SG_INCLUDE_DESC_QUEUE = 0) then -- desc_fetch_req <= '1'; --desc_fetch_req_cmb ; -- else -- desc_fetch_req <= desc_fetch_req_cmb ; -- end if; -- end if; -- end if; -- end process SM_SIG_REGISTER; desc_fetch_req <= '1' when (C_SG_INCLUDE_DESC_QUEUE = 0) else desc_fetch_req_cmb ; ------------------------------------------------------------------------------- -- Build DataMover command ------------------------------------------------------------------------------- -- If Bytes To Transfer (BTT) width less than 23, need to add pad GEN_CMD_BTT_LESS_23 : if C_SG_LENGTH_WIDTH < 23 generate constant PAD_VALUE : std_logic_vector(22 - C_SG_LENGTH_WIDTH downto 0) := (others => '0'); begin -- When command by sm, drive command to mm2s_cmdsts_if GEN_DATAMOVER_CMND : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then mm2s_cmnd_wr_i <= '0'; -- mm2s_cmnd_data <= (others => '0'); -- Fetch SM issued a command write -- -- Note: change to mode where EOF generates IOC interrupt as -- opposed to a IOC bit in the descriptor negated need for an -- EOF and IOC tag. Given time, these two bits could be combined -- into 1. Associated logic in SG engine would also need to be -- modified as well as in mm2s_sg_if. elsif(write_cmnd_cmb = '1')then mm2s_cmnd_wr_i <= '1'; -- mm2s_cmnd_data <= mm2s_cache_info -- & mm2s_desc_blength_v -- & mm2s_desc_blength_s -- & MM2S_CMD_RSVD -- -- Command Tag -- & '0' -- & '0' -- & mm2s_desc_eof -- Cat. EOF to CMD Tag -- & mm2s_desc_eof -- Cat. IOC to CMD Tag -- -- Command -- & mm2s_desc_baddress -- & mm2s_desc_sof -- & mm2s_desc_eof -- & MM2S_CMD_DSA -- & burst_type -- key Hole operation'1' -- mm2s_desc_type IR#545697 -- & PAD_VALUE -- & mm2s_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0); else mm2s_cmnd_wr_i <= '0'; end if; end if; end process GEN_DATAMOVER_CMND; mm2s_cmnd_data <= mm2s_cache_info & mm2s_desc_blength_v & mm2s_desc_blength_s & MM2S_CMD_RSVD -- Command Tag & '0' & '0' & mm2s_desc_eof -- Cat. EOF to CMD Tag & mm2s_desc_eof -- Cat. IOC to CMD Tag -- Command & mm2s_desc_baddress & mm2s_desc_sof & mm2s_desc_eof & MM2S_CMD_DSA & burst_type -- key Hole operation'1' -- mm2s_desc_type IR#545697 & PAD_VALUE & mm2s_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0); end generate GEN_CMD_BTT_LESS_23; -- If Bytes To Transfer (BTT) width equal 23, no required pad GEN_CMD_BTT_EQL_23 : if C_SG_LENGTH_WIDTH = 23 generate begin -- When command by sm, drive command to mm2s_cmdsts_if GEN_DATAMOVER_CMND : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then mm2s_cmnd_wr_i <= '0'; -- mm2s_cmnd_data <= (others => '0'); -- Fetch SM issued a command write -- -- Note: change to mode where EOF generates IOC interrupt as -- opposed to a IOC bit in the descriptor negated need for an -- EOF and IOC tag. Given time, these two bits could be combined -- into 1. Associated logic in SG engine would also need to be -- modified as well as in mm2s_sg_if. elsif(write_cmnd_cmb = '1')then mm2s_cmnd_wr_i <= '1'; -- mm2s_cmnd_data <= mm2s_cache_info -- & mm2s_desc_blength_v -- & mm2s_desc_blength_s -- & MM2S_CMD_RSVD -- -- Command Tag -- & '0' -- & '0' -- & mm2s_desc_eof -- Cat. EOF to CMD Tag -- & mm2s_desc_eof -- Cat. IOC to CMD Tag (ioc changed to EOF) -- -- Command -- & mm2s_desc_baddress -- & mm2s_desc_sof -- & mm2s_desc_eof -- & MM2S_CMD_DSA -- & burst_type -- key Hole Operation'1' -- mm2s_desc_type IR#545697 -- & mm2s_desc_blength; else mm2s_cmnd_wr_i <= '0'; end if; end if; end process GEN_DATAMOVER_CMND; mm2s_cmnd_data <= mm2s_cache_info & mm2s_desc_blength_v & mm2s_desc_blength_s & MM2S_CMD_RSVD -- Command Tag & '0' & '0' & mm2s_desc_eof -- Cat. EOF to CMD Tag & mm2s_desc_eof -- Cat. IOC to CMD Tag (ioc changed to EOF) -- Command & mm2s_desc_baddress & mm2s_desc_sof & mm2s_desc_eof & MM2S_CMD_DSA & burst_type -- key Hole Operation'1' -- mm2s_desc_type IR#545697 & mm2s_desc_blength; end generate GEN_CMD_BTT_EQL_23; ------------------------------------------------------------------------------- -- Counter for keepting track of pending commands/status in primary datamover -- Use this to determine if primary datamover for mm2s is Idle. ------------------------------------------------------------------------------- -- increment with each command written count_incr <= '1' when mm2s_cmnd_wr_i = '1' and desc_update_done = '0' else '0'; -- decrement with each status received count_decr <= '1' when mm2s_cmnd_wr_i = '0' and desc_update_done = '1' else '0'; -- count number of queued commands to keep track of what datamover is still -- working on --CMD2STS_COUNTER : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- if(m_axi_sg_aresetn = '0' or mm2s_stop = '1')then -- cmnds_queued <= (others => '0'); -- elsif(count_incr = '1')then -- cmnds_queued <= std_logic_vector(unsigned(cmnds_queued(COUNTER_WIDTH - 1 downto 0)) + 1); -- elsif(count_decr = '1')then -- cmnds_queued <= std_logic_vector(unsigned(cmnds_queued(COUNTER_WIDTH - 1 downto 0)) - 1); -- end if; -- end if; -- end process CMD2STS_COUNTER; QUEUE_COUNT : if C_SG_INCLUDE_DESC_QUEUE = 1 generate begin CMD2STS_COUNTER1 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or mm2s_stop = '1')then cmnds_queued_shift <= (others => '0'); elsif(count_incr = '1')then cmnds_queued_shift <= cmnds_queued_shift (2 downto 0) & '1'; elsif(count_decr = '1')then cmnds_queued_shift <= '0' & cmnds_queued_shift (3 downto 1); end if; end if; end process CMD2STS_COUNTER1; end generate QUEUE_COUNT; NOQUEUE_COUNT : if C_SG_INCLUDE_DESC_QUEUE = 0 generate begin CMD2STS_COUNTER1 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or mm2s_stop = '1')then cmnds_queued_shift(0) <= '0'; elsif(count_incr = '1')then cmnds_queued_shift (0) <= '1'; elsif(count_decr = '1')then cmnds_queued_shift (0) <= '0'; end if; end if; end process CMD2STS_COUNTER1; end generate NOQUEUE_COUNT; -- Indicate status is idle when no cmnd/sts queued --mm2s_sts_idle <= '1' when cmnds_queued_shift = "0000" -- else '0'; mm2s_sts_idle <= not cmnds_queued_shift (0); ------------------------------------------------------------------------------- -- Queue only the amount of commands that can be queued on descriptor update -- else lock up can occur. Note datamover command fifo depth is set to number -- of descriptors to queue. ------------------------------------------------------------------------------- --QUEUE_MORE_PROCESS : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- if(m_axi_sg_aresetn = '0')then -- queue_more <= '0'; -- elsif(cmnds_queued < std_logic_vector(to_unsigned(C_PRMY_CMDFIFO_DEPTH,COUNTER_WIDTH)))then -- queue_more <= '1'; -- else -- queue_more <= '0'; -- end if; -- end if; -- end process QUEUE_MORE_PROCESS; QUEUE_MORE_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then queue_more <= '0'; -- elsif(cmnds_queued_shift(3) /= '1') then -- < std_logic_vector(to_unsigned(C_PRMY_CMDFIFO_DEPTH,COUNTER_WIDTH)))then -- queue_more <= '1'; else queue_more <= not (cmnds_queued_shift(C_PRMY_CMDFIFO_DEPTH-1)); end if; end if; end process QUEUE_MORE_PROCESS; end implementation;
bsd-2-clause
740ba67e8c87263ccfb98c29aadd41a0
0.401349
4.584282
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/styles/jcl/grp_debouncer.fixed.vhd
1
12,223
----------------------------------------------------------------------------------------------------------------------- -- Author: Jonny Doin, [email protected], [email protected] -- -- Create Date: 09:56:30 07/06/2011 -- Module Name: grp_debouncer - RTL -- Project Name: basic functions -- Target Devices: Spartan-6 -- Tool versions: ISE 13.1 -- Description: -- -- This block is a generic multiple input debouncing circuit. -- It handles multiple inputs, like mechanical switch inputs, and outputs a debounced, stable registered version of the inputs. -- A 'new_data' one-cycle strobe is also available, to sync downstream logic. -- -- CONCEPTUAL CIRCUIT -- ================== -- -- W -- /----------------/----------------\ -- | | -- | | -- | ______ ______ | _____ -- | W | | W |fdr | W | W |cmp \ -- \----/---| +1 |---/----| |--/--+----/----| \ -- | | | | | \ -- ------ | | \ | -- | | | = |-----\ -- |> R | / | | -- ---+-- | / | -- | CNT_VAL---| / | -- | |____/ | -- | | -- \------------\ | -- | | -- N ____ | | -- /-------/---)) \ ____ | | -- | ))XOR |-----) \ | | -- | /------))___/ )OR |-----/ | -- | | /---)___/ | -- | | | | -- | | \----------\ | -- | | N | | -- | \--------/-----------\ +----------------------+---------\ -- | | | | -- \---\ | | | -- ______ | ______ | | ______ | -- | fd | | | fd | | | |fde | | -- [data_i]----/-----| |---/---+---/----| |---/---+----)---| |---/---+---/-----------)------------------------[data_o] -- N | | N N | | N | | | | N | N | -- | | | | | \---|CE | | | -- | | | | | | | | | -- [clk_i]----> |> | |> | | |> | | | ____ ______ -- ------ ------ | ------ | N ____ \---| \ | fd | -- | \---/---)) \ |AND |-----| |----[strb_o] -- | ))XOR |-----|___/ | | -- \-------------------------/---))___/ | | -- N | | -- |> | -- ------ -- -- -- PIPELINE LOGIC -- ============== -- -- This debouncer circuit detects edges in an input signal, and waits the signal to stabilize for the designated time -- before transferring the stable signal to the registered output. -- A one-clock-cyle strobe is pulsed at the output to signalize a new data available. -- The core clock should be the system clock, to optimize use of global clock resources. -- -- GROUP DEBOUNCING -- ================ -- -- A change in state in any bit in the input word causes reload of the delay counter, and the output word is updated only -- when all bits are stable for the specified period. Therefore, the grouping of signals and delay selection should match -- behaviour of the selected signals. -- -- RESOURCES USED -- ============== -- -- The number of registers inferred is: 3*N + (LOG(CNT_VAL)/LOG(2)) + 1 registers. -- The number of LUTs inferred is roughly: ((4*N+2)/6)+2. -- The slice distribution will vary, and depends on the control set restrictions and LUT-FF pairs resulting from map+p&r. -- -- This design was originally targeted to a Spartan-6 platform, synthesized with XST and normal constraints. -- Verification in silicon was done on a Digilent Atlys board with a Spartan-6 FPGA @100MHz clock. -- The VHDL dialect used is VHDL'93, accepted largely by all synthesis tools. -- ------------------------------ COPYRIGHT NOTICE ----------------------------------------------------------------------- -- -- -- Author(s): Jonny Doin, [email protected], [email protected] -- -- Copyright (C) 2011 Jonny Doin -- ----------------------------- -- -- This source file may be used and distributed without restriction provided that this copyright statement is not -- removed from the file and that any derivative work contains the original copyright notice and the associated -- disclaimer. -- -- This source file is free software; you can redistribute it and/or modify it under the terms of the GNU Lesser -- General Public License as published by the Free Software Foundation; either version 2.1 of the License, or -- (at your option) any later version. -- -- This source is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied -- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU Lesser General Public License for more -- details. -- -- You should have received a copy of the GNU Lesser General Public License along with this source; if not, download -- it from http://www.gnu.org/licenses/lgpl.txt -- ------------------------------ REVISION HISTORY ----------------------------------------------------------------------- -- -- 2011/07/06 v0.01.0010 [JD] started development. verification of synthesis circuit inference. -- 2011/07/07 v1.00.0020 [JD] verification in silicon. operation at 100MHz, tested on the Atlys board (Spartan-6 LX45). -- 2011/08/10 v1.01.0025 [JD] added one pipeline delay to new data strobe output. -- 2011/09/19 v1.01.0030 [JD] changed range for internal counter (cnt_reg, cnt_next) to avoid adder flipover (Altera/ModelSim). -- ----------------------------------------------------------------------------------------------------------------------- -- TODO -- ==== -- -- The circuit can easily be extended to have a signature of which inputs changed at the data out port. -- ----------------------------------------------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity GRP_DEBOUNCER is generic ( N : positive := 8; -- input bus width CNT_VAL : positive := 10000 -- clock counts for debounce period ); port ( CLK_I : in std_logic := 'X'; -- system clock DATA_I : in std_logic_vector(N - 1 downto 0) := (others => 'X'); -- noisy input data DATA_O : out std_logic_vector(N - 1 downto 0); -- registered stable output data STRB_O : out std_logic -- strobe for new data available ); end entity GRP_DEBOUNCER; architecture RTL of GRP_DEBOUNCER is -- datapath pipeline signal reg_a, reg_b : std_logic_vector(N - 1 downto 0) := (others => '0'); -- debounce edge detectors signal reg_out : std_logic_vector(N - 1 downto 0) := (others => '0'); -- registered output signal dat_strb : std_logic := '0'; -- data transfer strobe signal strb_reg : std_logic := '0'; -- registered strobe signal strb_next : std_logic := '0'; -- lookahead strobe signal dat_diff : std_logic := '0'; -- edge detector -- debounce counter signal cnt_reg : integer range CNT_VAL + 1 downto 0 := 0; -- debounce period counter signal cnt_next : integer range CNT_VAL + 1 downto 0 := 0; -- combinatorial signal begin --============================================================================================= -- DEBOUNCE COUNTER LOGIC --============================================================================================= -- This counter is implemented as a up-counter with reset and final count detection via compare, -- instead of a down-counter with preset and final count detection via nonzero detection. -- This is better for Spartan-6 and Virtex-6 CLB architecture, because it uses less control sets. -- -- cnt_reg register transfer logic CNT_REG_PROC : process (CLK_I) is begin if (CLK_I'event and CLK_I = '1') then cnt_reg <= cnt_next; end if; end process CNT_REG_PROC; -- cnt_next combinatorial logic cnt_next <= 0 when dat_diff = '1' or dat_strb = '1' else cnt_reg + 1; -- final count combinatorial logic dat_strb <= '1' when cnt_reg = CNT_VAL else '0'; --============================================================================================= -- DATAPATH SIGNAL PIPELINE --============================================================================================= -- input pipeline logic PIPELINE_PROC : process (CLK_I) is begin if (CLK_I'event and CLK_I = '1') then -- edge detection pipeline reg_a <= DATA_I; reg_b <= reg_a; -- new data strobe pipeline delay strb_reg <= strb_next; end if; -- output data pipeline if (CLK_I'event and CLK_I = '1') then if (dat_strb = '1') then reg_out <= reg_b; end if; end if; end process PIPELINE_PROC; -- edge detector dat_diff <= '1' when reg_a /= reg_b else '0'; -- lookahead new data strobe strb_next <= '1' when ((reg_out /= reg_b) and dat_strb = '1') else '0'; --============================================================================================= -- OUTPUT LOGIC --============================================================================================= -- connect output ports DATA_O <= reg_out; STRB_O <= strb_reg; end architecture RTL;
gpl-3.0
28a1843976e1a66c535cd0f05fbb7097
0.357605
5.157384
false
false
false
false
rjarzmik/mips_processor
instruction_prediction.vhd
1
2,641
------------------------------------------------------------------------------- -- Title : Instruction predicting -- Project : ------------------------------------------------------------------------------- -- File : Instruction_prediction.vhd -- Author : Robert Jarzmik <[email protected]> -- Company : -- Created : 2016-12-07 -- Last update: 2016-12-07 -- Platform : -- Standard : VHDL'93/02 ------------------------------------------------------------------------------- -- Description: ------------------------------------------------------------------------------- -- Copyright (c) 2016 ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2016-12-07 1.0 rj Created ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; ------------------------------------------------------------------------------- package instruction_prediction is constant NB_PREDICTIONS : positive := 4; constant ADDR_WIDTH : integer := 32; subtype addr_t is std_logic_vector(ADDR_WIDTH - 1 downto 0); type prediction_t is record valid : boolean; pc : addr_t; next_pc : addr_t; is_ja_jr : boolean; is_branch : boolean; take_branch : natural range 0 to 3; -- 0:never, 1:no, 2:yes, 3:always end record; type predictions_t is array(0 to NB_PREDICTIONS - 1) of prediction_t; function is_prediction_hit(i_address : addr_t; predictions : predictions_t) return boolean; function get_prediction(i_address : addr_t; predictions : predictions_t) return prediction_t; end package instruction_prediction; package body instruction_prediction is function is_prediction_hit(i_address : addr_t; predictions : predictions_t) return boolean is variable found : boolean := false; begin for i in predictions'range loop found := found or (predictions(i).valid and predictions(i).pc = i_address); end loop; return found; end function is_prediction_hit; function get_prediction(i_address : addr_t; predictions : predictions_t) return prediction_t is variable found : prediction_t; begin for i in predictions'range loop if predictions(i).valid and predictions(i).pc = i_address then found := predictions(i); end if; end loop; return found; end function get_prediction; end package body instruction_prediction;
gpl-3.0
418d3ae4f552d01c3321f45836c7edae
0.497539
4.51453
false
false
false
false
cwilkens/ecen4024-microphone-array
microphone-array/microphone-array.srcs/sources_1/ip/lp_FIR/fir_compiler_v7_1/hdl/fir_compiler_v7_1_comp.vhd
2
13,435
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Rr9SEBSGSfgdCImuKouQ98zPLOsDHRUnIOgM87O9S8t+dYzixImxyolmBhQM6bLzcFf7VESNXFMU MmucqDk6Ug== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block P1dJwQJMv50kt2wiK3a1zOkL0IKqx0NLMABHKcQFM9c+BErv5gOdJNYfbsraa2fFNPQGih2ybqf/ 4rpKBfiY4O9cZX2gPX+jSrdlbqT90Lw0dk+DMM1nj+TDsgN7Y6UgBvTsVh/R9VWqJNmyO6PW5Bwt 6QpNXjplCOTM0qNLqnI= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block BJsN9POvC+zVQUFPIhy3WOO66TYNMEzLMUa1Vwtf/5hInhmevyWtfsg8BlgsvDGvMOsjg9HXkFkS BMEGI79g+JxuJFTKAaonjv4K4Z+U4ky3OsGWn+ImaSOFAqgMUGpLt2PfGCHALJ4m0eAli89bvTbV 6sRlwkQMU9ukylQj9OTy/mGQsHXsNPeaMNs3BqtI6vBv2ChByOHz7mIrXNcJwISXvJ0fKvG4zgEk Mz1REvKmvjMMnisTWrnf6zScAwJs6N0L91q5H+iNsgB5w1WWiy1QdTLXRoruanthWV/pX+Q5KNCs 74fde3YxLTNNQ0wKvGKKLyCbo9e/y8ZbJxkmSg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block KToPSJlPLeVtlBiUzwyVC2v7yebNR6K0sCvzyTmAVOcoCU4xS++HhnW9+vln1C8zLB/6dDqA2x+K nirzmZxG0ya7gv4MNEwJ43rGJZmV9U6y+jCgWqYUEQF/6lXOYgygYwvC+YkW4y7ogKZiZxARGz0P NaYpZw/7NURGGw9JzA8= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block R7+pgagF6Lep/LqXrnIB8j/lUXC79xLAwzX17E24x9tP4lXogBMFZQ4mZ2HWAn+jpQUikTNqPO1Y LYfLuhPZHpDFa3K5qbF7N14h6rLw0qYIG84/omv5NnLHxCuUK3xD5evr7uS7fxB/la7VOK35NHAT hq4zdJ9nly3l2RoBeE+ymRTVJ7tmQldeT5P5kNGN+KPFK4Cn030R+zAqsIb1cX12VLpJO55JZkHQ awE7XmO+eA92ROJFIaezipiMGH6HMIJDjj3wnhd50+W/QU2mHdWbEb4ziIxsRkiuGMBst+cRCGW7 0B2pf7ridroU1FF2chPC1yQ63kAW+iLN7kmATg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8208) `protect data_block 6KPEBiXePVh15F0eikhxeSKScpNZWy3iwOckFxI40/yxPvkE/HpdPb8tRW/yh6gHm+ZuJHzK6ekq d70tj2F54P9vFPHOVNOQb4OEmbQf+EfOlWqOHfOaXVzn5vjw7nnvACrQcbyAoD9GHhf1jJkwrpgX U9IIvEyg2IcW1LCq5L0p5MsSxLGI/XZDJUy8XI+P1k4Qjf5eT+pGhaEsG0O7nJy5M4/s63qZXBBP 7EVRkRUIDS0s9ZqFoYLXHaiS7JcGGvBqf6TT3OsKGw3wCle0I85Aah+W1gbRMJ4qt87nXDUnJ7qk 1Crx9fLwYEk1iNvZSFKPpWjSlZCAuJXhNyv+P7jYG9nmypDb2BUqDPImTQ25SzrejwK2igt9JTIM sJJXvcjx3OkPjwNZriqY/duB1tqJGK1aFzlGeuhgJebmSdQ9YZY6Sp1A5Zo5oOeALHI0YUGIM/yg 6CYycUboAs56AseNuYLS6HP800UWmLfKruiYg2xV47jT8+cYCXusmAIcLItB+zcGakZcCPe3wbux R49P+dNyTL0zl81NkpsV/fiquSeIUW8wciGEdhNRT1O2EKTY8sZk3LZBSV7HZgAOzbOXcRtw+Cok IqL9+4PHQazkh0uTqexgx0+Tk4aNFc5Z/u0VKRZwoHdur6pSo8yltHyzKLG7MBvr+Q3wEAJ47dBq WaQsrCYqxGxKyb+nToNqYktx+WYWtZNCiFL5S8621KERsP2CdKT+B/w74kn4TGIHSv7ALQS78znR OhLXEFEZc6I3dkiifL7ULQtk1tveFC6sqSel0uKyvryonT0FRM+HlB1PbztqnO0jgovRakd+nLzc YL7hY65AryQsVImXA2650bROHfCKtywrvw857IzhDu8Fm8/TaLD2T+T7DUKplzCRM8HgXN2CvsLu ynks+putqz39Q7SG3EaUcWaGhXPa/NvRW8abcdRdrngNX4c5rbjsXFGFdQZjCMxiYbn6+TB/2CtQ mlPthrVMp2vXxdjBRQirmPXhNJQguPvjyDE93ULlOKwBqLSym1IZbRKMRsxgefRZLZS7SSwPbxrr L56qi/JjRowVczhozIYyS/Bsm+zKXop4fDUCOzkKXryBG/kZVmKn963Mot5h/RABtQYDiCmebfUw qawAB2S9BH3EeHejxenxYYcnDPSDlvPBxt1N0zclts6Qx4iqNovCHxwclvNWQpDjxogSDClf/ZAQ r6uzi+kRPZ60X0ss2lm3CMDNKqnunr700PTDf4BThcG4i5lF/WVnAj7JlSUD5dguHiKxBHBx7u2i m4TvkwgeV2JFdh2a+Q+Tf+/g/SA4rPpkyhdoBg3S7GJZEwD0e8gZD7ePsHdezn1BS7NGlKJTRULo ONnz5q3flmLMEjKuM6c8wD1WTscewbxvEGHfKURCRYWPr+uXWE2cLqJFyZ20c/WhfdTIKpxG1FBx Op42AlLwxEecj6J2AF5c9ijvD6uBXeZGYEQbpo+8H5TaIIF049DpxUIw9W5iV2yybamweto2C4QB 8fnwg0Y7UpjhU4pJ/N5WNPbOrzbbdwbZtFTfksHh9tehRaq1WHoCnQqv5wl1CqAB91D+2k+Xe/OX OwCtWKRB0VZWDBsnW3/5TlzpAzo9Ul98IBikqb/K8mt1Jv2Lord0eRIm9tkAexkAj3RVGDKneRPR XPTUdK1NIROW/HUZ6u/JeRWJfkenBpwgQNHVFGn1pqvKXwIgY5LnQJ9Q1LHtQ/a07xUQMMgMHdj+ RV/eF5ycFsoaINds8JZaUICH388uvtox5wjHuYlQhW92L2ej79Hi/rs45bRsvg/6+hutM+n1Rrkf K8a807igVKWu9d67HeuGqbK+2vH4lXI6SLQEL5KPfsjZAsIJL9xFtXI3byv/gzeuXrz5WzaecPfE p67lelY5UZqfdote5tqA37O1JU6s68TVD99Klk1SCJmYEJUBiEbvvJErbby8OCNie/Rxvv4h40E1 1NmYnACEMQ6Qc25W/eW0x9CGZ8j+7plwvLZZKTPak3R2yoR6ySKf+oJ4zp7KJSsXJCDXPZ4k8pkn ma3w+Jxen/U838f+ggHlWZ2qcCPsl08fI4BHXVryLCQAHV+TpvjlghvGTSyUQcPMCLYjeoX3fcLb Dg8vn1WiH1Jrw4WDHnVFttOB49sf2k34DyyaaVe4L24iPC3u+IREa/H4+mKSX6QK3NkZr+6e414B Ag6emhv4p3AxnhMPVinolnnXvMAxUzqhgzqEf7bBIETlA2JSc3i8B++aly+Veu4bZvUhM8jh4kDu nu6BXppqgfbFFFhZNcoAnBMUidN85hl5l/85c34KyCXV2IJS+taduCngBHa0/ZUzhldEAN5ryCUY hR4h1dglC2DZDyBdD6KmsHI3jEs0JpBitbLKfk0nMMPzDsovtDejaAhZDcTQakIrJ7eXIfqcot5Y dbFdOjBzeCz9+zhebcBHIch/E2mHsy3VL6yuxsIMoMptZQsRH9QjnL9V39kUuzPVse3vbD4aVcJT K6NQ8qMeLB/K8FLq/UY5XnnTxhnudWPcPEVUeQNuokk6dDBArhNfQTw68Ydpr51YFHzwMkO+tr4n 2H3HSI6cGsRpE+rrKljU+MMWPSI1LkTXaPZD9w/xqCvewTsgy7EzmnxoCIrSD4g+pJ8nJkcQzLeq pBYe4zzLMIShCDJfYZ4H1duBohj2I1ndJUurey1tTZYYXhZVEEYO9B2zU9HCEnyE495vplI10FGy G9QJkb7IGAUQlZueuEbntttcnXOTAlzmzD+gPgDytG6n2QKlID8PnHJ/pUTrFWL+TTIaDJPfPsXi L1JoF7PwUnnj8NjIbxIlQepz6ZIZEnb+SIsNkxy1MrZrVb/x+LjP/mOV2b6hRH0YIC2LkHzpqOas +Q4f10SKIU+WNqlJuXXNeFBuskdpFTtnCAKfII9JoSvWom+QY1eBelisRq7+78FJvH5OTGWe+0wP BEKiVDUsCseMhNAOF1NY06tQNEmTFpxSFhI2taQ/S1YC93nMaXrsDGh4N1yhicQriNz2xiABEKWu HZgylBYHz71SOaKQGqVBqGJkpfOMRjPNw9LfX1Ub5uQx3wTBIIZV/NVpeSjzR9S1GB5rr2FgGGYo XDGokeHuAXuQvpNwIXRr7kb5Vr2gaaQlxHNJue3nQWaQZ8wGgxYw9TEuRxQRlv5BYcxv+CopJJMZ aPCh7II+oN35/TAtudm6WdVf8ewD3oh3Uv/lyVz/oy7bwIiy2Dz4Ad7bmjkfgpFXLherYt86RDG+ eX6pfhm2Xx8hN5hpuEvMLB4H8uRSdgrDQ/HYrarB2P/6PO7SoLzvKfdQNhBtc1pqZTULMFCzNChx gN6i9CiQnLK+gSJ93omAvMUtyCqKbVQc6W5kOS2AYjWY/KWuWKSqjLofbyLFBo4jr8aDsdjaUSmr Wc/L8LKn53m4wtgNpxOCtg0nwjA7V3leQsx2TMfBYiUW/gZQA2NLWtuSixcDMTLHuYGIbImHONO2 41yNfj6iiuLsyApFhC0yPqH7oTRGSwnK/5lRo7jA8ApQnlzCzGEjsTUUuH4QNOeC+yQMVb0z+1e+ SSa6htBpKHlhojRZ/gT6V1cKQvAY572LX7blDu7Yo82IPRcxejSFDfow5jPORc8f3GeNC5OXVH7P 3alwYNvCzM6n044dkK2w+UbtaHXsECttznrbcBUWW4MgULh5Y3NBiWkMG07rfjX7Igx3xrmFWX4j U56O3tXC2+53cauBtQSzvy9D2GV5ZTIOKKaac2MvFcXilWrpG8js815oUwP9IhmBpoq0Xt5ghig9 kgLO+kRO73pvwOprb/9+M2AidrO0xKGmTXBIGYVr7TpvFi2R43kysaYCsqWRrEU9fHcpAY0E0Rxw +Z9Grqn/sk0GVhZCMNGHHruv+8pD7ya/2X9ci6sV/ze1a1RMZBeeTMvqnXYw+qJQZpr1fuMVmDSp 2CE+SCHOEb/2UCDNjcZd1iWvb3U+NBnOWJ2iwWdKsmHZryrPOG+BCX0XSTQ4jCmXo5K0RLQlV2Ky KfURiAJbLWEnujCc5N0NtOl0JYoQSDsVOOvhjzoYlYs04KqOSqEHrtlWuQBYEgaPlhLS3kp4KGrD TMkG5YR0pJq4GFUCuYQq3aOSltNLZWHX2hhFRmSTMqSCFm6t0dML4e7kax3bb1e7p30cLVjEOoWO UPW7/YKxnclvJ11Hs8ws7KKPZDwPQ6AGolZfozkBMfXRjwpZbc1A+XZRYG1ULIBrgeAIRHpTa7n0 1CW8HfwGXvXlKj32HdLCJWDkvHsdCH59KYNyZ6ms7v8kCrOLPhiIm8k06jIbbyDNTqa+RlwxXOuA 9el1/OWDQUet4EAqgTeDZW4dm60nvTTaubvaU7tVeW7aLco1yzEWL9amGmUelJkKDV3AHF8CV27I SBIFBdpb1Mx1I/37Epp/V8TONUC00L+yLuRqF1BMwdqpDz7vTuawVplBf0zbGdzmbkVV6PIdjelG W+GThD68pQ2E2pScuz43Ulmb+3SLfqZICttI/aXtNBLIkS4Qq2sVTzamiyePtfPXZzfC2fjXjhDy sI10V7Lmx1EMN+YxeWjj+qYPqQEXiVoDlMpSmJcV0K/L4KL85dJWYxY+kIS0ezQM4XHrwcgORWj4 psD1urvbZh7Dlvq7I7dCKJvjPfN5mGTbnp5Tp7Zq6KjnlhKGHdd/dLGfABQGB0SXzQCHT7H2pYFO 469vCFZh/dh89+8oibTdM7ezfTuKt9KrxkxaW9BuJuunf343nYTQMMNcNF5Gcn3TLry937VTIF6c 3TS9DHFJfJd+n24Dv0nq7aZW83HhuwIGiOnkYnGGI5IElDtKnbQDioKpJZ4kfrb8h3HkG636lW/a 2L49X9mazBPHrPSZXM8Zsd6kixunNgdlwC4yB4KUIfGJHTSizNdDRkHdiMfcbmJGGcvJhF+Ta1kk xTw9d9zT4a+J+fqvFsZuASFpDAQQOSHHZUp+rcslrkxTORRxinyUxOGfMt49c3wM9AD0nb9EV4AZ IUGdw1RGeYK0kXymaSWgoC1Ei0HR1sMCKlCBO2h8FY9q32rPCFBlPQNqWvmphoB+esXr3WxqixLC hHx6xHFrYd/HrcHcvAQ8TvAdYCxtOTLJ/TCOUTFNhaT2QNcs4hOE87S1VluDBkMJxzIOZ/+m3LIr Pu4uo9KpoKVCjZlGBRdUKYu2tKyT6AT+molfUl1oijKVuyTpNGQHYjYXZUvA85OJL1w22qgZ6UNE OCiRIDu8D2i3jF0kakX8qvnbAvsVch6MS5+tdrBwO1YPd8Mma8yDK+6QKSDe13IKdcJffHsiiNgZ U5B7nXlLvSblVcCOB8hprk90SX7aryaoYhSOBsBHazIomKW2LLSGX30or9th4LUG/Ho3v9AMzI3G jxJnxU3RSUJZ1w4UC3xkG/3eKuNQx65TtX6w4KFbraNXWOvsI53f6aOIhX5jVILF2OtsyUzk3EiW I/xd8OYHo9KWz/wsUvmQNEAmgWToNNznyPMoAQFYRlaiNYq/Cp12ZNvxdRh99ops65IJ4kiRtCVa YFwBSREvFaBWxxv74XM6Tto1HNlNiC2UVpW8A7rNrF21OoIlZK5aoOesyoKlBdgpEMLThDOPUQyI Odk+OIhvnxooTSsY9aKpE4Kbc8etqj5tosZ2PzDByYeCxQXZEGGiIn9a7FymVNxklOtWV2XPZR+z qZsl/8M+Jokfl0L402KjWA5DJpWqhCdjVUdHG+/vs3vkOYgbE1FePeG3BEEpxc4tQJ8pT+XE+B4p 1NaqK+XhWMryojggnpCc0WSpyDMHHVovgR1YIW9fe3TGGn8fxibX450of4Jyl8QnvvlATpBKCEWc wwsk+uIRWy8NJv7ZoMsN7CEBHWpSUI48qPQS4nW15tH/rE2yemGg3fIshr5UfRXlGVeBICDp3e3O 5mQXgE4vpPe/vo+1sldA0dhx08Sda1rYAiihNlwlZerznLdL37TEh1DDR7JUoLrUs5/reMHJcjd6 8HkTLUOr2mw8pPPkYkzvYtpYBjZoXA9k0YBRKxsupToJikHviLCUi46uZySvDr0L1xjhZTaDRLiV SpHeJhDgqaWg3KUDiDZzcsMx3j8iW/hlU4nbOuNsBYb5m5594wMY0SM7rrJBygwe+QZUj5nRSBUE WkV7zXCvsbc5hsv+S7Z0mYSUBzV9/0F7r2H/XtPrtGrqLGt2GJfg+a/Oh6a13tgzPwJywFtZ9Wlt +j4zp2DTm3DqW4oda/+bPLQW8JWdbqJ/9Snz5B6aSebEI7uFHIxqkfVoQIwo1a8irTuMY3Y6pOKc GxM3N0Ox0ZLt6Unxxow5AWB5/gX8UkmEKulk7pnBvZllqmAxkepu0UjDK3g02S3SmXDtzTufRnn2 LLd0jgV4kgjVekmvn9PnNq7wKy0p7Rvvd93qEdDI5vwjyoa8RX3va/8Voa3TthyjI84vU1GmDui7 4O2FpiixbSDXvvCc13z42tPNXmOj7JWToie44GbOXyNkMsADXaH4hYNxR1EhkTn1CEn/nONwJ3ds t0tGkIPjGvEGWstYNg5pq+G0gIO0J5AZW9Az88KTBNKtDyZ1RHkTtqLuKVWV+04M+By3kQtUKPsz SdzlYFcq4ousNtnYlVILIEex/tKZ+0ACgbCIhAatXya1iuqp0MUEiQHxYcyeKg7EPm7wfhj8gagp RW70eO9TdqcIGOyFK7D+7LOUbr5lXxrPkQnBYKTbMw5m2shKDKJeff27oBtx/LwFSAC78qEMGW0S GIzkG7gzTmRfr9l9Fkn3PS2e+s6dlHOTYbJAFfn6fev6VSbF8+cDQ46m8BeLhVrA4j2QHjnKgS8R jsGwZgXNzsBHdxq5Ng7m4CgoWnrHtpT1B4LPTQ+3z8wdZkSY1INtN3kMGgLiSKoAl/4fzGiT+IN6 LSowTnwLC+0D1pGTVkbdscKbg8+lraHwun0+JxO2jfeBxmAPVxC8Oq/ZOSzX3A1J7hSUAULYCKZZ mDj84z5CRdpCWEQTR6vkuaLmyGmvsrdT1Y1hkZwOWR3QrAzkwASJl21shvu4qecaoakAVCZIbRKJ gCp8aTGeho3Hd89vLYANLZOkQZocasvdm39O3aftqf4lbb8uANmLA+vAdJWTl/TwMVCmUweVuRPf pgjz9WVnonRx8iFv2ZD2WDNu8M13GisRBiygl26aRUC2IU6uU/tT13ppXtu/d61HhuWLQN5406fN RfGEUNJBaO98kLlTLj21+O4FoZWImGRDJcOcyA+nDrfbe0RroyOByzZQ2HUjjCZYuPCaV8PQyyaH +eKPiFbHrQ5bxgGluflssJxorj1PyjHRmM6B/v5wb3NA3aNOELtQ1o0DzqFnMwQcS8mVvufBo0i+ AG1NjMxTJmTviwI/OLgUAkyjUDpODgGNPy1rwGGYoAv/qfAe4jxnaPB+u+kuJxPLas5rsbuSd2kf 1mXJsZJsO55t68GvYSwsMN4SwrtIoe9m+JCdHnY4/wgAlV3vwOdetHw0jSii906dzpW9xDDxJ7Md x2kTZlfslXSbItGDuAPtpk5m78YE3krRqNmtXL47F9996DJ9Z6VycTOWiQnfmjoM/KBKAAVFDy6+ dI5bpRsKzneO4qJskl7FK/n5YiS3lGGXJnrScsNlNoUNvk8kynTKICiVq9RogHN9TZ4EAgIOmz+H rN97ZZi2GC61xWzVsHwcc+mKhLxFrPEAW8ilycy63Yl97y9ZCG0OQhNPN4eR2qNYjRNs+hp4HO1U EgyYMJno/VDNBxl7H6I7y3FXZJNDwtCtUT00b4uKMPb/gHjtqPfMJmY6hhDkbcHbVgeq5D3LH+Rh fRBwBxuZ6eTBeZmQulFW/QuAGzJWd88CywX3prTmYrJPqus97J2H6e9MkwfEcHkq2QJdwEs8uMOd qHJaxXC6aRuzCZf8aCx7Okm8GdJrkguMICFsbz5juS5o64tVUSH/pY9S+e2tivnXb2TyjqwrIOs7 9imtwNn1RExFVNAB820W5Un4RDB9j/lk8mg8wk8o7Q6XUX5D1+S/krBp4sfoSlLT9SoDeZe1fWLZ XBFqomq3BRnu73JQXfXAba9moQiO9rNv1lGOQJJrDBXkAXBGdp1Voj3jl4h4AY4wLSh3X1izGxIa ZNlZp1OcrTKdsT47xO9YW6fKVYatWbU6ld3K7J1qbd9afwFjU/VoKU2hzO5qbgTVDKpk0p1jg0ku 9Lv7SASk3eLuPlEpFo3aIyMBH32NEFDksaCfMdQ5NZfXavJzWSog/+m/5dZ2x+mgYSCy55MigiPu ZuowtvrzR3+PDe8Fh7HI7VkZeHCua9TViN2qOL9aIRazkxGXBrn4uvBZ/UOUo1No2oy+CBQr1qAL zxfSzpHtIc0cPXm4Vp/OPUAyNnBXPtCMlz5gNDROjZrmLDFLD9gSlIPbitOa4R84WleWCgCYz40b InFKalEMaR/Dvrx/Ls5LrygLfi4lnd41h8MeehLGYlxQ3tXYZISqdiU0X2UeLGxoOcYW7Faoc19L ugmwuy68zGrzLb2gRlRHJ4J4pbTCTiHzpt7hKDIUAoTEcsLy6aEXFWJokBjSEwscW0ZxRhz33j/X TRq8kRPMkpIFqLAf/HwQsIKCpvN/UNO8sS/nq6ZLp2xncGjBPbnNx4vy74kGDfHbOppq53V0CrcU u745ZQP1t2R9mZnnu6gzJL+JIpQvLwtkJQihhbkbvs/H/A/WGOnBQNFlHeY4Q+q9NdMKzLVyaBww JzE0cJX5D/4SHlotSkoDNkQFh0dqLVBBuBFvEtePayLtGlOonOIhWudmESj/LyWcIHKWNFhiuiGg 9aI5+dc85jQWvXvYNynh0p2Kxf66V5Axy6TtU3OhHiXOxNmx35lNhK/+g2Ae1l2Zg0T1sjOrC1KV vJ0rNDn7KxXG5447Pib60YMc0TaQUsBMuqkG5RntL94ArfBzXzpBouRZUJusZS3zmYKxvxpWxs+1 mFxHrJ8slmmv6R6b1oCvA0y+mC93krpqYrkqlpzMCRgZle1oHOKhoWdBf7oFM7TVov4XHVROa5vm EbmZNlPPRnZiNLAPqrnTBlu9iyzBKvBRpDw9og2mF68c88RlVibh/dFifreaY6e9LD9M8sLRS6p+ SmM/33f+LSRJIC8fE8xQNEjdYm6S/18Zl+cTr4owao61p2adeK/07M1POb1MqK2R9fSVW/REsc2E 9DOD6myhB6LY+aIPPcjNzRTpGPWlVMYDWn/PGxAEhRo1u/6F92NKLt3whBw67Qf+/x29lI+OKZhV gP2M4IxAGhMmWxcMvAANIQyp7MPSzSbmN+GpgVjs3c9iLRA8x/Y+1OucwhNdIUmNQ/kynqG9uwCc Vd5F9TKamt+L7LbdTwjswgE0EjktU9uN14C5XeQj0l+vdcPE+j03ghU1KSYtpPTvP5zFgduxUBN/ kLYX9U71wa5gqPd8jeUZSADi67Y/oHIhfjMgFIn9bLW3Q1MsBMJVHYAEWboTsgYx/k4xE0Lb2s+n q7XXEbL8Bi12Ca7U+GY7vmEYnr+6iZk88sQOZ1nmkr0AhMEE4IYRSDKjKoOPgRxgn88jNHqdAFBF 7mqOsAW5UCuQz4UPAYZ0NWgwCe3GJJZCw/CO20WJTnRJKKsXsh653j+4E6KjfYBDgvi2nL9jnq8O 77pWfWfGR9/ktDsUTAzEn3YRkYwNiJwp8+5I32FyqBhphZrFZVYcvqfgM+0rc48C0p1p4wvNxtcv TirKOWF9ZXRDF/AqejfXLIXdb734pqHe7TG8j+qSnaK7XRFcEtU5em77Oml8oh3iBpKvuGnJ4/8a RXzevBuquqd4InQV6z21lC30D6jcZ5HmALdSJEHAXQUIe4Vn7WLCaeJ0DsHPWlWk3FH+LJFWqGFE CHHGGoZSjxpuG6PauuMMXvhaPGRAyddLAfCfqMOOVUV+rZx4yk3GaTi/zW4IbwzX4bQR6QsCvWeG L+6QQN0xpLKtkRxhfN88HXRNJnslpXYOpqsZxI3cZ9njGFC6ElBZTM1hxFJanx9NkrzQJkUosbJV NPhwONt0/B77XfZxV+oBrRvn2BYngiCTSptPF2LT6x4eIOuOle1JNUEz6sZfKnBadB0y4xrDDygY hn8703EE+ZgRCa1V2ILtiptFbcyjvhKukUavkarc5j8l9otOcc/FXJreOr4NZSdmuegzrjFh6AoN z6Jo4utCEn78e7f27yaSLl2acO/0zT+P0gwJ/T1Wjc5k9Dz39a0sGq9ntt8FzaJLYWbX4dVAs62/ 58vxnzgKGX8OhjTMGSH6maVCM8s/3+QJzyB+WgfhB5Tv3i1ef4PmNU9Dk4jkXeAt4zuq34XApLcO 9+mDDVa2MTVY210P/hJLDC1TVnLbrAuZIe5KY0sLQ2iKx8oUqb8HTXOwNPy6oQIVfXyF6RalVVN+ 05FvCI4P/PRjZh3vgIeYZ8yUMvM1dBjOjQ9mFf65Vyujjc5bVQtJeaNFuObg8MjQqwq7oML0WWjh RIKMgEvyqGfnnA/R9NRcU/PIQj/nvRf5Dx6/TOuuwOk86pWyUpbf09a5N+OsMkddOY3vOOxYOamh gxXFfFROcwkqqHhF0HeFkIllJJV4wp7s9+j4UTTK+yHv7OsEkZ0InCq9a2dxpx4Dy71ijXgPrnoS Tg+Rr+9EezalbDk/yK0qpttQ5Y37xekfY0zjUFMrm9rfBq9xrWiCu3jh0O1wwYDMYu/fXDP7uo0+ MNprL3ExMnazwTNHVzgkApHiRl2V0WQqS+2bcIaMaKMmwG6G0NAaBzVnZoNAj/eF1e1q2QCCLzXp s8opFa2ygHFc+Q1zvIiefzHHoi6J6rkw1sRocAi/WCLarsPl1CF3hBq/l+8lSs/z719IkxHw496O ae0hld6ghdX1wLaO3ADBPGCpSWRkKBLN/Ef+48gVqbCQLPlgVi8Z0wnQKWu35GbXMgWzYZlfT2Fo `protect end_protected
mit
ce0ba1dd9acbf14a94efaa79f912ed5c
0.931671
1.875349
false
false
false
false
cwilkens/ecen4024-microphone-array
microphone-array/microphone-array.srcs/sources_1/ip/cascaded_integrator_comb/cic_compiler_v4_0/hdl/interpolate.vhd
1
62,392
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block NjifoWpO8vYAKlN4+f/ULU/aDE+TvMxOh9oA7CRTVTLoxpJnfgQR5OzEFVvNPpxu6HlfQ/rwh/f/ Z8eEbDp8Eg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block n7ggI8dTX+34dBqQYKlvqhwMWeSxijK4mW3JVL1PgqT/Dsuf8hNTSCeQJBPD+VmjgDoD9aFstpFB 2+zEVueK9O/bgYk0jLPvodh8yIO5jNExb2xDBYf9FmJI4xhX77VARYgHHUM3jBdMa/NRaP/9XyqL u0AIGVJQu18j+KxxJaI= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block kjvlyy8zph6bi1rTNS7lgatG0GtZUWN+fkxPidLeFTf11fJP67dQYIZQTq4xQylRZ1zVET097+vt 550nhZTBbPpcOa+1O6geM+QSeLay+QAWzZBfrJiJ8VUbsRu/s2Gxhd3VwDL/V79t0JNEI0Icr8c+ b197f8VSCpdScpD+yfrHQmiz9PulJGuFeyO5PKIWUc+a4lWmZjiiMmt1lFcnJyr2u+426/5q19jm 9/OvYl1PIkeiHaQ/p039vSVVgUtL0gw5B09cDcN7hDIbxPmame6tF/4uaUowLF9oqr8Eu8y4kZbp 7uxsDTBYXyedaZh/M3UK7AVxCpAPqPPqCMj5qQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block POuP5l1HujXOke1GK1t8QRuYK7mzRnVjMvSf6cw7NYkojmkKrOD2Xb0cDDvamMoN819JO3YEziz7 enegCYbrbG7HdFjBXZvvQdFjThOtp7mDQm/vXiKwgZaw2mvfDFA1RcCYMYDpL8MgZ+e1SgsPV9XR ELjBUk9K1K5qeTUH23Q= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Ynoi5eJAhlSa6diS8UcD8BemLcEXPUOxTKQdoR/Cc4gpvdtq8JL7vaCEbI+xAgwKE+nhDTHHM2Kp VNs5f65E4WcH0cVZ1oknr6P61UIwwuLMLqWjN06CHc4aRKO7cjUK/wC/LLcwJEgN/A26brcGOh+a 8mPK4Ym2fD1kh36sItJeqjEAmqzoNmeaks6CtqvgR1rUqhkRNWZaaiSHlm7ist8BlkVQYPQr0+99 Rwe2CRWwcUUz5cF2ow5i6y1/7MYyoYUBEjeC4rvKvM/1dsn/mFzaAqkn0YamccvYPXEnvxQOZKQ2 grQeFU+yYx4QnabF2rPT3lG0AdqlZ8zpy1P4hA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 44448) `protect data_block 2i4ZS9n9R2UchPmhC4uAV3T5QWaxriPeQe4W8D2rz+Dnvhv3jcpdKSnb83RIawOCkvu09ovXRyRI YNH9sS3whDlESMCXZ3oiA4d9jvChAy3WCUgN8mthfNsa85+zHKVxnRMAlDjW3mtHj+ZhpjgcQNLd pepuun6pHq7ZHkxc+aAtJ1v/0oSO0bpflguB6vex1oo40Gy/nLpTJfdJF3Ku92kDGyLaXBzrBsV/ ndKTq6xxr8AWBLffNFXN1WS8B3OT8lglbgU85ldsUN9kU9CbjH36ib1TEiNeY6xwc4gGDXju1e3s B1QrjRqV6bA3hMxnMN1z8HnFlmyUwHdZgxyj8RLbgOCF1uohnsbUwx9Uv+xbYSRKq3qR+/gQy/vX WQ0BJV73voTgEgPdy7TIPBVug6b7y1711ja36wUSPXPx2iLRYm4OpMBTvt5NYz9wFe/S22Xie+/n j3ki0/j6TrbA5IS7RkEWmhU1iEZqcCefexhl/joYhW6FusTV33kjxJxstpE+wtQK75L6c+BJx804 YxyYGnW1h4Pkfwzufst4QP7tmogy/A1cGLOLX0jik/IV5UbvgrfbWCmhy+QRgBn0Hb6B/q0zHsAY pQjVx8a5GLga379l+vYnyIkHwChjjJVtZry1zTlFgOndnNHYeuLeofXWnG+su7y7a5+TVAdIZ78U /07Rnc1nNEhPtxXZMkgJQJmWHN9CqYqZRFPNCnCL0ilkPMcVkXhkShaB4S6/+OOOJM42pSHLgxzU 61feqfW9SlPN64kHioCf21hnqtOe3MuH45s7+tkpPjqM4xHcRyaRQTUyVLGiFMtzEhHwrHAA3E5K PUFW2G1qBV/wLwAuwqPbf4s3OMkIqEs4y9lQrNMpmVRvIHM4Ib/hOsDRrvfTTmiLcUtlQ5nJj8ca d7WIBroLjb3leiCd4cIwcK6yfw2QEai133t6UzDc+HGRg5b7VSeqNlN85Qd8hNwb4VYaM1/x/qId DeomGl2YjmIqzqaAaEBl9NXvicjb+k8gHNydJRO7xSeSHpCnqE7nfk8owSbgvIDk1kSJEtYo5c9L wNokk5f50oTBDOULIMdIq+7NP+OfM+Mtgt5UpS1FZlTE6FZUVfD8gpOZAv9mOTMTMVRTLvfM+aGH 0+/f8KDbGy67DiAIS9GOVk1pwgrlboImXGMMT0FJrijyPW8nNpKuQ+ldKOq2Vu05yFpP0K6CLlaG sVfNQnxLSu2N6MAYoExbpVBxKr8CQwfBdV7Wg1igXnvfTfzTwrYNU5cnw6ARYuPXPG9weteT4SNH /1ihSZtn5U8+F2Aehg3dO9MVjzY7pYWSGx3sFZCYIK0cbfnkK855P8CqdakIj0hcejkMdp2gwQhK XsHk5dGHeMoSZeuFfrE9eZ9LXegTKN3N7GVn25cvqnLdLlfcl+A/ELSqrqqzW6NHhA2YLUYFJAVm YGme/KKps5QLavR8E17GaWyv8byl/eJ9iaJ7xMlPGqD8FU71tWSaFawjSKta5sYVpBGDGODq79oz +fLeKVH6eRYxqkmKAWFsdPw7kovlvKCX6yYyVnOWb2BvHH5BCF7URVqq7jWj1xyyZ2sLb5yEhsOp KcMRTuj0NPzqwHrlzwkwit79SE4ZvfKlYzrZREWUTXOHWvCY5LiiRCXsT8u3tlhvz6NzvSXbuLTG 4338/976kTGiH/pMhY5hByBqy8OwX3SHDiAnU1hSWd/8s18mz/sxrqia6lo17YCvZfbdjrFqzSZs PnRf17mSomWOkok8ZJX5koka8h+YhDFfuMdtCWTv8LwN+WWjtC5mOlEHyxE12JqK4i0afa2TEQrs HjEbG+l0YnApr/bJkX892/OKaAWK0liYvNQav/MFeLez6b08gWNeOApZxri/p4ujL+5U8IEi5O0+ CWOFfOzy2x6nIcDFZ3ckt2nhBEhFzQ4sADgo18kybtTZt6oacJJW7S+5Iqvfz2szB2ItYVJi89Pb Qror9Pfs4Cz64ijWl9T6Tw6Uset3EF5WtcPQBN21uMUzqv/dyxFgdadhiBkTZYEnsoha4lsTnwFQ 5Rvd5y4E/tV+NMV7nxkjWdNSr79p4VimYaSnlL1W1UOBtIOg3jEwsF+aAHkWcbYQE1z7kQcD4BW+ bwHUj1VjMV6bOg2hQfiXrLzeEiTBYXfv2ZdRVkMZDVGVUCvZAyy44q693ObqYv+k+6W+jM/jITtC HbB+epOnejtVtmdORlcwa14RtQnqagH9iE1uNaUsGHFDp5in9qOJXAk6B8+ZapZ25n+2lekrDJAQ wfANeiv3sAR6jcA3+6tE7yAVPldO5BES5vssBkIE90SxGnOGGhHM4OH8y/lbjHwr7uLGZZupRoNo fS/at4dVRt9qm0yDMWAOtfm6eOljK+upUMlS6si4i7nfDMuvS9zBTZC9RbCTMOXwiRcJ711zp/d6 XG6CWb4O5nly8Ce5rAZSMpqrhtJy6xoNkIXdRTDucsMiiudcqhBlQs08TLrEwfU+FKJyywff5C6o 0kDONfF0Auobcc55iZ82keko7ETrRoIB8BHrtR4FbfvTo3bWKy9hM+zJ/w7YIKge1IycjIiPS3uN HHWsqWaQ/sqx6vVAX6ZWbRXIivWclXquw+Zs/EaRHTiP7hQbhX/TIh0b1oam/5uU7W+IwGNQ01nR ZRAM6Tpw3C4o6+nF26Nk7SfT1GaVr97ucsYoPcKLQ9ie/Q7hop1CtRlPgdK0SDzS3u7LSLyDZm3S PYpvJiieZ69xAJpXpXyWBDuW9Io9LJR3Q6mFZPwdQtXZJpdDafYI0RPn9iZAM32fvrsdDtAoBtA5 UKkMgjY0Gh0f2XX90T/uF18MKt7RIdKy6qveJa54OvY6gaSX+NdJD7U+SrfgtwTuEJ7Wqmma8J2S CNYvry9fdGgcTkoOpZ1YfZNM4brsGU4tSc87P+89ES8MWHeVf2Q1JTBx88gjqrT8+wAOUcta1U9R +x9qTXQNFkCDfMZsMVO83tQ5S3mQhY9siDTudDamZb3NW2ALdVnhCU33A5aSSeG/Uc5OQNvdxgKP VLICffOz3dOp0x29Rx7LE4tvdCHbq+06VX2mDXckhqz6CIJSa27iRWTR0Fc13UAa4J35HWxvr2yN 5aaxSRyRdZ5O+af8rBpb4N3bRoTYVR4ciL/953W5Fm/yCX2HUZ5WwTLAwTiZgn51XeMxZYs8ic3a lMVaJ3I19njEbSayTCR0G0pMABA6v7/QkrqHEALX+wawV1lWH2kzFzV86TqtsGsFFX0lq7zMc+OV PuKMYpMMtxp170hwtp7TG8g3rITq7tnoeiqsKA45wf9ySpqk4tm3hmX/cTUJ7Eag4inq5mZmDV7+ pWGtRuiiCFSu80mg2Rt1X4TbHiK1ChhRxJfnnGSeB3ijXiNIyYYsPZ+StmdNQUZT6wPEVIPeJmJM +X0qwGj1c8ZZkHa9OTE3KgeCMw/fLuJ2SI2D1Rpf1tBmLJtFMVrTT++1fwvacSfDVSLjW8bm7neI pF2mjl4gvWdq6HtZqt4McFUMJp7GmJkNACbgdgtKRXlKBO7E+wetGCsqRl7S92cFnJQGqXNjA9fS OkeJtaD7JI6nN0OiESxdwWjpUwI4Y4ynBoQjOyKaB8V4P20NfZfTvDDovKIZCheZgd4YGV/WCJxc lzc8hYJv2kvOkAHf5AxVUV130FMv4C46CL56Hvg10alyp+0hCWCL6RcRjpXY+78yhWuHTA9eQtd2 x4oIB1EVf/6hbNYYS0v4k1na5uUZ/llaydH0GSpNRYsicmZKOlJr8OHd3+/BTlHy01Hq8BjTA5kT DvR2jcjq+2vjrby/mWz1rPyVhfj+B4Ln/n4K9+IuBsnJzS6yi8GQODx9tLv8i1W9yUL3CZr2/0+C 6YgPb/5mBXqlY8OhW85xjzdKt90P66lZwsRDn9IoduUwQ4hyvjr0wmmgCvZyXNOM2SLpDZ83cDr6 PMrcgjvhRjcthQyHq6to/EJgbOxeQDEjnAq/Vi3hmWnISFbB3SS6fNY7EYZ17+/FBhxo+xrswIdF XrDq2B9rWUet2JPrR8lYPvbdSz3pSXZgY5SEqCZg3qLyOx3co+FNupUGQALEXoaahQCNWg4mzb0I QC+zIBJrMkNWd2Q8gy4j6b2CBJmsAElobO3F+4fJu9lj8+Y3XXQ56ymyNO8pgTTOL7MurV+264j+ WcYDQ1s3Z2YBAklsxqes17C0G+QB7j1dSiUEbygHxf82lwR+IKGDbCrYZaCuR/aJ7T+naRJssDIy kUgD5CMQl5Ty1upCmrWH2EsqNHp2UPEC5VoQJCHNhXsFfdIu4m5NpVvG7TzPixyBgnHG2Wog2TeO +9MNsth/3POibQPzTQz4ivbSfvnj34pdeBCsyRo4H96aWWt2b3li0npToLzxUalMYElnC+6dzsQ0 GYUdV2uFS/MwOvDVvwj0IehHlR0jRsPzuOLdgl7PDA/MYSc/vELw/ktw7+gwuVsNFcMpXC11a0+D CXxJwFIbFequgAxoD+y/t7RIOOCjNd1+vsTaWc4el918gMpS3fXiPaEaVpmoqZ02mfDRVYfHF/Ym 6KzOPebYQ+gc/6XQ1yL0fj2lkdAuyqwXX3CLfc1Q8+/FPBswtQYBQdPUkLsUau7B5FMYfGDx5Xym rDVEGq507Eu8AhooRNPuhdWHfnlEYE7uO7/uwKf0hGcK/R28bHCjgBpXC7zIgmLhSoNlZ9JpVl+x RLhqyvMN46fVxglya/rRHzB6yHRaRqjf+0+WugdcmLNu6tAQ6VK3f19/8GApBZRpeVOFjV9v0Wl7 8QXdHyXDPT+o16hpLTJzFFdo15jxvemZw1G3XEhJ5ukegRojFnFAJXdtRVc9AiyQK3pim68W2kyN CpZtijW+YtH9aQY4seC4KMWt6sKvBPpHq5aa621UEmC1CLcUevOMkj8LP3jLdPMdEzdTHjOmBBo1 aqei1/GICat0YpC+JmAm6YVBYZwi6XPr70f1+rCXE6FBbT8vKBLolTed97XXXhBTrg57tN4auojP kaYlcETtZKZ6jnwZ8JiMIc6a2pLUamAsfQvcWhof1ZJIEWE/60HlKAlG1ungJ3iHcusqAuvVzF/3 TxXcL/riB7O6dSBhkmLDz/uZkrf0T3NqFDmOSvagZDRzw5plMA+eKK5LcAg3DjmN27AwqYjUy1Uq KGk/ntC4WhSfkxc8uL1n6mzVmvJNvwsZQ/zM2PCpF8DSAsbdQItXBQVpvFuojAct1sSaDxXE+ENf MisRRUiX4aikVGJB3AA9CWQeeVGwxF+HFqMd/k0GJxFzXMchfjhjdScasEoSfeBMsDgwtBRoZ01p qTy5+FHZQOUUxu+Dc7QTZ5L2+xjj90qh8QFqx8tcBJB8aSEbKANJSUltA8lyLAOuDNDETvm9a1wC h6TGquKKLPg6zuLHtH8mYgLlcJpNG5GqJn5BM6wt9q466ndMAF8CoQ5nT0WOgV2UlNfeCH+xPPoP lCLvnisKfZani7dPbH6DD2U7akXCjlt+ksaEPYheMOydFXOEOvlg5aJtacy9wxEHKaCn8SEzOGG8 Vh7nFjPQ+ihEtzCgpsmSJ7SuJFk5o+slEtYEQErOfn+GE/CNNthHFXM7pQGJTXQ3k2AHnr5i6iJK l5g1mx9Yxrdit751eiqnwCVHvrrzmzRqfKl4Ov44la4LXq0/dB4TL/h7Zu9q+QBJndxpYrBy0RI5 8dacxPzNcbyvBvzMUPtaqUZslcLBNMoNQ+d3x4Tw5FrAxMenwDclpPvzrDJYjxDKqLVMpVoBvfvm 2EivMv1jBu6g/SX3oz7jEyu7hc1YFxI2TtoGiguVlJqINz0q8zIT/ZxIpf9YvADSyyAmx7CFu7De GBYh5n0bzpsVzxElDuw4EaWETQCFIRE4aiTYIMyPYXQYKnGJZjASJzHuUvDNgdqQdiOwNmoGaY18 vvl3X/o6xRoVgrGATfgnq9VMy2WaWLVkUZY7Ye+oX0Q5MBZb8B+7Obwts7Y/gcVzy9iXGvAGYXTk ymnAhmZEpmxmWWWwy+RMd7+kvVQy4/eXKd1CGG3rluGu30b4JpQufNwqvQYoCAhU3H4O1djIvCKC CLUcqI5Qo0lYYyQhdDA643DXenAwd+qCYTehJId3M5k3Kuxd6uHzNb/P4TZ71/nlHznj1JLfmwPV MGQ9vnnHGNBRd2KKwla4wCNgVyrxb0eGE6Spqsq6WuGerF/oNSY1RVf9jjv6jX/YrnPZT7yq5NOt ZxIc+YpUp/1iTjM9/aZo+tBrPpaILcGmbhFJFtS/RnopnhqHFqPmp8Rk+YfTnwszgyQo5QHcVCoc FGEXeumq5g+U59TFqt0XPxGo9PgiRNZPZP9m/pcPrgtaNUBRXLeRnZaRtMpBSKJMSAsQozlvdrC6 /a5LOK9IjPyeXllf/ok3RuIyBm5BXcBvt7REwH1DPRJS23teG5gvV3pZ7ZewaonpUaMiL17ZcEW+ Z3uyWau74XLHtP4oFkGi+G0ortx5FerbvdI9FTL4fNMDHfPrhgVMHL/KStI5K1fLCUoXT+E1llnb Yyhbg65auQsdbJ5ozy7xjex27mmKHKUOaYL0X98HQ3TrUFjSFt0s/gCDCYl6r6IMzBMBz4esQ6Xd jXrIVKFgy+eM1fg+IuYnlnfLEZ0WWYX0so1QaD2L0OxfdyAAnFOoyo432U6zwsz+KM/1IpT/0+lB 6pY6ZIp7MiU2DJDrDPCr5FTpiWyFAenFzAWT3yulN/FWv0Wek5M13xFU05VjsZgPpLKgj1kQ7jHn 1X0SS8h8jhXCJqp+fw08t0YsgCY9iA/tLo/6ifT2LxyaPtV8d7QohFKJr1Nwq5UUje7hFDq9NnoK zYT3elgoSzPpLx1GzVNP6xn4LUPlbCDqKHFxECOV6bkOSwwdl/Oq8YE+9P0S+sPoe03TRfBZW7fX o4zR1Cl+5QX2urzoDNhQan68I3073Q4loHogdYnmc9piCEzkVyDS0tC1CVOC0SleUH4zna/pbYzl UTXDaCrWSzzUhOoBhGRH9J62L+wguFyTmpnERdOZdo6/UJb/jzCeUdVVn/mwIHNxQFjVxNKTLzk6 mLE3u7cXejRX4j3CsTYdvgBYfRfevK4czGJ+G6wXnlBAiyFILuX4jTOKPDLfe6M5vmzE9heeGv6Q 16hwtFJE9ylXpTRwMbp2cdwD8bJBwx0l4GbJarYLeHkbepVssSdUY9Nnl/47ASOuZpSJd8a+qvF9 E6qQyIzBFlcEV+x0k+txHdvmEAe+CRGUaNmM/lRxzsLNbE8d/g9n7Ln/5MPWE+YCamFDfxQ08Udw RcN5C3DJ9Uv7/Pn44IuqCYWobf5vSL2RrNcH/4+4K6If1M8Sq2yAxoP+d1zL2lcJz6+LD01zw3t5 ghO3YD4RJBjXP26cVVtu3S5B+zmanMghn1T8/nYqWwU9DYFl0Cx85hClJ6sMQKyRWi7qx0AwszYz PuuvbgEiMwmvz9CMlrhAA1Pbhw7/8i5C4TtYfWaBvvH2V1XEFDePJuRO2dXy2imapn8OKE3Dwhn8 FP6On5kucEC3T4nKACqIDoAw+k0O5Yr07ovY9pLwGqCmnAEaefyfQDKt8TT+/fDrsBAcMuhxrsIt nFqICKpegHciddXpyjevHfg+0lNEysZdvXao4wW5w4kJ7LuN154XfQGpB+AiuuD5nkNwtJZZ4/TM PnY0e65CBPRRVC19Z1sy6A0Fg6mk+1Fn1C1Ut2Nv8kxFGi8CwUzVis9HU6U2rz5765Tf3pDdcwzd N40Pciy88lfWcxsVMvoA+JT/U761MAVBP1Hk4DEOop6w3oRVQmeb1sEtB4CEbZcESqSMyVKmVyUy /8lnpTUtkt9vWwYHyxOQ3UgYa//AEQqkqtn3Hnt5jQtaJvU6NP+l7H45Kbf7vj1CTS6jEAWZdDdl 0hpCFbJL51KjB0lRuFzVTICoQn5h0EsKwIaXsABfYiYrzj0eWyX2chV13JQGl7qDWIm+lMVCLiZs 1sm+6Q82k1thrkMxHhFyu13cfkCTaHTwWyyb72fTWn62nQBFbQhcU8aXR5EJGtDs8GiF4Gy2x2+H mPZUFh9XCkeHGDBAwnPwBhjEj+FaOEuyDyh3JEhHX7VvHgPP/4fzSAdG+RnNDOVRVaZcQhzIr5ur EUIwooXDld9ArOULLzstr8T6NV0z7X7ZYJUcU7ZnuRGlhShe6jgh0ydXClAXiTDLsyfjeA+ZGpnM Tt7BXtjWqyPpBjoMC5oYhHbL+HlUgMQXkZqLD4SppORfOL2ydQ/VkkbzXnAQq8OZrxho4jjqoPwJ L1d9zDeI1ZpiKUEun9Y5CFL8sZWXrOMGSwTV+cowIZFdcyTKTbqnaJZX0v2E+jW7Uycf1Zfjyjyu ucgdXqsfUuboEvRhyMWQV/CD1mLtIkgW0iUaA/ByUzObYXsaCdPoBg35Ra5uYxo/MHtDST05Hgxh 2UdhIvB2oosmNWaTBnNimurNqKAwALGs0bRSaqRRKRSR4TGkpkegEvwgw4UIoHSfSz8XhjuM/SUb 5u6X9ojZoKn+6J4ekpWhpxb3siS5OWLjbqMqX4c654pgfNtEO/W1VKNyLl3RPFe9t7yu4lCbo/FA rLCOvM4r4avJa1dz8G2cHX7KvOtcFCCb+kvYHDsDjTA83Xh7xn9LQdcyztHKf3W6RSmwnOdJL10b OkMD9wLUaPYn8Fno20FDuqwRX3RnOkXDAgaw7yGpPA7gzTKbPIUx8vpd2uhqMDko2Pqv7AllJLlX pFtwRyBwd+y6SNAYKRDV2mRrYslKZII31KI0Fs0iBk3sM3Jgn1eXGStFag2GwhesAEVqFDQqIJ3o Q9r1RbHShgYcP6zi3uP2INWseJ1H9apnbmcAVr2HTLRzKwSBC8zsJcl3IbPEGVd9KxwQ5pEWXC9G OtWPrMuXM8RaXyA7ZuTBpeLUjlwq5UsKf7hm3A5ixlCTETd56y77/FB8egjvdeJOFCpLPf3BNo4Z RJ242MtMAtwYFozq0GzpZQ0RLMW1Ke1G3tPCSiJpI8T7wllTeDyEjES9uGR99AU6EPeE8yqt5ORO 8Sp5M7IevuPZmhebxLvYIIfpiyzH72vviEN3CWAGxcQzZBHFKjdjAmdgHTD5+WW71LZpq8vJ7fQv liQmUjuW9HvpY278hGs/L8L3iQEongGHlC4jyQNSVeBEthd44sDNbzKkGkDxE3TVoGyCGx6wBYfF fe6T1hQmEppueXG5c5EGjFJ5i0uXb76hjE8GPWZgHwql6TysdhwnQe85sskawhTue7i/yyXlCe+Y aOMaAB9ef1XAjFU2s34RHnlN9OeRbywBgK3E5UJ09k4yCecBqFxOWXfxAKpYITgnUA3s/842gPkA B9+aCUUmzGrITlcKekLxqGLvijCZniD7c22DSbeLrLK2O7NS1mCaVbhV7KkLrGyBhqIW5ie/Wh0W LlQusZ9i0RHKcg95az3qwHSbzh87gfk6HXIsUddrkORcuTZCYb8kFkz4+9EunWMzPYe7PjoK1d+n 8fULX5diTWwTFaLLPIYX6yFnaaZdl6qV3jdFDXc4bf/Bb3AseGGkOlAJaSKC8IempvJ9bwMeJeoJ arzSClNe+la4UaECAQ5lbMvgvk+qXcZBi3tg1F4/GCm/HkTra1ya0TlPnB2LdVpc+Ja2B8YWD/Xt OY8SVxAFczLF8z163VRVA42K3jA7geyKrk1NWGND4EEbjDMbr42fMUi9cVQF2Qf518b8Uey+Wkws 74TUf4sVLkhkKuZcCt5GYLnwBbmRvHZUns49xEOszkwrErob0sQ4LqyB9NyeFee9PTBnI3EPw294 pMWWGXJMhjk6iZ+8/TN1Q6JKnZxK/AejKO7BYBEqtcOXq76rslc1hig/07z3rR8yrTH0Pe20aImK 8N4ChnRXCvgj2kC8IgjaBHKOPOgdsAbTOrVa5NfX/fsdJr1p6zRzfrTBCTwjiZSgiNJQ0GFMHDhC 5L6W+qK5hP2cO8aJGxbR5bk1mCLI6JT4bMi9/rJcQR8go07jYApcgYS2Enz1lArwk6xc1pRo7KRR PqC91cLfjNN4pV5x39kKvgIuDwY72vbM+rk1VPiTas5JUv/XZ8PrkgDZ1hdMLnSjndiG/56W177j lrGJ2Sm007iOrnlNqxDsWinmMt6zvmY9vYBG2ohE1mNOaAIsTom6ol6VJs/5bkaJP9RQdoHy30ug aQ/7MORO5SmNYAlSonp2AgpyTcBCw0xnaOmflvf6fd9sMK02bcyrZuXFVvRbigA0TPxksj7OUKyJ sUqZd8hUfAb0OFd9i9FbOCVpg+2wI4iYN+BfXeJTeA9ZvJJRyKTfx2YBd70Z3Sis7HzYID52MR0h 9Gg1VEyYfBbjwTGJhv7zebb5/1x2ZLEC2Ccquu/rqTWjuxK/x2B1XOk+XJmP549eSfWGdI7lnbRR 8U5JCiG7K23bfnmfFIFV27mH+rZEznOeCNE56WElVCAdpC7+x0W/KgJWsXCc86bF5In971DsKGUt +yAKWDveJfWn4kt1y3g1xGnQ9EOVKKvJcgm/i9umRwH33NYRqdwi1Nss/fV3Yrkwj3ArEzaHJG0m 7YtpJtxPTQ3H9y/06VlBVyf6sW2rc83k9rllqEn7AS71IKA5dF+h/YjvXgpfTNT2Nx+SRtl8Gk+o sFUXut2d4ad/i48ZT6eqXJY+hMiJYU/QeJGJXHD3i3nE3dTHmVsFSaDe7E54NXuaEaadH3jGc3IX BspxITzC0rVlP6YbvP6P976ODuVLBjxNzI7e4lQV20j/YJH3wAPVvORAkpBEqij3Tweu6KhZzDag JoS7OwVTGvD0KyIenLyBrWUocAufsnHQ3DHhS4P6HkLkcWew8W3ns+2lcYCQNGDnsHylX9351yYF dZ3lz+Z3CCs9KSAHpzC9zHC8zncaExiCzdP3t1ICOrzzQvEHcFbFf8wKXOzIm0RRdiISjjtzns// Xs4m8781/rdmMuqjLZ/K4ThI1pbBLSripZ5l9wRUHwWnIsbQvwtRorP2K2P4+9kOQNQpuep005H2 zbi7ExIc24aaghRED/q1kPf6AEz40UD5QICgoZpWnd6EESHQY+dDqEIWgRD+aowQM4NUrTYccrJu u8Kx1ji0vaIJS3+4nV2njQpoghl3dQnSgugRI8XfnPqxtnOzpWnDBN2sbnP6ftYjunlhHz2a1yY9 Qyq9bm+QLi7cPjzeoD+e5/tFCpWBFeZC7JyNdGBQpAVPvfGJAlT5Oasw7kXcdiXzHcsBpt1ogFyt ya/XbJU2VC03r6jCAKt2k/u1JgXDbd3qqQiOM6edwrnCrOLwf/Kw/2i/LSSY+JkPzeZ2xxJuhZaC gDix1M8eAnnjr3jCUospGw5gTuHYxiAeFWiNDI8oYNQLb4TWAZPOPJxGEQvdHtGtzSheotJzA/0W x2+mFbdHTaC+ww3vtRB42VYdIzcq8rGaVoQwdpHpr3MC3XkCUG6PavRCr9GeR71z6We65S4CRI8I 4nGxNd/AVHB/DxXL9GughNizqnPZ3TMPZkJp2rxBMufyr2s73sRHIvGNompAirdisN+Yp4M6zxIX KTqlQUjLweEI1xXtMFspaMbTxN41dvn5QHIFKtjTTeowFbX9dQKIUJokhkZibALRIqJT6CyRVFtZ TEYa3igidpH/eOcWZEduZS30O1aJetwjgazhNpqVPF9e4BG9XCK6i5tCAieVX/EVrNLwoJePto+4 iAFoRZhzRsn6rn7eYt80qzOVaxeAng6VFdvJbF0f6hjvLqVcJolmHpP4Gbnjc4H6uVEE85H4SuFO HlIibeelwJtglDuk3woaX629kPHTKcuErJ5CfRROHLl2/JsGf/GtZPhGlRZ+2A72BtuYA1sLYlQU HF5zRmsHoYKQOzY9iyzSvfzVR5QtQOmnC+1LBgvPte60zwSVFoCVkzBW6xFlG77yvdz3nPKK1g8u 2mmIHWm87gZOCKVe7SVKdNcvCpdvcMH1tyxUSM+1qvDED6dhGesXMAXOwNSWnxvf6jR5CsbEpPp0 gxB/dFO4dGlV4jVC6lN9drchfFNBg9QOS4psgdSsF/8Ch6mWLigawkO7P2oak2HeOLE7+HtduNF4 dATggYHwHQ4aq3ucCOopAIj9HVHgdsRv4yfuXNwHuB598INDkevcsJe5BKQjL+SxT4N5udTIuy3P Fr0UbefIMDm152vrspkOyFCgScj3tbn630vLwaQZFJBJMRXemP4C1DImg+zEkP568gRHsC4QTWwV NCwuauz0OxYNxZstQZS3hlk4I4qhtGF9voaMIXvofZQJ4+YHiKgUZzpXBa1aIe47Ji2eLoAEgIjK n+2wooX0C6I2I0YIKohyFhpWKeDqY3mtUwjqsuKOhj1cNWiGh77bDEf0GzxwlWysXM9YNUUbw3EP 6J3230mWjOwvpmQP0x2cYQA6CGft0NzvaxW/Z1YlMRt90nUnJ3tU+Xha9XXNO1qaJwvFPawICDZx /+oFqBcMP92YjoHwt0YUoopAiqMgrK4YGkwgAEY1BhNV03zEPXJ+src5JHxjatFQoNenhBcT6Wvv QqH/RXgZnxT+9+FRdpoWsgx4VO3GZfQXIWbuJ5l0377cUA5liU4iv3yZN2TXZA2BcSRPsN7GA1LP 69vH6fWCh/B1i24J7gMTpnOyQsWpYsKM6gr6vwR0IE3aB28kIGmfe5m3YmiEaoNovE9VfzO7eKEQ cniUbiENcAai1pGRP1P2GW7p8BpAhwskI6NGq0nZJevBE4oAE/MTu7tcWgHiY9wUSUxJxLHi0Hzy w+e8aWrSyWU7twU/wEJAMHPkHFrKKya6Inf43UvOpuu3xAeBK+NSMqk9S98lXIi0MbkPWkago3H8 6jR4+JOGtDg63ZvK4xNd3/c8TC7mvIE1J0m/bvu6fuHbxKW7Nr0TC9YrV5/NGDirCzWeJzd2wEji 2P4j6D7an/z9KPmYrW4GVBlNjvNb36zqt130GoDeUgBB+4JBW6cT8hwPBLwvVGT1JfK4L3ZI3xXn YF/l4aS3T6+LapfVoiF78D4g2DXY/e8DV97AWS/Kb/s3g/tBx1P/hn7cw+o5T7qVOUHMm8YRv3yZ bGxGHiY4vS6dzn5yXbAnrgKpH4rtRaMkS0VYwsMB5a1mmlH5rlYEgZ3gSuQPEG8on08h4hP30olk mDeog7JgKaSyTmv7v9LzfPijXA2eDQD0QC52XomtqRv5fTl9fD2haSGbM2zlwTORrJG3vNpE0DGk bwITbSoG1k2UwEg5T9LrSBs0WWW5fjW2HPykLpczG8PrzE+PKUDEHdqbAyzpF6OJ63nIIOxpOXyd 3vg7cgXqGNT+JiIKpTg5ojMY/qz1Nr2LOcNU2Oobnf96RZGuI0jW7v8EAjQl1i38aQhKXgvTGJhq veL/yTSAWHEVItso7ECEvilbgQF9aa0dNco+ncdl8fWZHbgyqKYXkXKem8w4Fc9dOgPKp1w7ffT4 wCXi0sLJqiefEh6Sg+QyE+sBvBCT16ZVGoAs1Or0CavcT02EBtWr1175FiCqNgTPVSLI3LvvyG88 2XP3KgoBC9KQQoLVNmiq34FrHpm4pHdbgrWZvbKP+gIB4ZPsrouK7fzyaQIp670SuHYOqdiGqC9W GQ1ubI2A3r8388feZCDCSb4+KDUZY6yMT47+gZscRFmj80z8gcHyRB/4wQUFNrT4FPlMq2kb/25d VKTMZFGmeDmVYmEfEuRyD/IJ7rdEhg4+fcKkN0GSsDmIXYoB9QyEFP+G9R2H5le1Fy7wM69mwriH ZqqvUQaqPmQ6lBY7Qi+eVtf4A9phsiXpDLbPsazP7ab/5t7CwhVDZCaqMXFdSoYqXEnw14GMbhS0 xBz5gs+hxmubQ+sKnj6tWtDZFjRDQWUY0ZqgrJ+6TuPm2Kngha5Mign3tWDUNycxhjD0sAYHMVqv PretjQNegC5YiH99LeCaZhbdiRU6i8yGh5IKro3mRErakVitrN87lJLfr9krrcokXO/RILKljy1o htLPU6Ip9MxksryoqKmRe7YOcjJg9at2H8ZqYNe1ddHHwy3NAlUmI/yl6xLITCtnTaa0AZDB6M+o Zi9Rdp0EleDFNUnwRr+TUclNzRtxT/r/kOA08NqCXUffSPs8rt8Kqbp5BlRoVPNSz0JdxeO4rFy5 ySKJgklhIJ2NRy5MosFsmL4VPbFZOvj5vBxFQtepZFKPfLy/mK7zep+J2/5Idm8Q5kl9lwR7/YIy FXrsvMaFNb+IsrLq1N7/qgHlm6RsFXJ1jLiUGGwUORd2pJre7IJ38fW4NHqBPU0VIPItuKeY6mcZ NVWLZDJHSSuslcewI2u3AQ9IPCjTYDXNpupmZfWLQYFXJeQDpgoWYBTboy8Rlou8d4xCowasjdLU vtkxlVh3+UnZzLyJRQQ2/davMinR4CzYEXsrn9EaWyxoDqAgv+EzNm81b0nVvOaQGQW1J0VaoL74 WReRChiZ9eH4EuWCL7LGu6Di8qD3lPsUeAFCQhSoiI94m4tna/FnuBtRNi9k0RhKj5DvgnMJgPAs bNJevLtESNIF6qoMtpE3JHrKfKiRtquoT1c+a+G7s3kpd6Tjuql5fikzyBjpws+yjNGonNz+j6PL 1aEBhUQgeb+Dc8gJZ7nZzra0+MsMTbpFbqUkDoRASPrrsl4DmQh262UHYwbpFpiN9dpFWvceEJfw 8dpv3mNLLhV3VdD5gwLLMwWHUe5WvoP9AY58fvtUVYfiWtKT7tr7M1x3qpq+uO2szuCtCO8/PfBq BWf7cl3X4WP4/orQPRainndCBptOaaBebmwQiMXlx8e0QU7DG0xRtzWkvA3/3U5uz6NGALnAjaEA gCBGk7ZWFq7bSCfJshTrov1tNq+684nzIEra/SJSDQaB2UCnyn296InghsVlbCzPUTN1njYp4tIy 6FY9lYZEzsjsouZF1yN6S2qtJWBvAsSw6X+SI3U9qH51zEj/Nrry2V6/Oo2NWfWJmyCj3yjjEhBc frgbPGRqAW+LFircAOIcNTxMUK6Dwlxf+s//eamWlHkD/ADC60SV2luV8fSpl6dvZXfprTGn7q9K 3evQxVSeRzc0H2d7mJ+PQgyebJv1l5cDRGdbT7RG1UVRz4KhB4BfaEO6X9DUQOBjtppFJFyjJtHL +ClYtuoGSCY8avCF5FyRuI2e2vEmRRK6LLZ1d8Ik+BJNkIyQQdY/CP5GP5ZbHlCLDwWt9U5JliFs 2LhDrJtSYW0Wz0Kf+YFdrxpgxfMyuJQdLqLCzGW+87ORY9MXRgCEfakFOvu1y3D6ZvWJVy0ha9Hn 2MikE7TaigTXIctzcFwzoPKLjaVRpmpzfW5tw90zI7HNnwgIh2XNg10Jf4GljP4MuZwkQWccW1OS amCwfTZ8SQuJQXcb1/QcSCh1rXUMYaaAnbf8zIFjCYpE06Z/cIDwhlyq28U437pGrqHeAu64Z/FC I9gWpuNcjR31WC4M0jAZg8LiilzPnwHIyZ0uBvsApGor+c2+4lBRBmEoFDfnF1x85MMNFUINjsy6 UswiIP/qQDeceFQf2oytWIT5Jb/eeJPwVfTmSR5YRh23w2KIp9ymSMiQBW3gw+SB8MLLlc7uF4OK QiF7b1VaC3ofV0eAMv5CVVF4w4bpnsscm9rKLrhbL0Fj6v+ji2wkKgIxHwWtrw+rs7UAx11qninD qFwXBXpwwWV3eKCqOAWX4ahoQHAAefV9pAkJowvj5tvPnNRbkIcSAxWPJ7XO+oKy+sM66DR6wu5W Sl+/vIMXuNJ590t59k6VpXAvNxw4jyfBsBRPTgKzJgUOIMYwC3HPM8K3b2SpKPXmM87twHKgLFuO rQCqMZNl6pRDSAkbjxhjMFaquaURKZ43X8ys4m4EmEPmFZhRVh8cm/uo9rFisGFz5omMsR745kpp eLgBpqSSRq4BCldiC0cuJAFvpMJ+67L/RUy5fC9FnLWT3/dJwKFoUrGiRjLSVO0VrSIvPMssQQBL yVG56fVmS97tx4lDhdGnfGmGkC+S/ZU3O7InX+uqcRH4ScT5wcVYXpYuLs22cgDeRe0m7q9smXs3 7fMLQkLvKy30przP/4Iy+zijlKMqIZ6zrjIrZ/6aL81bJlMcvovULXN3ndNy3AiiVYDZ7Qov5mY1 ZM4H0zU89Zx8lYxvd2/5HSM4ulCtXOrxIVtLe72fiA4sjdbtmgEIOr1EY8IP0juOk4j+atEwuXrT pQ6XhyceWET0mPqb+6jmYt2rsVtEGrBpKBPQiUia4diUrtg3j0uJxncIF3tbdLH+Pof7cHEVNasN r64vz6N6gdIqalWZfEfgXLJM4TdgqjwOQ6wdsBelJhBr+CyhdBaeltEk5mjNP9IvvmDZrhBWK8GT 5ipLNzuAxyRPoS5fw174GhqIiAUWilZpmuI8W+Fe/Ql/+TTrhK1YMWbny423tas0s4VW4xduD30+ XcX9IzU2456w40eojhSG3kG4sy3LG60+FunP+CVqPpjtUGs9goKo8IZLJuNe882MonoYuImkVA69 poWW5GlM9maQLtfZ/WRGYneCkcfxaX2elujThnmff7zHjX9baQkjbCdBx5pD2QtGKeO08EnN6MB6 PPLk6Y1nd3u2MYxvA7bDX9VNff/tyD5si2Nl3nGsMKq4OwwPXiDYRhcBOAhOU4lbr1wTcXJ4i0Cw zU4GFh49S5ZrF/AjFYgIljRU6U+spLim8JSDNCrSLINjN4Y5/GMDY12m7rO8virE7NjVc1Y4gtKd YrTteHlkhXXqbtcJV3ugeducLw3PRJEnEcpxq1y4BAF+22dLv9XhIGLwwfhhpgrIhXzPfiHvh66e sRgEkkdEg+qIrKCc8VwDDc7m+gTZAdB09VfBWeoAytkRjW7qjFMLuB97CFg2r+EZtXEhitk9Vczj gk2GySF8qLvQqmVFBb+D1DmZ+LLFhwr+cuPNKHxPhgrqSSxTy4KfO3b03UxzIGcm5yjYRBEFNGXe zeqHVD9JwalQPaAVCQwjQpeyPlZ1+mpcJCtpHjCaAiQvTc/lak+aT2UUjgVO9vQRHBU6VZJK4x0N ryZiNjs/dXMNuB2b9XvNULFe3vVup+JDnt7dTtGJWf3bxZKL7XJD9wuYyrFMSiaRfPZ8nJd19NqV yLhRihaLjpgXDmjsjCrZMb3y9lTpOlAwG5M9Lu0r4qUrKFTGT5T27pNkn2xnm+WGjvTgDJAaorVd OouL3sCAZ04N6voCS6fGc/fCfckwx/b8NnAxYG07hHye079eY8l+juJA+n00h5YXiKFialDUMfeM iAR7oQyBqM7HsWKvAvz7q7hNZABValb8HVjhxwwxVsNX1gIWmDtJAyHWIAIN4KzDeWf96p+qGfXd wYfmKDMtUk4N8zuAvc2T5uigEBcsrzYapTpA1ifWNRZMgxZlOduLuSnXdFMSH8ObYXlj8ZcGbU9p nsPUnAi1dcrmZai7i0v72EAWb8KTf3dBiajVIklW7JpDOL0xm6/iIzn2+SEmmOi2vCyVLHflEUrH Fz6oJHqlNCHSuaYXwXmObVMb4XuMDWJNMizx6l/5Dy1A7My9x8Pm5Kr23mcB5x/2cDq3olZ4B8gA vEANTfClbqaNr5DfgqL1sUpU9qVv+VMh3j7v2b9gztvAipPZs7qUztWhLGCRt6s2J5wGti+ikroR FA9iw04IwbYGPljzJEv9Gukm4H6/spEm12+J6BBfyU/UNSgCW7PXuWunMYp8l2BQMhqXcPcHUcO1 Xh6fXhPWCfBxOMVVP1EI3VWLHNfLwfJ1jb9793gsvkd2Sb3iXvGtcwO3Yn6C+TGLJJ3EiZnh/k7L 6U5GUyZ2emVxzvQm4eABLD2ABx4VG9mkMBHc+mQHwL8Ky0aXcvZkibXu0IYr+EJvRjzQhhwYKABj Sxkt/RO5vouC6dp96kmj3Db1Whv7sjwKxVgYakU/mdybcXKbft0v7mEjgEAUE0/Qnk6hkqInm+uc /z7yV665IzXmgc/nvQEkwM4zVqyWBRx3kk/SJPVT12LcxrlqJe6sWEKOeUTP4mtFoIK7RYbrRcK/ 9w2pyoFoXvbs4jwRJ8C/RqxyLNOGCZTumjN/CXG3X8wheWNWUqTNzNHXJzDS9gHxZ5ET3cGsu69t ajO1cFbZE9FXYWAD5Uqpkw1Q4+5qHF4PWDtb4KUYGzBrUemnOtfgZSVhQB4nz+u5R7VBwCZfzlWK bgtvUdYFtoehJZque9zIEqPgHQnDpRHTSV8wmKv4UhcybLMjzk91Nys3YckxYxb0TI62ktKKcr/E C4SrD6EGEP+hdIQGMCDkdJSOj4euMtSOGMTNcgWCgJVLy1xQPRFV5/BmjUqdifzZoQOaxRnmyFQQ QedhLmKUbhPw4F3KQVW+lLJjLcGTOHHpThW+7yJRaySerrJ18xFuRF0zwRYSBT2UPj2ojJq5ieDw iwVUK2YnPw+KZ8ifnswejlm9MHVO8/ndqPVfMk+KLtXMJIItXggXN0rj0I41pQmD5q+YsH5i8bIc JIj/64Rhz3dd/1btXA+pJM1oxxTrmi+wpkNEuOnKsU5U3ZTIs/Pagiy9g8lT/UyxtSBBDGT9TMZu 69ImjToISs1X9ozt5k1TZiO8wQ8jZz+ylGtW2wpeI6nvdC5/dL/8jsGCVt/StRpC0gwTMsdQjKJh JsH7h/doqQCSBQAeSkUExEDsP5TJzjqRM9ivjF1++oCVgfcb3W00BivXjk0kLVWv3ZUGk+v/GE/k JbrGyZ5OQr7AFbFoJYhC4lHTBnjcuw8Ya+PS8nVLuV/ARs6ErVj4sKM/mMcOA6v+i3o27uVIc8gf uN2h/DZRJgGRzyeNTgLcuSnBk0re+38pdsX+Rmt5q/mv8CZP6FTbmH/AAjQBgJINMYA2zHAeQDc5 10GgkowNr/Io07VNBfO/Yuh7g4d+j5+oO5z4+vxkKe95qaS/9aCvLI6KJ3/QNo9cWkUgLB+6f7Sr UxH1dNSQ4qt8JqXolz+hllv6G1juUSvt1Ra5HsT72AXqbM2gWcPkmAcJTOh2f+ye123N9GbUpTCy 4Ly79xiTk25TkAKorfhXE6pQRLlYC/TVtQYhHTD3XvYPJujoctiVcLIxQuxfswfCf5AR0gd6k30x Yw6GQ0U/+QmxFbq7YQjHGupNLXFQYQlLusftzpLvi7ohnWMqEWTkOCr7z6f81HT1qgJHBqtS1AnM a9pwkugh2ryfjMjwXNpXlMGeDURO21MZOG65TfybRFtABEJLa1vZe8PsVNABwT1XCcvIC5EW/t/H 4mO6qE6wmXue5+k/QEoxQJLM6cnwOwBlHUkMhCj54r/gaXGit9LXbfvcqF2hlXl3gJLxZB6Bo3X+ ZGzluUeSX7UQ6H5cqzxmFBZDd6pUuNbRd0gwyVD63byv55w4AMjldw8/BOUriqkUMwEWeUpZP9AU aG9l3tQfHGcLBHddKmuKliHoK0XiKC0J4JD3oyQi4Y/TBlGUqByjiMMJy74F9uYaX6qUkZS/AebE g1uLALn/MGi1E3hzKpbCF6oxFZAuO8PcMEYm/jfV75i71ZFuGONBrmamPxTX3z5RpYrGXqh3gS7K VSqrZlgbpZ5SA18np6vlAjmZ0/EHHVcqByz2Q6zQHY+ToPDhMj8p9lhtTD+pQZz99FUcS3uXcqbb aImhN/vlpaeLV78vMahIZ/wIVTrsAQsB66E3cE5cAogS5GmukyWEdcd20tvWB5EbNJuX3LgnCYvq +Y1xzTtZjeM0Vu6HP4HeResRsR4Z1GBK/tkB/1Xs7ce0q/KEvEcw7uLGCp6jHyjuFp+qh5MNWKMJ JMstZauvnx9vj7HSl65Lq06iy9fxNVy6K7jBiUkzxAAlMiLktrycfkik2UQKhj4JlVvQD2dT4waz jVLtjNlR93xIUSD8NtZmGgXxKGQNduUd89776fEl0IsFt5Ucrt/N/9/N2NDcy46/UFu8x6l843I7 0p+c2BSPFXdwD1+pZcmQTQTgUD6U53ZqRU6OcSZS2BY+cm8R2VEO+I0scBNSxVpD7InfL4665/hy 1SuT2KLAKpQXjfLHSLgEtT4y8YxITYL5PRVaHflcbKb8j3RWUtttsuiwBNYNVJN4UaAL+cl43kwH 9asjuakO3JJtJwz71W0R9MKfeo3LGXWFExabuq50f3p5NgsubP0Gd/801P54NopolowyONHcI7TE Gdudrl2CTXRrAUJBL/K/DHZkqSH1ygyFkqcihdTnPCwrWCKoBCeIzR029Pft9m/P0R0CNE2wLKxK XAfpokZZ81S/n1nZK7Lba+gb6V2s2bPaApIQbQqFE2PDvVUXcjd4BaR/ZgdBN5+g6YTncuUBtUT/ LCANc4c47eUOaT4hNUAx4Da85tfdmGM9rKStqLWLQKoqeb3xGwgEok5m1NUC3T2mUpu+PFsDoyXV xMKC3RlQIqazIV0lZHOGWwzEeGIISSrPBQMRyrcAa5HQRy3gsWVQTEV+yiilA7dUUPphGknz2nph uE/oQB6N8gAw+r5MBRBiZMwVUz3zt4+3a0ZTtPvbY7KYeMlijw7V1ukh1NPMpKs/VNxP/kseu0mk 4bC7m8mZIQ/eYsNJutq0xv3bAT9Z/2/SiNtDF/LYaq/sKsV0eKDRy4xHcJTgyrDKKznu/Yk+6oBb M4bQG0QNA3XMGtUbh48rMdqGwmOecRygGrJKfJJn4gQBzQ2mlUQc7oH1KxtnsAR2HUvDhfNPq2Il kitvsZbPnW3oubycMoADYfMIJM4djY5+AMzgTdqQFgCPVfhUHMgRCz0Msaws1eE1xARLs7IQtZJ3 inp+l8vWT+VQzogPveWN0e7wGVldYJM1aqJHsjyBp44tlT/kfCt1zUirYlvQ9sFPFkTdviXr7uJn CJsPHsBzHB3q5wteujWS1dwOxI5h/CxUsE35rw9B01kdeho4sk1FfbH8fFAugloXAX1NZDofOFia IfNKUJ/WDELcVg1b/c5h8yNcx+L5mB8bMN3ZHB82k2M89Qjx46fTpbos5km5UGnfZN3fwvWAPIQq 0QHo3gQ9ULdFqpFhrYMn/jLQti7iciYAYgqfOltpZv1e14UuwNRFeCE+P1c/SoDgbhoJZO2wxiFZ lP/HlUZO8MgXzQXrA1c4fo6TJU1b3gRuhiMBFoDCUujLWUncVjCSwOsQQIXXfnkwC2FiBjRVhR6E G2wJgSQAH5gsTs723h3Vxg7u2wkQOZl5dWNzdtaWfR9rHwMHiyHM3sdGe7wXMqIZSFOYrCJU0Fxf +nffVhojDoBzNRo/IUbC1WkKW+xDZD9yT8/rR3mjF2jLfEaG7vZh56+qDtGpDzf4TMhl8qZ7bY4q AcYau2q5qTunAlqph5Ts8ASA0W6hOksWikmOSJPCMAaJUxaLZxVyFpCkmWnrkAvgMLWQRGOvQgRt P9G9ZHFW5NIg8dSmlS0kQkQfO3bf5pinzGZkJ5phTGc9ZPG4CAkBQEEvps4KXsm1Jw8ahjyyEdWq GD2viW5vWfjkbo1DYF1GAG8hjCdQCRV19/jI/BUzWkgSbny/LjTI/s0DWVDyObiS8ezFfahez/M7 RrufJafmmMcoVq1sYg4mwa97mbQHeOo4B6mjngFAk2CoWXRjRTKSfZrrwvTj7wxj/IDzsI5j6a1+ D/ZTBR8L+cPxjEbnM0281UQNNHsJHUksWPkwj2dk1DmgKZ8c/rVF+d4j6Fk3y7dwiPI7nF71GN/f ouKj54u7AEWIVML9/Mcmhr8sumVNx8TSEZDsdjH8/J/y5nYwFJGE5hrcI7pgDpBh74UW5JLE3REl QnGYwBYpBTRtFElDHoHbwDf3N01vDkt6AomAFZYe8oA6Fyh/d/6JMYnfmlzUwU8x5TAdXH61a2eC vvWM1zT6vbWoO1CscpQeMus/2Akslgy2A9WipfMJ/YB4JK8Z5Y6A2VCeLCwiW15T0xA/ghBy9Vmv +kDxLF3nszUJIBl32NPI+jjKTrJhdW9y1jO17NwQomFn5sdvKgkeqJZoOCH2mlQf0bJzkuYX0A4G pcU2PY60SCQyONzuvCbXiGaqrbHPgyD7JtomdjBAmflusu/xOOPgfZTleeFhFxFBt400sr4WIdX9 SIWy+o7nGuVoDRfMGlCky4MFIaV6AzzqYBFa0q7Tb/nvEsMy3xLXQtmk9If3WEdi9kXjgUf0N/sV ygJGBm0jr3nT+15UQlE93BEUj+vnuAcFuGCZraQPyvDsnQxGaLF6VW0+Fcbtz0bz9b7lXM0Ia5AG LgG+hAi9icFYIkItO8oTwJXucDrwqxJjJzZfrAoJqu2e9MsTGrPlhvDGe+EAHngFwg7QTtQY9yZT aAz2FF/L3ar8akBSas9sGxvsTrPy4Ouv3/q2qIqJronQzwi5tjvQCvbz2VhSWe9pMBKxC6HaGwSP zp9/naQVPIcFHIpuA+JUpka6QgNPYRqexjUN7v6udNW8+m9b6vx7iwfeSTbazmyFiwOE1/LAg+gb ighVtMKU/WE3gOhHDk5b/rP8OE81Thh8dOmheqbFhKhM8o6HtKsgcEjJExwQuNEqEhyXslePfT1g wjd0XqDxNccHxboKHJisL74e+pFfOt5Hl4kHK2W3vTaG8oJXwi1DTIFvTSBrEuTPV9hZtIT4Q/gS Obruu3QmoaWxL4SgFlq2oCGfvMAUGQD0OoqJqkkVhXpq6kSA9TumFhUcduSlqWrxPnqAcGzS9alh NvDXYrAmgXRr7rPkD288/qdiERcE2QhGBu9Tf1M0ZrAj+u2i2n/JNGuSLELUP9v+A2/lCoLrPrii E9jjlfleM2dtOP7sTGnZxJGS86FtF1AyVd16bLqGnMKLxoKOKM5H95jbOxJu3O0tuPBf8wii6tFv dBFEWbHIuhvb8Bkh0I+Ove5zATZDdJAZrpy5K/WDwLxgEBcot8tG8VL8xFSFRcSV1J5PnzsS/9FL mvNyIyYJ2RvqbJJAV0ksxzxpI2kZZB06AyobB//TL5PazCi5HZEU2v748V0ggAnk2FaSpgjXHx95 KLvxDm5kjFo6R4qk8ewlxr1UXPQZQlEubYF3ZR5yeIi7CzQ8R8WYjkM9krPGFgjtOOKQ1Pdr7uEq NQcE2geeXyklyFuFUxr0zn3j7MzD6APf0lPTuWCNqi7oN0IbZCLEm+rUYe6ncOdXTFuqJXpGA4zx 4uJrsenulrz9So/x039wKshdKG8LQ+xRZv4hcuyG89v9/w9yjSOTLpgCsiByVVONnNfn7N8B7JAv Mi8Gc+ejlGHAiSOBkHv1YsP9W9VZ1K2JtDdLgRIfny8i/TKpYMeijF4PCwX8hplEgIK9TUNmgtRh WrmXeBjoAe/9Wx8P2ldwkeVWE8qA//KPQUHnK0Xl8CaTKplBLK1GLsGmGkgL6k7ickQt+J0sH3Sd VFA3w04Va7hTiL/YixsiDAl3P8y+OTPgHqAha8Kxflk8189WHoMDOqNl5H0RfrskEegt8sh15GsG LfTxeSysZ6HERot7olu70wT+lyfafNhjs1umjlGVOcF2trDtuq8E+EK00CqiVJLRkO7UICDPPQxu B3RUV1ktHrNcT8ISeSWgI09j7JcZbgjQuZePtxv0ylvuW+HbphJAh7uh+rq9yyrQxAtpg0hrEwag 0M3kZn9tGVUyNG7EecbdlxqWf2p5/Tbocp4PohtvYzvWLcHczmUKpCGg6FQioxF7WT9Ir1sRMCcT 6vu96dCfV1TNqxikOEsB1iqEXSCDYxx/JcViCKrQrxqRICSpugBC+qeTFrhRlOI0NdcyXLP2IuU7 t74bBbvntqU4nn70ONcTYAzsYKp0DTbkqM4IuiOqag39bKsxQ2h34gWWjDnv6ruvpFIY9+xpqoqO 7+lhgy7ynCk+NhCwVcMPbYutO3/WHBeCDRepPDUTAzyjWmmM8/VlSXuzX8n8QjHdF/hqaKk/WqcJ oDhu/GqLuyzB3bYMeXCrgQRToL7m4jo8r7192DjNm/+4dxz7e8eNUH5Ihi61/VkYLgqBSQvJ2Uc5 qgHf/itNgsQ7Mvm/0GmjY0rflyCmap1NCkK/udq39l9SWIXh+K4Ex2zgHXhIJ4G91dmBijJAnmCV jnucHzyxLwwizNhte31L6QRyHTbG31dO/Koq0H4RzUkm5VTWUSyH6FpZYF+ApW7tsBP83rou0x8C aB+BYCqId7T208yrX7hS1BWBxXyCc6mX26S9Dk+UcpGWANrdhJpuv6IQ9Xd+Cf1YQXpwima2nwnS tSdoo6ZkioBIzehWxGpV4LqyrpspfKrZZGVVGE58Y7vEIZqOs7Ri4pnty2ABUHbgnbJdcjPfP2BI 37w7BcZcbXFJGDU7EQBnzmM+OS1DZT8PtTgp4a6ir7hP/cWmi43b9T2r2njGiuy3zQX2yIoncQM5 FvPLLoLx5SgdJq134/9HCueKgsxN7hjbBJR4FFFMxWDSvcOz4Gp61tNKnEoFHqcd0Yjrxm9Wmwx2 oEAdLkDmnaiFwSaRdMA/o0DKXcEt11cspRZQJLVlUcf13FKTiVH1bIfSoNFEYX8IF2asyZxLplBX 6lwYvkmnQcqF1aQNaxCYWsmxHZMFr+EtFbqsXyke0hinBbZiemC3qzTeH05RjYfSPMlCMEmgVyi9 H8W31oaZc7Rj/z9qIkaMcTM2J672dOnb9JcVFCYg+fOuwaPWVMgGcocyjL7IXiebDFVxyletRGrK c0qf33oXqh7LtlFxh39CA3l79e8blynC7eInqpBLj+I6gN+Qs63UZ6o7WJBQfpj8iglF228cxIiW Ka++CI2JEMEcGaEw5LsemldR3DbsYy8lQ1H50A0Xk0yKeF5u5qtWhEfGXX1j44kIP96mlKnXwnRS 7L+pt/hm2VzJgW8r7KgfxdFGDvB8EyeWKKO6pOBOVuxd3WeTIiFFJnZAwzVBkjiY33tpb9OmWvTk gfruI9gFed6xvUMSnhrDNcDHp6QvkzzHxFaJ6H+JS3JlxrHznVDbp4/MpcexegV9ZXwjU6m7x48j 3ul/5zMC1G/BLM3BJE3ZxWWo+SwR0fP4+4ntdEaW61w60b0VaMUnOMhowKQmUGW3wiQXXhXYCRsq rANedH1G/KM7HpWzLc7ijIKugmBWuRPPIPf1D+IpZB8h8HCjbHfhLRiBi8NVDh58pPi+jFMoixAL rSo2dqcppAdXdua2TU2d+jK5Ul3dVmik3Gn0X6YLD0NdncWbTHZr8iYykyuE7P2mj2AcOScd452l /bN8r8W8PbR3d5MXzSoAdvmiC3SF3PmHE/2s4I04m2IDYJxhjh8oXfc0vGzl6ec0HJma1GvfuLVB VPycbP6qXoc6oVnx4HScOms8FYK7iJD4N5Sgat4Em42D+jaPVSMViFGQQaDS3Z1cLf2vZc7OZQbM mgc6ehWVOIwgTj34Xoj0ihKWGaAFPqC+RLuOqIxhvEb6CuFaGADxGwXrxKky6UL23RaomH0TCcb0 SlUNRfA6c2P6yrKzIKEhlYF23cXngcGL5DfD3BmehINZ7sHVmLgl7rswJnr3d/imPFFRqOCi/fT7 nX7GvyfWltYybZ2DHONRcoKQQSqksLF18qCMJjBuV20625ytY/4Nm9/7mBDw1ql3Gl/ISBi/SS0h OwHOET4rGCu7uY57/sgCAueehBd41C0H9ENhm/HDxQUWRTMxRcxjSp3CeVRCUwo8Ljp6nuFx8p/N Q54ap1AY62HRkYTotkCrPrQeHOjl4FhFJ2MSHdL1zG7M/C5ZFOFPZUE2QWd2m7MQMm1pJfAQyF8w yUEZrHbLwPPMmsuHrZ2eT1t5j8OxmgW928LF12uTC4DUSwSvRHkGxdAvhtuiyQJq7UoFAbJQQrX7 ciFeh5eJFqyh8sg9ogunkNLTEMrru38MnfNtVLs8GiO5mMMEQ5hm2z6OxvkDgnqiclupnzjWO5uI 6ZMWpcZICzELQGu5515oiW2S2l4ZyNtrnPSIv5W49aXmTwWAhgZA9CItF2LetPQ4s+ucNsKMXYJW eKaU5EjQ/4Ouy/mvexdtj2RZetbIwRuy3CG7tCduEuTNhdubgG3/VZAf9wLLOo6WrTqNfxqLMac9 ahOdU8TJ7meZ1EmpZh4X3lOqcbmp7QAeMDUvMeSC6b84S731Hi3RDJnvOAO/AlOx4fScv9aWYRwJ KBeEiHfCe4zvRGyb39NwGH1TZkH6bn6BHZU4du8+lpmVhNurH7Plv3SkH6QQJ+4HVa3VoxEjimR3 tVPdT/pOxMUIFE6f8Oopk61mGt7MoB+zA925Nez7m+CjQuaXQDXjcb+HFCFb99Ry1h7SJmKrxlH9 Sq3INPHz+Isp35rGM5LUaHToNAvloDLDFp9H1mk5ZAHjNP2UVO4szygsHffJtkp4cKclHY+HO9IV 5D/JNtp6KI9IsMIS/LZum7ZHtVKXfmX+UvgIbikCQbNqvtKYwDJupUzV4iOuJMNrbL3K0VOeH9mV TjZKEVxANDUg+KXyRa+aQMAW0L0xlgNkAKnecfNclPe8NE/g/qudY4hjOjP9rD2dy/UiCFE/7o9e BKH9RgtqEHUiG/IqKF/tMqJvrxwc3ZDjlJ9zBJ2q+QVBC8Uj9Ee9fUhvweqQRS61Uf+bZm17STw5 rYBrPounPooHTq3jNupajDWRMogT+CxswtAf/82JsI4j2mne1fnLV+3C0yDk3HBgUBF9CnDYHA2S +a2fhF5kCtQBG0wGAu0voyQ1lOilm4Iv39aD7+F9Jruxkg6ZnepqO969Q694MxyQVkQ+Lpyh91Ak +RZA7FbzF308pRQ26SLZgyV4PXy4gpn1qtGNzRtfMziKzDoaUjohzmXAgQ4Rpn3e/vrNJILgZ8If 9yh/dBJFfJTDU1BzPXDK2kvvki9wF4z0zZMk5kp1dARx5ytvKVMaL0cdtNYpw1rENrWTXf4KmPQ2 AIlZJM8gGqfrIZdWZCskyDk2WW+0GB7eTFZ4ShbjEpYbPrAMgUHgQQsCnT42dzHfhjrEiD5fTQhq MGygZuHeOk06AWZQkajImKSbGrt1dPXwSpu6gRlbYb7AafSkvROg+9cY4THt2qAxhaMvAKweoVoo 4bWIxLL7UnOXVyr79liUpQZVxJAknumyPiebstWftYgxQ+XcJWWyt6ZML5DcH+2WOd2cHk6rkC9L JOJQ2L9pV4KEwXafdy4f/I1k3fx3tdjPd0chLm3yx5Cmw/S1cNFrUl1S5KMf/fSEkFCk9ZbvuJGG wRUb+2J2Bowczl6TVgRyLjS7fNI0AeA8VW5VXTltigk6mKSnf8YqMxkJnfhQelkvjiWkzexh7Llh +1x0rm4iY1AtyHS7qhbQJ2E/LBqg+7PCD5YeWY0CxikKqmlukxOct3slh7ozf3MkvSOrUhYe12Zr pwxLkANzcYt7Kjm9BTmRSA4QUK8aH5wga0SeBLunn36oPyjvf7R7VTm7O2bOe2QcpHwLHqN/fODk drbrFG4RaIiaj+8EnmKl4t4GiOeWYNbLHNKio5+2fBacLcdXX7J4DtxYdigiKqQjgpkItMEJ07cG IvhFc/BBMaQXbXNSi5kUGDoM+koUfbajyv41SpkV4561M83g4cUaUjEpBKKGrY9C4BkAS2++dC+a PCAADIf99xzA3F0IpEgYOcHjd0I/Nhj/VAxsNk8EPJU6igvaCY8aHXvb8yuGm44md3PMhNDZsYAI SCM/1dwvRXrAZSAtsg8Oj9Ssr9LMeboIR1UVfzzGe/At9RDt2AphqT0LhKp5iASqy8W2/gV+5RM7 nZw8hxU2foBeAC0q2CK5y2MSF4rP52H4xNt53GqtVEyZo3t1UMQeDwSWci3a+N/3xxf8N4Fka3Lg sltfwG462q/pkFmmbpjixKhLweRYL4jcHuajOuiA7Z7kK9ltFlmC+LVPp/eEMvkFkQGN4XccncWJ 4F/AW62aknDn7uBCRJ8QFdPVq+npQHOPEqi6RtG7ioux0hZd59hyxFx+rRiFW3g18+lRoohnwYyL C9dS0PoS7lrBWb81jZ7Kr9ulvYasLk9nZUpN9dNRqJ1FB9DuROljeAQLWNTcivBRK9CS7XP7xjik onaKwJIR+DwG7vHDxJBDos3HATliFJVPf6oLUxcDksmCv2+QhvQmqSWUowxv2fEbMMpf9gSm58oB TZTCLzlV1sbfTYpUUmD9DN3onlV/JiXnMYL6fWTGwfDm97G4NwdpyxJUXBr2Woig1nJYT8uwt2mS iqYNGSOOqPEHB/r6g7SuiscIIuRwTcC8/fo7AvsN4OVcJukw3F75NR/M73BaHzqDQmxto9BjoROC Gddy7YnUoSH0Noo8sHjDKfaL1EZGa09vozoTzYKaR2nrqMc0SAfP2GEHEHxIhV3SQ3bJlrHuhhkT jxOA5bmMOydprKw9EIQMUEfXz3dUOcuR0UrG7XyDge2+UVdPsvGnM35Sr2+RndPPCvMBWqlK9I0L rbhli4JsvTK85k5SXaJL1ZjVVic4607kraKm5oWS1gXj3O7DR8Qj+jxvGWckNnYP1uMvlcLKh6yy 5UcOBUO8Y0J6kI9jYF3QWG2hKVze6aNG1QNHJC6jdHnTbqbD5L8N1XXRsb9U7WczKnubUVfF8bUQ 7y8m9PU9QPHKRt4u0HpmCPRG+1DudPqqLxlOGSMAIhjiBzayWmD8hjFi2gJZnVXVJb/M0OKHhnKe uifYDYYmeP0LnLY86FWClQ2vMyum8jgQJOlwt06/zakG0+hIWrTI8HQUmHTGdNP7Y2Vgk0eKVdWK euhkaxGX+6g4wW/tKuV/yuQWhZB8vo4RmTibpk6EHsEvyAMVDwlW58XUKxnraxQQPT8v77m3mSZ4 JVH1631maBcnlRx/kbt8ngbagCgY/7z9/JmWp0Gx240lKDGF5ftcfg2Q+QFg9y5r2xtfT4CB0/Yf udfzsFvtXkaxnZsKnM2aL+NEKPwOnXRwcJ5cb/6hQU828132dUqUsipscVTukVmqEQ5JAE7ioczU skDEfAYYcxemjycAhvmQDPO+H1oF/VEsy8RnEtPrtrlfTJIany+thtgDPRfrRXuNmLzp8myMOX7V 03Uq87DjLv6RKuqzxK65LTxuSEg2+1fXifg4+m90M1ZtfZ3OEwlrjXvzYi6HBblVdUy7oeuZCSrW +ZSB1gndylVApBnLykADVFFNGnaJZpXYgCsmpFx3GGuhwuuSbpTdN4hOCWaULl6pUdcLLY79crz6 e4C17q4zk9CsxeGkIEILQ3RDHkszgIFngjlZxfRDaSpN1ZFp+BlQW4j2fOJCfN6RpCdvk+NIS0FQ fbQj3V7JYgJ8E6dakYaQlFjjCOdfOKa6o8MefO0Ibt6Fs+12WOjdjdrPS69Ke0rsyrWqpacnfspL QibO5w27YDPu/Rstk4juCdhqnJKrnqP8312BWolMaFUCr4BQfpRoImqcVxEHXbLcad1R0PJen+a4 3sDFBC9Vcsc04SV/FUEpfdwmfMSXDrEa9HWpzJVK4i4tS/CERJtMei3PUHZe1K2JhiKfDR7qesbU XPMXHfhQw3pD7AKf4UiublOQ3ca47ytbrYCXxQsh8uQl1rS8XbYFAQzHvFwIofsSB8YumZZex8hf OG5tixZmvAR5SdTzv1/vNEMBm7MZdwJ0UcYJ1b6wjW+8G8qirtSK1WJJzbV9x1f5nDfvKtLJyqS8 BA4xYynbQTqNUFNcxCz3u26ZxaDc/DelPVnuUMHRhkmGKmVPXSzb5YBPHRgm3iYIO4OOMSoedH4U hcPOdZYlhL9G9LQCIs9r+7H3QR2OHclwDSRU6jdnUuYFnn4uSG2H/1V3elwSoPfVDCEKDUj5Xg1d Nt0eZtrNbAFnhkOdaEeqAAoPstSdnqnKH6cXxyw+qHvbe24CmGWBKPwXGAIihlw0nrlb9qMwjAYD 7IJhFbqgHDLfYcPf/ueNIjT5gBuuJj402/5YcnLQr3Z2uir1H9kiwwm3Yt79Ua6OYYRkMQX7yAwe fh5rn4EoKzZcAx8kMUzCVq7J7kzXEppkn37+0MblYtKfaeNQLL6V0zeBC9ecVspEb7pfndoVNwzm 0WtLlH3CuxEhJNHYd6SoSNTk3cBWN343CzSUN4agyx2T54uc/CsV3HVqd+sF9RVBekDT34ckK4ur WEqVN9G2ZsrIDv+SuteI33QUuAA1P7Djz6kNAYt83F1eG8tdNCW+3eNq/PpaAE9A1g63hLc8R7by 5+xo/jOfNnjYHmJrfLPy0gpxbq/jsYUyA+ZWTidWphyvdnzGW1I9qgpg6ayGtoahd8Ikc3bIRWU8 sqbVyQhm5aPpTe5GQJvFg3zziikVeJeybkLi+pTu3sjQWv93bOjRzf3A1erD4l5h8bUyukEzlGCC TL1IWSrOwQwSWcRScmxZrTT1ByJbfJ9yW6rGYSjdp9qER6kIrzuIplJshrtgZKgXhna3mlwcOYcg J9KZOsxQAo9Sd0aXVfdUl6r/PF6V4QMxr1TeSSFrfWK2YrVoaM6cmppJjd4WiAebaymvSjXyZ1SQ 191ux6UGaktvngKm5p7fOhX4Cu7+AN8yrCnOM5o8ELki4v+M1P1U7rp6hKwjlrzTlMe1Q94md2pw 5Fba+u5ZqutFDIfZKIz6POYXjlVLdEm5ik7/LPDnfSJYIRpYwfZkyYrb6hc1kWUxYWjk8cQIuMFK 02BTL9w+MNd+avGjOFX+IdfR8w+1gKsvofY93GoKTcsTwm32/cPjsSK0EcwrMHGonByqbmsXHeZk aHf0gRt2OVw6oZ0Gz1fW0GJe603/PvrYPjCVOxqePEJVoBJR+ZOwp0LjlVyfbPGtEQVdz0W7/z47 4NsdXqViOrrbGOsydaHY5FFobSIPuCQ+gxU+q6MAvlQgNqRBjJzft/1iDsHB8NYQq1fdQhm3nb2P 3iRRM/9G7UiPkwtu7eYwYRFVzV8466eUz88MJ5A5G2MAedf54rz/WtyfVOdkc92hO3EX2iE7ZLX9 0FtjcFW+hxNTC+Hdgv1XzOxKlJ2XQruHJZktrmUznaew167hrleMw8YBGQ6jrqVR8CyUXW8tDuCP Bu76UVIJK2uYYlruSzv87BD/oMUKFf3JSV1n9Gch4L0JZ0LmwwaZw97cLPZsRWLDSCRASHWe/unG Kvbel2oFYLuNw/r81QaXHEWoeKy4Ilmjwls7q+hKVAXHJq69Ef1LVFvd6f06G0PN3f9BuCNPJOB2 +hWeMFfIQZtutxFVQf4ezfpeinjV+gTP7+HNQjH2tnh/dj9ybvQuEIMy+GloZIZUM9y2kn57DRrH cwyN6i7arxhC7usaATN6mRINZrV0HRiUJtAIQVaPoW4jlOJOg9tkYMDlK7ye8XXd03uzHGBBeP17 Coql+LZikZfH4nhz/J73mo0x/ccEVKafra5Ku6e+m20H9S8JzgAaWpKTChYRjmPOVoKECrpgy/OU 4DTZq64nPx0q/qzCJQfRaCuJO6IUH+bIt3iN6gqzY6ftOThXk/oVb/dm5S4txhCmVubjQcfp9KD/ rlzN91SP1HONDyxIAs71oDejCkVIrUay1aL6hs8uiDa0P1zJBiCtlUBaeGqaQ25XMkrPbeS9Se7z 6frPP+bigcMs/Q2ZNskX4BBqau0TQuElL3Aho/YR7oAL+If6yJAfl6aUJam5ZM0n2rJa8s1KXAkN jXbsXooeIkhxByl8P2Ra0km8IYMhU9ltbMCaz7W5pAiv8XG682IimgmniDwWk3ZYEU+KHX2AfaDy VR+4NEVcLE0FQ6cgkNtmeysQnaENjEFjwy4/2N8dPj/8YejOg88fs5cRhZqHcz8khSMHcF19eyoN WsgvBtBfnxlE0UG+VdSFr7BofZgbGevCzaxfUeyv+JnCeXYtnRV1IEHFHMYuxUhTBmBBlIJ4vlh6 cfZUQcQxFZyLKHmOUCKIXQzidDzjkCSIPOS0foPGZbW72OPbEIiZQ6LOzhwDmDMgOAFey64gTIpE vDTVcl+OFMB7Nohb1Phwx5XOSZIuOKz1aguLlg5ucJ14kk2l06P4VhymKCdiz3XGBbJ4qdrkpOs8 NWEzmc78IgdyMDZQ3L3Ohwef3CT3rp5McvqOhIA2j5PmC51rbF6ofedVRyz3GvfcDcVh3Jb0IvLj AGjuJESOPhGc6VO2UfO64hGqQ6sYjtyjq8wV2NyW/NtRn194sgwGoSFzUrGeRxz2vqa3TwXsy5mG uOp5FrSApLZRGioNkgq30bM9V3vYVcqimN3z190i+je/Zzj05SlsigIhIMH/J2tQFyTMJLfIUuh6 Q5V25diNf/DmrX3LfTcNki+Uyi6oRGJNeAjMi3kMEvhpOXuUXC8rsICzUimBvub8VL9reSGZAxSE oaglO11sEkXk/R0zFrPBl14uq46BDDTgnadpSRSO2Hoxjc0O6pmfPNsMd5OKyzHl+qSnx8Le+SIx zo4VUACBLa42bl5bOInuZWthSE9fsF9N/Ey2SYmzPVYy6J17P4TBkC7rpD941mDZvxTc6dbl22Aj msJp8MFHyvw9st0ADAxk65hcSTqFP/wOHSEFbFm4lrC4ZPH8Ku5mzAQMiOHthXaa4YHs65pfYuri 0a9Ojk/y3/V+2v30wBt/989HzUUCYVyxlyBtJpgmNgZvS2MXEfCgOOdErW5NXomSmyghBuVE+hkJ fIaMn1QNaNNpm04Mv5ro8ABJlllVtKknhb0c099aPemSMV39DzOoyjfWZQQwEbIu4+/l56wVQICl Ly1YSq8eLxhTEIQ0vrRqq74EidGHVKpbogfiyFMzdsIhqwD+bXbVmsT/Op8cSRYYwsSsq/utdSJC y7GsSJj9+nXQWa9m8D61b9eWJPmZtwexytsfFkoi3Tv67+ZWrtUWcNgrj4q7Kd0vsteaE86TjjnE XN9W6PNCdR0d9iaz5GE5X/MyZBaJx1jDDO84iKcR3Eb7n2stLZ02wc9UC2UWF0ewXD5JW5e4lSjJ AnsYLlDIm6FD9JeEGg/9T9jBGv46rErE7z/G43N9JEs3Lq3aBKDH7TWYXVpWKldTvXV1uGDC2aYq EM8ZWMKFQ0CPtGs6gaTTducOEVJHDwAY1loTnJVn2QgC+sjNjV8nrj0wHP8N3Se6JEUjK8BeGNwV hgMomo4qeAqs7StiQktdQRosI3+9T25Blt6xzwXQg4QXqfbFq8qJdDL2kCX5uqxjeFmmGDRakb8i +G9XaVAZC0RwyJp5qpUR7JXzZVgQwccNUl7HSPP38rUFNecyLa/6F5NXh8FmU0pL5nUhFqLjXrvt vBdecpMkv6z53RZUa11Qhuq4X+gIFhWiuM3P0CtViYk6kibnLV2KmvLCnNP8r6AXVxC/JAu/l+nI QcqCMbizofVacxIG/COJyPiHgsYYVlrCqm08aE0wVkU8TVCmT5EkYXhEg0/DeFUyWw9KKWsdkAH5 iQL7Y23CLmfF7TGQiScwzh/K3Uxf452RlJ4Yii0tXBEJQXSYKsDh/z932cSTxHs3CuEVco8rN4II Eao8dqSXq7/9Kwf2yuYXTtsQnAmtEpoh1sQVhU1scAC/DkDF8GOqJJRlT0v6pEP2iVusxQ1DZWF3 981FEwpGMUVYkyQbPYi/SoPGQnSkcrfUpgv+3s6D8J7Xso4ucyKTIZw4459TbGtAzoI/dS55D/oQ 0RYm/ibv5/YbKuAq2g84EPIogmVKlR0i6tIaqO0eCYvGAAHLNojXm+/wHSACtMHD6nPQ8Sr6nGTN H7o7NUiWwvVVHkJ88E1LpI97o63dYc6vjJxPWk6KQUgMRtWYqQCym/rmEe3aDoYxIufAV3fNFlqS utS0aGasTrVpMyGLWW0YrTY7mhJ09yrx+ZVHvjewopyBJOxfVrm+XtHn0qEtWft9pYZxZjpUKgY4 jIg+5XAVAde7x5b8BuBC6dznCoUYaYagslqo3erTUqfcE1TR0ckcbL4akZ5HHcyy1KCXQww2oWQm 4DDZo+DJaGt/G+fuaMaIpBMV5KOykok7qjjghWZlVzwD/+Fu3e7PVpzq6shZgDTHTYV8F1urNEkk /xuT/dgl7LudioRAapWk80SfTreNx/Vk6fKwCZlplqgEYI9iV0aDu+VmIiI8ciCy+doG5Cbpp/ah NzijAhjvlBcsq5eGyxQpCGPToPg8qchujothkeLrZH4w92h8FV3bYJemXFcp862dx+vsCXy0GAF0 3M95wpUkEnEuVb0sP5H/ol0O7RbkqZheceYv3dExbOjNa9Rv0R6XHfYmmYtPoRumXcG9wyEEOM+W vyg476TKijrnQlfoiminMk2FYSs2d+NUw1grPQq0TwyS5bs46bmSsYdl7xk67nz9FQ7B3KDHB1ZF Pli3w0g9s2qiNf8gk6Ax40Go7DjLkprMnL5M1c6MsgdhZw817F7wPdwN54wIjnk5vKltTXi/HTZb hH5xKMgwMH0Hws3cRT8nXIcoYYfteCxUaVtYXUhHyAQjVapUYv/T0F4MeylY8kLlfQtxny/d5+hh fag8QH7LkvOKofDOlAv5q6MxvUOuXqKiujH6BV06y2gw4xGqcs4A+sOPuU5EtSwl8/9u5VuQUaHj qpeSPclkTCcuRVe8dULXAyztvfKD713+lMvXFVtqQKOET7gvaQPoj62s+P/no7BAnULz40dRiIcC yp8x7+8hllrZ616h3aumqTmBm5cZlBGsNEOlhwoybEtfeee23kOswR9TRQqqQtXJR+u6dxuNoZaL 0NpNJO3I5LE0bu1eprs8srYiJl8II16lPpYDXobE8wh6xcHIBxAC/yavJEdHlbIPQgyziLGiinjT +l/WxhkjOXXgmQI3L3iYZwJGFUG42b4bPrQd9SCP4KhpzCOePU+2Fd0y7LiMMfiiId7wOd6Rpmbn ZnI0W9iefLbgHa0/I6/PLnoI6gDXfZN+rT1+1QY4qHZuk/kIsFqShvEaDC14JPasgOTIqmpYpGqZ 0RxFFFM1nk1J94Ecm0ssmV+nWXCqDNQSgDKTYMWUG75M2eyEuPfcG5a7x6SVZAvIdUSqcRKAZEBQ Gka9nDHU7BggLXsHpX0zRloT3e2vbK/PUL7uRP/QI4Pzi9HC890/+eu8ci47td0tztfdP3p+asTB lSuXniPq9BgKOeuCW5Kw/+WhoPAR1mNxRdE1hn2//IUgh7sZ6OKWjSNsCEG7iHYcJEgQ2BNf6XM7 WG4zUshcYtPik5sRgJqJsDPcBQ4h/oi/kbi8ogUZzX59uXXdN2rMqceq60nJtJOWt2KkWdIw1nHW oNWCoC5bpRC5/AvnZPU/eV7BfGoAzE3hqG4x+9ISwgn85J874T6pOuJ/srfm5hstH1PiMb8x9Xd4 psOx9qI3Fh9hphA1si4Rv33JbWI3tlRt8ZYrILwMgB7rZflZmsjG8yiT1vtUZQwC5pSyH2VzEDDT U6qXja4IQ7lxK3NjaN9Hw+xzNCD3XDlTwEohkrdT5k1/NltmQqHjFwimIFfMr58g3SnlWbVDnAwR dhO3JYM9+HPz5y7/vOjXTi23+L5s6j+DbHimwESA6RC1/2wugre5KKYTRFcxWxKrhHsKYcP8W9Ez i9lM1PylD1wdhUNrnVMDHfCSORECXDvcG6Y1rpwzeaWEWLVGJvxgTJtL/4qIJwYBGLIHwONvVJmr 7MEOJpZn8k1axOanogB9rTUuYw+N1mNSBO+NCVKriT9x/ZHltu/oWhvGvFqoFQXmkqseow4X7oAy kCAyH7ucY49lqY+0GG0NuUdinTBoHtuaelc7ICrRzRNzZHBdKLuYhve7xJrinFErqPAiAMafGPcy dn9iAsPJrmvNICvVpk7zO4wWWJpfPS0WRUn8T0UoenUk2lp4TffvXzufDzp3rryrUC6uFN05xsnB KLN4iZAtrwIutOym1F9ACDF0I4FYZ7A3QtmHTXWC/9hNy/pZAyy3lPiymlmsq91WnMVIGliwrZBQ XDNdR+ajvP8Wb8Wg4XBo50OMhK6jFnbZdTts1bftCxr2/xtig8skjm7Ve6ZLb3kYnZg5mcDi3cso pdmjnyZ63p+jinJVOt3rzd2GvuOTQDMQ81aArI3B3VwOZtb8X1ye8sOP894Uw5bfNM+hcnA5YuGq D27ZgfbJsB2/J5fC86X5C2hJFROTaTPefeD8tvDkOJK8vsW+n2Laoj2Idoimk/GITx7Q9q0fkPoo BCX+jJutil2XHpegQD+yUeM9BU7SUbGZIyaYI5WDl3xz7jUtw7MKaxUwI6COysQcvG9W+mEwn+58 fV5nrLpFvvYHbywYlAujV/euIEjNTIH2MdFKkdD5ajbVhW7uHFfvqCbMj+7iSR0OR+C/r0rS3IcX VmIPeJVD9nfUdpPp4X2kTStvim41lnguRLkW/QLYp5CKTxSP6ckTu15Nfiee2MXevZ4DwIC9FNzk f+PAsBrzhpzjkLjagSeXvZ4rY+RaUOlbq1sCqtsLaTSp5845QyFjWFn7erRWFgNAJ+2/diESKDsv snfHzPbupJKAN8h4rBjWwCU1pioBhTSGsqW2qKEajADqnL308svP5mUFJ/vejTe+EzEqJqtRw8DB VQPLFilUIJ10BojDt+olQQWHC/ol/rJjQ7fLhAEC/pZiJdc05Qr3H761hnKjeGMOCQHzPkQZThul sHCoZdHDbXjgIa+EYJwZD3zbpTvRXuYvnlzP5OKmHNcwljblk5L8Sn/NcJ7RFlwh84jVOjHQTolV /gC90mrOv6M33U3WZPprThRLyfIHs6vWGvrx9YFk5cpLumjcaSU6E/2d2fnlVMlXpd0uj4EK1UYE JP3ggKsmtfwlKbtxbpW2OqyX65AbhxLZZkxgSGxSn0l/6ietndk2VbBv0hSs9ueVgejHfx1LHTkG jx69ykWyd2h8HCgsSMFcEgMzbid7ZPx2Rwlp+FolSKBf36ZrU+sd38p6xs8vDrLaXPZ5Ezg+waxq o1lU4j39InbrCNfvjE8Ms0TtIElfJwXEAlmaSHKgRdU0T8SOclSao37BbdFwDRQbERciTD98M2z3 SYznEzB+VI36M6jxZiqSkq7Amy2RwJpFB7aQTD2nLs0E5lVOT2ZlAgu1TKOD206w6FRCOA5kp50S YyEkiqcfdc53SJUJRVHvatXVZwv+WTeBayfam45O1VFDwl3wh+h5RBuLxG9MonX6tEg2kvTpUlRX MKsFh8kLlpT+NNeTnSHAgi1CNI12ni9fa8lc08OE922NLURX0XXdkyzL6xGo/3UfEkc8Ie2q3eu+ IkUQueErfjKqzZYCaC2CuKKsTpSOaRy0J/yJWxg74XlFa1jE6IrSHMbpEM5Cgna91XFRw/c54p3g Cgt7IPuSYQUo8hs6vs+rC/jTwyhBY352yF4/r4XKYnR9lFkHJ5R5p/VKkCcCCuE1LedH4NN0wTaR MxUpb3QNXv48X25HBA3/nNyPysVRtqQM3G9Vp302lEVNUnmsdab+lAok+Xm7w9ufzXNhiB371/HK 6yNQeL3EC6uQHZy1Zz5zQ9BnH7S7+DkZ1hfc/X9OsG+YANV2ejMgtMGwvmZVEc/4WeUj8ltpmQf5 xzY+BXH021qkZ7Osdl8P2NnSmv3RT1J08KluUZDqAvVWXs+rVKOID0roEVdqn/x+fIUw9mQVTjdA PTkekePauqpyYCQ4deA9b6MP0UI/yBIiJlLAhG6lZdiuKtJNZv/hWXHL9+Y3no430Ju7s6qbjCzl McEF1PfN6fL+QC5ItiqNCFH0fFQbAdmmC/8WCVroC1V8PwCqoY/x/BnsEOITZARrhHlxw2QKJd75 SuPnEvelcQiPYaNIb/Gp4XBBQTSS2mnlgXndLGcFsTQjRoVblDBn7HJoYF6O/Xp1ziJZvyctGGHL NzlihI5w3Ddup3jzTiuDvyl511GnxK1vAqF77d5IQQ3nXbntfKYtnaACs1aM8HpZ8xhoTWDGT/nE DrPI5bmLzHFA/5Asbdx1RJ9QtXdG4IUjXxWy1d5bd455/k5hqix4JfP0X3qjuLjwUvrJ89oYTJ7v 2LmP0+JSmRlSZKHLsB+XSYQ1kEDBE5KGsic3urKxVyYSth5XKLN5CirGSSvB621UqradPq0++p0f f/Wlu9F2AyIUIHTmhXCAzwAigW68L6VyJ8dR2RV5oajFhXLhny/K/QK0b87Rb5LkqJq7oKzzn6PR E2R9aOhySuOGSLPZWUDQG47dy1KhvgVu02weSNCxthBnGK0OSlWXYnQKM1FHyqokOR+BRhrbBb3Z lCNySuoCZdAA4ru64fWoKaCHKaHwIkKQGB/YLPhVrAq74ne2OODnfYsEPwcb6r+4HuFULCV8m0f0 FPts77agx3sxQEMZWyUPmH8hvWr5Iw588i9ebVmbLVqW2nBI7wu2dgLva4umSQPReN45m+de4RTS 8seNv244G3VMNJ5psjdqZ8Rao7uT8cDW4aLPBiN9Kyy6tuDKPYHYo//ay055faihGHgJcawyfOG4 yVzLSrYgd9gmj1xPdnPb3dCji+CnCTsi+yM9KxaxfE8n4onBQraWsEjCGlcDNtjapon6OfjFftq7 gMMDfEApZs7XQb2kdYgWwJqjfYm+bT4I8JAXUgWegc/Z/tSVE7BoXMxC8hwkF+LpYWZoHGGRLnnZ AIjyuautt4uR4VqPfHsozCqmjAyJbv0i20SoqI2UxYrihlH/O1twHQgnW2ADFtFVnBhgHU3Frcxf 2HhG82q/mmiJMvGiNKdGgJR6ijlfE6ivc7ceBc8mDHECNobS7oWI7okREnlSI8Rw899Mgbf6tuHA YyULv7lfyyj9G32lofPm3j/oHrKbhaI/XQ2lwN1f3rDiPDQZkA7YhnbtmAP6XZdTrcfoTYJujA+N gPZTlwus2zYu99b4CQnI+GpkJn9WlgIfcLS5oVhHn2PIikB05fkZcj1InGVi4nhiqOqQZ/YIXY4P JQCzxy6pQbFFkBUwsAzv88BFmUEO+5nsLym9s9LWJE2T+Rb1GuCDX7dyzQWw0W8cV+7RGUI4DN5/ upRvCBhjQdmtGaB01P/iHgiEjgiFKNBe/C2cBXQCrlTg8AWJBgmYhacWIUHJ4YToAjKM7obC/W/f aOqJol6FNvLp/h1Fd+FiVrmHthBz5wQdCuKBvF5tl730OkfRZVOaegMRmqu2GYw/WtHunjVn5RZt BiZ7Nw1DVWib1RKddl6p7SNUr/ifx2vAmrijWuBfTyKJ2DFuvS4AsxrnHg/YyccJh6zt3vR3miYI d+2Yh4GrGMKbPhxttqv20jKiEFnanMf9CnRhpbkGFaktPr4bmNV+vhDhb/U4sdFVjG+7dIELr1YN njUSGG08/KnF88XjTC85HtM4iLoKOQUo6WJ7dkkBAUN7+77hSPBYxPOkJke0xVatrxu0+4J9bVlm 92LIZZ9ytBegQLC8SwlxKQaL5fE0ThKg4TokrJDc5LRGxXLyKe2jysBPceearUjV8SkP02vo3atV 2risvL/XcsEFlTxmzYtYABys3h0n3Fi9zwqaLTTLp9/mUe3pkFpAkyZRRLT1ugj+X2DTNNShrhFL 7OL/P8nvib2ppeifmMIPVGjsP5TeFhcMr2Ye0/xmEwst/l1HZbVLVqhgu3u7mhEzuuks+oeFdw8A I2BvdYpSso+hLMmpYeKfpgNPdjuamfazP2gPhLR9EKI+Efn4lE7aabKx21wpecrqJvoUwPvxHoek 7pHdXWF59T/dACKhTBoLpT0P9HzrGnlzeIX65+G1FWrwTMvITBkrfoK47r+axnOl7vzLzlGVZuyE w1bOZJuKQGVK8ymBeQV7a+XZwaJOeII3cViRA+wLwIAf61+L9lfVdYX7LHZCiTJjNZISqM0yihZu DR1G6Dff8/i9rL48qE035M8qolJ+KhVG3Zjfu4VZJP0Gl2KV7ks92doOlyJgdcMepNcAJzXKkqP0 SEGrZkLLffLJGMXSNvjpqHiM6rWUXTlfGfqJ+MHFfznBhMVweOgNeKOzCx9fvcz0B4c9pc5DWs3n HujLtZ1Gyk5DDvjUm93T6T9T/edqZQ8u+yOkpyXcAyVTq7QzFwTXvS2GiK48npKOK0m3vtk5bmcD 2pie4q8TOU8rusF1OycmARnH2DYF+y+WUZCkYl64FGPmyHFGUnJIr0L1Fk8Zog8M2hdS2+JzFyE/ +RETYTP9JcY+JiL1ZD0KHM2XrwSoij5LyL2h0EjnGKJgnKi+DeG3t8clkTMD1VNR7hn6whMglzxh q5gBrLysj1jmcON3aPTnQgBAgSMP3VT+iT5WP/CCBfYZLGFFKHYA9vGpQlVP10P2fXDbPR35ViFG mv4N9AODCyY7ukG8pGVQBhmIZAx+w7jDhG0kAS1HGNYK9PDhgU+fBQrEmwm2hOKggCTJJwO0KZqy I+1qYG/stfTzM2VcNPF3hYfU1CYHEZQembaM/LBgLKP5/NCRA5CMAxiTjuIx5nRnMa3JeCcBimMO tp6QXFVUTRxuIZ3ez6ea3/ljgKgLQNRoOUhiYpt81ni/EgJlO3Yo/hmSE7UEOIALco2rCF/HyxpO rNUnx4p8GJr1AQ7j8sqOWLCqG3ShvYZ/dksSZTvxsmxVK7x/XT3PF6mEtbRcx1TjQrHRGypUBTw1 X7NuNMeEZHZxN8CCZOQ9ZAFXuYF8SrZJATSKLQZjwnrJYlUOfpco5Uf0MX370wZUHoTzTeYuxV7p itLKh+y+m/iMp0geIjQwJr5zPzFazC31+MjeIZZIkzFvvI5+LcxuuXTlnUzp8ZJCvB/0kIwfxFLu ANyF0VVoUniYaI3Uvu+1JYnhTKZXAwGStGvH87/EcoEJxM5Htn/OMRbWzszAgGm9QUba/LZrdOn5 0zOygaPmyYdgLFytGZm5XGV/voEupaA2Gzhuol5ftEuqohGJVOuZGXJDAcIiKkKtYFj54lyzS2HN yfi+eckwBHmSlECMT/KCC9SRQuV32vOSFIsRHvvRqYjkGvIsHxdL+iJ8dMiHttNjqbry8Dy8NrAA s6N9+fAOR5mst14QX2z/zhrStROShi5uEQb0Aw05/e8klQLtUytNTCnDSkUxpk9NizJp5h6qR2b9 8obUYRrXtloifGco0TkWcgTwqIDZNN2/IFjL/dB92b468HHuASszSVj8e+IUElYBJMpfnu4Cyptp HiRIb6Y5saehOC69Szn2J3Iy7Plg7bL4ExiEp0zBN1nuXGRL69YI1oNyeU3ii6NWk7PeELua54Wb A4r5hVKLXMTZnbboFKq7G/3clsXk8ctHSRRvAZ7eXAsm4HyUV46q0IMuS7XdKyTbOemWnjlUdc9P YCXGRmVOxN4RDxcP8VxqZFRfhxSFpGzpkwbqhbsWSG5CWbdBCFkJpKWsnZZ2ixoJoEdqFG6S2hmz 2VMKlndu/186+6VoxswjhnM8DudN9YnLibkIYISBNThzCTf+RVrQmd85WSJXefLj8EZjuaedxyZM RCLDevtMk4wXmb8gODhbYYPiWGrKjruGjabxy9sEPLs7PN62PYUTEiAdoDXUL5ngfk9DiOMAq9hD ZwXUKBNO1xxttUdDZOmoXKqEzVzlmE0soqewkJhgBbBXJmMmv9UPCuuRAmA7QJs9IKUHNn6HG3jo 8RynKx8QR5RKzLN+3O7XwAK9IlcwXcxQFu0EQi7vYseyj2BONxJrVVvbkly7LOCOWD1jNiX0Vd2A TuLmOTvgp4CQJALxfYldncdh29qZMYYOX+UtuVLqvGVOd8R//y9YvVTrYK0Z4+CVI4OFbQRsQ3gY tbngiRKV2zUuZBfvxu2JxfrQ3O9eD5z/mvAOEXyqVDCYA+JSttQXnPNTSFaJnPWTO+TXpJEHyvf9 XZanmE8Bbg77a4nRuDuWPIdAlDGhcRAdST9Syf2EkiRwNSE7tqGrqlI1tsZPX92rQnPWak7YBsVa 2BxYuJvxSrkT4U520rWVFeoNs3aohzgYW54Xlm128CtsZ7uNQiXW15pk3aNYUYTBnPQqE4sTeLGj sLLxRF9KvS7OuhfNf/D65h/6lspE2hPmBZftpyzZkaWaIZI4sxp1OdRkOHeo9PP+dcJLbDJYdkBl x7ram/MKupvDOFZ6gom7dfGu8t9HxB2Mn/caCsuXpl8cFcnMiiT1lNbaOkgYodEiTV9qGVlQHqVS aMR8zeK2SDSxPIDwPUf+6Txh3qHzVqkyj5nxcjewnHbg6e5stMW05DCh9oXXqKYw8jhNkKnvRgzZ ivYk9L/pJShsUAwcuJZyMkzr/oKnqb7z0V7LL/z2Me7w2qCtEXucF57NmqHdydbmSjldcWUecjyg kGtewBNhaVRKYeuuxFZl0/ko9/YYDp4xwtE646D/OvPzNbRZP5ujctyVDxYzfuKcgdjiPQCRCTQh gTU+bRCaOvhHp4pST170cX2qdgenVlKBG0EdXr5/VkJOWjDJqsayyYUTFKVmhfbD00bfFWkeYEYI nu8Bya4i8e2Oz8FRYhkW5RLP5Xm7ofkB/HEih7SNQgU2e4drAVFRMkLO/wv2hYUfdZT6NtXbjy10 Vm9MqW0ExR/sBYuxsaRP3w6rMi2LDET2dnKN+l3AtisJQ7RDynuxqegkHGDZtKbxx/gzlaWcA/AB IV86yFCrxIRTdxU4xYHjlMR5EoJu2vWc+ljodLE6HWADLAMq7LDfdX7120YTNRVJ3xTJfQyp/xsP TNnq0ITPCYqXJ3mzdy1Dc/CHzQ4xe8z/YRc59Pto+AVcDlihLokT2ebkViv+g8oeug5DrZmzz0Gs oE4oe+XfjZsst60NRGUGoTiWso2Z3lKxPt2gNLNy+F9dMXrDYTIHbLPQ+CA7xIlDwu31jmSe83/A x6dLo0I0GUIEUWy61DDOe234t2eDEnkZQ9WbBbd6VU9YHvbtJKpvddrJGqObSXQ9X5yEXcXUsKCI 3zqpGM43WXmatuLpffTqm3gFlK71Krfk792Xb/ZvPFM+jhkvpAJl4v6Umfs+DFPa7fTeblHbXikL BWvlCEzf87ntxaHdn7oJd4hghiHyfu7lgsYZKbrHEyxAhi7DpDbFA3JW0TAQrXRaXjhQMx1DRdUR eMmTrBTWyp9AdqTXhso+rdnPgzG8RliHr2OeSPkRS5ddFfd2k5kYX3r1PSgKTTNtcjf+Pbfaj4mf rG02Mzx6gdAzQVnboUefTWW554so59/kUwnnTsJ5st8Bg333ce4xvDaujTkxMQMM3SwXIrv985u0 pYE9cJB/CQWiH009ZrsU56fgqlG+rc3elZ/7BSufVBIe9w8YoqzY2NZa555ED7T4ovC/1uobmY9d M3v78Wa2Hb6A4TBpo9qZQFylAejM5Mgdm1+Ex35/ckoHMZEeK/rilT2xxVdv5TJHl4vuF6vOpXLZ 7mcqjFryVAxbFr/ESlFnLDqk97RINO17QMuxswrDq312WFqxStEkYMu/45V6+y+penf350jTCJ3S CM3R85lyqwGLC7XnfD8qZhHH4dAM0mW4kWzcU61e7Ig1Av0Inn0IhnZkLRQwMdjRWW8znKzObNO9 9cF5588KaDl/wsmlITpWUQKUb2Rdwo8y2IoCT8i80bglPyIKfVDqPtQX465oMqW40ZoH6HYaTR1H WFFcnv7W+FDfBAHECyqTDMIPTXLLGqlRMIvaQk6K911upqndgewkPmKCmAafZ0gRR7mahKEpcx/u 7b7CQo63AMs/yBzMLiJBLyuKSHkEpIZ4cFfSB33+ErfgW2HVjZ0ooy9kfNe3oW56sHsGj1IrPVxb +iUY2uEkh8bPZFt8gq/s5lbKz8Q+E6yrPb6Qb9DUOztm6nDAp3d8IDQHa9x4TZxAkeSSA03hb3pP Cdw4pQFEldj+Re9Z7PKx8DvC5dR5eiwy3kK6hS3wlsIsAwL3b9gR2bQ9kHLfeal0tL97OZluYYyY POG07ovEdzw2n8Mzea+cLeKfwPm9QfOUOUoJ42mdmkV9q7zDTHewcVeNPOiwaXtwW+01XTqIkKV4 fYWFYMNQPQEVa6/rIHjvuJ/9jRjWs5vZZZbzx3kdGJB6fu3aNSYQM0U0+XwxyMhvs41AJKwAus5H qCTbc6RpFkqE2EgQ2wfjzSjsa6W5MGCSYRD+ruXyh+w+LGvdFAnjXTs7lBLocIZI1X+eptyGv79A RuvJ/Ly+ENs4CHXrJQbbgT3VWucY9sNK4NkC1JkERHkPmVd/cdh/jo85griJ3SeZojQGkQG5pi3W Cr4mVUtAEqc5Ebzu6OfYy0qaKAP2CblL7L8EqnJHLYInav7HPOaeyoDTi/KENO58qJ1UCCVj0t6G rlJIBy6+9MsOHMMF+DL9qwTFxOP3p8HSZ+bbOBK7hefj0eUZx4ePawWzdrhDrMg+m+pAB+HScLi/ 5vdhMjKIW1UuIfYNEVowpygVWwOQWtnfa6Mady6prMyNZZaGrkBeX8cBVMbi4epAwHQx0Kr43+8l D8cIFjeFnCjYcHMGT5qbKoK94t3R/M1lo7pz8fzjKl1buKVKNhBQT1Setis2QPPL/8LJ3Kks4dtY jcafjaDA0JjFr2rg4ZRvyLIpbLvIuslXkKUOjUx8QZ343gUF8XeJM2y9gZIKT7XppqVqrdWXt0wB qU8fMyx7FfbSE6cArQ2n8hTsRFaTBVGnuR+v5f1nGb0UBdgHZ7WUPC+4JnIX2h4Grzcu44+xOjXL w8QNzvl76GHe+iaYJBdPodVs2g/H8lSy7MVcxpLhLq8OwVrL/ediHqNsw6lUN2ZPDo/eXfuh/41q Lr+wth+yUUT/w5zRudq5CAUHUsvD25FgcoT4elzWSKyeR3YPrr9A6dWvquX1dLNuNhP4iH0PW9sn 3POOM8HAAQ0BZonUvZigRunxyQpd69VFApUBs/y4x20t8G/QuePNjCiurmXZVQluYvSBWPBjfawQ n4lsO9XrL91v0ufNLLW3MZ5Y7UC/ij13kRzTLKjz3f/1hqyqgH8SuGwThwv+gt881bYwPrhVPZ0o Ie30d/H/Rso5LfASnwsLcaDOc0XZuaYKdzP5VOYvvkSNixPevpbyLKGkwOlQYiwUAKPhn0/TVN/2 d0Vgk23vMEGKiCHXF26hiOUQTYGHFVDG9Wla/EcZL139greZroXyNU7NYprm2nbif3/BeuMiy4bw a22qNzZ9KHAA19MynL/dENqG6rRQpMmCNN8uVFEx0cjWlkFpXi52OSLe9fpaW8inSqrASTNMoAyS NxSLGYFzIo5qFgQaQe3CWgaTl2iibB4BhXQSW/+4DMph352Bnezrm8xloFaFBp3k+VnRg4iu+Eee SS6WFoMCNLrnZNlGFmXYFOBIf0jyePSpbzy3vovhv7hKmZwtoBxXSC8Tc07KAfWX8knSuzCdrkQG O20jLXZFwxqLbqYE1enUzX2MSvCI7mW4WAPLkDRKfCfQbcfmJiDCDCqI4lvq9o4g/VJwK5uCpw4X AogPBWt0/nOcMi4k4oHo/joHwR0zLGjGD6C6pQuN/q7PmFeXRYlhzDMVRYKpgjDxDmZudqIFZS/r Aw7ywEq3nVbXA5wSa1OHkuxrOeNqRbVYJCJTyLaWkFx1ok2ZMwhNqSbLCTXqc/GbKtG0Z50SNBwT MhCxrfQlj/kAp0PLXDZdsfngx7cXhfeSKi/BrcMbbGhVTaWpBv6TPHO/2RcWzs7T8r6LNDRkyGfp R+NlQfQP3Ls40PksZzpucmvZeYfn2wyfGOS1E3ph2J+iRs1+iwVHRj2vTL9ITktdKNmjlN9fwxyi dW9hZLjEj3hHZjaEPe7EqjvaYGa+WEeGurvQPRctbU14k38Jc7MWB1Ra8mnw3+jCaa3uba4ki8rU eqZAxleOd+UyntqPnPnXyAMjyRqgbgJ3iRozgrOro5BVYmJvzywsBFQCGynmz3d/Oj6jrtotE8Wj QgOp4aZy732b/oi6f1bQF52QhCU7On+8Yl38TpBrNeMt1yl1GSycs47+e8VsOpyFN9zysOUm4AsC f2lTrwlYdgoH+yjg22C2iWA9sLK/4anV901905OQp+lestIwc4oH0mEbQwgzfW1a4PnSiXJLRPWE VxBHzQQq+oXtsKKOnvRjVTQiR2fzBi6i6u6bxcXs/MeC6qeMQgvdX1+nflj3T0nGy6qYF59Iuqy7 EqAwrf5yx4H77BvmCCtV83akHxG0/Tb8xj/4xnCLJBH7ll/ISxNqv8OC/ACBYjuHYekvEqI4cUcA YYkN9pnnfF+/fdn8bUx+8qsQsgTrXYPitf06zaIdmG0KQmMCuuLqhE7udtE5f8v9XMzbQtjUGk1q e8Azwm8KTNieBvdhBgyQ2xPIi1wzwNh7cawbsokcFp84Ilp/3ZFFKonB5Fiup9xJ4Vp4jO3bk2Q4 CU9+3M/fdaaD8KkH1zR1HYUchUf7fqFqhTpLM/tEkIATqTeDQ2yVap0ZalfkTqnhDLSau2IW2s9W 1FHYO57mOSthg6Qrzv8855zy2OmGYB6sakh2He5Y0fRFqoYoGSvdwg8dQ6VbBmVWYjkYbbv5vEPI AmeVQwQQQr8Y/HVMGomU6XPg3FYJCTROGo5HZPatM3Ck1xicv37xNP8VfoO12TFO0v0yjmcrW/Yz C/Qo+Z+iGFnjvE9SHXgbnp75YUeJMQ0k95CggiGpSVRI+X+Fp7Vw4ZZhPya8sft58KPrPLNJdlnd Ua0I/uYhNNGWrjI7279x7DPdEAJkJUCY3t6+2yHnJtp6jJkdHKchu3UJKzSJoSGK6D7QdKypEWE/ 4OxjN62ILVG6mJ7tXcIt7pKHSpPfckWHpzsUiQT1G0jUPwAWCA539Db97Pao/Gpnvego13PyKIdL Go8OrNBJig+wV39VDDsFqiHYSrK+NFOWKgfnJ7ZcbnbRQE9p8bR9POs/SCG92/p3No74OfBLpQFL UtcQAKmoWUOYtfkcDceuvy5F/X4GsjiAxtKhPAmXJs3tAvXqKTupTIuH0uSX1s3l82Bm7fNCLwtK LrLc2dOGU13gvKV7953E7aHssz8miMMvQ8aV0cpXBv9OPQ2oVDfJDtBGAEJDdJmg7k/SnjyZSddi 9hiyX5EOiKyHCPXWmFO7k5znjxNCuDVaglgmYSSELBug8osBLKlUcSTZhDGfxPZEsA2yc0aY4T1w BIptP6cQ9NOtFQutNAfPDKzc5adYcGbfegFaxXP/Su/yvYbvUFztBf1Gm5ejF0DoAD3SIbo6ZMfz VqsQDh3kB4kfrkmpomabOHVCn/kZv/pRkfN0itKUxGkYVdD52oEqYnP8fzh9jZt3AnRMFcU4PoY/ C9Ko+9+zDqwQ1n5bSRvpQ42gaERgGPqoLRZtpXlPKvriiP1OSvp2PNN+QhWTR//gOgIC2lZ+oD8E rl948+jzX0selOP2dJ40876vcKKzA7rjCyG1ahPIrcvMtBgm8CqHJtPLN4SyeO7LlnbOGk0U3gCP A22ATOgO0kQsS2EyrJ+A1jaL10LxwqlyfqU66666iwH0U+VM07M+Wyl9ZPiVg8jXBCv243fMpsWn bOCFKMReZEvr/lW1m7WEWnl5/l0TUBurUfMDFxBtRnulIy89qvULgx+LDsOBGbvhTlzxjBf4Fkhj Lr9+jqSm27vSFjCT1o2rP9awsZJCIhBYV9pmDrI9T+p2NUzZWpAKoOr+D58Dhu2GLfD4ZW+4iSmS bILLXPNXU5y1NpflrgZGUnxqz4k7o2TDuT0WgvoZkoKOCZgV62w4FaVhHkLlByoB//4ZxFY2pXkZ ZQKq/lZCXyFBekYqnCZVEIyLfkwHDPg9ks6YGU+Fw+mhwcm2xiFa6/C1V0CtQA4VjiHAvULUTA90 F6wxyBkJPcs0OnR9PVgYbYW0NMgJqSsei0M0YfmlinA1CP3xlHh3E5JBJ4iJhQOGhZtLsoWpztVb nQjK3nneVHzs9TUs+rmgkXOdLxW3Hkjp2RizoWZEKtBkI27t7kkq2XtB3j8jGr++Fu+6SERkTfTx 5BAlaqTfPnuGbqSAvuPHZov1B7Szapnsc9dJwBdjHcqHO2mUJs3Ujx2GyN+AiYCJHX1X+3RLrQu4 UY3bYpyXxAcmp2g3J82QNbJnAK4NCF1XRv/crA/B5vRU2XyUveUvtWjmR+RShzWJGMsL0TJIwesF B97FNUCcp5ONIGTukz7+AKD5nx5FmUGxm24i/i/S69YJPiuXirFehm63Yoqvyzx3dRFr9jLp7IFT ORkuufXfNpegonZP7YIhgWKUtO7BJHr2YdBMV5bNJYj//f0jaAoC9IpBsG0OgfQaX6AsnBNl+nxw 2VAxeyrYEyf68lNCOMJ28v/tzoCDT+vqI+XMAHpRD8Nf8XfutMIPtvW4K1dK7VGwZ4bKm4Avc66v OYg2wjbHRSnvIf+P7KC459gepSIi5jkxtLTIshSOJ+vPsOXnKZ21r+tvBvUiZYd5wzhDteYBL+R/ BlurT8G2883mOBIcQEiIcpTau2YAR4zFsfejLFpeQzvwv0R2Kq8EBrWGKuRHV6GQBX4s5dvhiNAd Ynm0KIZWtBs1Q2ZZJ2eXHfQdHp8l4k9P3nOIfwO3YjjLvgkrjbQEpKa0I8DxFove3ukHUroOdZ9x gR2ENkcMYkIhqaDxkvlmF+qmObIJMYWD0jnySPdMtwjx6j0l4Rz1UqlxXt0va+MQtj+iUeBTbMqw WNedYur9qTy7c5dJulIfj/fcaooplhEEzsjsmVLBLFfUf+cG4wfBBZ2F8FQreLsGGEyIjFiDDT80 C7ZYVt+Rj2aIj35sWZ1dos9VcYDnuz5iU5i+Rh+E9MYb0T3MTjSjvFoqxubuMteeApqdQxdYXjaL XHBRuxMLCB7N+WXz2Gcnncjc9/Nb0QPhbMyN625s0Yf5YzLVtUKpaWLM7mZ+JLv6WauK7i1tfNe6 iHk2EvfK9jec4YskTygtJGe39BAraO7UsRiVwg4cQu8Acjvm5QWkDjez+3gPA10vZsRQ91N6Uj5V 9ArB3Vym9GC5TqcyqzrUuJHLLFmFNIJm2/wyWNtCngU4IQHbeMLzz/KfX7bsiwe5f+5QoIXowP7z 1lQkFfFYQ/AJsCJlC6lwbcz7qdQkzVufzZsPoKxgLvwv3SmKRGCJa5MxnIMAKgkr3QkFif6HNZUA kGlUV8ZuKxLj3guYo31Ph5ajTcXJ9X92b5is/VQZ/RCLbmh6UNq+7/Tp3POazJHMNTxIOMxq5kND zCVwBjiIgd/lenEkziADDDpDmPM8sT67KD08zypRQaywnmi9MhrZl8WVmPWYaGhvMV/94umBVAnN ZwpzIfTiFfCwIuAV0kUoEwZanLh7SFGipdn36+FKut53iGSc6fAJsnPJDXq0+drScQYPQr7JgEUW Wux1tIrMPBxwd3ytfpzsRZqR72HKV12NW2+xHa9KFNen4ara/ZOWjDVD9HqlYuNG/2rQcGehFNze V87Gn9Vmkt1HikpGL2EJlhxAbTlnWJ23eLYDhs0Fk13DBz85j1gKSHhW9tKRAKKzP0/F672dirAA z9FLTomtwTkCtnDHiocg9d6FEpLgDnVUSumleMDlxhmAS02lrAUI0ezv5vFr3h8SPM4X4LqHasMs A/MUNvXpy3OP1PmMMIkmmYFkfwb+HIr0cPY6y4tTnKBLhwCVzbCltaVnVuj58mkZGu7r/cMk1rvj tgWFZMme5PqVAav9qYBmvvu6NWdgkvyf+3XOCdXw0eauyjrCc9ln4uu3JT/9Ts2Ixs1vWrK1X0rw E8YW2PWtUZLDG+RkWgpHAqDHVV4cHcKUbOskE9CeQ5qZmuKV8JDs/FSxhkBvDvOd829oyeRCqM2P /ej2AVSEpG5ATLUtJ7XfNbpnzpi8Paydbk/z3MnB9fQB6dBQi5u0EHPKyY8hHKndnMQ/zuqJt9Xd We5VYl5dY2u8Ky3Qu3dTvE5XupcFc28/cOjCIlXvPrSAZwnfFwFEJSisodiR0i7QzGEizo+zfl6y VQArjQjrxdnYGLJd6gQFRoqe2agRBYrQmUA1kSgfdzHVOi5dO5HyaD1JSA1GGTNLgmSA7CX4PmCV kN6hObeDToR/6QgIyKd5/R2It0sS/Ci5YbzXsLu8H4zqnqfT+lFpJTDyp4ZaiRuK9PBOXurzs3ne mZvL67xuZlPl14ozIk7ZNufa3UP4NKBwC9hAtuwDIsQz9kGndmLUx7AusI7UGxkzymw4TdOQtoor eBIrEJ9B06C7OOg5Bk5EqFyXNWSmHqKoHSk0/Ql9UYHCXqEzhwq0Bjt1eOzSVs4qIyUkeGxvTlsk LaEb5RNBz1gqKF7IPE9nTSzlhZizhLqTOiptAUk63JQeDEpu8jY1SFEWQX1dULkRnaP09zgFB/O0 lQM+XSYkWnObQSSWKw2QvCPRthhrvfghQvSa3/cri4VzsFjwjtlSS7hzekqh2v6N++KyVjfJw/8o glhmKdlOcwvEkQjj0yv4edLSAm9iV4AGtO0+HNTqdCPG6fnXxjDub8RLO+/QxlXLHsDe54AiR2L3 qVCfTuGsCLnonyJGZOLjyYlZk1fasQ7hDkZBfHPddVblDvrIkau3RFRq4yBiSd1XqAXhvdeIiS4H mymx/mVy8n3r6aNx4Pb4AdMWPwJ3O6weFfqRzCSxIUW71NNggkHXeLKKhWcgSDjNzONYfVRVonF0 VVnWD103g9OaiC946rT53FTu/8nD3bcAkMEnStXmJKjGKTbzBNqpVu8fuO69JFFEVKhMhBF/mbK0 IARlWVubP0/7uY3mliLHPMWYCHYYVHOY2KVKYCiHjTF0prQ7StVwNSzFIHIkFhAArjZygmNyJgCg HvfOEQdOye3qlUH9Igx/Pj+oRqK9jgB3H/U0XLoqJbJDpFL/LP/vHoXbanxy5yBFe6zFvILWnAMP /QBTmiwI12FJ631O6+Fdh3UaP0DPk8LYJaYmheIQVdMl4q2eNLyoJO4XqWjP+YdiqVhLdt7RuGk/ Z0MyIMU6Z4tLsdyRALghOVSi6hSLPDJ9Ylv2kp6Vxg0ui/Hb0qXXbU3DnO7AFh26X4tvNCKLuD3H Kk0Xv/RPGKr8AQQBuxfhZDHM2wIk848y9x3/LoRynOL3S2HBhii/zv3buwq85aQTsO79TAWsWX4p WqYiE+Mf93mZb9XRlBJk+kVQhKhiAI2hbbyaJMJjPWfYScspwz0kbtMYN+XHIowyV1gn8NJKCQL5 CEl4r8lyE3JEzSjrM+gzdtwb6odcw7kYLqPOsyDa+KATQ6y2kLYcbNV9GSccR9nD+kr2fp9HL7/I y5jjQ46fms/CHGqoQqR39r4hED0ku1irrPPVQLEzX53bTJy2JrG9rjRf77Qh8CTc6KSK8m2MmeRT UOMw0lMboXviBluYyQFyMPyN5tRzUXqiSJlHhcqI4HkCrFShNoyU6TrUOdCXzPU5m06ISsmqPnLT Gx1Q2LTaDU20Tk3gSIVygjV9PxwfZnJz1szOc2mCxtLds+TASew/lndjjQlR9DiEiJhPeEQiU6CG QmW/4IKzSWqnFDZPh2cFolC5Y/q/UMG192jQUvaErcmLLBvxtmr/w1CiqaKTvdzG8P7bGorMSGjv ocdgfu/FlcMpz7RAG6oUhGkWw7CYGk75wQ8zFpVzXEnUBxUCquLmeMxtJHlVGPEHfENXpnKs674+ /bsVP/gJgW9/cVNRCJq6HoQCoM88JJajDL56ewQPLteEnSZWtMbC2qcbIUvEuEV+HFRyK6IqARqm ru10Ddd5tNQTS5xAIzgHfxRsBQAj0qzSnwk8Gt7v+uhxelRGmkGbvAzYSl8Y0uXFb6nu3jJ1InV3 yFyHzqzntnbeU/Fw3BfacCoFXTrk0w3gVVsftcVLAkDTvHNwPX8LU0yeiqGLxUKiwyjPOwhQhpap X8HULKpwh3JtJIqrBanSRMdiG6fNyKLACGfRGNeoCIETUY8+I/5yaVHKrcWXbBg+O1Cl9Ip8CKX4 o1ZCxHKlVDiwSvZRK525YufikeRMSVmqQt3CyxpmswWBPj0H4sAbnmzhrRNs9t1tA9ssbYy/vF0L xRSK8l/dDDlhAAggmnNK1IaMGN058BU4ViEw7o6kTYgQrlMsurGG/dA8v4s3y4CEyFpO+vIWIgcH 6UCMqEu7SZuFv594632oIQNcz2k6A2EYsWQVYnSvoUhpykK8Xs0uRb8Z2Hm7uHFvKK3/iMspLO4O cfMAUrKOHCTqZDFwLcKGCjJggjENWH1UtxGALra1Z9nJ4gUrCZVz2Zql+V99fIBWD7oBUnovQlNv jC6TusxeHI2hQfFJvfBHrQoKR7v8MAMW4Ej0c+fJf5np5sJe8YwxvxEUv5V5zrZSgzF2ud37PsaU 8NXdh0pF8JUcqdZYwTsGKJgXwRZZeiOpXtY44EFX+Wfcef+HJVoMRyeK8MRHq7AYFZlMjtkgKBJO oYBP9T+I0ELD5GKqnta7aM883qZeTTwPa2IPKsn+i/iK6Rp0q8d6UKn0KobNc1z1vyNqpaM4iNsD VnqQeRPoOxFLFkg87d9GqmQPCvI7NAl39StwkSEXJtib3RPPLs16nlWsoZxfwGLDw8uwsIRjInp9 MtwVlR7BFxuE/RjtCN33gGBGD1PNYnPKBUc40KtVkeZAb2QnJ9OqlT0ec9inz1bd3XWY+uHHO0ge /5SFFd2MKhEaFNExRkf9ihDl/6bBV9t4Hmjs2MpMiuDVmQ04rSp99gJJAMYiXI3E6osJ1Vl+Kg97 4lTTIQpfXbj1Q1eZm0/NVxfF4M28vhkRdv4wJOx5ZZ/c+8x45HLMFIQZXzjtP4mZV9bQxC6fQs9g FC5irjwh3EeMJFLs3WbOTOonu8NKJlzrf9P65BGOmViDiJ9mP9FN9JowrPu/xnEFYv6PJN+CR2CA yTlF7CyGYKlAjOOpk5xP5KudrULsFqiOSc3C92ui0e9utfDMcIWbpZ3BA+7N1RJYwTkogq6bd3SA GQha5CSpMZgu7yHj/OFkbhw5QR3hU0ci7YSsGozISOAygDb49Ak4KqqD/1MXytUKV9DIbsDRy5Vr 0FopT9/c2CLWaLky0wHfZzsuzf6vtY3duuI2Z2riEgKulOrLpuLi72cbdGpmPAAAoa4MewEk5APU PBgxm4x+pKpg887kjvw6GKLxeJ2dRRZS0Y45Qk2Kp4/9+FLkaxZPsh44qI9f5Z/EZ9BfK1QMo4GF wRweHD/0eK2qb33vt1E5E7Ur8rG3/J+75JJFIp/5Da2wEpN/kVWGAPeavUMzE8Qt74vz5oOZz1im qsGz9fd7oKONS3zLcdxox+yxF1+j4ILKCxA+T3jIS+bmcnwpHCbl7+96m/PkU72Q48EnIqVaDLFh SP9r6+4NkLQRdUsPFZ65ZXsLfiMP0Nb9sMda2+zwvJu5O4YWtl7+5ANA68Aoj4wU+ukPMeSRmWlS mhJPznjMW7wGraXnX+9j1fF1GXFxnrn2doTKL+OUixFieFam8QWFHSpzUZl825MOYeZx6ibDelsZ LAHvE9Iw1iJyDWYQNm4vLRugM/LxmGwE9l3WYGMgJXR3t4adguFor5b71fksPTYiKMvGKt7O+Umk xa8VAbI+zyZ0mh2R2AudRgizf+nzM93F6fdzEII881K8XdjAwxm3i59TnwDZjvmksWjKW0MS8dxG 0YKEHXpzmZHrQghTgAHIVaPU/3vhTqqY2AauYTTjY5DHg7+LvMgatGuUAwzI91Ki5B91SQlyOktU IF03kNLPsiEINj+KlYavr2mSMBd4ew774xK6hulTCSgA+WMBBdErBdIPC37gW4FvrOjfTzYIYJja 42KwC8a+n/C8kWXdRC47kXccI+a9Vvtli93ViGG2iy1E3egjGh2xB4PTTyYcCGy6tPZxC+s5UPQg 0g2g8HMoaydnxtjLODCk+1AKJq7eGPmc0FYrHnRnl7BRWIFcqNNyAcaKN3gKtZtnxYUpSqt6GB+k qsbso0W5trgI5Jco+dSJNp1uaz1fbqeiChfJJpHxF7X1wEd07m1R0ETjABIEDHetIBrs5u2hLo+c ksP3H/PN9stdm1QRIJqw6H+abZ4iW3wDJDF67C06r9sjGWjWyAP5vVWCpW6FMY64YBgoy9SBWQmS Ir2Ph8nspuxz51xC/DUdlDCruKZmT6VpKaMMiwodI7d5LAIoGsUl7E+XO4IUAF2Ls8U1IudxViKe P5LZLwqr0y0K/NwiUkC0irEuB7l7Vz7QkXCA1cGBql4cku3Lm1U8IVsqFvCEXdGV/qsKgLAs/ypk +DiEc+r3glaakeTOF2FOYHDEgq8DqhkVHTQ0mP3hlm+jDC+xhQVRhAAmKZ1EPQYooDxuBfTwzhqC qZN7NLqUu9whMJi1lDndYgZrJVekr3OAsdUNKDYvvrjh9MT0TtoEuSfLHnt/XM1oO8xVyUhxB7E/ Qy7gBUBNNymsWaLWhx66dfyJcgD9WcWtEi8s8MCTb9aTwgjcc26ScE5QHnMfcDZ6rZyKRuTERByM 0LINDfJeaPNevceDkNSNQUq2rIj4txdrR+gF2wfEKyGkIEJkJ0gc1MQ4a5FakaOAwGnAxIXv1nfx H315rNwFaPN7CO3nclw0Q5l4RD8C/JikJeCIZ3CWWx2tLX1JfIUTbs8OdxnZo3qpjwECdSeqlMuy d2CdfCzy+pQc6D3scrpugnXjNBFQ+HMJcEQknhyPXO/+erUZLA5MLnz4e+3emCovTkJtR4vTxSxA IpY4AilJ1Gsfe7bx3hPjmOM7VbS3jZosj2cOgQw3d7mQEnYrCzUnH9GSp9vaYCqsR9YFLg59Ki6V GbgKlKSi//XWHBSkKguOH9pmjvhBeXZ1hIgsvV0tq9mB7riJ/MKaUhFQSfcFzYhwkuAc+d52Cj8o FVgIi6DcSbmtSwOlqkBoOEvdynfvaNfPazQeaS+XS6AURFGVWdrA9Q7nYKdtse6G53rFHsb1xC38 U3twUttKTgAAEch+/jK+ITrqweZLbqBkKIAk6/TVPRtTsZEN4W0Z1wNTezgZwzcxDmE9YA2TGDgh 0DIvxNWflW8XHakWoTdNCDBqaKgnIC7MXIptj2KU5PTM/Zr7/QEFWOgZDqzYvLUIe6AuzoRVr8zT Kq3F+54b2uoOLytkMoVJnlTkO/U1SaYV+638KDp5RuzWRNOio/Tu88mXS4hpWeI+urXU/kXyk0aZ ooM6EpaX1hZ3aVh/DhziAgvZ5HampbDbOY8Nba8XCFdysaVb/SpIJPbZwSjAmd7geOgtp2t4VTdz QxTzXHH61itQRGP0d1wJ+6/97kr7GaJTcyz2yMaRUytET1H+R6riw6wIaYGu17KGvw5HQ2cqL4oW aP2XirJ2Ac2R3g/0ctOea0Cx69paWG69rqD2uVHB9XM5dBf272KqyK1y82Q9e/dHEfBFEw7DXkNZ vMKo10acrHn1rRWxXuNPG45+ir4ABh9Vr04rmBdBG5f/PhOwfeA/qQnIi4NnkJYN/Qms4TsQ+kYN OfN4rk/q5R93fuCR8UxV2jOTBiq8SpHWD4GG1EmNnEjYIRClRRnI+IYgw2cjWXEhzZL7FDvMc94E 106H3PKJzQAdF9zRxnMBGmv027XRNxQ6Xosqg1fldtIaSxcIXKPs5++1H2RznCmdpvOuylUpwXIM mukV0J7YFO5Gg8I3EUyNo3dfT1T87IG/d0g/3W8YS0Ta8tR7V+T8Hv8WKf8TxIAbXDrDCEy6E0Dl hwm2IShn7k7aN7OVH+TuoMCn68SnBIZiorUQ9h91mCsPI6nKa5xR2alVU32IC2IS1tUq5KEyW0Bc LFaJlmzbDpGh5u+SodF+1k00p5yKltcuyGdwJRsJJQO4S53vq59BXC85OG+VAWAvqwc7VoJobpwG usHVw9gcSjpJbOLyuBc/8rgRga/22Fat8gRCbWKO72ifrnOQk0GGj4s1A79GEa0WuSNMCvE9n8E+ 31ybx8GgN0o8gJzZESjO7rC6XUXry/FVDmqGEbED2gJNeaZNRp9xUulbZFMZS3QaAniVpebOy93J MZ8F00fnDSeEtBJ40egEAUiieOvR9GpxYslibN5e63fsbZqh0hSbpyWbOmQw2BVS16nLKezZe0eT rw4DXTJNl/h9DK4+A2wWUiuqPDGZM8c4Y5MIg4tF+xIKcKnCfmrDpmBURYlkG/Dt+MizyAtaEE7z QnN/5mNa9veVUBZpBC9f4mjFu+ECSRN1bTJJtTNHbQ6YIMkz13Jl4rUfv9Rl8xSCOf6oa/gmvIaT lV9iWRsoJLZnIznwpdzsxKRp+uCK4aGuWcZCe9JTikOp0IUOUmdqw21yQ1ZkXo4erOgh6YbjJ4Ws b1t7Ivy1BbzHFZRQaAS658Fkljzon++wwhFti5Oga8fe2CM50IqNzADQmx9xKX51G4CYQ2ZKasT9 dA2bUWdz76GoOIfrE2hXoKtroc1jr1Q1I9ZH6RrWpeokamzzUHdT7WXjgGZTGJirkX1aySQWiYXO glDgjL4W9dYgdOz+0Xx7glqq/Tu2E/AKzhN0Vedby+89fBNOqlhkO0GJwpljwx7W7ZjLtzdPz0uz 0mU/E1gy15bdTxcgA9xOdAhSqDOunXjQWnNAwJzLJz0lAND8M8KSu/2RGivJ9ZKJsdPujgvfLN2E mj0Q62ziaKOGi2wamvW3xVZAMvpbriOzwAGC4FzSftiLY1UkiSnM/qIImRJniOvxFHuZcWkaP6wn StyKF10hotVnJ4mmX1AaLYX6eJ922fEH00a/KMyFBsiu9Nd4cEmo28BoGzUFI04TLYGbsJ1aVFRG 37FEz4lhY6KyVvxxrKiX32zEJxqVU4HQhbSsBWDL7QNaJMIX3ybGyKkZm8TtnVLbkLywOBW0gxiY 1kVNiBvO5j265n8QhQ/jgSWU+Tjsw3VGznTVp2s9CWa+fUcUF/VdKlzREsaU+1Hpw2+4IFX+zJ/e GT3aeeeeCKubLjsEhMqAKkVJOlMjFECQc8Z85vDjabqcv3DShd/58GDPuMm4AeN+sv1CgWJ76ayA +jlGwZVlA3jshGvR8jSGSvxNsa6HZWQvZ3d83+O48GXyHWcqWQ2i5sMFznFxlqNP8EtcoJuhTVks +Whpk2H/0XYBa8MWjNGPFmEiAXw65XZMVy1xp68QP11AhXi0vKpmMfBTL4r/EtytIlLEBCTC0fqy Sg9GmL9aVGap2pbS0eQviN6KMXVdr+H+Z2mf8mh4LuZV6rn/uPFkn17y4LSnnNXLY3W/tKxHZ6HH I+VglT2YR2ya+uEaTEhKVLv22jvL8CELreNS7ScJQbyxxTRm5dT0HD4ZfTD2ZFK++7PdSHj91Hk7 79WoaMq/iZ4E8ReNHqfTR1Y4T3xFIZQTIr0723TAFLKRxp4EQTDhxr/wbFy9g04KLLjiFQrcN6qR mAKVZdHaA79yv0HtWLtqx5ib0hUmwS9VJijfsErLp8tkgvAxSZlAgJiJqA7hD7h3r2SUB/P0JZ3K E20tvKXu74h9kq/5BbuodIxtnPJdDPv+Afcvr+4Wf2K9LBg0hD2x7W4473howR0crU84GC19GCpC cQCl9JBeTeCaV0JxBvyvPe2yEkOGufsvZMWINpQasY8j4wm8TCA49Yc85rfhXl0wqBiH34Kecavs L2jFG+VT4R2oG6JaMrShghZ7BvPvkId5Qsw63Fcbul7iZXiAPiktziSyGkEwgvFMvvl1vXfkWCF2 jz0RSo9NyeaHx7FeBxl3ABechjqw796i9/guvEA2yLFVYI7V9hhZ5Pzcd1NEtji9HQIeURRpkGLk RghLypXvHcwgfo+cU5IZwDG2OEUGUBkyoH8yc0NeEZtjJc87yYPssCc83aODfTrs1+ruv1cBMlAM g/2gBq0/iKsZZTYgPWchCYruWReP8fzlOAurqu+95hXUceaJ9SOrQRWd4DnHE65arWwrKOF1oJEr Ri8khwwBgFDM3eBrV7sByBYXgIY6cWGQ1G+WYUmsnk1OTKrcZnpUS8hSyl28s0M90HIavGjJYgG2 B+zlDdbvXEcJ5AfHuCvtgMSDsoO8x2wDN1ZLGcQ0HyC1GrdEVyDvgLbvWHX+mpeYfBAqEPXkiUWl 23yvAKnMcCz3xzoJcTbFiVRmMSDkaX/rfyHMYXl6j9U2bE3sl7p/StuF+1aBv4OOhFR/rh91OCmQ 6PMhfqCQxHPzN/AHHTkHZOcf+mI6OvHynYi8vstiBHCRrTvB+k3W2s68NEnShZZVX9E6LFWT+jZv pzHPnYSFI70OxuQGrv8dGZJ4MZboo4OVGt2M+aUQwm/aXt/Lyx/n9LyaBJ7pCPknhl7LiOrWSweL lXDnfg+wu7b6Lrqw+JIjwj71xk7x3if5e+gn2qoFQpbPupbe8uDnbVwicHczPtWqyY1G1YhYiNBm 5A1QcmtF5Jiqz8SiTP6h43sXCVr8XuMhZR/M+PgGAfuHv9DknOKxHjht+ZZ0FNElirvE6ZRDY6/Q XKHicv/DyLKSyNSgBcKn7Zqwtadw7wYhNgYbOpICmsh0QnEYvIYC08CibCL13DrxuGhFj+XEvsGQ WVFBfsodVQ5eQiKIM9eJu/QKWIyLOPcf5ZVvt2ddRn95hnM4DbOBN4dY8+uZTZIQ0fvQ69Lr2eqW xdDZQWND7DlEhdVYh88T556qypGBfFCDbHd3Bv7mK4sc7OnQwBKb4MyPCTSfJmYd9PkD2txO1Owb IhdSEOvp5ca+fVTvNOqBLARGVVZH0ni4hl0mNZZ2UO+UMYO4RPZ27Ed9fkekFW1hp3BhAy3tMBCt Ui+uY+ZQJMflluOTgFtb+dp+r9ZCtCFWKEOFHXPPaQPpL9p5XsmC0kVGCY0b+u/6dR+VXbwDc111 Puyu+TmmecxmyCb8s8h+YyI7CX2GIEp41Sl5pIEs3oWbscAgFg6S+vrpzKFfLfOw8lXfAlHmTp6i hgov56pXKUe5/mGx3WM15DqIrk/sgGJzVh9td8yd/9GL+MZDZqgzYyDVnV4LTIPHgpkLeq5qFXSi ju7xCmcnnZaJzfx9JSJegAQf/h8afB7eCFqM/AIEm/GCjkC/DPmXxm0fcuiNqzOCgIirRZrtrxpF mgZEkq3F2gJDxvVj0cjMxf/93v5ww51p30NJ8+CWRx3dTqSA+sfoPnqINzLbx2PK44YGlaoVbAao WVBeQAwqgXl3E6SjnhxPs4/PJU5pEr16A81Ds/XyatYGeMTYBCyHVo35xDyoH5yfRzITDizO2QXp QXYIuXOtcekInpnoqJki6JG8lYbsVMka/x370b3DnrULB9htrd2pZWO4LERfnbgstLOnaU5dadu2 Ig0AmYdldCbZBVVPo+i10qCvNo2KM2CdDDEBLFuJF0LsDS/551fDRpUbpQ8IgRW99or0ydx0Hoih nA2tGIvIc8e36WVVh8ieb0MGcRGv79lGI4c7wShsw+3F4vVk7fPCk0geZlbVOnVOb/TLUfHGVMTK XlVvL22h316QwXGzOoxi76wR3yFMCfJbOpxK0SgFqovJWuvYV4oHanr7J9e74r/ns2/QmksuWgvy 6MKN92ywIwER32QEohyapEJ+lPvqaqmTFbll8vZkmpaK3VbIBnH62hO1ug/t `protect end_protected
mit
6fa080175fbdd5639e602b22e7200e5b
0.951244
1.819592
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/instantiation/rule_005_test_input.fixed.vhd
1
904
architecture ARCH of ENTITY1 is begin U_INST1 : INST1 generic map ( G_GEN_1 => 3, G_GEN_2 => 4, G_GEN_3 => 5 ) port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); -- Violations below U_INST1 : INST1 port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); U_INST1 : configuration CONFIG port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); U_INST1 : entity FIFO port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); U_INST1 : entity FIFO(rtl) port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); U_INST1 : component FIFO port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); end architecture ARCH;
gpl-3.0
52b99fd4285ccda601baa14f9cfc9c0e
0.481195
2.714715
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/subprogram_body/rule_400_test_input.fixed.vhd
1
1,478
architecture arc of ent is signal a : std_logic_vector(7 downto 0); signal b : std_logic_vector(7 downto 0); procedure rst_procedure is begin a <= (others => '0'); b <= (others => '0'); c := d; end procedure; procedure rst_procedure is begin a <= (others => '0'); b <= (others => '0'); c := d; end procedure; begin proc_p : process (clk_i, rst_n_i) procedure rst_procedure is begin a <= (others => '0'); b <= (others => '0'); c := d; end procedure; procedure rst_procedure is begin a <= (others => '0'); b <= (others => '0'); c := d; end procedure; procedure rst_procedure is begin a <= (others => '0'); b <= (others => '0'); c := d; end procedure; begin if rst_n_i = '0' then rst_procedure; elsif rising_edge(clk_i) then a <= (others => '1'); b <= (others => '1'); c := d; end if; end process proc_p; end architecture arc; package body my_package is procedure rst_procedure is begin a <= (others => '0'); b <= (others => '0'); c := d; end procedure; procedure rst_procedure is begin a <= (others => '0'); b <= (others => '0'); c := d; end procedure; end package body;
gpl-3.0
e25a24d4be38fb5f00eb8c743bd98a6e
0.443843
3.838961
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/generic/rule_007_test_input.fixed_lower_with_upper_prefix.vhd
1
1,897
entity FIFO is generic ( g_width : integer := 256; g_depth : integer := 32; PREFIX_generic_suffix : integer := 20 ); port ( I_PORT1 : in std_logic; I_PORT2 : out std_logic ); end entity FIFO; entity FIFO is generic ( g_width : integer := 256; g_depth : integer := 32; PREFIX_generic_suffix : integer := 20 ); port ( I_PORT1 : in std_logic; I_PORT2 : out std_logic ); end entity FIFO; entity FIFO is generic ( g_width : integer := 256; g_depth : integer := 32; PREFIX_generic_suffix : integer := 20 ); port ( I_PORT1 : in std_logic; I_PORT2 : out std_logic ); end entity FIFO; entity FIFO is generic ( g_width : integer := 256; g_depth : integer := 32; PREFIX_generic_suffix : integer := 20 ); port ( I_PORT1 : in std_logic; I_PORT2 : out std_logic ); end entity FIFO; entity FIFO is generic(g_size : integer := 10; g_width : integer := 256; g_depth : integer := 32; PREFIX_generic_suffix : integer := 20 ); port ( i_port1 : in std_logic := '0'; i_port2 : out std_logic :='1' ); end entity FIFO; entity FIFO is generic(g_size : integer := 10; g_width : integer := 256; g_depth : integer := 32; PREFIX_generic_suffix : integer := 20 ); port ( i_port1 : in std_logic := '0'; i_port2 : out std_logic :='1' ); end entity FIFO; entity FIFO is generic(g_size : integer := 10; g_width : integer := 256; g_depth : integer := 32; PREFIX_generic_suffix : integer := 20 ); port ( i_port1 : in std_logic := '0'; i_port2 : out std_logic :='1' ); end entity FIFO; entity FIFO is generic(g_size : integer := 10; g_width : integer := 256; g_depth : integer := 32; PREFIX_generic_suffix : integer := 20 ); port ( i_port1 : in std_logic := '0'; i_port2 : out std_logic :='1' ); end entity FIFO;
gpl-3.0
35c2986f9f863b73701d3ea803ab1880
0.573537
3.120066
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/ieee/rule_500_test_input.vhd
1
2,637
entity FIFO is generic ( G_GEN1 : std_logic, G_GEN2 : std_logic_vector(3 downto 0), G_GEN3 : integer, G_GEN4 : signed(15 downto 0), G_GEN5 : unsigned(7 downto 0) ); port ( I_PORT1 : in integer; I_PORT2 : in std_logic; I_PORTA : in t_user2; I_PORT3 : in std_logic_vector(3 downto 0); I_PORT4 : in signed(15 downto 0); I_PORT5 : in unsigned(7 downto 0); I_PORT6 : in std_ulogic; I_PORT7 : in t_user1 ); end entity FIFO; architecture rtl of fifo is signal my_sig : std_logic; constant my_con : std_logic_vector(3 downto 0); procedure my_proc ( init : in std_logic ) is variable my_sig : std_logic; constant my_con : std_logic_vector(3 downto 0); begin end procedure; component MY_COMP is generic ( G_GEN1 : std_logic, G_GEN2 : std_logic_vector(3 downto 0), G_GEN3 : integer, G_GEN4 : signed(15 downto 0), G_GEN5 : unsigned(7 downto 0) ); port ( I_PORT1 : in integer; I_PORT2 : in std_logic; I_PORTA : in t_user2; I_PORT3 : in std_logic_vector(3 downto 0); I_PORT4 : in signed(15 downto 0); I_PORT5 : in unsigned(7 downto 0); I_PORT6 : in std_ulogic; I_PORT7 : in t_user1 ); end component; begin end architecture rtl; --====== UPPERCASE before entity FIFO is generic ( G_GEN1 : STD_LOGIC, G_GEN2 : STD_LOGIC_VECTOR(3 downto 0), G_GEN3 : INTEGER, G_GEN4 : SIGNED(15 downto 0), G_GEN5 : UNSIGNED(7 downto 0) ); port ( I_PORT1 : in INTEGER; I_PORT2 : in STD_LOGIC; I_PORTA : in t_user2; I_PORT3 : in STD_LOGIC_VECTOR(3 downto 0); I_PORT4 : in SIGNED(15 downto 0); I_PORT5 : in UNSIGNED(7 downto 0); I_PORT6 : in STD_ULOGIC; I_PORT7 : in t_user1 ); end entity FIFO; architecture rtl of fifo is signal my_sig : STD_LOGIC; constant my_con : STD_LOGIC_VECTOR(3 downto 0); procedure my_proc ( init : in STD_LOGIC ) is variable my_sig : STD_LOGIC; constant my_con : STD_LOGIC_VECTOR(3 downto 0); begin end procedure; component MY_COMP is generic ( G_GEN1 : STD_LOGIC, G_GEN2 : STD_LOGIC_VECTOR(3 downto 0), G_GEN3 : INTEGER, G_GEN4 : SIGNED(15 downto 0), G_GEN5 : UNSIGNED(7 downto 0) ); port ( I_PORT1 : in INTEGER; I_PORT2 : in STD_LOGIC; I_PORTA : in t_user2; I_PORT3 : in STD_LOGIC_VECTOR(3 downto 0); I_PORT4 : in SIGNED(15 downto 0); I_PORT5 : in UNSIGNED(7 downto 0); I_PORT6 : in STD_ULOGIC; I_PORT7 : in t_user1 ); end component; begin end architecture rtl;
gpl-3.0
549508fc1e72876550cb5e59262b44a9
0.591202
2.979661
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_mssai_skid_buf.vhd
1
25,086
------------------------------------------------------------------------------- -- axi_datamover_mssai_skid_buf.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_mssai_skid_buf.vhd -- -- Description: -- Implements the AXi Skid Buffer in the Option 2 (Registerd outputs) mode that -- also incorporates the MS Strobe Asserted detection function needed by the -- module. This provides a register isolation of the MS asserted strobe index -- Scatter needed to improve Fmax. -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_datamover_mssai_skid_buf.vhd -- ------------------------------------------------------------------------------- -- Revision History: -- -- -- Author: DET -- -- History: -- DET 04/19/2011 Initial Version for EDK 13.3 -- -- DET 6/20/2011 Initial Version for EDK 13.3 -- ~~~~~~ -- - Added 512 and 1024 data width support -- ^^^^^^ -- -- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library axi_datamover_v5_1; Use axi_datamover_v5_1.axi_datamover_ms_strb_set; ------------------------------------------------------------------------------- entity axi_datamover_mssai_skid_buf is generic ( C_WDATA_WIDTH : INTEGER range 8 to 1024 := 32 ; -- Width of the Stream Data bus (in bits) C_INDEX_WIDTH : Integer range 1 to 8 := 2 -- Sets the width of the MS asserted strobe index output value ); port ( -- Clock and Reset Ports ----------------------- aclk : In std_logic ; -- arst : In std_logic ; -- ------------------------------------------------ -- Shutdown control (assert for 1 clk pulse) --- skid_stop : In std_logic ; -- ------------------------------------------------ -- Slave Side (Stream Data Input) ------------------------------------ s_valid : In std_logic ; -- s_ready : Out std_logic ; -- s_data : In std_logic_vector(C_WDATA_WIDTH-1 downto 0); -- s_strb : In std_logic_vector((C_WDATA_WIDTH/8)-1 downto 0); -- s_last : In std_logic ; -- ---------------------------------------------------------------------- -- Master Side (Stream Data Output ----------------------------------- m_valid : Out std_logic ; -- m_ready : In std_logic ; -- m_data : Out std_logic_vector(C_WDATA_WIDTH-1 downto 0); -- m_strb : Out std_logic_vector((C_WDATA_WIDTH/8)-1 downto 0); -- m_last : Out std_logic ; -- -- m_mssa_index : Out std_logic_vector(C_INDEX_WIDTH-1 downto 0); -- m_strb_error : Out std_logic -- ---------------------------------------------------------------------- ); end entity axi_datamover_mssai_skid_buf; architecture implementation of axi_datamover_mssai_skid_buf is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Constant declarations ------------------------- Constant STROBE_WIDTH : integer := C_WDATA_WIDTH/8; -- Signals declarations ------------------------- Signal sig_reset_reg : std_logic := '0'; signal sig_spcl_s_ready_set : std_logic := '0'; signal sig_data_skid_reg : std_logic_vector(C_WDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_skid_reg : std_logic_vector(STROBE_WIDTH-1 downto 0) := (others => '0'); signal sig_last_skid_reg : std_logic := '0'; signal sig_skid_reg_en : std_logic := '0'; signal sig_data_skid_mux_out : std_logic_vector(C_WDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_skid_mux_out : std_logic_vector(STROBE_WIDTH-1 downto 0) := (others => '0'); signal sig_last_skid_mux_out : std_logic := '0'; signal sig_data_reg_out : std_logic_vector(C_WDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_reg_out : std_logic_vector(STROBE_WIDTH-1 downto 0) := (others => '0'); signal sig_last_reg_out : std_logic := '0'; signal sig_data_reg_out_en : std_logic := '0'; signal sig_m_valid_out : std_logic := '0'; signal sig_m_valid_dup : std_logic := '0'; signal sig_m_valid_comb : std_logic := '0'; signal sig_s_ready_out : std_logic := '0'; signal sig_s_ready_comb : std_logic := '0'; signal sig_stop_request : std_logic := '0'; signal sig_stopped : std_logic := '0'; signal sig_sready_stop : std_logic := '0'; signal sig_sready_early_stop : std_logic := '0'; signal sig_sready_stop_set : std_logic := '0'; signal sig_sready_stop_reg : std_logic := '0'; signal sig_mvalid_stop_reg : std_logic := '0'; signal sig_mvalid_stop : std_logic := '0'; signal sig_mvalid_early_stop : std_logic := '0'; signal sig_mvalid_stop_set : std_logic := '0'; signal sig_slast_with_stop : std_logic := '0'; signal sig_sstrb_stop_mask : std_logic_vector(STROBE_WIDTH-1 downto 0) := (others => '0'); signal sig_sstrb_with_stop : std_logic_vector(STROBE_WIDTH-1 downto 0) := (others => '0'); signal sig_mssa_index_out : std_logic_vector(C_INDEX_WIDTH-1 downto 0) := (others => '0'); signal sig_mssa_index_reg_out : std_logic_vector(C_INDEX_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_error : std_logic := '0'; signal sig_strb_error_reg_out : std_logic := '0'; -- Fmax improvements signal sig_s_ready_dup : std_logic := '0'; signal sig_s_ready_dup2 : std_logic := '0'; signal sig_s_ready_dup3 : std_logic := '0'; signal sig_s_ready_dup4 : std_logic := '0'; signal sig_skid_mux_sel : std_logic := '0'; signal sig_skid_mux_sel2 : std_logic := '0'; signal sig_skid_mux_sel3 : std_logic := '0'; signal sig_skid_mux_sel4 : std_logic := '0'; -- Register duplication attribute assignments to control fanout -- on handshake output signals Attribute KEEP : string; -- declaration Attribute EQUIVALENT_REGISTER_REMOVAL : string; -- declaration Attribute KEEP of sig_m_valid_out : signal is "TRUE"; -- definition Attribute KEEP of sig_m_valid_dup : signal is "TRUE"; -- definition Attribute KEEP of sig_s_ready_out : signal is "TRUE"; -- definition Attribute KEEP of sig_s_ready_dup : signal is "TRUE"; -- definition Attribute KEEP of sig_s_ready_dup2 : signal is "TRUE"; -- definition Attribute KEEP of sig_s_ready_dup3 : signal is "TRUE"; -- definition Attribute KEEP of sig_s_ready_dup4 : signal is "TRUE"; -- definition Attribute EQUIVALENT_REGISTER_REMOVAL of sig_m_valid_out : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_m_valid_dup : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_s_ready_out : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_s_ready_dup : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_s_ready_dup2 : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_s_ready_dup3 : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_s_ready_dup4 : signal is "no"; begin --(architecture implementation) m_valid <= sig_m_valid_out; s_ready <= sig_s_ready_out; m_strb <= sig_strb_reg_out; m_last <= sig_last_reg_out; m_data <= sig_data_reg_out; m_mssa_index <= sig_mssa_index_reg_out; m_strb_error <= sig_strb_error_reg_out; -- Special shutdown logic version of Slast. -- A halt request forces a tlast through the skig buffer sig_slast_with_stop <= s_last or sig_stop_request; sig_sstrb_with_stop <= s_strb or sig_sstrb_stop_mask; -- Assign the special s_ready FLOP set signal sig_spcl_s_ready_set <= sig_reset_reg; -- Generate the ouput register load enable control sig_data_reg_out_en <= m_ready or not(sig_m_valid_dup); -- Generate the skid input register load enable control sig_skid_reg_en <= sig_s_ready_dup; -- Generate the skid mux select control sig_skid_mux_sel2 <= not(sig_s_ready_dup2); sig_skid_mux_sel3 <= not(sig_s_ready_dup3); sig_skid_mux_sel4 <= not(sig_s_ready_dup4); -- Skid Mux sig_data_skid_mux_out <= sig_data_skid_reg When (sig_skid_mux_sel2 = '1') Else s_data; sig_strb_skid_mux_out <= sig_strb_skid_reg When (sig_skid_mux_sel3 = '1') Else sig_sstrb_with_stop; sig_last_skid_mux_out <= sig_last_skid_reg When (sig_skid_mux_sel4 = '1') Else sig_slast_with_stop; -- m_valid combinational logic sig_m_valid_comb <= s_valid or (sig_m_valid_dup and (not(sig_s_ready_dup) or not(m_ready))); -- s_ready combinational logic sig_s_ready_comb <= m_ready or (sig_s_ready_dup and (not(sig_m_valid_dup) or not(s_valid))); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_THE_RST -- -- Process Description: -- Register input reset -- ------------------------------------------------------------- REG_THE_RST : process (aclk) begin if (aclk'event and aclk = '1') then sig_reset_reg <= arst; end if; end process REG_THE_RST; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: S_READY_FLOP -- -- Process Description: -- Registers s_ready handshake signals per Skid Buffer -- Option 2 scheme -- ------------------------------------------------------------- S_READY_FLOP : process (aclk) begin if (aclk'event and aclk = '1') then if (arst = '1' or sig_sready_stop = '1' or sig_sready_early_stop = '1') then -- Special stop condition sig_s_ready_out <= '0'; sig_s_ready_dup <= '0'; sig_s_ready_dup2 <= '0'; sig_s_ready_dup3 <= '0'; sig_s_ready_dup4 <= '0'; Elsif (sig_spcl_s_ready_set = '1') Then sig_s_ready_out <= '1'; sig_s_ready_dup <= '1'; sig_s_ready_dup2 <= '1'; sig_s_ready_dup3 <= '1'; sig_s_ready_dup4 <= '1'; else sig_s_ready_out <= sig_s_ready_comb; sig_s_ready_dup <= sig_s_ready_comb; sig_s_ready_dup2 <= sig_s_ready_comb; sig_s_ready_dup3 <= sig_s_ready_comb; sig_s_ready_dup4 <= sig_s_ready_comb; end if; end if; end process S_READY_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: M_VALID_FLOP -- -- Process Description: -- Registers m_valid handshake signals per Skid Buffer -- Option 2 scheme -- ------------------------------------------------------------- M_VALID_FLOP : process (aclk) begin if (aclk'event and aclk = '1') then if (arst = '1' or sig_spcl_s_ready_set = '1' or -- Fix from AXI DMA sig_mvalid_stop = '1' or sig_mvalid_stop_set = '1') then -- Special stop condition sig_m_valid_out <= '0'; sig_m_valid_dup <= '0'; else sig_m_valid_out <= sig_m_valid_comb; sig_m_valid_dup <= sig_m_valid_comb; end if; end if; end process M_VALID_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: SKID_DATA_REG -- -- Process Description: -- This process implements the skid register for the -- Skid Buffer Data signals. Note that reset has been removed -- to reduce route of resets for very wide data buses. -- ------------------------------------------------------------- SKID_DATA_REG : process (aclk) begin if (aclk'event and aclk = '1') then if (sig_skid_reg_en = '1') then sig_data_skid_reg <= s_data; else null; -- hold current state end if; end if; end process SKID_DATA_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: SKID_CNTL_REG -- -- Process Description: -- This process implements the skid registers for the -- Skid Buffer control signals -- ------------------------------------------------------------- SKID_CNTL_REG : process (aclk) begin if (aclk'event and aclk = '1') then if (arst = '1') then sig_strb_skid_reg <= (others => '0'); sig_last_skid_reg <= '0'; elsif (sig_skid_reg_en = '1') then sig_strb_skid_reg <= sig_sstrb_with_stop; sig_last_skid_reg <= sig_slast_with_stop; else null; -- hold current state end if; end if; end process SKID_CNTL_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: OUTPUT_DATA_REG -- -- Process Description: -- This process implements the output register for the -- Skid Buffer Data signals. Note that reset has been removed -- to reduce route of resets for very wide data buses. -- ------------------------------------------------------------- OUTPUT_DATA_REG : process (aclk) begin if (aclk'event and aclk = '1') then if (sig_data_reg_out_en = '1') then sig_data_reg_out <= sig_data_skid_mux_out; else null; -- hold current state end if; end if; end process OUTPUT_DATA_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: OUTPUT_CNTL_REG -- -- Process Description: -- This process implements the output registers for the -- Skid Buffer Control signals. -- ------------------------------------------------------------- OUTPUT_CNTL_REG : process (aclk) begin if (aclk'event and aclk = '1') then if (arst = '1' or sig_mvalid_stop_reg = '1') then sig_strb_reg_out <= (others => '0'); sig_last_reg_out <= '0'; elsif (sig_data_reg_out_en = '1') then sig_strb_reg_out <= sig_strb_skid_mux_out; sig_last_reg_out <= sig_last_skid_mux_out; else null; -- hold current state end if; end if; end process OUTPUT_CNTL_REG; -------- Special Stop Logic -------------------------------------- sig_sready_stop <= sig_sready_stop_reg; sig_sready_early_stop <= skid_stop; -- deassert S_READY immediately sig_sready_stop_set <= sig_sready_early_stop; sig_mvalid_stop <= sig_mvalid_stop_reg; sig_mvalid_early_stop <= sig_m_valid_dup and m_ready and skid_stop; sig_mvalid_stop_set <= sig_mvalid_early_stop or (sig_stop_request and not(sig_m_valid_dup)) or (sig_m_valid_dup and m_ready and sig_stop_request); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_STOP_REQ_FLOP -- -- Process Description: -- This process implements the Stop request flop. It is a -- sample and hold register that can only be cleared by reset. -- ------------------------------------------------------------- IMP_STOP_REQ_FLOP : process (aclk) begin if (aclk'event and aclk = '1') then if (arst = '1') then sig_stop_request <= '0'; sig_sstrb_stop_mask <= (others => '0'); elsif (skid_stop = '1') then sig_stop_request <= '1'; sig_sstrb_stop_mask <= (others => '1'); else null; -- hold current state end if; end if; end process IMP_STOP_REQ_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_CLR_SREADY_FLOP -- -- Process Description: -- This process implements the flag to clear the s_ready -- flop at a stop condition. -- ------------------------------------------------------------- IMP_CLR_SREADY_FLOP : process (aclk) begin if (aclk'event and aclk = '1') then if (arst = '1') then sig_sready_stop_reg <= '0'; elsif (sig_sready_stop_set = '1') then sig_sready_stop_reg <= '1'; else null; -- hold current state end if; end if; end process IMP_CLR_SREADY_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_CLR_MVALID_FLOP -- -- Process Description: -- This process implements the flag to clear the m_valid -- flop at a stop condition. -- ------------------------------------------------------------- IMP_CLR_MVALID_FLOP : process (aclk) begin if (aclk'event and aclk = '1') then if (arst = '1') then sig_mvalid_stop_reg <= '0'; elsif (sig_mvalid_stop_set = '1') then sig_mvalid_stop_reg <= '1'; else null; -- hold current state end if; end if; end process IMP_CLR_MVALID_FLOP; ---------------------------------------------------------------------------- -- Logic for the detection of the most significant asserted strobe bit and -- the formulation of the index of that strobe bit. ---------------------------------------------------------------------------- ------------------------------------------------------------ -- Instance: I_MSSAI_DETECTION -- -- Description: -- This module detects the most significant asserted strobe -- and outputs the bit index of the strobe. -- ------------------------------------------------------------ I_MSSAI_DETECTION : entity axi_datamover_v5_1.axi_datamover_ms_strb_set generic map ( C_STRB_WIDTH => STROBE_WIDTH , C_INDEX_WIDTH => C_INDEX_WIDTH ) port map ( -- Input Stream Strobes strbs_in => sig_strb_skid_mux_out , -- Index of the most significant strobe asserted ms_strb_index => sig_mssa_index_out , -- Output flag for a detected error associated Strobe assertions strb_error => sig_strb_error ); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_MSSAI_REG -- -- Process Description: -- This process implements the output register for the -- Skid Buffer's MSSAI value and the strobe error bit -- that is needed by the Scatter module. -- ------------------------------------------------------------- IMP_MSSAI_REG : process (aclk) begin if (aclk'event and aclk = '1') then if (arst = '1' or sig_mvalid_stop_reg = '1') then sig_mssa_index_reg_out <= (others => '0'); sig_strb_error_reg_out <= '0'; elsif (sig_data_reg_out_en = '1') then sig_mssa_index_reg_out <= sig_mssa_index_out; sig_strb_error_reg_out <= sig_strb_error; else null; -- hold current state end if; end if; end process IMP_MSSAI_REG; end implementation;
bsd-2-clause
299eb2eac644ae867af374971b74c06c
0.463486
4.450239
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/blk_mem_gen_v8_0/blk_mem_gen_v8_0_synth_comp.vhd
2
18,409
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block h9n9zuV5lMts7E8xcOwd5OEmBQQTWDUfOd1CCpJtcRNKaLNoUwHfGMhoPZ3WDIQ/m/MGtplKUoFx i0ug5BLY2g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ZAtlDW7Tx/YNYYE2jawvMMCW40921zRgvYomlY8wcRlNVBEsxE3apQL4IIwUk/r0NSl3FJUcJhGx bQnpoTAX9Ea3Clu4koloRBtU2UrIb0exCd/DMK0iUTANkETqlKdRXQmfp4uhLE/Mwb1kY3UYzJ49 sjSxoyKm731gwTjgRUs= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block zmahq0NBzWzSfB1lvTCKBHtcaT5fN4U5k3LSzpiyaronk8xbbzR0dP9ew/cCp7rP2xsweaYMIJwx 4tmNaS7SVhNupTu5LCXNmztcum/6LIM82sP+XQm5E7P0stmksBmyeSbapkfjB3tOjixv1ipZ9lRT 2jAX4EzvQPFwL1SCK/TmWrKTBIMU3eqF/utXUKRyj7DjUJ0x1aH9jKDC27lR7DlDP2SBEkOmgqcY fTCK/5N/0X3p0e5mIiq2SvHWuPYbZ7BMT//QkpjRJU3GGQzTUnWlASUM6m7MfRJi+M+OFHeWmIB1 L8QJdkXfxFeLLQrNhvUzOnNIZLmBjvdfQ87R5A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block WsmxTCG8DN8fztPhtVEIEPIJmz2GzQ5Wdg9bSDQl1VF3JlYnfBA+IyOkDekq95uPzD6xo0LmAdhN 45mP3q7RIMlQDqqjev5Ze2rMOTLhI8TWgOLrSla7VmvhnhO8tYwKCxVJUylQ4E7/oh8VrC7Nw3ew p2dO5WqGm3u7Xcft7oU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block npmiJ2K+H/l4V7AAYSTOYU/3uFzD/iDh8KDcJ+ujzbpP1wELTkvl517j+UF8hq8Wy9Jlc7X49HWY t4VpDPll3CSI+Ftj1wtWAwLuDCoHBFLyHJPwcRoy6IeCNPyfibFC6V2Uo5iXcC4P779DwMxlxxky 0XNIlA5nWPpVtuz85emt2UvJ1pAyXLeevu9blapiJGoIha8GQrB8wyqkPvvKT9MI6pSyZ1GtGhUX fUxqKljAZGqWzTtg2PAxwy0F19VQSW/vc/hbOa+wNtsrL69a4qy8LeaRMY2No8kIjF5gTCchKrZ6 GxMPIk/mE4d4hLlcMFX5ZGeyNa0b/a8KsPdd3A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11888) `protect data_block oOvEnJ/GqdI/mBAktuImzUEao7fJyx2acDaKqLRNaxqMfuEg0PFVjg4IPVvWkNQXn4wEaq01cfJ0 dykKujaQ943VfNYnxtBaq7tSOPbfT3cm2hOm4vONVfKfNDg2uWW1rtrYZmHa9JK1qx33EI/v8TLt zjabOEP49gM99I8a5F7hsWisbSXjJ4jEDc2Ic+VfjtTjwKXZhkmPGFd8Q0WQa8CRNxxSjITveso1 5opJKiUzUESVmOL1vxfj7lkIcNmvOndKKWS3CvL5MsfRSZZWb4/JPTKCuhheFTxajgWZ/xiNehO4 JyDkWW335v3Ycu6Z7mHC4uPzBJL17zW1ce7zGsuVcyFCAzSg/Xsuq5g6T77ZkTo/jfeBbtVvnyV5 0nQim3L5U6B2/OXkPFPclas7oo5pHeoOWx4dgq+p+5B3KkAXnb/ydE5nYSpu9jt1v5VcG7S391Dh 6HyTbIXma1lAWOnmycEdxoQ13Aekc+YcxJ/KQlX0JQNE0R9Jx+ElcmyCAhxP+wBT7KFmxRlbaSXy k+IaTcwm1cIUTQOqCKg05ELIBOpKfTsa9ZYFG6I/M+ktpcVrzbwErpfzVGhCGc6Qzfln+nWdnymt 4Xn7K+efHnyOKHuNIqeZjJUZEi7NVYFKGdZCz2T6YtiQ3chmQB2pCOpoSrYgtFLbAYy5q4jfhHEM 8wknAMRATQqLwDK+g55EYamIVomMzE/C6M+Fc1Tu4G7SfQcW0ovIdNsYEFd2whiqlPw8oerXbxDT 0otiW3S5Q5YiidZNkROuOshX8JrxX8eAWP/HNiYgU8bf6nOmjESDtz/NebC/D5/ejbuJ8tnATTFi vGW43Pr7lNaAgTVeVucxTI/JtuBz8hxcX3RCddI67DKOMR9RY2CIjFgid1z7RA6ETpdPQkB2lFKq yYB3GaMhNXr8ryC11xgsE9xdkZd2ziQ2xnxGM02+Sji4BkZIewXf2OZVt7JbweoZBAlxH5HUNdkB UFSdt9Je0Xa4dX0umh8yLSZC4ash7XyBu4vr/+O8RbmwIiczUW2uzlzrRDOAQenpaQ8Ydt9OPZbM 8Uhq/QErtedF0zDjJNxz0Jdpz8sBSHmx8HSaTQXjThmlQQkaDy2jSpu8Oeh+twAsBtBpFJD9gnh2 hA8UeMiV/nqniCIbpNztZcsJATA003/mePLQzU2xNs1JHQ5beKRSLTWQa/3hswNL7oKTWHtJXvyc HTA6ax4/lbdnLRqE1gr/WjkCnY+HZhWvUlhQkv9O6lq9Ku6THkBP3qO04kX58T0H5u/Zvfea/5bP ICJ21L12jfAwb/dbFI//fMJsPUa9Yxhcx8ClwLTXapMnzuqgKJsv0x5T/P5ERa4tTiC4dRfaihA3 pnLLiGbuLJF9+1+kpqcwZ4JH4iMuuuX+PYFvtnPI6b5Gv3o2HnhTpHEofoko60Az02bXlzdcn34O 7mmuOAwCT5gfza9pvoD+QnEgA82AM/ZEHIDA9NPlr9nipk75y3skHQkXx4F45EDbQXSMN0XN4G7p CvBobcUoFCFQkL6GGDCSvz0ezHwrj75QdMZ5pLTPtn2MUklXDGuS/VHl/fpQ6/4PH1IkLKgmQ87K 0N9cQM9SIyVFRDMw7HbjmhI+FbDyqKHSTNxLzVfuX81bvc4Op+gRZUg6iQYHYjuFLKx9RoOz/jZ7 nNRIwN6FDaH1gbhvDILmRHpjGmi1QiJOHQcU/cVQEDe3/nZwAGRZlLCeH50mQegkkoS/2rD7xDxP Ji5+CsrJNdVQ93e8eBHwZTgBwOc4xSJe7o5iilamnXry81OvuiunaeawYyTv20mDgN8+ZDmugOYH R0IKRLALek7I9BqhbI4aIPwm47zSZzF26GtTRUmF1kEfIaTkFADEIYNOuNyD/4Rj8YfiktAw+arN dsLD1dKVlRTAho6lKZwVLGp8mcluvWJ4AryOfJ6T82XbGWe/QSZNxLwKhYgYP1pDPW18kVHjdu18 NLq/TngSvoFWwxmexXXhSkCi7UlrC4Vqid15U9mJsQu7dgrAcArSB8D7T8Xc9sqbZs833Y31GsLc 7IgtVvQ1A8JzPSXpO1fuOhY60nwg9M/3KKkOEmGaedehH8aUA4eB0qGpmrz5OY39RR0imzCtNte4 ku5rIMeATGA2UbGqgZviQsFW0uJ5Xdl6fyJIlG76G9XwClIw2I+HYt9PnRTEqYpJfUCIGAzQ3p7b 8YA306ysBvHNEyIlCf6082AJnVVwrdAjdNW8o+YQn/JUawh5AfGsdUPPB60+yCACnpRC1YGLWAir m6TMPg5l7QKTx/O4Bx7UoBr55L3QJMSJOm86hTl60zGE7UPkjHN92KOQmxYRldExMCAIG26tzZ86 AopIJWRGj2+0GUzJ648y5p1eotUygxrK+M0nXfQffWCX4h0vG4pmZ4K445BlzEFZ/j/DjK5iwcDV h2ZhWNSXXVG3CNJX1aGna2vHqTnKbhCc0g0a9WqCr2z6QlNpT7axOoiYh0+ZSYGs26J0+8buG1sq eHHA1Vs8JZ1cPDM3eM1oYHN0OO3ISKKEwH4UE8fNqwgIwqgOHkpaK6E0qm4SxgdmwVhRkNNkUKeG WUgHIvEagwDWqUC5erlHAVC+8TwczhmJj8kI/SXCHeR40q3tsI8MfYfeJWMBHB1biON2oDaLyUmY 2ZOVZ7OeNot6LU1JO+NWBiNVu10wwO11yH08cRySslMc5koktZBwiUf9jAMq/xJxyYJUpdoJdJci DoBnTpLX4K5qUmKZ1mPx7sIWhyVDlMVG750vsQZ4PJzjFP0ubi9ycaxW0ucVvTgSqw5Zqjdse0+C Hjrp2WHLC5k8tGe32jF4mjezTd2LnUmYpLd++l6W0F/+0JJtdlCozR0sKZfsBHEND9al6RH+TCpb hFCzDhZb5s93ubDmq9bLc0B70KvIuW3woHLzKlAzcvcCp0S6YQWQRE6BL5vApebKJwlsrY8LrlaD YobN87XShGLVb65SEJbzJ4m97nJjZQKi3QMhJk04Lv5ZL3KSVydQgzKqi+NVdmHSZuUxiaFj5vIx QFQ+tJviyumV7/fjVF0DPficxkdPvKhY5/vt4oBsT1oj4VQ8caAzihxriqafX/lQC0gYT9TQ8Rhb gFzL31Hp8thM5RBPuVXEe9lBmnECmUeO7TOCgZj/0Ya9NKP9GH2LsMSQwrhxMmdjy5s6PtTQhElx +2u6Gyrejzd70TGuxN9+SkKqHiUp66m7/lSyucJYm4zQ/uPD5H2L99tPqeQLbIXm4yK7q0qT95bw klKI4pAVCTGRhtxEaZZLg6WRXTto/o3reo3KjW1xMcAgKwXjiOJm2zmVuw52Of+mjAQ8q7mhCcX9 xVRlQeoBAtrIy9M8R3iILsHJfvlNQqdVokeLnTsEyGtFcWsdnfqeeDUERI3vjKVuk7nhFv/4NLTB KJ1WIzfZ1TqXm7VK+WynzzV8U2mBsebakjE4bFdOiR/eWto6rOEWHUnjddz8hvgQteYhkt4kQue/ EtEEsdVMzNKaPdUuVzM6DYpsKjczV4QQTI8/vxAZ1Gda0pDIDFe++Ga6y2vLn7rRT78hRGQ2i1Mf 2uuWljrxhTARlBsEioVFoK7LwqpezWLw7ICaawKEwRamQFPftQaXkW+jOGAVVY2a3r8d/fnwBHRs RptIGic1qFXNDxe7NvgJIFev7OELHnLUc18x9R2Hm28S8VwCXdpkGcn71gkvmoDbesyWL4DyJguR NLNWjedBQEzTyd4MUpFXrHW2iexH5wd2qrkY3I72MiyNNDpydmGcahUmrCRoNRxmEGAzoqJcG0X+ myQKqAASz2JQQCRbp0o08/g3M79nUkC39MNQ5JWB4dCslihPNbz3shGBhTbP2mBtptZgSqvQ0tm+ 3S7WGMme75surxuoLPKh3zbpYV2rw/nUA7bkkh/R0dApbXbRR6KNfzXVe5NdS+vyg5er0tZo5bq/ HymENQdJal7OhTuS9dRlwoGLuCEagiW6PiRWKCsuYRKrj2ISjiamCWcdMU5/etlZIqPdMJ9rjn8L uxWlWIACq/dJ2VDUHoGT44TC9JxZHXrnDa4l003eYSM3ZbN2VQ6vZnQ6+MftjwaiUePWW2iEQ8+S APlSUgt77wO/RcUQL7qBE6t2iAw2fTL3N5Fi11qqUZJyMHx4i4ufbbHVk0epElJfACwjF9IyWJHd uSs/c1oM65KO/oVMoo78K/SI9Vya8bSGpYfT4NdIVMfH+T/Fg8lAY423/35rsAUT9MYr9C/p5yyz SL2R8pn4Ol2O0SXC8cRUrfZMbj9PhxpnNNG7VYU8kG9BoSM+lYK966vLWy1fxMKFahVZaS4ZEAIe wTUZJoLHyuKYNFYATNA0rh8qe24DuDgrmfcMLjZeTunRNWYCB9EW58NMLUyayg0ME2oh7wwiEsDa SFYSrgID5DjnFiQMZfi5bhCWh5ttBhpILA1UHF0SjyvEilD1163DnoUC9cMGswrA0Vrj1mKWGJ6i TMc7kK5XBZLzoc4V0k61/gSxZKZmNCG1VTweFaYmgb6LeRuBEJIDSeJC3HJ+GBWdOIho4JdVjRon Mjem3cwj3QVjo9532EdBQwCqgXuhPdZ9vLVNyMT4+Wwg+PB0huTLoObpZvqpsacShO4ukPTo+fng ZKpOoNWvEU+VB5OD+gj9Uqw1wX5FbHEUUq0AKH8c4ShTNNHQEN70CFdjoS6EO6DUI/Yl+m004GIq MRfgup4gImqdpiw+ivoodtPt9pe5bqDuwXUusoyj9U9+iNn15yz7NnF2b+WWK1XLOjNlpal5Vfns +dmG8S2SqnQZQG/ecq+OQHh2dnanlhuexMKHjy9CpDrYqYeJpep+DPjITEASosQAWQoAVrNEXtB+ +0sJMORm3LvxxR83+XF0mBGAUqIdlKoDgtkyfJOZNfIaskkdSOF4A7mEVyekH4QtbytvwPbwXWKk LxG8TrFPh8YMZNCKlZv4V+yR6jfoNc/RKPgpsnScEwpOKun4FirjN+3U5nkvpSvY1hGWqMrsKBRE sOcW4pq6ao5vycHZ5MOPTjwdQEwtPHiMa0ld5iW4TzjQlaty3Ta84brnTjYSOE29nZtF1WaCYIEb rp+5ehfOGKkw7OjNVEw1SVw2rCqG64v1p0et3cwvGTtteF7LHeL//3eCRm0f78YKKuIx5sV3wOgB L3pkxe4QNzXOGg0a0X4gUppt4/PPDIjKHg5KpRrdF5NbNIesiRv1uWSkWOPrtJs4RD4+qcPPlWsB lQ/do/k2h8xyFnLQ3HMl5Pu2ZghcLvMs2jCsR4Lq1d8jKJn6vorOaPPXpvSZQQG1dzekwAIJPv1D Ae3ml5E83i6tuiGnzMeBKeZMSriGBkyTsUoJtHnM4r5wq4gCr/63jW4eHE0ZDdRA9XtY4SUayo0C SKjtOnI8DFIOqgqkW2OlZF6Qpo4aA/7mc5CjReh34IxHOay49NBivRnS8D2lDrDv60evVqi9m0Az sCNBy9UsIPDouDwmdW9azFeKuWxO0uczlp0bOzJsjn53xKmryyyHjL9ANc+IA26ud0f00RPaWf+L XNJ8xvSx+241WOkTsm8AEwqIORS5UZfQsmnBMfwUmW5C7LjWmDxShizvUeHNN+kV72iWhdxHhdSp hohDdClXcTXAa4s8VYcuURR0Wq7v1avORB+ADDV2grkTZ98OOztQ5hAoMlx2jImBoahTtDgHiVNX 8P+vGmZi5JS2hhIY0St2vY3MAjk3vQyYyl9CRBCT2+I59A3t2y3e/04OPtuN+Ds1PrvqU94o4Evj sik1rPrSUIAEJ4hbfhwfNX10KXQoE7BsNBJXjLpuMYvMxA8aQHXdhAn/pU0VpsywBVclwBGdXZCp nhm23wco1uKx2vviuqxR1gTu3AS3SzP8vE3jcIENH7FnSUHUuVYNZEru2YPKFSYZ5BQCQdMyua1H s0RBFTeb7GZQlh1Ni2vjaOfv2MaFXKi0mZAHRoFkAp0vxxlB5FhOXpTZlyj/NBVQScyLJ2cKK+ZP +Ysq+hLIKJafWSUAt8c1oMtsTdBvlYe6gJF9+DcyGVdQ6A8pIB353zTghiDkWNwkaZhG6n4oCkWE mKVa4NRINkmS63bZy/LHwtuPqQ+InAia3hUKgqIHFuq6z+fiy0J77E74urYh4spHUBzNWpPDB/YN ZGM9LPJKpJ6dhyloHtEgXD+wm6sX1POkpvvyqnM9p9CxYaFmEjilPM7BdiUNBBWFn3SW0caWkWQP H2oCbRjnTQ1R/F90Efy84Z1zsAUhZxOtd7qlYH9aBEuZp+qZeGitzcV5G2CG3FDBZ+Ibmk/DGiNW 79iF+coXVU8IW+N4lOoZN0U+LTpguYmg6UTsXsjPU6i7kI7qMAmrH6Kxxo4Pbiu6crl4IHl3qugU 3Od51347rQ6SnLt1PTNeVau1LB6oO2YgSRUp4c0kID1CiHlBnSLW+J2p+67WwB0YVcpJxwd35WjU fxLvgtkjmUo0c2E3yICLMgJdtc5PzW4VdryXu7gz2O0uqoje3VJSyub64Lkn3YDBRkJ+BsGSITjx 0jplK7L69ZcVP/kkc5a1gFaeXlW+bXWGEBMI0wljfd7h/o5Ztz2KKjSIXzd85LVDT648K5526MTV gdj+cfVwql/CwUZxkKpRlofR8un3B+gIThmHABcnlih85cVQYtEgROjEn6grKQrOZOoDPZZX1At/ Ds0X3YJYHVCVDzWIx9wTrqdMpme0QbxzcmvW0LwoAbJ0wcF7egf1tVF2WyWzPVlDHsD/sqIM7Rf9 rLhY23CFA/e120Wed9+LKLGaVIoGkQ0lB9U1iKAwDni7mb7lVDidQCFy6eX1k6F7cN6kZOPuYyy/ wl7zVoXjtjUmNAfxPKGgy1/ZXsvb43T5XvRUFzM/3qRpZf7iFNJG69MrnCU0RBkFqEYVwWb+rn3I dtp8lmcDQRu1+/FxRqhMzWjd+3nbpP8166kvFO6cU9Hhej4uCEskz5xsTA6hRYOu+AZZYo1v7OHP oKCpeUzq6TOcOdR+qHIW7YecNC8LQD3BUbY/FYdSbBT6Yuog8p5tzrECHGEd7+NqSuQwvJfHqlNd eWgy/o8NUYgxAIFmaMfWdtMS1aLXMhW14o4CI8ghvi7acM58ZTOk89MEXmgVPdFpJbp/HQ/3uDoY b9bfzFN6drzZvQ984GQSByNq8Zn6S9JuaNmIyvTL40SEL9D/ytvMVA8F2ZL2jCQuG1R8ukhoOqnl QphQaiQeocalDIOt0I+6sHBK1or+nV49lbi14TQY++bquwEfW7qZPQ019uBvbjde/CLPf02WvMVS +oxoQNQ3zv4S/wFhLbIEWbrePShZ0VLHTKmkFtTbuvcIaQLTHqF1oix/1xI6e1+CmPxQEmqAV1W+ aDtKICNrZCJMcIgGxNWhXdd+8u29nobOsFtAXzspqaLfGWadnVUE87gpWKPVoRBCC02eYNaUj++I RtUS3Qtp2OjnQ5fEb2pNJ9E1iOhVCCyUDEiYQjOrgBefn1zPD6fZRYfIho+fgk64axrWCewiZhVM ZsaR6kNvFospo4T0AufeVyzz2AhSTDyapDGgst2PCuGd1VnT2WYSYW7DbWx/snA7Fmo8HxUgg+H6 pthaOeVZ+nTRPUcv7ca/3gvKymwCNP+awemiKiZ4t+ZXyjeDctT0h+mmlcT/JPFLu9V//FhWKI+r kwKRniPufZxRrP9ylwg+NqK2dbna1w0CKrJJG+FHXssRg/UVQyQwcS4G6G2XT6syfBs6u77ybEZI yLT3aqeSK8DDdqjDo3M2dy6hEd1LdjKSw3jy4bAN4p7vc88aXquHg/W5zu1NG075KsL8za8nj7lw GfqsGnSFgUBVZltMDj4+gsjEykwF6mdyKorWHo1U71qBvCuR0CJwJr74VlrXDJlXcNGOgjmbTwqa gWJhLbVfEZ5E2bLGWqtVbNezWZGuJzCFk4gyFcoq/MiIWtUVu4ezm6IV2TBDSS1vweSWoySHZxuO 7Lu8iSlCULBrckTXL/MEh1U7wJD9diTqb1p6/LuZsvXlmVgXS0ckdmmR6M3lqu2jETITuahgQ5hz UwA1w29zUtnTI2zz7S5imY88ayteApKkN0oAsMBwEXJLe1W4eB5j62moNFs5Px3TkhIDru2Kn+dv jCkoo2nbo8J6MxNwblnddyu0IFxaPzbnRBXVd4JreR/HR7BqJw8X8WeweEVK6fLPW8oYYylcGvGO 2ChR+kIG+wwvDduDiw13qjaK2cAtXZQPEqiI1+ZUl1ut0jPh4D0ShxqiGg242UY3gpRJbPbiz3I9 AZK6jsC0S2AI8sKtl8OZnQ6YE98QRGLk5pW71CZBT//5L6JSNKbjQzSeiGmpx9+xN8goEZnvpLnw MHfE1L7BZuFM77PR3YygOMJxPTZ3u/oqz4XULraoz/aCzH0nMgHFg/VV5YcoHN3772+1XQhAGpk/ ZkMaz9e9wiPriQVxTaNCEdMsgX6rYRPeIp/Fwz3Z56pQzTw0nlFmEbvKRqKiGN/Tjz6+ov8QHo0U ZL91OkKEcBperXv5Z14WlkUo+LICQJIXvcLrH9ytizAgV9bkHVZewCMk0kk1GQEVu/iqbG71IHQu M4Ysav5/PZ1EUY2GcI7luz54EhvXhxPSfntkD+GLZfuwuhEWaJJwqDm90N/+RNPuF/KGpJV8p0EE tcnC1PfS+0SurJMpNgNAB3JY8O1TuI0CYrMPIkY+4IVOBEc3NtCcHOmeOjpIPXmgFdpk5DVV2MyY gkqlPfpMwC2W0pFmQC+HGbMOpyYkUJ16HtSUSBrpBQwZssl0oTxGv4sh46pPLPY5LlH1knRPfLbr WfICyIvMRrut9SKFfdGbzCxqpkMurWQG2AuXjE4Ly8XyUHVauhdxNVSx2uGLDVCW0eOjZHgVQZk2 5L0F1BA3ZlheGmO6O7xn6kaht6Gxmty/heB6f2TplsWIzQJ1sJ99e63DJZ85GDK8bgkZL6AGyUmy HWNkRY11Zjw3i6H/kfZQQ7BkJsIr+OVVdCLmUIMzysBkyfMgSqOd30D+ps+D7cZFpe84S8Sk/hbk tT1WrB/StZV0BIoo/4uyz/FFmfATBB3fpLDXcARqcbPRugE2OqOZV0FNtcGeao5z4MsTc9EusMob Hl24ftk3A44rdwK1l78hJMhQz61ezGqIaxyh9BX7VLqN7G25E2HCMIdFLCSK9DPaHqdUhvd2B+mu 53jaxSZ2b2lldIW+RorQmhLsaPU8/MX1+LAulDjRxk4R17l84rVjhQxLLXTiSC9+2dJL3VDVzHeB V0djUtGfqProI+0SQBG7H6DuGz2vkadPexoteYC+LuVtzuyi9n6YN3kva9bqSdWJD81Ycu/3jNeO 0cQMZ+H8/MVuTy0/dS8YWtD0dgFX2lYGNDWTqA7TCJpUwPTZaBFf2djYsSdaw9xCMJK6nihHSRbS 2gDruxkiGtDY13BAqZ1XH3i/P89QUYSkzmKSfVxPNT7FypmQb8hHUDCMV+CTma++RUtdUyU/PpC/ svAsRb8kl5mwg5Uw5JaGcQnqas0QnpDKD3gM6h6GdnJ6YXdO/Vhmf5617+xW/BY8IHioSiC9+MCx Ymp0X3MB7Qv8BZs1oA5zCdB6Vc67ZA13TiRYx68iTjshnpH6NyssA760UCTwDP+8fWD+ntYze4w9 UgcsFa0S8NLDhDzG0DCzk7cusxLITLUrl1YpFVTUG4p1Un/EV/EBY2jzB7qBsxS8MFC98vpk//fB cs55BuM8boyTaDpzC3j8cAW96e3on53MEpVtCXBXuqbRENN2ajRDBndo1143Zg9UsA8SAtzdIIHD VlRQpcaXWaHm9OSwXD0VSN7HG4F8lpy/CNVvCGPFIht5aH1LYjw7Bj6+0rlC0h2cDa22f0hPdyIw GrV/rZmmW7c9ilCwMoKzAbEWQEnyIQxEFEpYz4cFN6BV+8CA3iZ17x6GLWcv0i5zW+6Xridk93w3 Oh/t9b2vRUaVE338vvPf3wqRSzsOyANWBuJEJ47LTSQTVJh7dqeHaEZmPekaIS+OtoJULZBukT6H SKnj+3SPrVEDJZEql1sghPB4c46qNPOJQvyh3GQJVOrjD+TaDD8A+loqOkudQPS83stmZuqDSIjl v+iFmNgWyQYK6Djz6/fGVcQZAVMWfVe7XMt2koD29tHfxSQT3oTF2Aw348Y2oC2SY2rLy1Cza1eY OTi/eYTbeMtM/hq5ucqNfOE5nADvL4SjAEr0WgM+fBKT5TGUjL87Wgw6DQS48UKlDUV6LWnVj/GH Y03Fq+rEWyRd/ys8SfR61RIaX995b9RX5DezYTaKP7YRk125yekIDSLT6MNE3MRzelwu/Wd2UGZr BE4MQKVuSlfs67Jy3ixijvZ2GXlIzHecAeYjh7yEdiEryO6cTyRLPabKivtyzba3yOJu1myqcdKV B1E42v2Ehz72/fe34/5BzDET54GZr9yOhRFHJCWL+LCT5ViYTSyyrYZmFtCvdTVEo2d8RvRJqVi8 g/2eCDG4rSUboBE5BoPqkm85BvTgCFgGJB/aguxzp1mZzC1W+x6hAfL0cuIL2KsXh+s2x/ULo9mW l79nn7YNRuWfOIHOwjjYIYrFV7cjVptg4T1kK3g7nuuEcCRvEUivkIiuns2w6NZq4lGrc3XZEwv8 ZxTZ/Qy+NXMA/FgR2COWKDEuvAutTUpWkAo5EBpmMENkPL9p8YyZtAGU4BZUd2WXimIk2DlsGP1g AFX0Lnt0/YiFfJlm6+IpKnwG6Lv48bVjD8s8OnYQLRm8Vhdn2UFHeZZdKCYxmKVEvbE4PDWqH059 KDENkT+yzerIund+DHfmE4BSQLy7PRDG2o8ywu0GynxL2if89EBPHC47MAvAMQnItPWJ+steMIE3 mHNAOPaJsTbucJMFLRGLmxVvncL+dSv47otxdi7IOZlz88DjOlM2tbbVAEYpOEQvNDw15w79RW4s 12/Pn96Wd+vJvippM9VBaURMKTWtZUOPiRqMBLxuTzBg13UbnJ3na3R0hyo07l+O+eA9T37OImcM Pp0BS8aUmjoNVjFg1rUaCLUocvtOcVvhvOm3oY4d2Acu6sB/jZIdFslHuMmbBveLo9lHq/hg+2VS 6fHlGMlfy/j8TROEjWxwpvU7xB+f72M55/0re+DqngAeVPj6f+utmI53YsAfMOFfCONGakG4HJG2 WH7AknRwGNeEHGcPeFz2UfU4BOG4dFAqODrIJMsQRDroR0NvvXLB+s/I8UNBxK7Ruqz+ATpMibyF B2aKEum1MtxmGwPuPVJivXWjkOjDbGzR7kz4yeW7VWMSp/C7efsv1N6wUpq2H4uPWcQrIayWk4nW 2U8Oo7F+dUMqiUDolc7W2Bc7kJBHFE2PGhgKO/416/BWWuo1tQeL4ZcKLFn4qX+50GRFTNTiNsM9 YvodwmEsT4u1BiWj8SPafwxkDclNmx4F5KlTgQu17BdBzWjS1kV4XJhb87Q7+n+aCuu+d+MdBPG5 hIdXwuAWArUPwolJC0J6FW/ZPKyvrrtCejlXV8+/bINhhvNI3w9ODkS19djHcysms9nbTXL+8tvG 4iWJtKRurSDbFwFd4YDEheyEfN8qz+uBEPwqPIzlFavOHugijjwiULwnhr5jlgqelvVD2CsKsn+h O2biBWIlcugODe0Jxjv/M2d0+yKQO5XznHXn8Pca/aWnmQqxsLmzvAA9TgAfk+QiWLyVoG2+2Pe2 zYh2m855jiRnjCFUYqUilSLq/70LQ5157T8W+q/R5CffQp1qJ7ZM9PYObapqiJ4Gpq0AhdmPCPQg Hik+WsQsWTA7Q8PkXjFLOevK1p8fMo+0GUQrqb/daVT/4buqwkg+kGDcJ9W5jQPLsDa6H5qE/+Ca W9bTb6wlVpO62Xfdg0mqm7xBiDiBVZ81VmhYfeNEF8Go7C4N5YORHHpu3KyrOzQFVh3My0lPSTBy OCmlFsrDTJzIacLT2mIWCkWSUsrEKo3FomjSC/MDamukkxfo7JZ0ThJ0N15C0EGATfLNKqGPJGTF m9xKHl1s+pXRbVgdKhDpF3s3sJqiJqIky8tuPp56hE8pG0clEHIQwm9fE9Yzbj5RbI+jrA/Mfb19 jeqsMpvG5boLDjMVCN/fQ4t0iyr9aK/F/yeewTI/RsunvYSjSbCiLi++MDkeMtTCr1bfNzvzFDPh Os7ZPy/bqeWKYYrhBT/S/xQCMJLiIiLEXhNFGHtv5wU8eiC2pHdbi6AoXRzyYWVFHkQ3nE0IeWB5 Q45nz5GAWhDS05cg7OAIEtESzTa+8VGLN97dXg2QJMv/cQ22Elm2Sx4XfqnlkM0sxF+Cm6h/nGDr aXEdasDB80n0tEpmskhjBVvZgE9zXsJJwkoCZ9QUdoBtk5TDiFhemjwzcUZATFNeQbFcXZTdG1bi WIdSTuX/qSgCidJvv7hBPMNCFttAtHjjTIe1k3c2D0zzzRsXcLXIJMDyRH+tpyvZh/NAXIQqm8bX 48g0G6iMt0lQkYBs90BJgIdgLkRW5Ciua4qrcbgwSvOw8beDwyUtbT4yUpT1ks3EIcEm/v4poD/T Bu7gNs7pNAcvS4y2gAIqmCeBOCzD2VMrIRoUT7/flzbN93crPs7mRAU3k+DEhHScmIMOLtTaytxJ COQmpoCC9rbu3OJ9PrVjfBe0Wkv72er0topLjok2VpHgwloTQbV21ghjXE+ki24WP/9IPdwk+fOt 4U3cLZGtTlTu4L5oOe2S/ekfmQqigE5wD+YawYkpDm8Fci2AwYpqC893xaJ+LNclRunkhAvXrDsv fUF50Tk5O5gR4cp8v/VbdYYJP3uMYONeuBA+VFEVe7NVSER+/uBw7j6UnrJfdItASGhmJ8VNpUVM Ufr3Z4TPFkhxKoE0P70pW53f4MBVIxTmbQ5sMwYVbdv5KGSIbSwwGjPt6l84yTHrPndwA2EHTpM1 7HWZ8QJtiFY364omzkrIoYGihPj5u/Oy9wbYzdgBF6W2cxKsNDQaEGZzC5TmocGn5xLVn2+3E3u8 BwIxKgKeVaedfxb1X16lQDWJaoPgh8ONMwtXvrGr/2we8pbGQKasWiXNHO1V71CkYCbUhsWzNvaN ChOpG85ws67XPHNZKYYs1GqlmRHDu2TCHxwD2pr+cpqBs19IpiB56ZFw6b5Ou1fQvrEOmY4U62DE YHdS+6TF4Xv3wfp+eryV0V9KDbl7PJxwMVMh6ySOYGJZzG23DV+YAaY5y4EzJXJlRX7NYdScGcaV DUoeD/U+JRZvHHPlHiIzo2JfwPO09uW0J+gxEGk9BuFnn+Ve3+jleWBAPtIAo0UZkDGOWlDsLQKH b1Kb2LZI0xVxt0GnJGtPuZawOTdt9Vg4PXm2bjeXdFqqbbSyy7ZAg43JCNhjxiRc0UVgpSefSyaj 5LerS3x6OZC+xLc3EOKyY1Z582k7psNJ+AanJk03/B8mL3LW3/c9XHceUXbJRfaGr1hH7Ge9s0q4 Xs5xnhXdk7eIE1wiovWcY3K6+sThTPomLDzHW76rYR+GqCi6VQyiblEwBCyBZrJM0TAj1EA1lTZd 031+NyYun9dz6WwZM4N/ZZCTgpuXW7Od6yHr7kpKQLtgBEfDcrJ2ty7FDbeo/La2X6FJfeNDdki3 Aj6pKAttsyJuV9wWtJsP7LqK/+jBJHolnXZiXrf8Hdw4w6TqQZ3v+JOEcCXvGaIYqwb/uHdYgkLz PiGRz2wDWn/99pI/ZnyvI+UUqtGTTrMk5E91IU+5MkcK3AFbCoqgY8oag+04hsBSmWVK+YMsNFd2 qQRHCKCMdySyXXB0bVsqXa13luZFTnGP6QDyLLZj/yrP+CXD8R5ze2uk4cRdv3qLNFOviDPyjsbL RxmNl8qhkRTq9oHZZCiGhDzbiFx9FIgA4IHbBK8dPyl8lUEoqF2wZVfVMNFLe3AiupWyUm0+CHII g7SGDzUN2a5fk8z2WD0nb5mQqY3XRSNj5cwaVFOgvxCmUlQW73iKOC/VRzcX/uPVl2MocicHnOyJ B+PQQDH5pJTBdidvwbGJwZJhsXho2a2QU9I/xi1NRAsqZAI/D3TJe8g2Ivy/Yhzt1SmPm9EAAWrO rXoINM+bPLeVe0aFfefJaJZdTqXOkVcOEQBe5oT02Z6n0iah8NNJ695nb5OUZZ5/YvDZRKxs2EUZ Nc1q73ccpxq/b7Lx9gEPck9PQLI3pAt0yPIvFse1E9ibGaHbpcWohlj86kUQZy0CplNfPkOVTZrM 2dcQkvsGuoU0oO1N5XWTURTIg3qSDwQAYHBT6XeXHw6us7y/byow1F0M4nOFOc1q9AF4C3b4GKZs wmdC635Ppowh3+plnleR+By9vPFimoU2lJOZBLnZ/jvWL16CI2DZRzvGHl3QmVtCFDeN6A/reEKk ntjG+Sw13cIVhPwrznAfRke1jiW8Jb0L6lBSAguT2TnYFYCKS+U6si+1JLcfAfUwZf8BSV+ewFLu hm3J6kETglUZ8YJC93RIqIT2rx2YiA1Kwa2odhv6c94aVHIDlPaC4Jtg1X3tn1IVA2cv9kSO5Uo5 04vAKMNayD3whd0ews3GFt89HTOL9c4Y3EMm09LtKYis09Fo+CM0cxBlEciRqb6GkiccsFLSsgzm a/XUhlQOL+9yhGDECAiJMLBbTkKEix0kD3Ry7fYMbO5eIu0cLf+Ib6n6aaMuPZqiEUubHvvAK3JL dQHNcmpS0295tjqo7RLMMEmeW6TvyrvUHO82K9b1fiCL8xFzifkrFp6fDLS4T0jf3KkLkkI9TpoE DzLeoECtSFUJ7MgqqZhzFHIRfbyXu9jXDwek1sw9M6UCCg9wpRypK2yVPiuqO5aAkeV8gpliv7ET VYk4Zp4UmEvt5s4BMEcXP2H4MAPzUDbawWedNKDtvnUc7jjY7S6U9CaOhLbjNcBz2Z4+CZfR9DJp Wa08m0BTsx3tuJ2ncpPXGirSkHf1vfnaPNMMMF4jnU3xNZJ+hO/kBhV6nAHpqEYa1seG1+tcShQw aOskJc1R6tSUFnp8iUgAWHLh7tCX3kzTWF0BPZgE8MEkIluezfXejNo7aD7PigFpjGF8by9VXH0K PfYUEnFcgWYY7f61RurOIrVccFhcw5TrnOFr5gGnwq879RKgK1/NEnWE5qeqz+TpABuAz0jQvcXz IlKYIAboZLW2Aiy0LxffY06Q414Q6DXXrtqsZ8uci7FEcTBHWmVuVgeYlr8HBDZd0AFdfuhqXHfU kzdIoeU2+hXOFezWWRWzLXxubZMEXiNbsrxryBgPfkXpxkt1qONTdW37ez4XP9s+ahTEcd5I3CHz Z/bQRnpvn0kR8kmYnGDBiBppoUACJGtk7PgeFzBZeFkX39+vEZZ+5wTKD2F09jfDaeVzTTiMYajA 1zGBUGrg5k6TmSkafcj857lajy+blpKR4Laly+r98TOu58uSP99C7VJgWcb3VcNVPivsYft5Dfcy A+nL1BruGXxlcoNv8PyrkuY4rvFQYYOegvpe6sjDJjUm42xG1NyahIlBhIiMcnPDZ1xO9lLjVSDc +y5eEC5d2/CMzn3qD+BpptQgR9rFsXzxapYCJUWRqNE0ME8gy+T4IElf2oBioynKU0afucX0TYcF IAW+ajqbSnWB7CF/JRnFK6pZ6ofLVqFf7FsWQFuxLQIn4hl0yGcOZ8AQoJS4PBaBnLWnoSX3B8dY 8suH2kICa7BfvSm7iyucUpsWPKS1HQ2IZXw1T/3hQIj5fIwQUrKlnpqD+zOd/QFBrw/rzCySkgmE sP/LQwHPw9OtJWI4b0IAz7DVwxb6+lUybaOAE0+8Ha4K7zUEcGzco12LOootZp41TF2IiFQJnktT MqnXABhiwxBeWzYwNN8AvYfMMzw1UQJj3QOE8hK1iFQ= `protect end_protected
bsd-2-clause
819e08fe6e83e58d98f1630532c4c46a
0.938563
1.853131
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/port_map/rule_003_test_input.vhd
1
694
architecture ARCH of ENTITY1 is begin U_INST1 : INST1 generic map ( G_GEN_1 => 3, G_GEN_2 => 4, G_GEN_3 => 5 ) port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); U_INST1 : INST1 generic map ( G_GEN_1 => 3, G_GEN_2 => 4, G_GEN_3 => 5 ) port map( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); -- Violations below U_INST1 : INST1 generic map ( G_GEN_1 => 3, G_GEN_2 => 4, G_GEN_3 => 5 ) port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); end architecture ARCH;
gpl-3.0
192baad5239813b3ff43f112ba830cbe
0.436599
2.700389
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/process/rule_033_test_input.vhd
1
1,030
architecture RTL of FIFO is begin process variable var1 : integer := 0; file file1 : load_file_file open read_mode is load_file_name; constant con1 : std_logic := '1'; alias alias1 is name; alias alias1 : subtype_indicator is name; begin end process; -- Violations below process variable var1 : integer := 0; file file1: load_file_file open read_mode is load_file_name; constant con1 : std_logic := '1'; alias alias1 is name; alias alias1: subtype_indicator is name; begin end process; process procedure some_procedure (count : integer) is variable v_count : std_logic_vector(SOME_CONSTANT - 1 downto 0); begin end procedure; variable var1 : integer := 0; file file1 : load_file_file open read_mode is load_file_name; constant con1 : std_logic := '1'; alias alias1 is name; alias alias1 : subtype_indicator is name; begin end process; end architecture RTL;
gpl-3.0
25d235510cf297f9b506daa1d1281648
0.623301
3.678571
false
false
false
false
cwilkens/ecen4024-microphone-array
microphone-array/microphone-array.srcs/sources_1/ip/lp_FIR/fir_compiler_v7_1/hdl/addsub_mult_add.vhd
2
23,292
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block WEZk7PHiHIuHwKd8tsZrAYA/Kfn36sbEotELzfQx2k5Su0Jxvna/fa2PalIWEUqq2nW75ZyJdijw lHfj+IX+/A== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block cG/NSF2OMg9h9pO1DhY/CT1gANgKNKri+KoENY3hfCUe6EFF3TOKq7rXLM2GlTJ6IFE662bFMA9x rAKjp+2gTi2+cHxECiRHKvycJkzIDMVg2DngAPhvZwA0D39tDZ7PeI2GLYz4XJkNQ0w3mhbpj89f 5LTUn8LR+n19Edtr7M0= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block MYWOgnHWnw1gsGbWofdcOg1owebGb15IZwBHZFHfsAOfM0gTjPH/RQ4B+gXXN2n7M4NuZUv0hthz E20aak8j3l3MqG8Nf5o9TTZmvqBnQle5RO61VbLCWo45YN/KbNmg+t+IJUGQB/aqKgrCfeRTC/MU 1Sh+GZmy/lZiCqK9UTiJWZwUDr2bXIId6HY7mJQ+9EGuUBpykbFU2wHFsvf17NwWhZzPPm4jZjt5 SUAem1gmRoaOFbipoF8woE3oksr/cyOBVFGXoUfnJGhJLns9cNGGotPQcTqYa5z6l/z6mMurWGgs pezAXZ8tGQ1L1Rt6afX5AUmMdImg8bQZW+hK8A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block o3GuIUJi7EIApzb/Ix8zFlrcjvw3zsZ+17v/tHAK3DqnInkGuLrlrrOm96Uv+xT5Hw76mBgfr3ds 84GJMkCmskv9lM5sR5y8ClN/RoiGeYLiEklou5qOpT4uO/T2VNgPiZCyUzS2mhKKRaOLPHZzyECz LGpkr8w4X76Mn0ezsVk= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block CG1aVV9IDyYT9+Y/ESQGbaB7pQd72wZQyi2oQ5oeb7+a3aVyCT/nM12SLIfHBBjkxNAK8/wDV6VH DOW9twgo0z+bF8M8MzxOoZMHRtmCShJaly7lWVHoVW9+acvyi95fWI4MPoh6qb+KI+ABzhrM4Oww 8PeHWco/RL8YugSC0avKLpm9jIM52q7pUr6ZiKQJUemVQZYkW3Y24lnI54m7dm4G5w4vKFJ2VWqi 0WhqeL/H2wfUB9kZLRDxrjH4b0bqWS/rY29USb0CA7aSXUAXnNnN2cnznHuRr99cuaL2I1gzzYLq pf0pgBT+Aryb/mxnCbaPAvfrITA7FFVFbkNh4A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 15504) `protect data_block 26/WMHzdPTFp9ZQ48CIj/9C7st9ElDj/L6cWz3nzIUlxqs6CFR6t6hlHtFcjfVjjTwXDd43jHO2E oQnpZx55umUT/0Ul9XSVX/pS/CxxjMfi/s+1gZfejcSPTm8V99/1/X+KXUcirjnvjmyObyGGpaBw nvvDobIvCosh5hTjG/KNFuW5blhYEyJmVFYo8YnlV60SFSuf70u38/UkSzP4fm4QdxGWe63bDxhk yFp//LjfSkuqwylFQK7ywekMvEnpiUXrHWzkKrdJ2+bQeDRhG7PosdpRUkxJ9ZHJNUQkTab8HDGf zel2GRd2w82Xqfs+BTWTjvQsj2E4yuVpTF/tvlyKwLdMsAcWimJENq9fFZOm2A5PhAwf4vfTI2zX 6cQsjBTpYTaNHu2itBPC+dRwS76k2KroMCI6EgWdjTtFUiyZhgJmG9JVHyHDQLD7XTMsZQtGfRI7 F/h7u/G7pYACVMe3k9HK5rVLodE9zKuGXCjeECVrTvUkbwuuCOKB/IOyOZ3dIUUgrhka3+ol2Ujm zO4Ojgr7HY0wDmQklUbSq23+U5MWBGOTsnlX1Tuh5u1cI7l6k7zTNegJMRCliwwcVuq3mbu5b6i3 FqRUzHkmjVOLdknsi76WYuACG0NAnXLKyDLMch+Ks9AOXw8TvBPIFjV45fWDG1i+gidYFiKltAwi UXbKZAu7ZCmEiQR4dK7887KEhzYN9LTdOgwma6stgCqKjNjBmeMqtC5wm+29biGqu1NtMGNTcVdZ TqL62yJ0O7BF+Py8+t90NQkK8Wy/ej+pRp/33In33ruAmAHNoL8slmMmIaZI8KR4kF5obuxg9Fs2 oNjy4Snh7QedDxzF2jlLEimNXRHii42E2ku7SB8tzYZEwepkmXm02npZYcWe/1oGvWety2fqzyGt 4GQarCVfMcUkX+zD+Qj3YXuj/mwuL4FT2+NMb8CFmOaPARTx5qldwH2nuDgws9kCC/DwKG9lN2KR lYog+HLNIyoiBoXkvHXwj5dpw5bwq+gV/Kfp9VE27dEmsviD0WHuY0VkFMbdbML8X5rbpuqd5UO+ 3hm11fXYXC1XrjaWyQaXLRvv04tf44G+ERgD2zeE6osgkSXovowcn3jRMAcgzp2dmPG04BwAYhYQ WqEkTKZF4YfdITysQzWDxxmDzmSqbVO2CyJ5ROtQjWNVvMwWDaPKcZPPLH1oyXgvysUAgbK8Vqcf wqzxv1hHlrgjeAV0dRPheOi16SrFKlZ/q+SMy6pDtc2Yu5bDPeyRID0m3rOsaBe4jShFjBqUiWh0 QC24KCj0/4dlN0Lhz/g7n5flhoRo5QkKKUFFQY11MxAnquLmHgbBAt6SCYzNGjunaaXajjeOkphP A6SClp1nDxIbQpcMKnf5zwaDeJmyOb9vXujmYSo5wRKuFG1IVvsoJAasZ8lnJhbl60OcWqVxLYx/ KG3diBWFbkaKx7spCJ2R8fDU1CtSKAkwzJOHqhTnVLTwRWA9EmUWUCsU8OpDvtw6gw+fX3SbMtgN 0mFs+lMWxDIdT+Gskj2Bt/E11KL63+wbublTOi0h4sgnM7pjO7I66+egRMnkVIQG+QU9sEV1xLQ9 zSK83xqYFnuobJ4xdJckSpcpXycCgjRyOsACKY6lZhk0tvwBjawg/bSf71UOJpJa39pk8U7p84wV UQow0Z7bJcbrbexdf8sJZ71ShlvMG6kAykkYLbhAPpuZzKPKoLRmjYD74jFLZo/sAOa0Vu61MDRg q/auRqmwe7PB2zBz2C3wGLirZXDh/1WtPs7CvYDMVxDDNVKHiYfj5xcT62RMVZ1zSFrgeSffPNxl EHXBY7bMLedHBzI5aNxQENk4VIaSeYs/41cuZtsVtTe+pD3sVShYMo2O/c4ohEt5KO7NBmj+ytyA TfT0syany7/iN9GoN6XwfMXkXxWnRY0WteeTgV77nTeUPv95pGTwuIhBCoyQ/ncOIwadrmuY/TjD yHdjRL1NHlCxJ8i/mNunh3z0HehZAEuZlBLtVsxypiFqzf9sVNiVi3cM7fpG0Hu0YZTyXvniZaFz 3yt1dDkT5LCAIfLfzzI04ENomfVOnMsl75rnWZcmuWi3mOkLG8dth05s9+MMYIO2pyhpPpYepiEk S+Ab9Cv3sEjIgctd5qKnrPu9Ky557triiZEQSrmiekBb0wX8Qb4fMCjf+98b/pUXiqcHfr0FJ36H lU2HP3iAm01ZIXWiJpd3vBd5MFm0+bQluJChBGDbNdm7QHIXKPXHDtq3YXDCFunKimOtk18TkIR5 HcoCRYrlexSVCblgCt2WbFIC/ctvyMlQ09U7/kmvEbr9HgA5uXqwQ2R4yoIJnl3zR1sUfD797ujA fzWlOZzTWXfUGMWOiPoI0kPTqfF8XvsOs4EJuzpVZw6JswEYm1JGeZT2+y4aOUV28kCNYshkf7Dh SpYy5dZJsNMiME0KkkB0eYps04FlYHIagA4nc+fcdFw+DH1T3hT7KWgh+dEgQDI37kF2/eYneKCh B/CZyz9LMW9MReKc3v0dD9S6e0ejkdDyMtiyYCpTzyHGjrVeuywSRBRN5JDcj8tCjQxM9qbn8sys /YYiyeoOwSH/MANfCGVSeib7R+RK51ma0dyyHigl/Ref8kGfQmYwXchKFyHCoACPTLOoeH5f4pNW ypqOZP2fSlHJVfxI/quAVBRz5NkVUAalYrwQ0WSUS2MosY8erF7dCQHGuhxpN8SXbuGOvrRhnAmr imk/As4siOlkvKl7AfeDyq7j9dpMkmmzhLSGb4x+D13uwEa4LWxBL55252qjrZQzuFLxXnQvqXlZ vmaEyt49+FBDze7OP5pCEvkBTh9fEGfk1yWH9clmsN6kxLnY7RWUzF2PGKrSZcBh++wn7JXGwIjG u+Zj3dmUQVd17T+NAmhveMncXxZv3gdDH1T/sNe3D3xHK+G4bCZqb8QCwTHfTmyWghFrhpO8jM// +a3jSSEryKbFRN2EcYJdfMZHNtVzxP7+pnrhii4CPLwwlQRF8A0KoleCRyMjTSxl9yGHFaUJykL9 R0ZLFOUm1hTMcomwgnIPpzoICAuUeHJVEqVZu+PKQ84eQyQTnFibMt4EuO3mPHQNfx6bFahkySOo e7s6Z9utfYTxcQjNmTS66j0JiHaFJBX1vHzwFEJI+lkZ9tJG8xPNo+R1aBk3SFCc7l9uoCNAhnHd IOJhVc4P3moT7P9chgMmsupFydEsj2KXOx2VFwMYdwItYw0nJR9A1H8x5qibvjqoXEGA3oJmdgQ2 FRJ9FdKYBOEAj7GXtaLLrNHPuzfDR1jIakrttyiKHvrD9UgDHxQoBqKRETXUrRBR3P2+qel5GGYl klE/x+9quCTBHch2YP4jXWV37tfKb1Sov+HusfnXf/ki/oshQ2mH2Lfb74MxMhlJIIxC+61K53AU EG4eXf++er5koTi7MKT/Fjww5yTjoGf3ZApC3UWPf25AnUCA+17vvdWaSOeWgyosBZ7jlB8KGRlH gHvu2jGotwD99GBYKYgY2D44oEnweXyf6JFF91rm8Dib+pycTNOYVpALuvh8bReTJ7Ly1TZXtVDA 3zDk3TTgE3zSp8vofmvYbOkBaDDgZSGvp2uooCOCnrV5SVtHEhz6GU5LadEFL1Z2LZeOYgoipu7U /9ZahGm/5w9cBHkxqDrvzaCTMHB5xjy0AUnv4xeru/cMVfcAQf/ZkTgyxHoA+OMUyw+xbJpLDpOf Za63luYOeNVt7i6lQg0C96235yHJRUkLI1MI40OUhNZnA6qQOmdtAX5Cv5gQ5vGzcJJpxP+Cmspx hoy4hKdqt2Ws0/V0BHY+5NOcQQay6/LytitwKWaeq8b/KTuSHLwT57uLo2gtR6N7xt7KLWL8p97M pfoSJS9arhkln1z7PvpFGkmfsr1jx2FqZxZZ43Nv0eQ0iMmb1szA6kLp5XQGuAJCWbY3U4VU9/3t vzn6d97wVTHAyN/SudMPLUMdx7G/xnfIBmJ2pSsJT92+bqtPmlgWjeBIFC4jFCMfjNFmNi/DDIxR JmNv4Q6OjdMSePQTu/vxh8BfM4gcbT2yfzDoMmxnFq19yaHs1OKHnElGBO+tOvKyCt+JXlmH8Bf3 xFlHRUa9zdH6VLSEGH8XZeDKopQgsLAghNHOizBMO/w8cVG8Nm4HqkkYfhcoS3bIoNLujj+COLC2 Yxzb73TSB2Arv7MWcgz1iiILZ14YN6+xEaWf6Z55AtNA5DgtB8XhhvjGpSSBSZi/GLJarFQDscto e1Q4/NCMKa3uVOow2ohU9HFWIYPCFYu8nKNa5KZ5uRnb2Obcm/vkfQbGf3Nl/VxiVjOz0leOqPf3 aJmGkWdvZtxwyyilfGNJQsZ6fcsjtXUrx6SGhyFpAs/oIBHlOIC3u9w7ilxMiAzM4+mMIAKO4AdD b3119ClH0DuM6o4KukAG8vyFEnTZxIPH4kNxH0FmLp8575DvmIpnlOFMQ2LpRHVCZNKFOXsMvFvQ G4ZM4uQVJSrxqiIpIzT3Uu9oXIkhb6CPlCtDDXiEkEdr62/j1erqOwI9dAOqhakqqDGaHyQ8DRMI TbUh44aC8wGE+EEGCEs8Cod1jutQeYQAuOufID6MavrBHLva2UKTImPRgJ6dZP0Dl90oJVih9cX5 giCtrG96ZTBwa9CUfA7Kr5tj1FivgL+JgvMp4W6S8si0ssYZAkx7DkA8vu7NFShzn9e8EiFb3C0t 3U4uCenNfScSiOi7zytDB9s0jXGbeExYxTkGoKci1a+4DL70cQ8mt01Q9abRmA+pe5zXSWsocwuC tGQ9araWI0MGIID0iS62jXM0BBIRU62g0xxUhHLBaT/KCC8hoHxz0uAgYbJQkjv/MHB88x6aI5MV ru1SCxbTcPN76KydddlCUpUqOnwWbhJe2M25FNW87pPTqaNLJYHIQwG5eXqlKt5HWhl6PQ7yWPeP F8DUDbbAwXjHbAKx+4L3rHVvJ9p3C+xJDknU5VTA49hsMSIPCtc9o3cBNrol+FYO/GA+M1RSQwyS h8WY55P3d19WQR2mmZ4dpBdOEqnutG/0E1lWytaw1HomFSpGevUys7xJ2ia/BaSJj7Qpv6L8ZjHs 4MsWnmR9iy47CEIcYtP2LKb67E8F9ASeGr2ShdCurDaZUaO1YSmUeI4SCXmOefyVajbJTNP8yqup dA83bj+C8e0K3zlRvPLVKsYAvCC52XJYq7fRbCJLGn00RoxDwNpVfSnlxEpPnHC0uw9QepbsPd7N +te9gWe7PqfZEiyWujEpsRg6WmoQyQaHeUallqW7kDNQa/BcHCdcoYbo+7ib2oOnk/Wsi3pUYQ40 LbmHLoSZ0yYO7kWLVS8sT4t8GItAd6EGWCHtrp/Gjy5gDdO76l3GVQOrkUALdewQbteUnIcSzyPm ZuTfVMJDlOxG/B9w8haR5fUCSXw4aHWZB6Sxw/0EBme31H3Gw6isKM5v1PtL6IB1d9VZFtmdX6xP thlblw8T5fF0w0lVdPs3UcvKbCb9zIDx0DpUZpRsS3dwOi6BzOoc2ikYtqYHM90D83O2ZXOa2FVj 5oZJNrecAqAuG4vfkKaNU1KwC+nLi2Z33z4XNK/NaFgvSFQ8iZTbDwtFU3uz+tR5wRC4wzXTpEQ5 pe0JpLWel+s7rBLPUdnyDcVOYmbsfRoDT2DzHKbBmmDMtZIprTNZgqzrVA+t/L8nl93d4vEG4deZ 6b9QX7LhhBfghstAVUlx96rqzkL72shqu7Hb80YBSnZRh27yh4E6NKx07Sdwmm8jcJv6JFm5QkLH nNpJc4b7+ll7g4jOebUMCdb7F/ir6WkYmRuSc0W0+cpWGb1E9YmyATdh1n6vLwd5Y8Mck3fSAh2U RSq5laWgeJawCWuEPJid4jqGZg9uZIQObE7xSmQiNMR5e5ovOkU/k5Cuo+5JCodF7wsHvFJIoCZd FoYZ+bxz78gmutcc2q0Zg4X3z7yESQWGoAolc/19csx7GLMRllyD9M0boCMt5N555mq3elDlJIuC QJp7GLLL/hIE/KISSLV11p3vw56eIvFW9xgKkvOKHTxFDYzhJgwSgga5KIqc8Acb+3rLWQyI8gV5 8jF2Ob+1L81KggjK+/XR4TnBJAfUfTZjb1TaHkAGmOw2IgMFRT4hPiZnB875rqZjPohgQYez2KPT 2N8p6PJSpKfw2z6AENJO4/mxPhBoJZgDdZZ0LOsDvrJ4i7N2uWjrZV9cv/+xDvYbGjQzs4uunx6v wGoUuElAS5tvY8LHvakeYjgqj2hfqkbwV3PkucphBYdCflrKOgjvuUTDrZ6de8Pc1KKjm9w7rheZ BYTyGOqd3bDr4LmfCUxz9fBQa//hzXjRUuskQvQmqNxmjuJR8Y+WCDV3axDT/sV99NctIGJ+haeM GisR2uXD3TAXESN50NvvmwzerZWNqNXkBKsc7pAiy+Bt5MZtbKzW83zNE9e/I84jLPBSmxXX5hle aNgiNHgCyTG6KO1f5D0unADoNHvlxT4iobTbXOHoodfnAwSs3dIhmFp5sgDUrNPRi6z8YHEValjN 1+nqLeHtOerInXxppnHx88vMNieGBfjUGCYSUHfGNuQhfBTrlm89CoZCznHAo5GEl5ljyv3CKyIg okCaDZa4WavFgxd7lVgy6dWe+Th4nLX3ky5hIffE8d+fjL1PVgjRncQ6HQ1QIcmz48AcLZA8EWzF uzVkS/1+VCo0snjSkWAPX6jUq7p3md8s11TQZNs4pVe5valQrh78RsuKy0utcwm546gBDZikVguE ERTPD/1cgQog1KCIS0iqBEQTyNQc3NjuqfTzr3m9z+CiYTLTp3hRBZdngAbPPbDeTb2FAefEpYDN x9NaUUzQ2timt8fFuf1pBlpv4sh8sV3qJz0qDqyHPZvW7eg8T8pWYZygpuk40wHvlzu1qgZtSpWU rcFCeZmM/DzjhWOVWXUC6D/t8qsLKFfGFDOfPmfteEpMxG4HGDz8vQkj8YKoWGZsuRGcz2G1RWtc jKgmLhXdgvRvdf1XeZ9k7vMmLZfgZBeIN/KF9sHIeU6RNqiqnyD11ed/8lSuTFOkgrJJE5P4xHya gOzwK+oJ3T7shsUUVozbA/BQxLSIq3cl52MaeK11acdGqgMR/kA3uf/G9fTcZHCON5Ix4182EMzH Ymw8EjVZWKWOmRpDr3QVEdpHOH5DddERrJf4A6G7NbUlU7bKXkoUAKUQHxCs+YKTgcGh7i/Mqh/5 KBNoxJU2J0u2s8tp+cgoZ0eIU+La0ERYgnS+NoHmeZj6ulsG+0x3xK29cL7Pu7zyYud0s3n1WU50 G8d28W0+vEhkzI6z865V2y6uferi/MxvEgRdK84AvRaLXY6lI9uHimMGo+3HJKxs7WFotRxhGJai EFM9vGAx8cmfSiRPwTvBjuMVVoym5kQChyy6pkc7AI1Ek+L2klgXSmMliOdAvUIwxEO0+9mBwwxF 55MeTcGkvE1Mp9DMraHwyiKSHXgjNN7CBZgDxHeS0N4xMmYfsrOkqoeyQtOqTb95k+xTFS/PLzI1 DrPeirnxGmOaVyaJl97okw2VNXfwdogzO8G/lP9wNiOuGf0FAcx6EM9KtwWIcEyCwvETZD7KyeMN sqYTzeha+L1s9vpqRp/te3ZMJHs+rOeGuuqMBKjh21/xbZJBa3sMtWXe4C3Py4AeMoKQQJSAbjG9 UaG0Gzoeei4FO8lW5NY1RYocp2YDAxn21Ocge+G8ZpA5yQZ+y7Tvc/2+TK7Ap7JPGLX42nC1WlVn +FBjlTxhpk6tAHcmQ+bgg1d0cri0HZvCUhaNogrSWRAMQW3pZQuPM6orxqGjk/Cp8fSFehRbiyTn yrvCDQEcSmf0RGQO8aNTLXdS3uG/ObcKOFjqP7MtPrnMGfEu515twWNBpnDZIs/wKHLf8dlMQH6U 1vA4mxyi3TrOfHSJh6sA8OACfq35kwIitq7N/C9KO0krSnx98swVcrujcp4yyr1CjUsMZEQ+00qq Mo2Ql/kJIT+44aFQiK6M5Uo4CZ5gmarFmNcYqXNWm3Qocg87tyBRxU8G1GVJz2X2MI5NZXX04lMV mypV9Eds0mf+T4SPEZ0NqkxYBoasRIepqjX3LfWjanSWwDjE19wXzufm4qJAI4fT5qknusCqhKkz swMpbMhPwrQMSkmftZDHPO/+0XGxvWHKi9DShw2z+dRIZ91/KAtuhcJl9Rgau18HoLe/eQT1/C6v ml3RLDE/MQJVE1MHziyGOKx5dtdx4SH1zaIYx9rUeZFvTpmB8+IET9QcYNDGAmGJJ+gfcjoWeAH/ KOnO80TDoQepp4V96oFysgMMiLtIKJvDVbTsVnyxjoD3wC6Dnk3q3b6xDH2rkRxMCJIFV5+wK8D0 cMbfcS78JCmgeqdf3I+gAs8iCiCijgJIo3Pi6Zuok5TiPcSCmLK7G3EV5sAkYYJAuIyeEEbRJeTe GkozNBwaWXCMvRDUW7zmx90Y7qY5xljA8uJjeXSCCGnM9B3DEU5bqFp2P7J/NHKGTftyY7wrgL0K bOKTfqGXMKPRXmOB9MpJl3RQw0qwUFy/9oPaIWimIyFmmyaXEo3hW9b0HGiyJVQym96HqZdUI5Zc S7FUw7vdAS7uvyMslObM2fpHRcrTbUjGKuoePu7JHQdyewThyhfXfrFq+cM4Wf+yXNBFhh75RqoS 8jQMl5PY1SMaMBHen2nOk8b6pUY9B+6B0CQJoDpevSLcWC7jXlcU/A1BnmE/Cr7UmYJpyPg1/uId DSKvLUbGdOaypjchXzcovi4UwERPNvjWeXnflR5RyIW/gjiMUTEf5Pp4LNKsfDokgzjkI6zUFIsE JMeASkqUJGDbb/WR4wqhVTaQQI1J6wymLaiw1EMo6Io6R7MFtk+LDdQ7e0M+mdlW1cEWayc1jMBM LJIqs1V817jM34Sj1V7iOcm2Fbefa5OhQalHNJl1aBKwo+VFj4FmpgmaVf9q3FODG+X5kd28ixVd hOjT7whRkmqulRU6z4wuGSpXrFRvh8YONtMSYZGjY55W1nQVLAHu/O/QBBjitY4ARtVrhrQboxzE gG4xrzwvNyTOpZkIBSAfhT5eKqEFpYJ4gvjciiB7ZIU38+h2/6ob5OtJeFFquOBuSVy7vt6nbDll EVNjBx5f64F6+XWnG0SRhIPIQofur/Oq55Mb77O8PXUW1KLQjV5s+MvDI33JKbosD+hxdr5pNAwi A77awlTq4syYyT8xfYJPohKfj+AQIT8bcv0a7SBkjf7s9WCRKWRlDFZN0F6f4ksItDwqcflfG8yX laLZiIOJwkPdSZ7gZOa0rIxpxijm+/iNRhMDnH2l8gtzMnvnzwh42PTds9cB0DT9F8cFgzQvdTQW 3xxFUvcG7EINr4ZKYHem4KR/u/L+wc2xXw1gOMT/C+ZIrlf0cwqyNQ6kOBAiNjfQtEc6IsF+fCKo +SoVa0HIix5OiWhU2+lZbwp2rvm7vq/9kAC9z81owSGaBVcUrcheuutSXHKsxAUTD4pvxfvHC5MM 1TfjC7jy/V1xg83soGzZKqjRPK+dohuX8WcBYvJOtx9Bp8EIcbberT0/AGgGyxMh+qxgNektcflp ySNJ7KrIpSV7Es3gWMKAtJrsGGFUzRyFux+vDp2u9V45ffSCJFAxkd0GEZhdTGHRA6gra3WayFCj yief/IC49vCEYMYAGZ84qpjcxVMiq0h21rP3oO1uL8BMNNR3Yz89xfLWE5xTjqaxnVTaEPPZQpdd WKC3kVb6RGIKCanu3I26ZT6+Hi2yrvIla1u3x5DXEDnMDNlPZGIqiFYTmfMIXNw9qUAlx3Y5N1yo 1U62mYIwzUVVnVFAR+MKLASOIUlVe9NKIALYB3k0x1Ey7RlJ0qNjFs+Sq6qXdFqNhSr3wTcK3Gs7 NJKvwqaKjjCM6TQaQ7QxN9aLIlnWw7wVjb8qNzMDQ0+LQOkD7qB60mjC99oIGwvUDCObifLxE8w4 wRlpQclVH1bFeusq9vuUMtL3UZgbF5xx3IpSpDGnL8hfGa6XL7GAYKq0JeUEij8EGkk87UZEdPU1 lpdMwfHx4PQGT0l77cai/fxZFcSKFn0MS3iP3v5N2aHACNdctwfAjDajZfGwFvBzv431C3wXAINa CYzcZ7G8UbPJ1AWxvHFeG8M9lxPFvizkbrjPabQYHPLoJiAa04GhQ6jKcfdb8BBjKnmuKHdNtSEx WHSbQtRRSPBBo8kB7FDpv4j0SBx0zj6HEKC8IhW0PHAMH8fxY5j0fekioqB2AtOrOW57J8JYimUf pLa04GOgRLHTha6QlpLF9J2Ut6PkJVXk2Zj4tTFLX7wGdRemD5I/HDm1N8iivUAT5tKeG5bOHdXH 6ru9pO+MpzYAZX9dCYm5xCDYRxmQSqkQ2VXYZzeMLzwiGSPcfCZHO66ITPzSmmIv8vVPym4CnfZV 9vOptUrRDLPkyGHEx2hPr0lMlNt4rpN/N9YeSKhdB84OVbuKmoU2R/yXOH8sTrMc9NdNRR/+S7UW Mzp5d9RbECNN9KBhYh0pJzTkhU8TPiFrnwvD5M2aVuhqHmwJDmdq/e6XdZ2Dr32poSNGNEVYBRrf tGBVjk4Xkef1VTPCHdWSJwJ8zj/Dw3fWTPAWJCC/rljNwuv/f2IkigFDIsP8D/o5B5xBgzOKHtx8 Yoh/iAh+NveQAgDiyYLM+UFbNsYOr5cPpHWUTPhZKAUwTAbX2BvBXuz0Ks72ReYJ/xPCA/vrTk0b 30QDpXNIwx8CbZvy5yJhsWrxDnM7oDfqfxsd44+AaZMVm9I5rfI+jDJDQPJpW33FZV+3gQkBGkTK vLj88vGRJaEvqkwFYX8KGWxdlPzR+SktAX9AI8Nc/04eNEbyuqHE8K5SngXZ+uU5SfUj1A7XWW2Z xaGly+lzt02s2OoPDrSKHCF57iZMOKt093klriUbvnN0DCjjIoSG1iR+NqyJHF8QyjJ/0TQjZJj0 fhp3yf/vcWkNfNP0fuCjcvITKh379WU7UsG2WTBYUDENx2NTOTJTwPW2GKcrr6YhBqtCOXgG7Qd5 LCnzTdt8hrpXbwSt+VqEk8clelYrUx3+HrCCUrj1W8CPW9KaXeibjt/dS5cO3V85WUlLoJk/7BUh KnsGTUjxBEt/O3RL4b641VXDT5/eZO8bXzmZVPg2PVh/beBxWfU2jQFdkfC8EhUKeyRYWQASGYpX RQfeP84XO9BuE39Kodt8gkvGpW0cSg9l6DlDCo2G5/u/xl7DTE5r7xS4NSnYGf8Ring4FlQqQiDJ BP3OnLOdmdyywEmUOKz5Yx0UUS7iXFLyY4oy0zIMIk+Blds28p0uqgYis52/mzs6oECif7bV0BuW LUOqY+bBA3UsZR82Tz0h3rO+q3Yi90EyCbYBXxO3gPdEhfD2O2qtbHhhKZGyJcH2uMPnefJJZZ44 UepFKAHbLpAC5My3MaFHXYBoDLjEe8baD6ZnVBA5XWw3A9w/I/rQG1XvqwzjoH1uYJcUMKF7lNlp pPdMB5jORO2qk7uO//HaWNgBxJ4MnV2ZhKQJzJvKNHayfli0x8tfiW3Zy2LKWi0kyZ593wchpLHy z1eX4LE6LkN+9KBmeyRWQ7LJK4As4rzbLRhzWWGn/f00/I1w85sarUWsKXosWPsjL5hTDBCVIZwu WdnAv2cEo9j/ke23unxDXtrWBKZR71vtamSD9VP+7E6lKOM2sSs1f8MdutQet5i6Nf5xnKwxQVkj B+YrfgZYk9dfBmwMAl+huEtsvufUxvfXyvKFS7JEJXyNEfIbB5xUfoeZ2gne2flTK/4dCwBzbnzj TQupcAubpN7VTR54sSSRTaQo4PP4bcwZO5rj3eCx+9+M0eY4DkKEToQH8ZwHFx7FdJ1pcnIf9ySI AEOYlOxTLYV5jf6EcSpiKT+HurMe5uvReMFYAIIVwlPol6SLz7U0ZrR3XfLSeF+AXYfHO8ugQzw8 P7uvc0TYZWDAjl3QcYDQGy6NaAmIAgzGID6cUym/LslZMPusS92R4h4RQe8AtSHSUc02TyKQRO7m oXn+nZiOiyLEhP3VF9aI/qFQ2T9N1PAyyCUMlgvQc+z1rZgPVbocWv8jsT2m5u6jffWLAB0UlvOF +OFVsqZ4hes4m7m43oyLWwlgMvJSSqR8BoP4OvkxXpBpI+zrb7WDNDx0CXi3t8i+uhr3Gbcyc/9b vL03EuDYg80G0P8WPv8lvp97r6SpdMfZSR5Hg26OQ2eOkymT80OSqvc0GfZnCwOUDzLZZ5oYhw4j HhGTHUHJ6QnNDF9HUlEh+7nQNW9DGYkF6tdOMVc4iGoLzkdnL9YwF8yv35htkiTQfZH5MPigpmEj sHlj1YAWpn0M9zGDEB0e8TsAhszXhgMVx9CktDHR2YH8rZmvLk4OLNcDOf848ATVpjYqkussEMAu yz1o5PgFe4+guCjYqI9WG6fPBhGiO/PARo0eIuyvndBRGRPYIp0A4wxpbXGngu2N2LPm6YfraiLX weoit8sCjc2geDaSl6Bx7Paw3kjM6W7AnGtqG+JfZaKISWs2zjLwJNdU2THZEwv0QAn6gLlEQEce Qcw45JTEyEm2mLgCEng9pB/g6k6eqf+dIihD+KFZn4yY97nhnk+pm2TtRqRGl2xOpu7rnXcOSKVZ qz+Krcvze60zUYEbvaIqttta1rLEobl74FpirkLAFppeCNeSkSrwO4XaBanPFCdu7ZQYTxXc/Jqt MKIsPXvjoztCkE+yCZP4oFMX02n1+SwtALXEet2eROgd4/t2dfzpHZK3zMMgRq7BmMmGAuP8KkeM eRPN0Y0OyGgGz3H6h0cATinM8a0NB87Cpl6MHcrm3qmZQVtKLqyIbejegjuUkK+M84ye9pwrV5Pn oHDzLCl1EIedNV4M4sphFC2n2h7usLfODspJ7F20cijgB/W8/M7ozJUSM1gUpM/XrG+b4EXJ2Jsw SZAshTNp0PEyvXzxcTZJrqfB7JkeCCWQS8ILDYQDJGBAoMXVXLL+dG09cIPyP3mdQue5BeWcwZ5C MgqImMCKPWz1g75NpmLdV+0d8+xR3V1q1upBS6KXzTE32V3sHUWh/QyE7LECB/wlDhvr4vi7AV9Y SOIwFAXYxvJ6zGTwAdVQa68NiWJ5PVn/T6wFy0yqOhOUk3dftztkMHe7n680nybgtt9N4wnRQmYW hSocUf8vCEoZtVs6DIR8mBtwIhSlbG3+VyEB9sVAtKOkNYlfbgAxO9HleYVqxzVcVEz2DyiFPn9f OP1U0CUHgUyoqi7gwveNqhc24DqiQLftXQDAnXSJ838iFi37M5u8hJeSkZOUk/w9aItcQ47+VNwM kpMZlg6Q6BUMUlz+3Bt9d19gjeM80uQFCIyt4Ck6OTMcDux919bUAaD8RdNLy/XTl6JzUuKxTcDp duuCz8y6QvJd8U6J+nzIXC9Wd5XO5VYimPWUk3/nrBkrU2od306S8LOBuFsGzERkrVGG3tSkG+Q0 y8II3yZlqKoHwp0kkClKpOZeoHs7cFH8izPcJpyaoKHXLo0L8rbmGiICQ96Pp7FXZOnCZMyHC4rp 4mFmS1A2Outb4eT0xvezaXUEcU4L05XdCq2XJOea2HNAGVBsg/Vo8ZK74X4cKpYy5QGCnhY2tG5V BsCEY7LotQJcyhmG2XtRVYuJEHlYx8QGhnJIy6wtRd+pAI4L5aMKmoEEvBrTVk13vHSK4KheQuNv ve0SWsluIJ5ozTsaM9MnSMlYYJU07iBOU6ChHa4LDH5RBbW/wIveNW7eNpQr6vxJrX1qbQFDwRO8 FD/nVo+VeYbWZ78qCK18o9c/cy9boTc9ALvUXkzm56Ex/jo5NINAiAfpX/xBhSLhgubKneiqKw7u 3M02PLxtoLy4yKFH0w2qUR4iGidTHzUVIBCDBNo2OGYazuaFJJbNZqJx9eO0CJa59i3DUDUyVnUm c1g7N4IWE3OSoLKPZz5R+vCCQyMVrVI0YUCjbQAsg8IKdpLw3TV95NEYkd/Ix2w39N+pdmr2wDs1 FHCff/CTXgclFXH8cyYRUu/NCk7lIZvYcmLquDRg2nV4tB/NMfVtW5dmm1WOmU01ui1NoaXOW1oy NFxl+MR72IZ7cJ0a+RZIf4uiJJkPgsit12wyP2moZgnCfgevZwurc3Pq1dg71EBMHVLTXIuJ+glO 7XdEYVmPN2NJ3i3qFYNofqYH/9dmC6D5jCYZk+/owM5LuE4kWbyN57Zkr69pOptW9QZkgwltKCV/ 7zktroSj93Rl3MuxvuLVCe2SBJtly7I8HG4TDYSctLGkYcp67vXdGs/VviHUfOP9Eu5bNZczDenk 6PVDEADFRaX9Hjbv/G/3YjdQwd7udu3WUEzhNk2h9tTXDEkSUPZ09Jvy5Oi6jSaosKEfsnRH91LH piEU98hBZgDYhdHzPZbpzxu516vDOc+TdkGy43HBtH+ogJwv4QjP9B4Mg9+WER7fKrL0F0AiVXwX trKlzzEhZfGWSsWXxNqEK+QuyOJqj/5wmzLaJj2Kdq2WUk9BU2+b0IrGT12WP2yEhLmBlqVSdMVW j4SM+02BswGPN67oIeKQIBO9qcgaKUF2ZJ6yfabQ+7RcJJkZZvAnZfnN46A2ZARosc0CJnzs8U26 4/4YQR3i+Zkcc8lIMkJiR6UQdyPRj2SHxwSCRXdC2JER9CWf1li+xyDbLWG+REuDCrJk7gjExv/k YfNQkrMqKCBuxW+bj/ILKjPTzVypFbddyjCYbrh07pBGmqvGHRM1k6fCPJKRDS2/Y6Gore88y0UN 6r7tROVaWamB6oI6D+G9yfWnZ5194/OfRQPvP5IZB75FH1xJ/qHpxHw3wnigjplRKq93hE/ieaFw ayKBZmgSeHK9emcRlPuLyVgLHzkHtgo6MHFlx//vbq/Zeuod3blqOhGqMq0HQyuhsD/vx0Dq61VP b2r6wci4DfRaIH9KhNv0GwhjFv80++DNVIRl694YcbDmHYb/XR3ctaZ7PzPi/xIuYxA+1C3xxzCi RYLEuStWtBLUmPD1v0IyEA81mahu1cz5dmxaLWStstIIBaPPpk3/DoTPoY9OQSxlyD3dX0yH9VKr Nj0QY3zCjKdpkKZnUHMcpoesT3H/vYTBExG2FJU5ir7ASnR26otMWlB0I9ZvVSL2jrsLYqiiqKeR ik7buXdoZRv2mQqWLTu/hD0BohwxFHaOQLeUt3up39IkUbcftl4q5WuBdT+vxwBubzZMucwKQC79 UjJQax1gw0m/42YSsqGe0ojKsUpI7Vrc9fsvN9w2oM7926a/Ph40EeAgOwrpgGoq/wBA7ZFx0uLu JaI3h2uCR2jbQC1aeOOtpPIyMZFFKPEUz8vM283tvhKRT+px0WVtDHZeDATaNCBvSGb+zadBVBE1 gW+rWL4j9sGfYIqoTjZav8bWpLcVRWqGXVQsQZZ/1rl8cZABAoHHa/ACq+IY5gcHG1KwDEvFffoz t1Xyj0Nkn4jD8U84KPIWWq0icxV7GRXoJlvN0xkrFp27Dpp5szMvt1ZW5Aqx/pFU1ExFDYYQm7F+ 69TRZpnLgyqZymjDbC95nj0XQvYb5wo511A5XF6sRDvCJFf1USdGvxPG/oMrW1Y+ZhnM0Vt3406p GEiSi7FQ7WmMxJt2Gmdg+qBt/TvRzJzUTCULwKJV6NLEEosiQ3vFjfbN62qKBR8CjKU+0gPCp8/J 6aKKDgkt0dkwdgVfwY3pjbKDH6ZdPTMrKi4E5ojW2nNqurzhKtOzHafnI1Jb+ytGIwPZr3UdKu/p 6jH3hLYxA6q1zfTlqEKOONf2cr1BZqZTFdkwm5ynpg4xzcNFbDeQPOgA0iDBvXL/Jsa55zHkP4t/ zj76Yf+YedqA3QepZFUIUOPlEtJ0EW+l3OiOViBRejRRqY0N3NA8BUM15YPouMxiKVsdyC3kK/H2 piyF0KRm4SinMX4lxrsYkSY6m6x+Lh+OttLNyBBvnRicaOmCDDaufu2O2dATbLM8t0g7JDcSNMKD F0HIbXkxmJLZtGcVKFO8XaLhxFFiZhfYtGOeZ8kzck+aBdzDoCw1pOnujYx5uM/WrBm8vBhvUo8m 57fSTm3usQkafXPR54+6PgKDRJ3yiDMw1c4NxnsFLD4zecAKs9mgXbHFoW2WXoifsH4zwrA2Xkg9 jHDtWGXH666aKf0I8oCBy1L+TjkDcjnFCc0qWv8PK4ApJAvuhxfh5xHqtwfds4LYXcB+HmWzI59P 7+U5aFdlml4ip4xtSyvThIsZ9dYjabbcV2voLt5hppublLOIEryTbsCZ1wqNXA/thpcr3lHQYpsg bVgTE2WKMyNIvC2cPSt24FytWViquhZFonnjy5VQWkcg7J8aXnOyiQYX0owGr5rr+5C9RNW6xWk/ +awxgxvarZmDsHMh8U5p8xS5m5JPxiy/yn2AfE0C01L3k88GGCzFEDq57HXhY1gvXjXF7Z1LDLNK OfHnoD9edTqmQ3BhcsqfDsO4yGWlsPc0zjnrhRVJV0MBa2c1KyxudhQfJD1ngejZch2bhmOv7x+W R+GUGOdtYspuRYPKp36chG3jDMmMAz9dQSB4fPwdA0DA1Oexl96I2aUG0Y7InSQhKgkSt37T/wzh yuNJ/R1mdpVjey6MmlCP+ZV6xMHHSCq5Gfw2q+0St+YvxssU5degPZ4U8wFmYlGlSOdZFN80j+/X Mg0FZpKVbKferXUlmzik85QYSMH/99kDXQ5+mr85WqOVscP1cmppQOcf7WHR8Z6azhChjkX13HaI LEkzv8gtBbHgbLePy8YqxtVK0b39a9OlDUy5gh6Q2R1m3Kckn8NABQijRDEuusV7s3mqggjpJR8n nxLpguw/PToP0vBp4Ji1FMIr3bT5ELPCgSlFvj3kyBko7cevMigflQur5UHAM101yIvRjC6yQ9Cn 5jYadkmkdV8oN8QIDpnQ8lcySr9XQ4vGqN9bfROU02PwhIxQ1fQDRMmlfWpGQEbaiH3nm4nJ8D0R WQbKvjXftsbI4JjxAlXBqpf1FL1oiJLJALqVxPaHrkDOSFGL7YoA9vbGg1PRnJavtSztWCW8PMjb TmostWPtvEYNgtmwwUxf4kVGwICyXtKPuK7nKjrZuhiBVygy+uL23UAo/q3w2Shuc6POIKWqv1Vu Q2J6acTCHwI5a+wQjLUc2DA88VxMYVAOH4CnkGa0rmE/kO81NIyhOqmwkrElO8C39R8dpoFoCqvH mdrVGUTT2+VyqotcBGDdS041GiTqvSWV4HllgIjtE/jAjKO7+LlT0VHcq4XVEJRncQIsB+zolCFB QhKNttnJaWrRuuGtR/i8kMf8AFo5Bblku2aoa15NnpeZswFXNQred4StZROwK99WG38z9UnncAt5 +tl010cKYgxhYrlNEFrUOneQsxUCOvx44ucn/NPRLfMhrmpJpy7UgcssA1+hNm7lTQlchcF/xBEm daNL3p4B19Xd4QbgduIGSpYa0B66JG/MtEefYwEbzEDWd0y4vgEtAq3n3FhpWHbv0hr3B5Z6n944 KCwZ5f8gS9vQgmjsINmrk57oqlG2VBihI3+AErBBpbezohb6EkmacBQUa+Jx7vLRbXqzxDOYW2jU W3d0eYysp267vqL6JygoQE8WzEF6P433BReRgVg9CkbuJ4nUHupgxYuLsTFJ7UJWDg3NdJh50eWn ippS8AwK/rb/ehZKa8siuaxmtyBwcTgVvzEDZ4f643ml9ZdjP9Kc5gAVNW8tVC0SSZNknPG8Ya3L 4FeJRkt4KL9CcbHwBKwBBpL6ZLeiPY9p2gGaeeVHz0zfhu67knB4/n3esjerJpjL0YpfEw7Zupf+ 2aBqS944meIHoatQDGoWT/hSCEL+c5UIu4CTI/WDmZhT+J+qcnPbFmEHolsQRoVhyOJyAN2qBwiE Gdng5ossjoUYc48ouaAiqbjiXGb+QEmftZ2Xl2/cBZ4sHG23R3NmwiNOLKAGA25/ySR+xnhcpDuN LPLXrUGfy1U7XPhEDsi4rVVATL+BBOvlKIxgpi3r7EQxp3zFjc2ikwN3izxjWkg30l3GaNPiyXOD 3jbPTMlJqXUNBJg/m5e0WZVtLhcbVRQUxN6HdGFokPQzC85/f0YSA2tcKKUQLASdz6pMFsfjzviF +Gfcnuq2v3O3ISbyPIjCCwhx+jbf1aSmTJ40EN8trdl7coygb22koLOXA9u23Pdum2ZBDE1gvmAS mRD887kIbrZjtgpYtkROmqUcouxEDxwFy+flNjmhhEXQA3SfpoNuDgnV641L5E0Njc8v+xmtXgHi PckEOALBTkOTWlQ3ddzrwdZVTw8TzLhryNJbWZ2qBGmzvehPvlITxRVz9pacbCQ7K18YtUc2St46 3iYK0MF/aW2zaBl7E0M6CBkaKstcPmUkXCn6DZqxvyc0aW5ncyOPNquhejvNnCxd+NHX8Ui8Mfb/ Bkyz9L9ox4Ur1QQXTmV7GP/4Gkspq1DeFDkbePN/l9UOeHllwqeygGpX767TFUFh7yA0eyaf0zkL cJDFXV3TR/Bt6hBnHFlrkC24slVQ62j6Vkl3600VC+2fjaXLOLvVR5dEl8XXVZ42pGhZjn7dEj2s aJIYLKzGWRtJdCEw0C2pcif1Tzide3WU2zz2LUmEIbOTPO3rGNaRM+7FppHevUpOWj99fHFXbSlT DqIM48WMugc6N4GB4M/Mf8AA4/lDHi7h1+B1joMaYQ6oLbSou+AGACtHbj1GrNDoV3mqb84ADcif UgFfUXPiQlo1RV7peBGHk8r1goLaB5sYJAvuu+Gs5n7uUL8oTVLydpWzBg3MVK/i8RFXWpau4Cs4 1jnQeSMFhW/A+r58CMdcqety+YjBsJuysecUMMuic+HSYluMOB1Z6MOETOY3syF3CkBy/Uw21twn AJzj5EJNzFvv8VmMu68KNxsGyMzXSl7GRZi8soqQLOn6XqKTI+f7IYccL9gfpwJ5IxEY2yr3jGIj +PKeruX0eoTB6DmkArqvj5lNgqV7eTaTWkdexVwPDXdhoUlRnIqmMUm03EgI79qASWqJcIVAVv/E HJ0nTAOGj6TUAV0MQV4nkAFFa1y09XxY7RahcrXMuxUEfy94Z3EAjKnKaSr5n9Q94N5LEjfQj5sd BYT8fE8sTYtGG+ztq/bLzVlA+KiQ7bXdFdpPLEODkDGiSUiMqBTFi+IzDKlvTpE9fga/1j3X8DhG HclW75MGPpgOSZ2AhdzRHPBd6yKB6ssyMgzJga8RybSBsCTOwx0meWujuwM5N3vjxL07BuinFkt+ UAUz2xb2s6AzAWBxPNWFD701beHEwyPa2rPrb/oHrA1Af1q8ZfpcuKIjR6NocdidvTMEPszCV31P 6Ef31pQI7313iD/P0szqpEeNVSW6IJxm2Lr1LgafASY6gwoz967jEvXRPVVc64YFp6MC+YbEOAGn y32HNqkXGTpkvjH7Z9G4HTdARO1cupEew8O7++rh5/LC3eflQqHN6PBOfVyz1piRZ1ErrVx8qK2P QljQswfLagERhPOQ2c3tIb1D5JRKXzs8Ioh5kIeSdG5eY6Hh2jW9yEMTSEQLK3+QvflMwTSyWzJQ vFGhrleFd+d0zn9WdfQF26qELUOUW6aQQkQPLjLKvgnhS5zZvmfnAcMq2LOoCJSy4JMlj/SpqThk aAaOzkGyDBiW3HTYQhUKSHsdZKpgcX1p0PALq8E338lwOZwtJ3liAZXXBRXDM46IhD/M971GLUmJ HUkT8n3BKMrp0kHWsHeqD/SjrzZJxsUZynYQ5Jbsm7UEB451CY2NhXrrJEFC/uMyJ7RVqzvzLxu9 02N4NT0upScQoAx4hap9m18HnaYkHk7FP6XEb7yDMTsXWV+iy+yPzvE29g2qgPXzUEb4jx6GMtXk VajVD7uNz9UqInRQHBMpwodtJUA4fDSTkpoJVjn0X9h8jo/BB5PHAPasp4zXdCCtB3MV25wUwQn7 AaRYfxxjW/g7rj6i9wvIV1KOPhgIKJxZLZ+GKFu55+b6LS+qoZxAvNZRt+kqs+OshkctkV24F5IL 0qeowP31KkTNlQXxOC81eQkQMgxRqT8rVTuFKHqqrASPZWegUOCuOApAYURt/p0lHcXWVc6l5DcE TDaLouyvfOfPVJlHaa7jyZljORTtpd/FMvDXSzzXzoEOtbi3jFQGrvq8HxS+ot6FbQiJO4crf3Eh w0v/AHgz9gzkWFxuFwsA8JKDInfaPhLsTn9e5EXQIMCgAHwrTygTgtEZ8j/6/nKvBjg1Jw3kr4hl elLhCVDnGM/X7jucopdT4dvTH+gm6WNnw5dcKi8/n58l70+1i0FnsmBafEDiIW23Sy31gKLofvlj A+trix8nCEKFBMFOf3YAkfD+xaFkonxXU+K7rZ6oI4gguDwOEBOvCCA0qzI7OfPX0Z3FT4afEJ1v CUQHImP+sslEyx4koz5OrabvCDkHnDUrLi8j1ZE/fzBsax7vgGQgjxMvVxYInf8xbqTMsfflFVYK Jjftx6Qeh8s0h2LJn/3ASMa5v/xuhNI2Fdh+xNW7GMFy8H1OiUfK3mADmuPHqkpcwAUL+jOHq/ch MHbLq1TCTdoznTiAcZNKasR5WhpcvCV/j/YgIcrxBXEV2uAfP6QL3iDiwgs82ebMCif542Mshp0B mVnJApDIAPRJi4LnsIkCCsIpHU1tf18yVIGNKNqZG1TumC7Hq7dZL65tMg6wxBV/e4wg+cJpz8AT `protect end_protected
mit
3b2838194d3a854c25fca1f247453b0e
0.943972
1.849893
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/fifo_generator_v11_0/builtin/builtin_prim_v6.vhd
2
37,128
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block k7Ot9/JLRSZRzYV6DCXb1M2rgeVlfM7Fqw80zPhR0aoO1vFCEMtYBoAVa9u31REH9cDKEVeZC4SB S9U9VvseNw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block AMvTB8yjTe4jXCXQ6LWzMqZX5+Az+CAtFABYETLAd+K1UzaFm5Jz1YYKKokPQlL7ALpbxIhDnF4/ rHQVxvnHxOk0XXt3gFDwtIXYcB3ag6eMhjy9MCZ6XFkfoFpZ/Y6K+lcaq1/kHbDrZLmsG8ybDhrC BcMVz0hABO2V+LENQAE= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block t7zT1AKQGJTb3GnvkZfcJ7aqU47PJ9xiu7dDZQib3/nzLZ+WdpKShvliA5zJxFaddAVAvjYOMRrs Yq9mhnd47gpkEiIgSLH5P6jmdxH6N2T98lqnjSBqmKL1ocRvCdKugK2rBQSqHaM22v9iFGLVMPtH bHj4qsZJ+EiDkqgdGsHnueEtWII8Y3E1UekyT4aUk3aef0AOjm20RTyIg6DUEQJ/tC+/ggIyFFPO cTv2go3O5Cbwb+DKI7Pr4FXlggrlYP4uX9BAoce3/WczbMCG4Ae78qiRq3zspZzWruMg1hQ03f8m M+p+FLpahowQ+/v54uNORHJiqW0wobVvBhL/8Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Hp6lmguejWYv9kLJeyiO+yAxaH74j2nKTAhD2fdU7GXkUrG1tWCXKAezcJOxXyOWqEBqrIa5fKxP A94Rq0Sx5MTORCsrsOLMESHCrLikMB8hY97hHwQNM1AfRpdPKxDK/eO1/oriNQ3f01pP8hFyq3mb slinDPFp4bKjOSPw0mI= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block DrPA9nb1j2OlJfEllFIDYUat5Cu2A6A2fCWlbZZr+Q/a/7ZvJfPnEREUhf2ca2/4G/GbbL/H/Rgd XMVyHhZdMLSK9kVMMpc8ZbAGwMYTuXUpoXVl9+7RWgGff34ZJtG7GG+MQRtcKYx0UuGu/mCCqN5W G7WtABgsgVjIzU0r1QQua3E8b7XrtBW0X2jC+F3y1sHM7f1r+7Ompq5lGQQUByjY203fvRex4rg8 RJFEaokqtr0QAEWs0akySj690mZ/r377/rURuXL1xXpsqS5+4ai9msHCJRCTaET0NLa8mZ8joYCs UxppEXfe2d1U0/i8Lr2Lw40608GqbF2VzHXQTg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 25744) `protect data_block VsBXVc/qTX6Z9NS0JwceeDSJJvC8MtX/kJQXmQq6UGI8Brq6w8odRXbCupekM+Ot0whe4BViwds8 QNVE/+LLvMhKJJdaszPs4vdIjyuNNBxO5iZOFlo4Kwmetk7r5ED1/pbODiMGdDiy3VK7Au+CG99W 6djcUkaaXJnxGg7bqd9Cc6qmMSWBtgE1yTo+FEcP4LfNDhZrYndFQP6/EMfgiEAv9bIr9/44Fkdx RJPkM848hBVVHvnCHxevYpbIwt9CZPu+54jkoc4+BlAQm38VJxK6pgSawghSJifsSxNpYSVhli1W bEnVkcp+DjUT5YXY/6ymELbqeZLJR1VPSXVR0pGIMmfxIu4KMjxP5VYxiDsELWrXDvDO6xj7cJcU 25O/53wUVG3JKUC5/frvKpJMYeM3Q3TBMLQCNfVnUzDzmUZe1KzAeQNoqnOzna4PqivZrs1S1XpN OWa2ZwFlUaLJA1t/Lx5NxXaHCqF5emz8EjFqbX/b/KxMLWQXmgf2ppo3HBkyZuXHMF/UHYD3WFvY B5SdtQyjhqcbNHCYGdXuBEaoEmx9h/lCrdmkgkI1y7Xb9bdelRnENYqtwDID3/AYcGOXCxN7V60Y IQQCoDKWIyamg6a3Qgez1U5pkQfuhAPfStjvPxR4/+KByw4Dwj0QU3+c2l3PLidT/sL+/ALebGyI 9CZfTsM+gNGDDfQVxabIDTWIEXU0XUrbBEmYdZFGDRI9l499b8gCjFHnVk207zsOARCF2Se2WCjN yalCIv+v58eHjgAyZt38oysbytJzex/EEKPnePytvCwco4vLj0Sao9izCL3Qm4IJ6MZvFUo44yhY idJtrDI7GByoiCEi/mLfddc8fNaBfd/czPNB7hPyJKDOBOEqGbS/HWM5UeRDoUI+rs0k7arn1U6B AsjWWv/R/MYNmzcAI1cKkv5f7iksWeM/ZoaRMnhPT9XIC9DC0/7EeiTzjLxGRwoRl/SNTZR2mQuJ L+i3kvwCFXeKhsfRQ+6W1qA+lawcO6rylQ/2CiquIdP9/6Q/jJLwuV6iOhyjQOBfgnvXxpQAxVXq pI4fL/mlsSYOkshFZM92XNC85WJFR/HcSp8aCnBD1/V5eE2JL0lEHN5hgQtIbA0nbX3DZO5Oiyah +5dFIvrC4Ljz3FfWIyO199Xef0bcxFVMuEkr6Vd33ZttUweq55NexwDHrpuz3W7qlZipivyXmTki aZRS6pX/chFpp5uWjOkRfAbGZQqCOf02BU/pjR0B2s8TufhLr1tEXj9F+64nStQc9MQem4HOWaJr Ef7cn6rBX2XsUIGuAlWKl2sqooaU5gY8aU22B12B3zUT3pe7q9mvuUfv4LvtJgbdPbrmtI/tdzgV qwRBM9I+Xfhk1uFt+2tNDgND2GI7UsALthTd6Xkk3d92fFMO0ng7ECTYb6//gDsutH4LWS8ZxIYq 4NbIF/blTEPdyJyXiCjE4vilvyX3gXcAiX4Eeg7xr2n4DS6LRZ72DxmBSrJuzahylGUgS6yBHoS7 +NOVzb35JPvpVE03yikwOVSgKU8iHGYRufYt8xFthomcSXeg5F2ui7IiIbL8q45jCeOOlcVAEjCd WHDqx8yYIUAHFc9cIb+cpaG88eI+zrVZBZriG2HnwlAguUt7fRn7zGA4zDTzWVVF7b46/tPzgsuI Tm2dSt3sX1SDY5/5El9oQYK5Tuey4+tay5b879JKZ3qVf5pOK+rsJLMSkD++CU7ucSAes4eYIKV5 uPWiddSQCfVWStPXXdayy/pwm6HstxXSonaZNLQxhunb72fhpsQpyHktcLq0RdQElY9I8gFqV5yv tDM94Ix1M4h/YSb7ehfQD3i9VU1tdGU4ON/9h5EvD17o/pT6jMGP5adn8F6gk95Fh7PZ6Zrxqnij 7HaKVRf+hXghgHSsj82J1hjehq3mGezdN4AtL0f7U3dkwssEjFb6p5GNobsdLm45IhVf6tz4Uts/ w+QYJejAZceushlA5UA5Aeo8FFbECPfiCeLbGh7O07JLuvRMj42oBnABJqQWtcohIDRggMA6y8uv VZsL/UZlOfifEEkvtCyjjMtDasAabPY+xFZ99aabLISVzxyNpYZ+2dGtW9JfwK/dCxYhgqFgM/ml aLgjbPsXMztemiiLNYqm/v8aTiaUZY77pwlsjdXLoDQIRVo4Z71Euj7jkFf3sDoh0+FuUB11UbQA 2x7Toow//WJHp+0L8hQlXTqcHTugs2pQGEsiommum8PGgecASxdXVNIA8OPjmQrsuJqAGonhMu2w +U/iJU5WJAKPgu7MOYX9bmH3bGh5lbxVh+ksq40ZetDG8jDnJta8JtqP9oDP/foOOEkCdS59Dmqp fZwHXT2NUs5QOWYeGgGUQJ6DzJlhXTnbK2ZK0IiL0WZqKxOre3dIIxHkD9QHymHUehYZC7S1g91A xFKjpvQGQuCiz8nT4wbhtgXyNMJdSPd1RH5e8Fo+XXI53PLeXIjngQYL99fSDsMQpiFiIwXdGkHk aT72GXVlKAj8QRLtt1uwhO7UB69xMBmsNFR34I0+oQoSGTsSt5pqeYSv3fyTTqPpTUwu6qY4X10g 6kUFhW6b9sDDJkFuxGp93YVMSIh3HhPycaWckoSzTkwd/1pgumkXtL6J9eVt9uY35cg/4NfgsyU9 YEUC8fJ1Z0uZ9xR9d02YtIMoydQW+fn4wrXsHKLLOMbwbsfj/zUoJoBIAp46HjRtBzWyUsrmsgTi r6VKQVHWuxzgxVxS0/4wpR/Wup9oEb/hRTHx4+5xws/3yQs57JMSnmf/fsCaatQE9LtZH9tSb2F6 h5b+ZYK23DBln4PKUp/91UrYTFO+rVqDQjw67cqq/bV7EcanhuhYNwjEyKI5WWTWc78iRb4Fl9I+ FacKo13mo0KSPyjNlupinL2KvnHkXtscmQHVZrtkjs+nclq2KSXAiqi4X5OsyyOKLUDiYxWadUWv 7+TVBJ0wX/ETcP1fEd+gTTNIgw523sMLQDNAoTwvGjPw/hYlbjHzQVpgqn4IZVAYIAcR3skVeRiV 9KUDCCx/o2JqMW2H4fWYRcYD9dIyVFdNQ8WwBLJd+Wbr5Beg3HeUNfHay78IU5hwD2uX5qNoRUmZ ck2cC3by1/xow72uG+mqdbJ/4euxb3pT7K1g9kuB66924fcXKnSPycGlyORzHaCZDpHbIH1my753 LXV+fajBG/Bq3I4OC6ySicvCLJYa/twA4xKkredVAvXEDpr5pNJg3stVavExArnjN7qGJeYIk+Xj smJMPnWHHCMibd8+ZjJSqPATCSInUVfI85WelqANSgvqj9Ib7i3Qej+kuqxCWfPgVzYvY6ZtKt+x 3Tge8NnZbx7Pg5XgzcfdY111nLhlXnBRhF0vJ0u68oCBeqzSJ+0FKi/IeLoK59JaRj5jTfD9ROY6 1sc4z8u/a2EyanRICZBlvn+nKzGECDhj6yHXikaEPAdJqDD8+IM/VP433X6KH9LthI72e3uSQ//O rPb7nuX4dBlGup24rzbWpeNUXuI3Z7TmI5Qnizvdt1j+2EbxiqvsptkC8ipWodYZNz1/QEEU7eGG irGqlxAyO7lSH0QKTaNp9oZXI4GZmuNGczrxOIa80dIukWa/wdrV04umUtLV83taGm0BRxkmLKuF GrUDTTBqM/pcw9InmmIHEInaKNwlcOzunoc4jWtt5eo1jB4+i54uiduU/6fVLPMLnPrRzymFWcDW 98taiB88tqH9lv8ckqVW4+omV7S27y1PC65Zl4xeqGOnuX0oK//VViSR439Z7eJ3YpWr3QtyzInU FTOihq+upvH5bj1GPUHTGKEw74hoND0A4TwFGzg0MUc0mWxNq0tWagVc2XQ5+ayCzgYv0cw+jJS7 M9x2iK67tL52d3f3L3TKB1vfNZKix3N/Vy2YKaOF6U875UY9+MjcQp3G3WwSaGR040wzuLR5UXGK X+TJM+UB0qVM+/RZIB8G27lUFUJD2NV+7wAGuJE9ZELDgYG5IWkbC/g6XSZBhYD8dCbi5eHCvLRW 49dS9OZ/4hbxzl1FDjRfovSD1Njjm0oEMSIo5r4w/kUkJkRYhsM6xY8BwhuBuCYv0Fh1sKJl4xHA e7Q9SIkrVpuJQYoR3o1iy8VxxCN2MRS3Ts7BWxPNUqAl9t2aWJ43zctcwiZ6rdoycq2a2o4e9r/x 9pJRqeeYFkatEKv5WxeBOHzY/t1EKp11bC/zz0JnrcKnN+LVfaGVJe7CFu4yDeS+YDhC/iQXwEtG SBxh6Gg5GJbGZssB0xmaUJapSmbW4mTcujz6xbAuOBkUhvuk+pexXYE042VtysKXAwf7PJ5w/mym uKL2DnTGh29UHLrieFdeYgdhgFjSnEGTZGHcqd9po9sSG0GPzpkLol8kiMGqxmmHqr8BTeWKI7dp Q3rkV5XMypg9POS64Bw00mdhv4rNsQdYdPbhuFOjIXdBY/s4IRt7N+JjHozV3D+aQATowxCyCsC+ vhJRrfli7eN+3uMbnbdaUDrbKtejppnId57gdXbjvAAhz+NFT9wKQ7JA1cKFX//QxZIZ45NZ3UrA Son5Tz9ZimC+Myc14dL5SfoTRfcp8lx4WTNQ7Zx1bsy04LolTgkHT97AlhWSbktqpcky9tFFuBBi boQLHkZUKWlX7v6QV9AsjGiw5ygLQwZadXFFcr+KcSiLUnyeh2bjiiTi/cD8BuvE3tctHPvZSYJs 8hcBC/J4d9mZsKdoCac4u9EdCGAPbc/qPd6d+INCpOrqgKqagItOJIQP6CKg88YX/STK8/3wIVru IKFkHL4HdDH13mBg0XvLHG+yYOVN94rg0bEBeeQQn51tEtBrpeTO3G17yWM6tDTRVLkdbAhWbdTC 9EiaQZF1jm2+GWLOml+PXIOlFycqPgBoM364VX8C7VN2n5bPcVbAAXsy3cVOwQIjSc90V0z6R9bI lSVMt69eII0LvKyv1LNitv+s1nrbD1FmFMsL9no2lw0lpkZ8beCZNXcfDS2v8jyHnIe3xPkubm67 tSagGUw4rkk7h9BKxozFIA2ae0JmN6x1rB6U1r99+LJIt997I9pSkSjpG8SxqGV7UxNOgwJ3B2PU aC/vb+rNpi3LUIi5ucvYTygPOEdYFb0PN/ci2wg8oFDCy2m3csuEbDL0etfAxjsCBDl32iO7b2EV go8p7ImWKebptBRBC9L7bn1EfptZXn4lh6CaaX3Ue63cp9NJDg/PbOUV18aEq1Q5TOcpbo0J7pbO Pie9t1MsQUDvs3XI47eH48fn98/yG+Uq63VX4PoQN7on7bXISEza4jzD8ow/9sgnkv55P06n1i1C E1DBmyGf7mGX95NpCztO1cmIOKgc9E589nDDvDWdAg5iMIv9gicSqBZe4bRGJauEPi10+FpVuNj1 aQ1Bi/kj9OSsmIB9LIdKCpbbqmee7MxwCrkJ2bSxbvjkFUyYXDGJHrd8JCZjnf4DnrV4P1bWGNoi KPE+xc6Rhn5NETMiftlmAkgFtO+ODh1HHFhZk6wifN0+wXC702CUAadIEP+OGpMI1j1rBQkI/0Bp aryTqENramtzvqJ5CpiGnEd55SB/ZimMFI1oEs5Lf4G3TRK2EpDWZWdFQ36Ctq1Qf41Z8IT1TDSz VNDUIarS4V3TmaAn0v81XQexLqGx9wus4QMjIWWcLtt2VSz60PSUbl31SRZF/Z9QnUBW3njk/eV+ nkPdov30dQN8Vfa6v4neevsJ8hqfvgIz8JlHK6m8N6SY6qKHL+GRyIipjhJB++Pl9LjiLt3Z9hXA mxwK0Mc3h/VKCYURQ++mnjyxfGcV95U0+6glJwu4DdQSayw8qCd/uNoKKW7z1pu3oKAE6Ory+dId W51uYUQmhUMBuGLdP5nF+R28/Ym/+PGeEIrXPrq5F18ziUzh+VwKHeJpSA//pqo9QftqdOqfCH7w Fj8Wsr4nAhcyPgB5hSrhm6cFjuOflBEVTREsSvmYQXAvbAfWxBI5VUfDm5QKCGuc96WZF8tkDmsX z4FjTJ2yjG7d31iY0oLFdJu8eoTycVyppGorEu3jUZPxazu7OHuK36rUYrRaOjt+GzbaHuX/JwbN yZAGYNoQ61/O8OnxXfye37aPgeib8uipSUo4BhR2dHvEjwnaKrnORO269Ic9s8MP+pZg+EPPsOTW HlFl+Rg5ZcR49BA/0diZNEqpE+wBaxjoyl05kzqZePYjBQ/uyOF+uGO5d3VDApHzvYApcX7cUglJ uQam0SQyeR+mabe3fxgl6PzfA9RdbwAH6ZAcFHVf/ti1ZI8rexMMmYudADc9HeqPeFD5+Ghb3NQn ATWyPxTxpqDvOhKztMtTONx+aFJq3b2KiAGzjGYLJLC2tpa7lY/+Gmd4yE/U5xFWnOxsEEvxBtoo 8Kc8yw/pb8JyqayqkPymjERtuQGQaotG4tIX+6+U3jdijlYtNlvw+W91eyv4GacRHiApuWPrSU1y GxD+rnPBZT7zbmJF2clNPHTwPWjI/QvfJKCiD3VTzWO/thVaFTPn/+O9/y54/shAtOJg+bDrPN2J jpUVNGVAlqHdc/jKeVo+Oxlv5kXVZ4e589dkouksq2b5ZIcujEtAf9xt2b4I7K7/iMQPqbYnFYG9 RjQZQnXTar9EgzDM/zDhZXW0w6Y99DgNME34WsqSFIG9DVEPVC0ngZlOm+T6ZiQ/e8CJgkuPTA4c xOy0WORNh5PAHiYlbhwx7p5bRa38EUSnwRjVgSzOyBaLdHu56FWK2yUowfR+a4CR+qekM62GQuow +ESbJyfzepv9vHtu8GXfb7nmBMsQmEM0SIDweXX2HN+eXcsVAjBs6SHErTi1gn9hdtYux3r4U0Ct HyXSxRk8whjbduO55cqUp6fEsA2HiRyGjnEsAbguK3X3N9M5ZO603bYbp9tapyBoJJjUwaExrjKO QXmuiCZASVi0wlSgG922w4i6u/3r9/dK0cCQzDNUZgxWZtZKyyaS4gkSiyxmOypZVsY3Rwb2KhVs uXRZGvchRRJAFVuvc+7FPP3ZXW4kC1i3JjN2rkQJ7tcrUeK5fPB9csrRfkklenrT40+fzgdvxxEb nsUR7AhLrGeo0w8XZpX/0kRAhawr/TrKzRQa34dBGFMvEdAVXEEUseIomFWPA6fGl978nAjv4DF/ xnG/bl6wD4xQkRh5fp1hDeYLMe21I523qLmDkIiYrgIC8+L0r/yyQcyo44NxhRk8W/Db3GhEE6Ej 8rCJKeZKu65lYdVm2AuDmmAemSeQhuf+Su6ddcUVlhjkkFkAv9IYkmWcOvej/FoVk6CeWb/akLsk X+aqvPsCqjgyEcQNCijPkZCZV+bQYk+fh2cihA8V6Pp8oE2jPtK2Rmrrrx+yyrhEFlwFmMvsPwna 3BIUr37WmlidugMcaCtMiOoyxUT+6zWRg57hwwPDGBc1Qb7sqiGgpi3ykMF79v3tz7rFI0+ib5U8 SK0E5USomv5MexBhW86JrNwjjLGeu71RZ2szLlpv2f0UPeMBAvHzYJin6/CdH2YaBG8ucc+aYZq6 2Unfu6Hd+1unjGKuTxJ08E10ixhWPKKaHjzyaWfeC8e/RCCAO+zQ+3lwuNPyve/FbD7arZ2kiCMz ZuGZSSyS2Z459GlkH26mTCIebViznd1WcMw+vncHEI+3XWcyMIc7qbu/EMVznIEWi7VHwB52jggm AB4WLpeJoR9sVxe+TSJrjBZBy2Jyc6SPOc6n4rSt+ypPjsZpzupFa9RMGSU20OpqqMwpGT3wyq4E u2UUQOpoEQJdTyjMZV8eDedKYjao5ANHQIM8t1juW05OHTRIB6BfVuwT+RAG5WJSfTA0DpQf/ud3 zXMVkV8ho8Rnf2ZxI0xFIlSSQMLeLYh8APAZdHkZnzySZtuSR1IvGWfjxbO4ldQUDr/PAvKmB0tx yoNMkBgcxZRJ8HhU0ScH5JcH2LcMulWEMPFzp4qj91ZzBYwOSRoISVOUpislntnaXoylQZjFYXu1 gKaBrDiP1vPWEjci9rgEZzAWMIscktenhf7SHrViNuVPs8TkG7Fy2mPkSfReeSKMRp1oNxcnmgp5 yy0JjQBmVv6FyR6lIV5qs5ImcfWQ+ZLwf24PLSieM4rVPYJ7BTdeuVLU7bkYGQNyWAmJzB/d2qwz +3pv5og3U40IAd/0GjONRGmqxesyStIAWNP1kIsBlQTZOdRnquai11QAgSl3CcygmfzkrgFRHXTP HWowiT2XG04lEJyoIWeoO8F9pbjyMNxmz9AgdSUZ9jtR+iybXafrAxqVY/yfAJjGHKYjK5ZvMRxN /2X1LIdOQ7aWn3qsQPWVJqiA+D/JxeXX+N6cEBi/YV/smYaKumKRf4RT1z6fRqVPt8faFa/4hkQo H17KngoP2eN8fMsHvWOe1VesUc0GrBf68oc87WWNpCfJ9aiBEwaogLVVpOp6zUuXX6M7mm21uAQ3 YM84Ub20TxD51D7Atc9J1BY65aToicP3pdLrtE7xgUtTH21Y58BNupGUMEyhtc67SGFEVvs5MQ0S D+20Gw5EsKnDMK2wJzqkHVbIdNwuw8BzuZxKnfNd4tCC5AsUH2OhQrnyO4OpwcCXZe2nqtrSOf4a fOre4kmAUV7d/+dZUjFA9mGB4Ejx6+XBRIOyBqLjtntk9NJ9UpvwR17mUaW4WvLTBCgPe+0bW+zT ifrD81UIxzAStGFv77q174VH3LO+GTWrP7WQxX12sx0QJBu/cAaHhvDQW5bNfsXtAVKwc/7lN8EA u7I0gOIYnBDPBXUTTSeGmjUZbufn0VtIpDAS7D1rs4mizbbzeTLPeE148mYLnN2g7xEuotq/Fgsw JGMFTO1H71tU38ywgRd7wW5J1/ePhBvoldatoD8YPRhY/OHNpLy1kKgtk+FTDNOQ4lr1D8ik65jr Q9gGe3wjNwchjrZ2pwC69NpbhApnr9PtDd4dD7pH5amYtmYROatNgR8wFslWd0hS/055Jw0dPnZq D9rDNqjfuxJQsY6QXiX9igwvMT6gNf5UVDW3ZXONWcouC9fOlwsRRx6tzaZ/IJa8KGtrWKdDsiff e9FjfL2+hwWzEu3DSXF8iBfDxItCyElPpMj5mU/TrJfmSmCqxqU3L+cVYFJ+pR+Yf8N+h48eW+SL hAqeThaQB/Uw78z4WmofyayL2H5hgc0uM/ZxX3bIU8PPsPBrJpAk079zD1nsJ6QOfoHt4ycxl/B5 U2tr4VZB+t5pz0r6/yYRLJkP953glLOpssmbQ2N3T8+ZSH2Xe4A/D5nhEU9FTt27a55m6tNmzwat e2A6vs48G/Q/LtKGHs0fiyAdCCiFATOeYgziGVHwV+3nz/7JJt0cD8e8ITh2hbu3xVH3fw7QokAk gSB/zqrUO3PXCProfgYbVPTs6rMMHIX53JckeXqY3r19wLC6TiRDakZxaGY3bT1HEJbxvO2cGUdP l8m7yzB/ef1Pt+oMVAbREi7uIzoYsba69siwyKMx/FKBzlLdsWsRdYQhRnUZ1FnfbVkHd5V7FIC2 /JIC5JGFqaDyk6qFx4iPWG96ohkrWdXVGN8VuqrMAZ0SoI43RF3Ls8NNnJ52jWUhXPD//iY5Uylo Xp/ENuE28bbsRLmTSEGn5tV1YYI77ZjR4NuMZQqe9ZX3QO1d+qoSqawbxmrjQ5enACPraKVhMNW8 Zx92Xe0GPYIil9FQvCSNXiO6RI1l0y2+WIMqhX6ZF61UmfqiE8cvjFdkndduUrL/yKjOF3xnuwxG nrCJE1Fcm0hg9szR6qf8LSJ4GAy4/40YneTeiOSlSrjaHFm74aloqzE556Kn3ZYfq3bXimDR9EE9 FQqrTvpYjEp39GPKAbZmQ6rssYd7BRFjR/9io0Tq9iaM9gZ1nVPFnNG6zSMkhye9J0vdvFnS0qrS Y1IBNM+K2PLoWObbAc/+A3GEaF2/JtBUZ2ntsYpI0F92eo0G4SkHZUgMm+8ZTvR4nuyhDimnJjSn D0hQvaRSdk0HjZenHRSvLKzhZAfJKksCYdTqPWIkpMD9Fdpup7M5uaE8xmaFXyK1qsc1mHm/svsu U8FqhoWNRzSrfFwrngldp2PGExgiJ+0KowhsBtvHWK8z27znYwIGwuWOaGzYjRnNIwrQuAeNhS8f KaYJjqfp90rGlXskek/dtyJ3Lkiu5XL6QMwMdgkFlB42rXAxVd5Ic+EKxsKcKERAdtkil6AR99vE WBInrCyOjdetHBg6xkrz8Ez37zuvOqssOXsbINn3DiWiNA7JYlk/uW6KJnxIpfby4SD/evWG/HQf vkJmEMiirCjW3BBRDndO43PuD/GL5BG0ybjrVEcRAGAou2T95AdKClTMNTPAmsl6RMdGGkPI+F2J GO/JlIzo6pRQVvq+908aYsvO1qVrybBTbG1bhyKxXMbO0qRXC/7avQtigfpr/q2QGaPYZSA/oYPb dVwV1MpLlM+nmdjKW/WIIKWF7xd2E8/CCWFSk+gas4YnXPPU6OpdQpPc2S6H84ent1O4KW2QYanv w+7348Z3H4qKcDqDGyXx+ccwWaJ6e932o7elpWvIG7HjJ87DxDU3SxlukdWVM1ryMZGSoLAs276N dEvipcHheKZLXIFGlpO0fjio/Jis9hzyXKJMHBoY8NQQPhBp6nQZP9eocazlnlmB8tQMR0A7nyfA XbesV3Bw32PtSUgNnOc1k4FW0aadojwihfyBMJSNyF+NXFQP/SLEl5tCeXSieXiVcnZQ5gX4vGHY EN/zM8PJUuJMv1sEJzWcwSSZUOlD0Kg36NREPGP/VKkPZwTtWhNY/H9WCBjMo4bTzOawnciE8XRB 5LqQ8EDCZfkuZDW6830jhj73ebQrU4Iozd2m+t7I6+6/m2HZDpZ2aUZcrgzB8HCQRdpcGseqr0wh /KgM0B4MyBwRmaAymHwpQ55ipUhRxQX8z0jWG1lZTUnyxQYLRXHIC1dRZR+uU03l5wjgSrsGx6Zq 4AC8rWKvN6/g6e4hKFhDEyjo+MpA10a7+YbiVjR5VV2F3bO3A4BAo0xG4Hoh7OuN5cRM5JAVOClm sCSS5PonmiUl4A6Wd1OJj722Cvl6KLUkNmzJId0uFe+Xwv2rh7uIy20T5ki6oyhrw9axQ4olOkKE x20/jGkxP2L/lMR/5IGbcgsc2mkxssZ68Pdr2aGQm62YyAhC3rAkerfSd/gQk0vz+NjGPuzbHtKP iN0DaIxj6UEEINqDXS62/vHkrgn8Ilc0JpycPijEPwmJacZF7ATnK3q7tfNCQlL3/wnrSw64DG8l M6AIccFM+IZoGBYxwFHQO34k9fd4S88Tn9GZ9baKti/Lp06xlp3lluU/tKChVfnj26tEVXLx3MWt c08Vfew5FdnRMaCcriKPUNtJ/tVnMAU3WtBIYw/zhCmlsf7Eug03GtvfNiIO+kd0ixtkVDUqnXFo 4rQfCLtPGtiLlg+ngOuXu+R5URZvbwB5/5Pq5ovwV6EhtTFPglWEDGscca2c/1lmhXuN9UNS9NUj gtRcltPF+Eue5hlPwxqCPu1AwJy3x1JAaqDZ4XYMw9ATVVlz0qDaGez6EzI6MmwZcw/0jBVRa0OO nUnTEmSSVVwTo9EwDMVK7104ZKn59svI1sEAVVIyZaZ7iNRybY9macH90lsi8FQ0VGRtwTlbhmxV BheWD5PxeMRS5enU51YgGSukRVVR9O7x0ii3i0GL2j6dfJjQ9gQ2XNCIeoFj0XNCIADx/YlPOFLs q+zWpl28AujFkXnIygvUbpcpD+9AQV3WJA1ixrtT/bLft/D+Af+hz2++gxMl5BQHwrRFUiOMWE9X aT9ZoVoKTxfIcg/6+aUthUtLzG/JkaYjzfb0lsKxT9vjVQ/Gc83A6Jwx1vOYXFgcWtAlFHdVPP0r xRIgRjJ3Hj9tp8QOlv6UvXsDuGpiLWEHrGq62GI2/McJdZNZq62NBJbV6ZqPw37hbrHynBIlS4/t 8Y8mUwZqdPaE+Lr3uhKqBzt23iMmQilvZKLdcgf66hkgd2XByG2H55/n9p4Yo8ccYff0JNvQK45V uCsAin7keVNbas/eqA6tOKku3ybcDU6sIxOeGAFLaGukRbKmv0dD7RqKP/99sJdUEzo1qm0pFjtz HWNErXtRJHU5wpYR8yK6n8mJeZAjyhFwmy1Wp3ZPNwL3rCdIXQE/rvJAuzlAimkK0GSzlSf6PtDZ CPRM5j4xQKo5+NDh8i2KNEzYpyYP5ve1mH3dEfDBptaGNz2ODcStpnR2UdlzAi7dNhwo1KLrt+FQ G9KqbO6el6RteETnhl5f14PSIbLhia6rcdQKPI19dzGx96Y/rFkc4dvv7A62PvC5aSBnuGftjKBU KZRnMwud85h+v1L5OpcXAlUZGLCK2KCqcqO3HQHYLSp/VzzuS5kd01uBlX5EUSErLv2u7P/ZSZXy xND0JuI1GBc4u0x/eojlGoRzsGyLcqvJsAolDho1Gf8hQhsfmdqy2y+04A0DB+kWK2YrVd6JcZt9 EXZjgj6V8CRAkJYFsYqCip6fGz++Dv6XeZHh9VEAfRiehQqXVvLlLdh22MWiisuHDr0ufLwkqeU/ 4WCZY2YVwWe3J7z66kDsO3nj36BeUpBFQtFEo75YjZyt5EH0f7c/z37g1Y0020eZ10dI9e9mcsb7 O6vHD+AQXSa8i/kvdSwjBjH1w4Tpz+TstIo10Q5lJzcrx/qAeJrRUo/kvg5/gK+5/SFxXzHtrGGQ MJ5zKcfdo2ZyJRmtjj2KHl3GQSyAPVgaeRRJC0FE1JFVRQnhCpPLwEgHqliKn5SKdrtKosYomjbd K7jDBvspwEpZDyguuFA84tRnYTHli0VV5azi0mCACpLS2eagspla2VPW5E3Ael4jUVvoSee331UT 2h6YgkGk8htgd6gh//pVoOJfpq6wHTeu0pea0Fnm28zagfCW/NA9C06wY8R4yRH5ZviUur5QJEQp +UsOQFJufIlAGp8DMXlM6PaU6hkOmZMVuX63eMG3lmVcGXKQunMGLFm4eVR5TV2rScCir/EPC15i wonoEn9SHnbTaWhC+ybERC+U2Pl92RVi6Yju+PradU+xX2+q2OiBEZ8l9Ogy+WgpDrvw5rPjSyFY TGxkWUyclqj7yX3BnxTm+TxtwAAXoPfllXa1yR/xT3XG2xuyOIEJNZwmHX2/DfU5FW0sFd8587iA jITA1KSKIwaRG+5ncJoHpMcErznXCgSLvaoMnwmDScKSxN3Qurq/tJF7nGNPOqY/GAGOxskvtBqT ePYpzbv2XthJSCEnxbPp+4KZHMtVVPzy2GwAALz1FsdYpLMd7AKkjMZo6YcPkrkE+pivycz5jW3p WR8NcHxzii5hD7kbpUZYP6yLHprEeUZ7lKduDyIAFYufsS5ceYgDQjp6b2uCsDtzIaMpv+D1vwE7 FhbGM9fu0Ojw8i4jFiUCYV+wEV1PAbuOZV1Se64uR0OVzkOfkwjiZXqoQ3fsNxJUHrFjzKuhN8CF KV5/h09W4Rkb7OVQ3qQAXoYVts/ZaDsFKJIYwqUoBm20ABtdpKSxp9hVYkNM4nht7NUQpOs0Bfyz tZiVcDbFOVTD9BYtxH47mR0yYtvy3qcv65B3Z5iloh0OlfJWf02f43EMRdIp1sheyVFz2yG5QUCS qoOx8f8HSXEP1pzP/4+14MEJl8xnWpV9RlBSmOSl8GLOH3jTWqHFgoQbU309y+PCLVb9rWR6adKT Ax2XDCN9oChJsyjqVBlT5E1T1IcBpYS5V4ueumXm4IeTzkMdantloc1UBLFeMI3Y/mfyqAgkciWQ xtIisnpnK82kdrgMuanb/PlbcIEgmhVKscsGrkYXryRezoHRP4KFBoX4JhmckqjaGKwJDEFk9Nnx Dmpw2zKT7OVfX5jzbXjzTr2JmVm2vX6MnRsdbT80ZdqbMXOgQJIj2RrIcB8NJxIlGiciHi7W7h5d U23HBBNm+ZeyquuiXhikVj+PkMBuTyjzDv/ZOVqnP6XJN+pqwH30lx2GW33joNwpZGuUUAWkyyw2 fCKl3iCCBtrwj/sFT4xBTLOhgx7yEmHbFrwTs2JjQrkwFzS7Te9f1CuyoJVLB/RITWNEi81PzyWe vwg9K1BLIWeO1nGY7Mt0azuM602KhR2nrEfTws8dN5Ue5CBQZwItRwKxoWblqLlXloFy+LdoMDYC RDNjx3iBos4+5wmHZnnB5FBdKihvZBG+GBHwEickCMgyOjLD2cPDgECVE10WXoWTBFtOdgpkp5xl VU2ktpWUXHHMHm8UjYGBqw6MSUdqgfxku6qR6yFVmw34RQJ3G2OgL0xrjk0oBuhd0k/7wiDbKHhj CxAnySyQH5gCmayI8jHGyJb3oMgcKYmGLBj0m4UEy3wj5JuJiRuGTS1q+3PKgTUG7wSXRjoC3FYu kYN1P3HBM8c6NZy/VGonPNbAh4yOvTyoqWQamk3CBuuV2PtUhUjCXH32pYQqC4u2TTHCGsrimO6y lLcjhdsxQ3A6yvMKVZnFVNUaajy+fCvfMicAWT5qvnDbjVZr8IIg8nhrBc+NPz8CkZw6fJ1oRkX3 dXa6cIk6m9WWHIQPa1SB8ZuRoZHV05AJslwwwBrFErjf5q5ScMtKDxsmr2Rzg0B/fBcXp5bnpLyI Bt2TLGjc992aREMiZoLV753NfREzJM77H5ZNpJu1VoU7iNbQBHWekEcXmQTHw31y8JB2wZULsyYg AlIEToBoyXQmemehFusvEDs9j8oGutudrC9afhfZBJx/PKhbwulynHiCNYnuugYB40lPeTCNB5Ym DjCqHyu1RtEMOzXoB7cDtlF1A1sH+g1GtW7Qp3GW/AFJ94TBdPFkKgd3t3DmonWCoxJCb2wXFng5 WHsoDVIVFYsYUxWiYts0bW7DUAis4Iv7juoljL33qTXWdnxtW3hPOkCrJf4xma2dbZlk3IjYKd4P OUD2FAn4N6EvNyNUu/5BH55DkLj3vrwFea8ylel0Appe/xMkMNfqb6LgXZpdB72GceUoaoU6oUGt QlJsVTj48OskdQMA6MxtHn6q3dq43Yb8QY2ZN5tJ1N5rIvrYAxtUNbmbCkgpEQhqF1QTos9Vtw9h sHGO8Cu73+Y+0mEt9F4P1JXJrLayuWzLbeq0tgMDtJJBEs5YTgWg0c6rw+HqslzNq4MTIhd3rdHV 55Z2f/3dSTZ7Mqpdz3Nmz3wsKavSxdcF84HF0h1MOPE7kk8fRFJEXrBlW/EuChQP7iHY3xeHKG3F BAUOAWXgIFOtJ/yAtdwbPsdZiq1r5T0aOhD3TfCvVA+svs+/SckNXcuXf3RaoNWbdW3eciTlGK3u EcI25+j83E5pxJpPYyAMKnC8/orhbKyrp0qNM+0Xew1prGg447mDZiCqgivXLLF+vtnB3V+HW3F9 Z4/dpVhbom52TY/7XpdRZpFiPWd33wyIRlxRMv96ElG1J5JEwGltZOwRK353fr6EgK59NvIJad0o tI5Zzp4vUPMqGCLEzDfYtrCsR708Ju+ZzzcHUZIu7zSPyp9o5n0cTpAf3G20e59/QYaYvz1yZmhu RLC9u+C6DiMgDQZoENGiN847qpZIF7stpd2zHhWsX+Z1pbC0GDianvKJo24fkVuVKhgsxJ2XPseO 2ntovedFR2Qj0IAJXiNuwDcWqlW7EVjVhHBrhpem1yikRYiBfP/pvgmvNed6XuB+9D1pAYNdSUyh iiZVEojIXW1bvzzifbwKQy6Le2dXrQ6dytP4FQQvLTntk4k+HFdy7x+MRNaUnrIS3L3pcZIGrRpl p40+yNDRcHPL9UgNn5rgsAigIFb7HD9DK4TfJefiqIzEuDp2P+Oykr0ivvpNCR6JGUo/voBnjyF8 MTu+7TqQUNoTn8cumsyAG6Ap9JEqA+6O9c3+XF4o52SqdtiDdJqwG/TLI24W2kRf0FeBuXdilTSQ KDmZOf2d1yEZuJ4QTDGJSbY+N/IfL+jPhC45zGaYdDKiH8WNmMuJ3w0GLzye2ekUHjLvXJFVvR+5 O953GLrNuvKB99oqoskjxWXbH4nJmy2SW6Y1lUXkxGvK1dIZqrGTqiE32ShmCkcBznGYX26C9s/l 4E7YXQD1ZR9cXIAIdwyDJLCqki0S1SMfrM5Msw1lMk/yaHzMShxe9vR4rB9ZLwgZlRJDqeDVxS29 kmjhlEKC6spiGcQ7c7TA+RNrNr8LVap1cGyXUHOgNhRTJT9sAmNAssXjjgGdUHKB02sRVD5bYr+S QO79WR5KaqCqoxZhQuStfxR64xcdGYA5fGrqa6yfCn/7CKo+xFqjX3+T9UNa0eTQ3opM33XapXEE KUXSNLYdnhMMcAKTyVSZbJXeTC6CPr4ldFrK8WJvmnCxJbPGPy/lQU6xN7YlSQYb8TtyIbU4gJja 7ZAcpVwdGrWj0QI8DaX4W6tazwRjlHz3QM0aMBkfMq6nrvAYMI+eDYs496NOayOaLVqECVOEzlo0 8mxqIfvepHR/ZGd0hCKB0eMaD+tHf+lsarqDH+VJKRSF3MrdcW/L1sBXlIVoTm0rRNmaNKhiFSVj Sc7gIY4EYDJeuNAKpx8N1ZWxK8IoPJShtoEuxU0JcIP3c6mE7G63ZgLGXT2WlrhlBP+OiXriXVxu qpJLOa3yY2CUL1m1CU9xV0Shcbm3g0yrnG/queE/sQ8OBIxN9bAwKSjy14bss8KZlwR7QVsHwmR1 s3Ieo/cnr2+c2VBGOJAs5KTpHF6C9U9Gba6i0R+C3Yxw/4Br67BJ8+Nk+BXg2nzuH6O0lG/82eNm 6TAf+ay6jxPkw17AURYhKPhIJIVNUJizn1v08/23gVG4R+fnJecpDla0QfqG3PMwmJMfNu1gTlnE 3WWgdZacBGJ5mmEWPiiWntgg7kua1SLJFKvfeeGNb5iqrsUPUYzN69kkOfMxa347XhR84HiVUbMP PXUFOqGMG5rYL4JNYjqgWJFVGwea9HM/gx+KAahi52VQnpDws8DDaX+Iw0C3NnmbIGnEpvro1dHO yCaq3YKSXJcdUFU+TD+u7HUyjUzZH6bhKFtt7Jh7TvCTNufizH/xt7fxs5ayAwNE5kKCtOpz4Dk9 ahZXP2L+eXs/P8/LysXBN97JtPAVAY13wCjNe0jtSJfaWl+olA/wHEUlNpS6JOgfHslq28/jSCCs w2n1IwRI6UE1SdSq44JrwE82ncxug5bk5sZdlXcBVmJvJchnxMaZ0V3ZordF2+Ijdo1gaCKdwXt2 0roHjofcrfHUvkumPDlj/g2PoxjZZOXgs2VP6LXZn5MYgqPreZbkG775x44usWwHNYpI7ljsVgO/ kR74IVJ1klTv01zG+7qpTnBQnQ4EN90LYO9QCCuM4hWm3EB2AzZn4IYbcA/5NhaxHhxKxzDLYOXc 5R7JTusm3KQXlGxJCc5Z/CDcvZ/nn7r6qyvkxfmWb99/+pJoAMXczTLYCmNbzKej7+x/3wA27x+2 prEvGAJg5IICuyUwORuKKaejmPAUPtPVX+NEZti5DpvXpdpjvI7lIEzhMrHeh4adMAr/H4jWVihp zfQvJk9SXwR3XoRNInwQpIT1OARB/tE6oODYNfGMIaXO+jXtAUjABJWiNWiv9eMnAwvJZGEyxiiG CpDkrpaT0WDdj+9+dR+jxli+ql/wDl7JHZVcdenYboNmibUdxgqSAJrB75X4bz76f6JqHnQx1Zaw 9yRAHgCBMkNu1AAn0g6r8EYJUX5dYnNoiyfr6jbGPqTH/LBQlRURVSrUJwj5xH0SlGBJmQ5sqON0 b40yLmR/ga//3TQkR1UDySe61awLnH2HHf2ebag+NX6ZXx5cWBhNwawUntwOa3cwLWNyCjOOPeLx zulgmX/QfAeO1+rlao3iAimwpbqhi2YJnfUpUzEt3wsthjRAQ2z0M65P5ZW3xjIElGXTCT6S+Gc8 QyqsEGbkUtHRI0ayrX7vA84qbb0XhZEZLh1i5epPyM9nZtlrh+CdpBTyM4cu9ihHzZqLx79ct1N3 T7ACRH7r9K8viG1ygmWeVd7wDS0aB46CMHD/OR6S5nxSXkKiaP61W5zXNNKs7ZoWmKjIwJRiR0J0 9k6quXZEvyW0xX5vwgnqt3gjvkM0/HlSi/kiRdX5N2DYEEfipi3knwEd6D5DYaygcbkUouIpchLj IFsJb601RciJlNP0U6XtXFfgXsGowhWLfrslBwd3oXwKZNusWUrQ2vUDer/OeMMjoLGZvuk4BA7a /zEzHwG2SUM4d3vWU3WzH+vh7uYMxAMslociXLbAXSag7rcUV+DhgfDBXHzw21CslWvmOGN4vjLv E+8KD9aMzvCb3jj4ZC2155k6WZhzhv7YDgTlszu4FnibJnFfOdwSiLJQ+hyRP3HYjOARckamyeaL 3P6no9xn9GKz4cA4hMtjNYam4KXXx8VCYc/tT0boEJOTRnyMzta+IOjHKcUQjNUDpB6WPr9iXPGR V7138YUZ/NY5YMYNwEg4se2xjsvVF7E2quNVRI8U7xnt+O1b2EjLsSeZ7Gkh1GPtgH55mLw50uiQ u8jdgfvJ6LBQzxmcMROVuGqhhPasCP+sbBi1tFJUPHSM6t30H/wIFgOG2AtUrer3i2fmJt3Tmpqp WcNzCJ/Qlkniy1A1IT5qqcb3spsQD+sZ1Vk+HH+810tfOzNFJqu87F/7N3tyMi42gfIvWykJ3d20 u9SCPbe/PrE5Y91Xv/o7Cf1D2EYocpNRxPpz/6JbosIa9JeAuVfhjrC4BIAVgwlOKeyeleY3caj5 fUiwcH+hV3f1jRX4jnYOTicEknHzFgCHNJs8/qPo9ij1mhKxSUrYdKF/bGnilOV6ad8wwWIep+Gf 0uPWT1DHEAdysXlvJHMjcYWimdbgtwmeixD87uZnBOh1j7vXUIo4mXvRg+LEM/ptlVwLZch/874e 1CBia153h6Y7BWZ/izqEL+4gSd0LVQkQ1gsUay63I+pAGgZIBekJtfSHpqgShcjpguKauhwbSz2F iCPkLrwGgPEJtz3FM/Qt8IYcoA0pPRuOoLDcBp/u/DL1WfqXV8FZwESyhLcdED3oyJnwqjyZpDf4 +OgN1IqlMIdyhqm0dAw71lu7BbzTuBBZwR8Jg396cjYkdOg4i3LZVcjktsGxJRbzzNZaw23r0jEK o+5qLCFjEPsCLmr2b9acNnzQUPfoM7lryqjHxlHw/z9UOKoHaae4pqjMmWp4BjZueG7YTB5V3QPY U74QBTvDyvkW6PVRI+Q5t/tjMnD4GakzemAmuEoWar9U468E3np4EokvLst3VU3CwGKw34t4gKif qHMNG46tND/oDoTyPf0BTSLrYbq8WB+d1wn255mLHSI20JcwCxl2EWuGjHwJjTFnHqUNShXpHo+W i8iV7L2N3+f9joVj2OLi4ng19jiSHYe+Y5q/Br4Zmj8rdosv+hBom/oV3L7Eem8i3SpFIUCbkusB 97WMkuyKXoBi1/IaQqDy17zCjIovCdr1hrZ9nP7uxA7VEnhWYcocn68k5u3XdDBtkC94k7fr5aBO MWcw2ullbujc/f8CAugOILH083sgqnbRL0n0aLouKB0/WtxKjco4aTM0fG79U1Y9udTnzoLy+KbV LDjyo3UEcTrvBzl/dMcIYy097I8h+ORlZceBLP921UCs9uSPbPLz10L39NLfoOoRCWR46vZQCUYF WWXfXe/tF6q3mTgfPOSiINcQDFqs6yKJ2FmIX0XIzmc2MP/A9CqgHjh4VuYemmfonA1RCRtoRb1c voY81mu4pnT/2xaBuVxDd12bHoVxoIBixu+PAES1BWsr6NDsdAr+cC3aA4L1TnG2d+sUf2d4ON9J 3TGoJ8oz1YeVDjE2JM5mIKu7ga0NvReagHT13T5yzAw6qAw8XL6C/9Gtw+jaCOSXWygct1iKX7Gi vIN39wM2uT71uKe/gbwlgCtutPJ0oMAH7SKxI5SoEZboy5m/b9sDpB9Y656yYnr1qW2P+EbJ3HSn uWXXFL3dClRTdNILdJ3acFpWN640Q5O/ZX/jy1vleyJlmlNp56MeIkZRteXh7bS7gbH0LFWeaQfh XiopF2S2ZWN+0vMMfTw9lDEfrmkWcw+cE/fmlym3HbgXmYtNAdvL2zbh0YbQFVgEE6M7TbjwMMdJ ByPVMmAlsRCfnVgUcT3w05CDBS8EEG/ukG6ThMOSJoh9B2oioIDRo3XnJ9gdcZtL1ZLGMZe2bnwK Go7t84xm89uprXr/puMhI4nW52WLbHPevQFc2o30e4fkmLuGkLQ0d6jf9GHPkh406shc1suX3Pl5 pRr6wM1kDDm4qsIAindh3BCO7FUG9C6Sj9HluDlE7rKwdISW+nNNhDNzWvfZYaEJM95saQVVBxo7 h85zJPerK6sAA3kJvjxfM1MUbv3iAX5YLV6mqfTMHBOXuo986j9KBLKBK9jh5L8kZVWvDHB6U9Un O7RjhqbdmP98wEjgUQ6q0IYQg5ln4MOpULJRojnabWK4bRLlLDEKtvbp7UAc045yAOa7V08LlP31 632+u7rN8UZVwXIxvT5flVade0aGs/y0gwG/KpouNb7VtplHg+WQc9+bQRH5YOBxbyCCAS/n8R6a e1v8Bwj2O+gWR7Yqpe1WBw4z1dotDifw3bfNgoegWh5LuUL7zRZav4ahuyffNXYgECSzm6O5momp l8ZH558tpmJ+h+8fqApshnQjTeW/DNYgwQYQoala+n+IlfdW98VTmnJU3ZNJH0bjEPirTKUhhIpe o0JBQDQE62JIBdUlZtN4W04EF4tv7kQProcHLKV1E0TVYz0ICZc5rIqJC0mYJxc0rvyuWZ2muJ0q wZOkYLbkxBtYkGS751ixz5GQRxSxi4YT9IV8FAqS9Xs7mvQnd/PHvUO4PJlhemZ1TAUbYqk4psQ0 2XHT8IuB9ROF/AZU95vaz8OfHcuJqnMPK9E6ecZ5HomRrjWuZ6oQESVuyW65N6Bh1RtbOVIAWlIq reJt+SH4A1Jsc8XD1vvPd3hfJaO6YBNTz23KjOpLtATuj0J2SH3aXNTCRjWnOb8411uh4eHq5J4X DoGP5nkJG7ymciZ1BRpblEjza1BMcwvOcc92/zro7S/n+Z9hknHbkfdL3mqg0LNwxuvr5YnmD4XE crfHhWcumSX/34xvcVNoYsmHprdf0OfwNYEFo/0ecWHjhIRx+ClpV+Cjgg4YHmLJcQceGR1qISfH CxpGdFp0jXsphFWz+xSF3TM3GLP22+vj/irRBSPoJlrDAqfnlkGyhjJHb/lJMk1W9H6RH8t22oFm OdCB3x71irmYMdywEu1Kx3Cv/ZJYFYLkBpMRvsW2UrV/KWMEPoMwnKnahsTHWICOmW/EvFYKJbV8 96o3VSuY9jQYTEqVN2cJzGWFj+NHclDjHIrS1BI345ygOYF0CIWk75m1AVk5HVCs5s2hg7AH5MDR aQCTcP4lc10tDBCtTMURc28gvXn7b6S2kmcTWNkFb8HGgIGrCsbb8edW9w/XtMAirTh1DJOyJ9XS Y7emUdHzjBP+hZxXHibVUA4LvGs6uA2Zw6kjj4x+/BEDo+dmA8RnVFpsxNoDqnmnF4ywtf5xG+Lq 5Wc+j+Ox9f+YqzOppoiMYY5tWayx7/cm1K1mWfiIRFPaTEAh86mGqqBphNDpTWSWqDQt11hu7Ndh EokudxP9xGCgxlanpkptFXh8+2ktFk1fHTgAxEdsFExxyjo4xyy1ASLSeJ/lFfRVUlAeZBvgB7/2 2QPnUefOT3Bi9Ptyam8gvg5Pemqkvc63OKy7+fSL3pLvrDf43CN9w8E1T5PHwf2XbnqPdrDnVFfw ZFYLZitXPyJpMI0FE8wA8hmMRSM3Cq7nczb5jCuxo/zRRgHK915mhdI5gdKp2UhxPrY9VrxsxUNP ZuSXn0AuLOgRZph32HRaQbMBJsCNwv5pghvheDo5/ajeLvuyiWR+Rs2XCmIesKN8yExzjLCt9/63 k9WowYr091MxXsBwKAZxbBOY/jIWO4mPVJFusB0bYaRWeeDOr3LYoF/h6miJ7KoUbTg2kVuaA68A /pPavftk8VePBUd+JpYOUPiVRmgwTTnvg2VD6bIsHg2DgGVW5VXdhEdkgVZln3cA2/MoRcGifm6Q Wj/hWT3tUisnUoX5OXmCIYI/gpsgTKEnXiegRiiyxS+ckrkt4ralDixygLXohm5X8uhCql2vFeOW Op0IIUcbgrkCgMslYBT4lydx6MNCKkQ6PXKMXTtbNRwGDtqc5T8pQ+5lOvWy65zKFlaCdZsYYar3 A+tzUv+wW58Ti4Brl1P4XPMCRvuyCH5YdISZCufSADxdr91K2mQBBMjZm+ju04FNMkcX63ca6Dxk Y3fxjrC2tpblTRuwURxgXc1BO0OlSDU8W5y6fkxCDH7QQsrYBL+8iyOcednwQImfwzGox3HW2Z3p awru5ewS+dXyAH53hkxslZ8a8MJcJa2WOo7EPT6C4xidhO10PuYq9vXghf45F5sJrIxqS6hfWwj0 tImq0P8JwafSPwN/PcXCUeO6k75e3RNcs7NboRqYHuWtbNy4fmimwyTWQd7vQ4DqbpTsQvxv0dFB xfTClF+0l+0agYMgP+s93Z5/BhpP/WkEg9htOKbRrCKG88UQ5eIOWAfC8IRmFwjjNsx4fLXO1pcX L0ZuZTG11BZlmlJ2jgCRKxuQGoxaeqQHY86OY9jj8yP0NaSmi9caQRMV+ILocuYN6qRv/z46229a f2p7mxGWpUHCfVa5RL//q910Ei0pvkm6N8aK/BXEC95w26Gbp/w56N/zwMxDbpTz8/Kh9MoYaBjs 8Np2URCsbzv6uDpuByDMK0TxTlXVv4aRBSaAKen0yZcllOIbLBg6kLaDSTcipNoHib0ZInYec2L3 xsRrPSmXrAHtk6xzH0Zx/UKxegkehZFC2CyQ4JDwGfgvEPF3GDcvrdYt4js2MAfJ68mVxIxAjG5D WFRN4q3lOnVxw4hfq79DbZ8qMCU+9TSPCfsFXQCjreB/OV4daOkyJKk2QqTrZIfX00Nfe8Hr5owV 6Cs8OgDKd6HZhEig/Dj4hgXdsn82DFB44vgGKFPy+OM3gEw4HQmkfxcbCvS1LCO1HK/oQ2tIKw6u uNieBjmY3bPUVtikaBCVKsjDfZ6L5wavQZ8sae/FMT5Tnb00EmP8GQLLdd/96BY8q7OcVxbqJzlo RjxHN1nhRBuLiEgKL1EJgJiJu85mjdCIlvWoOmtB2cFpJ5Du5kQuILgIsooC66UK1ktJ9ezMWhdU ySGjwvUwXFivxiEYSGpAIPuRcdtlN/BxFDM3yOXuJToYhUIK5LVMkgdzQOyjPklwT1aqgPVF4Vj8 h+K6fdg3FrXH5dhuu5tCC9lLR5XsiFBxbb1m2IGziO4ntx3yExZV6cA7NtWbDyhzU592df39kDCw JXzVn9f4PrwTTbRDCpzgVVqL0D+XpNuuc2FZfSeDJIUPjmPMmXu3OVR0V8trcUlDa63O4d/QZzkI 7jjYC0efnXjeQMA6N6Zoj2eunofa9X4mKDjtSVZfS+maDMh5XWh5ex+muIKxEOwD6LkFd+f7Ra7V 9MbO15xtGlQwPb3mttctu9rEg5+zp8tLGpPtY2GFphsLmSUVHuQjo26/4i6sWiyW8zOe3XlPsvpu mzBKhjHhKdBTIqJ6SRmQ844VNH12sBqda0V3O2LW2hGZKJXAznYMOAtVRhWDQCJoUWspt++g4IDQ DPxe1PVz0Uyi9pwBjmRLXff4Ii5Dr4Ht9OIXOCOVLinxXX7GYwJJhWJXg/Ylrw+gavZ9LBxgKF2v F/7N/W32dAm9caJT8SnXYQ3ALe+yEc16FR3dHTLTNVO3pzJ5KtMKDdylE6OLTY6HOmQeGrKWZZcm ZbReokKJgwg83mJu7FQ2PgBrqO2Po+t7H5SZbCbYqFvyaxWGrQxo6zEUcnKOXvjYxinrUP/zFE4s sF3RoYAZxq69/n9dQWL3q7l1oLnVLy40xxN5eOpUYYC5gtvAvViJlZVAeUJnwiCDTlmEkFms2MNO 05jXFwquvx/k7JlRDnMc73rsSRAQoWDk8JQqUUplcUaVyWvhrYacuvCBl8WKUvTDE5BpRbPmNUo6 TEw8uKcOYSOFvtILAHTc6ZlY8y4zEJopS/aUCXGfmvSixFRXP8kD5gbUDs3iVsA96bNs+pjDlQFM gAZkzLnYWOdPFv63t95++0Yjd0S8L7veEtfXE/ZxuFtMNPVietYJKvYbSFDPnYoK3OzEZ/jpFCpY T95ux7yGO5exLAiuxQZWZrQgtlKCFT8dgBBmH5y+r2MBURscpkbIwkZYZ9cJ4l4vAmyKzh4dJ0vl 1LVqVxUOcwv955SyGNgHTgwAmkFqGhWqKEQZZsgCek0z612tcMMlhO6PjchWbzf/52TDaCsWjFYy LcB3hSKbhQ8NvNm4BiZC6KLb9yS7sLEqD/Z4brYu+ODhPC0CNlgKXvLGyVUDFQhImXdxOWYI0Jbm 2V4oUH66pNYs+HLts3QYthmJG2dbJ36OlZnLDtsCfJGJtXnKI0bJujzqcgbYyuFR379IO187Fn74 yh/p0Vc94tjlmQCx4QrVB95IFqE0+y0RJHXVQzXWxxFHk2gIJcSC0ccjGfF66LCL2L8RLewc6gwd 2HoHowo0Pg2Q2YGGQ2Gm49SICVGHfZhMG4aPWVjnl7cbgH3RND8ijN85PZZTUv8i8H+l5aRxA50H arNLwegDn846COqCuJR+IwPtGymy3EFZvzKjJqUEc0FGIvvp5ptYhLSH8UhpR7hifOCPfRjF5FyZ +7nw1XXtYwx3vxbjgcw/fwQ/7kRMvNU5+HgWG692rUIpUPdp1erzt2es5veP3dbHDchGbuOdHEtf Uol1UWhDK3v/fq3Z+lbmaJ3iE0LEY7uG2isXAEm7UgJzTunTyvus/aJGaChYrw8Yq0a2MJT/ynLS b9cVkkeuNTrWnBSjDItJPLJAUeb3Of5AQ+EicNuwHlhT0fQMBUjWb/9KwfCqXI48G9MRtt/JEAsh tGcPuBjuyy9E2Tax4ThOLAI9gQ+l77KKZfFpqd2ip2Bw+c/etltNM5fHSCgbwMIXqQ8ApF0735Z/ 5tUvOysFYYdY4n4eySOUdFe1aTZCuz2YgQyjZp6wAhO1imTdOEHigPtQcjkKqkpKCciP7cUpSEPU o4IYCpuOBrdKl377n0A+Cq/pK3hLdR+Rk5rmT/rIBDrCYnK+mZ1C94ZkCvYMzXJMzw8NAkRc7A3V PtsEnsYOM4b4+92sIircPdt0b2UqBbPrAVl7ZK2bkTadglCfNL/CLEvxThjwhaKN7PbPWAhiESC9 /ymOxJDj0Q8OZJ7BsX+HU/CGZeo41WoG2kxO+Rr12opzCVcL7WQj1owe+OPXfFvUyVExTXx7RI9E GPlRMgvpMu+myVW7YQjhJxVIqXf5yaH5Tj71mVixUN0nFWFQec/4e0CynoDif8LaKS8prbYkP6OZ blbqrqB+khsrvoBWgEAH64j3mlQC9QsRdm/yHokrS/DccXW54T8J9p8ub2QuLpXTK/Qa4HSenoZw kNHI+qvpb1jHYMNxO7LdpLGIokPG1wNHgCTQQDbZxL10p/1UDLLuXM4FdyvyOARtF2IgMb6F0KPC v2Jep31fcMGNIIfWGGeKqc79Wsj7VmelhWpP9VIYYrHKE2hF63X8qpbG5J9vY1hBjeZZkvUEmV/g YJ3pxlvSlbxWPV5i2CShl1LNrr8RR9lyPVCK0vFmOxrdRVdwTKGGp1YYgWZ6qvckiBbSlGayC3tE Qz5aUzlrMh0Nh8LLqxDOUi/CKEasFHY6T+YmayKgYt3KRgZoXoac/X9K/VSh92G5CpEC+lOxXFEx bh2dgTV83x8eF1gUrDKpD+pAgOnfrkrllVpLw+ta/YSGPrQaGRtEn19L80O1S5GQ4txh9pQIcvfN 8pLrZ0VDrKakfaPSZBfTyybKJ2PZKouw4LN0wL2AcLXi46ZLGtG6C5DWl6FSXhFdz9MclCqKC8vd s2RM61qNSepjWSdP82ehAIaZ2OuC+rmwSCAwun9+sMSS/GDHPBDGgcoYbOSK7gCoE1LVhd7g0IHF PY07OBRwsOTmkyQBGEIC8JZR7HmfhXIjOIVvRI1ivbAjurCdbejD2CSV3o4Bqfm1ptw9wPyTl8ab 1d+yZ+FTyeRRdhEHV4LjmDO+ErvnfSuFZp/sfFQSK+h5EtnipNSLXgIqMlQFj0BSX2+SrIz15B6i R/0NFfygs3bzKrSRnpcKI0K0IsCSwCaQZVCcOQ8o3mKXOIuPWviNP+F82aSX7/fv/gev5i/86u1N dwoi1kGLLk1pdeZOx90qw6J4zOajJic9UQkDMQtont0U1xOsJSljkkwHXkoM8W27r9W4HqYK7joz z8Fkx1WXZRSJMrDOMsZEF2M/SdHnLW9ZHiWz1WSTXe9BTOh48MpUN4Q+tyYt2f4vTa/DWy25PGtz szyRAmKoYL9WEzi2UV8eH+1Bq26XecXW9hEHy/7fqXx2DAc2w3ENgjOUC8tFrNhx+ptcAcRfdnzN Apn/4sXBBg59rG70LScmYCLG+cFvKLEWEiTjpHttpURHi0mie8aLDxM8Q/kXttxkRydVmD/ratm4 POiTmB3XoKkjUaVic7fPrmyXeoChp+HFGQzDKJbSKI32ErOPOwBXEMMvHGS8Qq26GKh/QlKQg0kg wXDR0NKkdqT8i6X+6D5HentSmYx+LLXIT9ppLjlnpD7zGaDeBffHWQwJy7dNyFs79TAa6wsXKiPS eoUjG7VOmeRmSM39G/6TawmX2zM0KBkb3uT9mzS745GCQM41beZ9KtnwEw5He1k1iCw2Bx5Wo1My pZj2JB2yxVtkB1oyfXa90Lb3Dojjgx32vHeLdDRwyz2WrIVcv9WLc09KTKTiM1xbWE1rntSQclwn 76fJMB+5ThacvdFWStEgHF/eFjCZmURYw7/xL7VPgLo9Gr9waHb7yK5uZPN/E78cNSWs1I2ghryq fchKWHLIhuoC3uHxNfaeOwwflhZUtI7xgjGPxjeRnFBPp3J9d3tsSPyq3jcA766rQ06PO6c4fRk1 fi786LL246bIkcwY+qa0NhXiqWqg18NHMZ9sMc9Or1rlxaSKRsqH+9PDDdDUwTKqR+8wBRqW78YG nh/26MWJuy6R4XSwCpDt+8OIQK1U67SQ4o7GldZXjkpHjs5FFSsWsFTqY5JhNfd+26BBzJX+O9Ab ZIe0tPprX+DpRFZuFE4ZbATwW4oAaLGCH3CGsRiIxi00bAkULCFstyi8L044md82znRzzs45AXq1 VoHC85pvcJ/e/X8ODUEntxf1no4WTMcGBpCDwGrV3uIhQ1Pjl82IoAUdVW81/9AB6kYBwtGBy8hG GfOoWy5Xqd96fd62APTi0ZAIhFfXpcotTtFc1q9r5GIeTXmfuSb/tcTcvkG/sZCwbhdhqrybHd3q wznazP0kXKwXZk5CTO4tjDyz1hSPATV9dWDf4NGR8LnkdrRHyX2etz6osPDsV3uyIoy1lTlUnWjo YD4dus2IVzwGfdY4sZd1zZzQnyMvwvSoWgqHH8sxdZ0vWSO6Gz2628sTWBLonSxVZSxEeOQ6IiYu HaC+H5vQ6z8wLmOMtVqTmzw3AgvnVNmDKphxvy+U2Ybr/iY48VdSaLvoUMtq9yOchcLzRzwuFuCL RzgpOI8EYnC6JBWJxm/wcg0uOcx8Q09ngzzpos8ivbDFH7Lx6UAwjvtI6+9RcijehnOR9ESQ8ewc GXh0+WeKEmEf821iyjmuFjvTD+2KljA5QRdwV+Cw5d3bH9pDZymwJAx+wey2IVth7hFZZYNLYcrU hdqlYFMQ1wng6UhTCuYsZ/SL02ty/VGHpfT7vuTrg7wRpMh8elar92CUrDhu6icUW0M8wQcJxZ/P S/+LYub++FRypIvPQ+BwWDDlT7PqZuprhnjpuebrcT3MOmPA6RoCnVOVLH2g0EPJ8xkpJmCKs8y/ 6/m5NCuw1hSG2mGm7QJdlyGqbrB4XPOS81VWUntiHaPVl8oca89m9zCeAPozWkNGeD7b2aWCsL9h RFpvYcpDaBhJiQVJKqVdGbayDyLI12Gq48xHmTJZ8jmcafp9mjOHKja/LggAXNjA8bDg7/TrwGX1 OVhXCk+AURsjyPFvU7bqPbwiVUkVVa3pQFBE3a7zapMGNhpRBW0mjPRhDl7lNXsgNh2vt/K4pDAw mlvyj7wNOSQISUOoqup1L+782PRWhqW6aY4fzi4UBzOsVSkCEsc0pkEWmwBjL3jZGFUsV48suN4F HExmThXpeRvp2kQY9vl1qzhuL2jzM7NH7XB6giheh2a8IMf/Tmivy2vmWcXsQlHi8RXqhAQBXQqA BKcXoq7pu4GMeKzt+AeSLLBZIaRZ6+EuIOLjaMlOTZ7EyUQ17l9fh6dQARSdOW2CVrHVoO9MblT5 v3aDv9ZkD1TiUn9FzdHjrPwxw7qU7Bq88WlEnNBVDJrvwgUj3HSNTFNxB6Egy9UL0EDO6hapdQQB PINoSjaRLn6SYWvvIKvVlESyYBIKCsqCFB/MTuP/R3jvmGr35tVEsp+67P+/oxkRyiiixAJkisPp OZXAu4xRt0nqFyp0GTbKHyDE4/i8R7lYvWQyOBmkGZsQ1Z6q4nnK+1Zm+L86YZ++Y/gK25CxXwJX 2JOOcqVCrk4BUX1xhUPnSbgZJqtmUvjlAlAqrIKc1+7cjsxscuESrylv+xLt5JTIGL9mejqzZUQi 1jg1y8lLNo4eBLPolTBDU0CeAeuGIldjWKfbkhYtMCkciuFbgC4ZeD5BzOjI6X4OfYLZS+zwEUF/ gswYjKheb/Gy+oB+7G68Lz+r2NpkuUfk5jh+aaZfxKEVH3MbNOiErbpnnzVH0ksB2NiPhsoZ/tys BB9do/ZcaMACjwnhRsIQ9fSZc2KIuB/lTc2H0jyU9afDG+6yi33+mWzThse5CKoMSR42Gc1zlpT2 FW6UGI/mPUCaQa0fpZJVpJ1prO9frv9AVSrBWU6gCn4wuNc6jk+VaqMCarb+2drh6tA5K2VuBrlv RWksO7gkVWX2uFqGHa1arFE94w7IOvBhzcMFg9ZpJ8kooqtzel7dDGW9C5I6IWBVPxowJ6YiBlGn mmhT/SB4FP9PKyDFRcLQq9TB0A8JWNVTk6HzbW1xnA71lBXw7LJyCvNqyUMYetxfuBGyeDgMEFqC qbCZXNWkiIW2HK5E5PjGJX4yJ+8EWuJr5NnM+uD47Xv/Durn6EIsUifLiNoz8Du3GVNmgWnmFP1i TERlo22AAs2SM6k2zKIQg1LX1XH9VfempMxAqY0osMOL/6b1ymdECYP88FZc8mfSSk0Qi4sHTRoE ehxNXO0iE2In1zyLBcCuaIuFpSBfArg+bi0kIEv/3GQcXVRK5pvI1P3tSpkuyVmVXmqV0iN0FVz1 TIY/WiOk/0MaAhOEHySiHezhwbXk+f+Kozwffg93uyOGmVTzxdaj65hHDNh2TWxxTCImvMenwfWS DZYG8BhOyEELcA5d29e7j8Oqfib2J9o/OBY4XlhZ8xlLGL3weVlN6d8msB+3yMvUCTef1ukA727o RRkEh9xllG/beYKd2fGf++PmDnMBP61VyQDc0kUsFQ8v3Q1wnYDwLCZbFf/n8zchyvFMs3b9aekQ QaRaL2EIdw8KFL1MgrlyriwuFi4RKZk4t43b/TzJfukTuz+orAu3zKBr7+FXd1h2eLj9dxSBtE1b FmnQ1H/n6c23GZkEAOnt1gi5wVQTBmlJ9MzT+YOuPcvOXfQSbtM0J3jCvoKv0IQIBYMq32x701nf Crg6fWZjVgz+qseqEyFiLXvvpnqx5Ilme3muWDJ9T8Bo+KvOUMZVix6aS76iczdvd8Gj1dCYfkI/ kMyg1S+unH54z/FrDOaZYRbke5v/NpslhEnwsEkWKIieX6lsGI+NMH5tjWT+VCBP7Z8wf/JwrrW2 kmXUfWwzJOngiJIoK7mrPTACHAXdiZur4bf6xO8HLE0hTbxOCCX3+oWf6T/CoVSU9WXcpoRfbEmg QKNksYzNv996kcHBuOwL60YFc5ZfELRHrCZYcidGb/fJDmJ18qHZ/7t1f5wEZhqzOLEFCKUiBmh+ FMU+HIwFZMljJZfvRpCIrHQ3P+qBODSUaQDiyJBaDX0fdltU1pyVcarkJTvYYarhcZBgxtaFR0GS l/gWhUAJa/1n6b+WrDR7XXfdv9jQiI3eSzvjLwmUkKfwddaEJlNXHBpZ4RWaM1LY0PFAHYfor1kh oiTUdeyuo1884kfpgKLJc/gdvh73LMr5BEffvFiAHm4jl9P78kxFnuLBcDzLpFcKC3BUo5sDi/jF EsgvCexHvL/+MH5pxHNG0hU6CW4VqYtUVLK50u34/FCL9BbwTr6+8petet46+emBomWbZGBI/RHT esIuaniLVeQuT6fTjXC60iyhQBaCTgr2DGvGJj3ImGVLoPkiEsIWTjgA8EFV4P2cbFaKVz5bQuaX Y9Y9JMWje0DXz/ytXb0O9XDpA+hioENEIcby2LiPbSn5KaVwkcFtYnvxDvt1H2WEXstiJPueLo2T h4vhw73DwQ+P9hZ/cvqRImrCBJuB6NMR7atM8ko/eZKGgvJ9Q/BmeI6T/HCsIW+1YYkKw3NTsm8W Psq64DOpRXjSxEdWOCUXV0C17ULAqpY73s/ctgsnb/Q9E4U9s8wpgnH89dt3nDc9NR7gPvlYOeW0 P70zlk7LsxtL4IXUltvPWn/emmDs9rA6Kuqnbds4IJSCA03fm7Wq9X1XoE28GGoDXaIbkh0sLORq DzNoGTgy8a5o5NuQ3f3APvEoUF+oNkINmUTn2Zw1Ol5zS9MuvFjmCNZeff0JwIM5uASLJW+eMP3o vGiwkLUL0IkaXV1Q+gRY+enCgjkgV9sIhgkloCZbBhpdUEacFiWl6XoLGiyhX5iyvco84F8sVrdq Z4aq4HLHpHqQiFHfFOT87fN9iTkUOQYgV5ekJ5OXQRlF5DpFYroeBk+wmf5XFovCJmtGYCujDcH4 MKIOiZReBP4opRYq8dzq8WC7RWm8Dw4T0qUNYg1Qx+svw17nLvTs2pGdRJkrXgpl+yFbmPtkiDG3 Mt4J8AZMO8s4rIzQEDLaoEKBE2NIZqqByBMdMd9Ikoi7hyyHEtcSSqUxL6YOSigassSj3hgHhP6O Z8oXzcMTR3ficKEQHBri2zW1VBxG17sB5tUKPK5vBv5yXqqAF/8DIfCXSzi9eOpaViN12cSCa6yW 4mvpu5ZgDxIOofr2cr9WPPWyr8UQUD5guB+vUV3HLzkhL0Ph5v/YctIquyoBZyiOvtjpkEdMYC+I c6pyNFowl+HICs1A61hc18IsLH+9wqD3lYYUuBN1thFp5g1cAEEPVr9CoxlkatoC4MlicXbE27vN fnCAvoNjfiZrr3aBrJ5Av1oynn8iRdQzJwJBDVDECICtEhAyputx5CUvh9ToZ43orpvnjsYi2VJZ T2v7wdkM0iK4bkwrIRiYE+uGkGdyhr6T70dQurXdc60dMDhY/I17LCs7vhJAtSpSQRx1rFbH1Ypk cBT2CbttBIoDw6pqt/qhV1zS7IXjGLfe6KjTI7e90FDHf3HxhhXsDI/Z60WMFCoWCvrXFvmL+7Ik VhrP8+nVZVIDdn6bBhbR60FWSVQgyzR70uMdPjexKaIcSbgnl0bBCNxXTtwNL5GneQeyk4VLvEHr L66kTmNm2DxCy1RJKsyzFhlTdV6CxgjRRxzBp5BmUWUEilfwkr/jjkFy76hrHAP1mU8iN0TRdp63 FOkFWUOtWc3G3QNQk0dxdcHgLWVjGakjeiQAgD+fdBa9EdYVBOw1NnJYpdhpzMsUab1Wc2lajZUP HzzTCRjUCfE1eLL2ZcWXQhpCKDsEU0nGyl5V2HIJKYuSAXGW65yrtzYBW/A7UlZmk7ehRuRFg3xh TcWpbD63xXGuJMo6aEKpI2dX5plWZFDsRG+MSurJ3ZQ0nvJ02GDon7cMKwfHZbS6xnWDYJ08IQs7 rwBi00f5zmbkmt+/0A0xF76tlQX+IlBodsExFAKMntoTVIGka8XUzHNryy4nCQYDtwLjHQ5VQTQZ QBVRXSLo+LS6FhgwNM78YdZwIUM0oR79FN4TxyjZhLE9828/Wz9hrbYqQaOOQno7/+XbfK3PVSLI f6cXw0cb1Y14P8J4apNjS0C0aL6Tn9Ok9oKKRrdYNTu6Pvrio5eVZ5FNGRjpUvEfTP/a46J50gLA U9nFLYNCDbj4gv8Fe+XsLALcCRm1GTQZwWdbLu0ZXbeqRa5HHdYTOYpYianI1SVMDOj/DB4Gk8MU Scb3xfuXfwjuglSixQRggkHZQIUUOpXfwyzXitC/UbPN8rFLv8Z3uWTon+BN+4ApShHSAoBV1IpO +8Ajb+VSYc9qeFKxGoapdQcx9hxqtOw5UQ6OA9ZKzM32xRbDz3zP7LgSmSAlelbYmbxkGDQgw2rG fCcRmj9A56o3v540jM6Yw6RN4E463qeywxktJ4FW3DE/ioE1P0nuZcdZyWeVJofPVzv6c8qFd7pF /o0Tk6uFWiVxc0N8TY1YrftWrJTI7Ved+bGB71DTi66EF5Mc0d1xaq72xncaOr35WEegVubQARaF L/Efd2M4A5xVEnuc54zNoBlsRB7fAL/QZvk9PXYd45kPf4jJF9jmp0ajnWy39LYh9RtSmWma3mtD ThRfdSvPjnRxu24SErty372eNfChyJV0zXPxX79ytc2KcVY4rkbJM8gc099RXjiVy1PD1yTCxChE maxXjsWmb9KwJ619cZDoGLfyxQ19q21pJB5RIqB/v+DV9uQPdTGuTdU8vgZgMyXqZkT/GaXZAfvY 4s1FM3KSKgH8ZQu7n4s62hSTwF/AEkesbTcgCj1gcho8mQxycupbUsCQj9WyjKg722LbDkVNCZty 8drxwlbgXN8v8SV2UnKwHVezDlIKSxYXeLXQqpH/EEiMHxwedXulbDBc4dbKOyxvq6R0ds+1di0m cqbZHkNRMaN35BGB+JHcE8u9it1rLHUEepv3jv8Iug7SfqYifAXE4RDa7ya6WXuy19upzwgHGhoO LbSj1+ok1p3cTWZ4LPFNeKOsimIdtusFj24T3eFOEnWCznSo4bTNJVhH4qKbAUMQeUt+pMWpkX8q m2TMwV9OOxzGu2SlqVeHmlDWCrnSqYf6rtO/I/fBjDySlAOBERyMoLorEp3NONoQSXPtmiMte7nk 7BAwKDlJUhKfzKK1IlX4Oh5utXhngiqeWpLUEDB0DGN8rx4vF3PkMmD4jvDmZDMDpcraG/Wq6IM/ NV9El179qNNEfTEsASe7Ebte8xdIZ1hzlOech+UdXZ2tmc8gIg1Q5VSIXJkU8is0d+1demIcHeoL DQuuIKjUPnlNMZWbXfIjOeoUFfimm34GyYeH4ewNg9+1buH0XSKkSOZzkC14JVub/4skMbfsMYj8 bIcO7Bk6ngN1p4bu2cLYOzNhqgT4/G9garaCP0v3K/LPP+EQ18OqKKpmsbUDiPTRk5qhaa1h/dTT TtZNeD/dEtJg12qAZ4jtCsWQsmW+Atu/NByBNncW/YNN2NahEFSizGtocopLXLhAPQPczjVhIboo XDPmxE39c9R/bQRDNx3WEu0y1k+7hrKpQYRUmD1F1wbwj/RX+hjTNB8uSH1j8ymc58WdGnaSzqxc uFDA1u1nfGXHk6bLEx/1h9Lx8YUXVS+t5HtQrnW5229W3aaLH7dNtkEfaY8bGfl4OO/BlqRrirop qwtllePrzoG3KSIS6crV7jTNPaVlA4eUkzCtD4jfdTI92YbKCRV4y+b4KTDhr+CuLG0DRZvSYZZj ix5feNnqR9r2GC/IPNTiOmJOdET50yRdj3FTmTcRtBw5Hgo/F3542WY610sZ06z6wWuLO4gQkeWS 9N7CUQamk1vIAZw89GTYx1UG0xn1mkFwlWvm4RhHGHyTwmbVH6PLUMkv3nuLXPfb6B3j/VbM3Jky qx113OwmeWAYdvsNZTAtsHLZPAjHgF72a1OD7x9aDrwr7g0rDjdg0mN4IdWn0seouO+EayuRFVt9 ViAVOy3WzNlwHuEdbwLPbEVYWeVYaIqf4HSiQ2k/bgDtk6C92rg88hKgYstKcGgjwF8HgxHCB+ab P0lXamqG8esIp8gJJcTUk02ta5oadpgr5QzvzB8Q9bFjpbbilLb6M3WRvdjY26HN+ccnyeRBYGR1 mz9N52OSokVezUpa6YwNVHLIZRSY9YP50ulvWj+xV0l6WdVsigK81iso7gi5V0DFDQbF3fe7XCb5 vd7Ninw1t+Ge8kgJWy7VpL30N8w61j+giN+Cf0HS2HlhG9CntSWrosCRnXWHhdBhW5ZHelf24Vm1 YOnlth6Ozeilpa8G+zkIiwjehZ+z0wSbnodKPG0K74j17wd0tA== `protect end_protected
bsd-2-clause
d43a5ea2c7c7036fb69a1a34052747de
0.947048
1.834115
false
false
false
false
cwilkens/ecen4024-microphone-array
microphone-array/microphone-array.srcs/sources_1/ip/cascaded_integrator_comb/cic_compiler_v4_0/hdl/compare_dualval.vhd
1
12,961
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block L2gsjzY6Or6kQRtJYL7z7DAD7cL0/1ODItsRnUmxYsekl0/G4w06nvHhI7UkdQXKSOFsHoVFyUP0 bbLO0nQXUw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block DnQZkdj8mckLzg7Kl2bQBkDE3vC+lhWbA6/8z3nLYhf63+cbvipw3ETQigCrdTDrOMQQhFb782Bq 8FVT4Y19bagvyIY53xJOcXZEZhZShEGbs2DkjAJ0xsTmmfyse+JoDbV2x0GNuxQQ4GIxOAaDUtzr W0RSSkhime930yG1vgg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block VtVVj9bhSKBYXUGiicyYSyEp65F7uTP+waqZB/mTiMNzt5P4CZQwRmCg909X4KkI0Ay/st2KDS/E m73qgaJxIG8CqVrXB4yp7tf4eQiZA8++3LlEhIg/PAwzNZaOQhMb8qlZHd92q0vGwjU58cOcDDWM 8D2w3bEC14nn4DGN578BAU3w9un6DimyP6n//VMSSXIKe9BEyacrBKQjiC1rEshnkEjSxfy//t9U FYbXF3vpk7r/aJyPZIuo7NvnqYlZ20VXkiIGODQsO9ReRxof36vpwooK82u7jSdxRii7LI/YcMo5 eSojQrUbFGmvJCE+7gOLxYavS8gN0sE3GFowkA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block FGmwhgLFDVM3pv1zA5ucZCoI1ohx0a0KPvuzQdEX8x7MV11JhFalstz6uE2Ye8ytDYwR9Y9IpTQ+ X2jwFfVyIGkm3TJMzofJ/Jls6qY4ICbEjRwCNyR1wPB5qO0KeL3ydVp3bm9fGf0xDNfx/Ix5NS5o i3pMqKBpHsrH1eKSwQ4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block C3zKi0mx8nZSyYtKTLeFtfbry765vLRErj53p2F5Vst5SlL0HhtJrypBbztqvUx1JLfbY/InbPhB nipko311jyUbJOuW9W9BXGK9h5iRNg6SFmzGBqdk79DbNiLh0MTWrWAbgL4KDUEaGUEGX1XXuG1N gX2oRjZw21/8fqJaoWcbI4UEEqioYOWWMWeiF5lPzTAnChzE+1TMtGLC7oBIPBuK0x1sPedEcSBR qcqiU5441Sp0Tr5hL/Fv5bwmDMdPNN068qpWOSp6KNLjjdSk2abi8eudrWdG4tM+BLd4VyUQz9Gh OejVZlqYusIKdb67kFs4ov0vAhegnnmDfU9Nzw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7856) `protect data_block 6nYkoWvhPRWeS6nN3GWaE08l18ImewZ3C1g9h6fMjJY65mLXtmQR2pH4wvIMxzNM7icz8TJPOUAW qCSeajo/uK7pe0wwxdIApFlNqs28wvQhwN5J4eT8Zp3XF23TyK0iwShYKcCy/ngCePLPELnlOhdK UNzhoHybAzRD6FdWEJc9ykEPQeSMwUMj9c8UAdOFr4wyy//q9H07+icdQ/WNQs7GjWjItL+NmEe1 5+ETFy72KlYz4gaUZ1uugcs2xL70AxjUkQyCPmiNuDamzdYanzbOvGo9jIY1Mdmz1MNtQXIBSQ6D y2ffVkfias4O4695LIwTTCk2tr3ZpZE7wR6p8GtQyeP/y1ZyBN9KCqBe+vi2ZvPjGoPc4ShPp2p5 l4QjLlY8o///4POwyeC5mTNl/DplCPeCA0/WWSBS4HUV81e9qBoRMlyLPxOnwiVcgVccUIsAR1sR ZLbIfrDo4B03Kpx159+PmmcWZVS14jRLp5tmus76j8pBn6lzMCDxtaZNCSBUzpu0Ml8P2OwSf++Y W8yCvTbnLdNzqmAcmmSVVf6tJb1DMqjNKdC0QM+jjbhJC645Yr53xAn++pcV8zaw0yO8ZOySCBhD xJoza1DR3Fk3UOPlS7LFDtyEuIQu9QYc6mri6bSI3zinCbDKZDyQFXWrYJk3eAOHHOpPBW0G1Im2 ZAAUR7nGfe9/UTGnWBdqmbWBbvM2Th9pNzgETqVzfVaa+HbmwxkgzWLx9TfQcWHWjhmqVT02d4YS FG1oZIJYG6XA9wC+pkq/uBRX2ZGumNZfn0ITOnnXKXrbveDCaPmlcc2aFDQjnyrJC8A/fOnF7QkL OoV8n45lMl8wLykwo7Ja1VyopkP4Kh8Y7VlFyMyZ8Z6Gn7gGiQD4JSKEpQgXXe6meqb3SRRJR8ys eeA9H+JELtSP32QX02c5ypUR6+r2O45V/ZQMZizafGZ88OK0PgroOQrodqQKGcyrTIpQJA7pmgyT vLmKeNVVhOOt4dxVs5cvtR4YJW4M4C5NQeLtoyjrVFUT6DkBX7ailcUofgdE3edn+p8tw0Q2XLI0 WnnoEB3GqJhXfQufepRuQCfHdZ6ITfOI8Xp+tL+et/uoTLihTf1wRc5y8rn97iAaoRNG9hjUbxvV O7br/++WH7G+1axkgSU/XHbnJTcIZT3DCcjEmEe/KmQSyn3QqRGMxtsQ1OXb0gjJ7mxjkr48SVLG WNvLEwwoEHfWoNlvFEjnCCg5r1lt0TnFJSRF4mUpitaIpwn8hjhtSSfYBFKyuRnkPTJsqqI9u0Vn SCsClAJ7wb+6vwWiWUVy7apmO7VXB9wmR0eokn2vVTWm8TbwlHeLnIvof2OxdDkFvctDAQxrHnxI UA/xvdZHEBX6feekfWbYIbM1zVqPAuMILT+LLDjLbPa27zM21Ot8ECFC2fboLUJQlCqnyRhQ6oiF /bMFSldHE/nmWmGLJ8mojFF8xSKO2AYvZoii+ENBRroCi4TwuhXZ7YpVVIvTRAsAl6Gned5OVQh3 bNwCAVJ6JSdiIk6H8YBCBsT1zwhh8bzd2XX72B07sMXA2k8x39Zu3LrG34g6QnUtFexXNKyQtpW0 XhuEkGOOcKf2UWCjLX7FTKXDrDyLE3ZMrGCpIbj4MYydIWtKc+c7lPPP3maBQy6rCOmt65CwEgXh GRQbFdeEezKSql7uYOCQJKiri3pZ52WWttWfQBpFNYejih3O0jWh2YaB3lAWKflRk/QbV05Ypk9w gOqXl7t+O9esxsnII+wuv+/8IhQW4LgFykozj9ZqJTODbi1BmaFPuEWYTDz0NL7Q05mqDXpDwLBS FnpiprR5tANbE+nssFtFZ77alBKsNh/lD+LviwEm38wCQ1hhT//8mHdX9mQEugDroIuRad8iu+la xghMkFM03gS95WFs46MsSDhoNFadyu14DiYr2uYqnH/d27iKxOnWawU5srM1DYXHI7mil8jZkL5w vgI1msF61KcpI5swFJFOUtcfVDKBBL8/y0uT6wWF540v+5G6xowAlUNpmRo6JwJ3KOJ+5rhyJlzR 5D6/i/g1ImOJS3q+hF2g/ziLjr8zAfOdpzJUp18I9ZFs/TNEEDE67cM3Pvn6XBzHYcGBeZ7DKzLy ORUQj+F1Ly+M4yPOWCs2MNs4tBfUg4NIeYr7GYdk/UXEd5+j5+Tp8hE+qqpW3sP+J1Io2+Ty/QFL nUFq3a28owL6GogLcR6cMfdL81R1ULKXp79vd/2j4VxOCmVsP0yu5kxDKVd0nnHZk9TO35QE5RDv 3vwsXG7yIeU2vkmDg9JMD2VB+14wK5+P7PUs9fUDq9ZCvVyg72yK5L6k52mXiUv4Xhh8nxOrM8os tl/29243oeZQr5HIUrkM3LG/qVzEAcsINpvhmPuVYwRZYcquqhatDGXxUcp67Fk8aacxj/Pfqm5c G5gpR9OWAoFHam10YboNCoz+n8gb4Z85hSKBlpcy13rdm/+7f1n4KhN8PoEATS67gCPUWiVRcknf ML2J6rTz7/Qr70Tl0RIUTh/TidKRxfqv1ZAQa1nbpPfEcG3PRq62g8gJjsMmNcNZZT/lRmFjB8tX N7aAq4rl5Y7QiYRvNBToylwQKDSAa4wGFGnIg9LrUllvkgbPq7Ahg8wkamFt85yjxZKhBIxbD70W qtzxvhyspuNebZDssmhle6ebkPQ1zK/KXUSMLvJ7Ci2R4KcN7xV9igQzXLLgv0AFa4HYNuDANCfs WDVpePV8L4tfVt2BDaBt5R8Bo2C0MzHDRk2g180egtjWKAf2OtdEjSNoru+9FY7cgUyGya1v9rHg shpBXhlOkATbsEMDAsIsT7bpJrp1EeGQwdZgc6TTOhWssryXuaoRL5EskWLs5SjsVSUGyaPgR3p1 hqG4tbD88fPoXSRUFmFjSnaXLbnqxrwbGY4m837XzDbu7ZWJWf+A/hH1s/roL8hW3vbmKMrGEACl slTdlKm6XOGGzKJAttjaTox3vJ+Za/CqptI9JVFlFiqebYmIBZZXWA0NjQ5EVZedXzy/TKPXaWVQ OenymVV+aExOLb34dXmnAZ0Qy1PM8DYWqK9JALNeLb3QecxoC81X5cQF+usi5073zVM7Gzn+OG6a 4egOt9jxV1b22MrVz7gmxj+sIL2DUjtW/nSI3MmMgNdVfhVjWAEYQ4FIZsQEQ9Cgrgad2Op8fLhE IQ2bQrJo/6skljbTFeKl+hzByGh6EVhNQ7hFElZNrT1nKzVYHX0QkMilSnqdAhc5XrF6wCKgE8W4 KnAN1jP+8CFBChz7pAE/VBuQ6TZspVZ9939POazKnQRnjVzwoK3mT3mxH3T7AGe08rXVMFyKOo4x l0CF0xiOfLB/i66h6kYq986+UtZbLHQf5fuO4dKd+ZEFQQngv6zQINcSQA3HqDIvuWO6agIZ9F01 pSFXfQRet27PV9iJFXcP6aMzPz2Xe2WH9e2/guH9Aqsf88bRwwX66LJRSNyd2EppSxARDU+q5PKP Qpn+qSFT8UYQHuWDTNE+ztDa7XxbF/9/mhtafKxnlmrAQpBjfCvXp3eBsumDGNsJrODt25wu+jb5 CthNDVr/mJ+pFsfSXZeDe9AZIByL1PKiEL4Kj21zMCJWnk8Dp/wtMvMq9waUSwtl5nGHwl/UCrbJ OwDHoC670AMZFYLUL5oTyJwBoFJ6nh5Hwf/SVaGz0GAku68pspA24eQf6FgY2P82SNhExeAsoOiU Tiga9bbLcQSrapNdZynnc9CpIimi7O92SEQvSjvEbSUpVlCsrd1TzNxEKqPSXWkkh3USoGnk4GrY t4ZkxFApeYmKAuOh64RcvgMYX0S83qHzjqwEZKT1l7L5rz4LCxKpzI0tDdfRWNjLLQ+cIpZ2HARL YYamDQFi5ecub3yQw4ICNA08rdgtWTQgs6ZOcJMKpf3lmYjRztk6c5w+lJiCp636wIC/IpWINDOJ 5ze0fvA9VFASapvKY4dL6UHE3TyuNIdXLbqml17UCgXr8aazfmzDStUfvUcKeuhqUwct9KKO+pIO 1Uh2SOO1ahHzgtc2nTMInXRSewwLLaSmuHwoH8njLQUxot7jgnolhRkTROCqAzxS1cn1Gl3BPrU+ +2khSjmXVc/LvIxEIhfekX29nXHxUjpc8/bT71swivR9xrPQiFTDWr6pkKjJxs3lUh9UCQmO1/28 K7RJh9jMuK1LL6R5Sri8AfbmXIyqt01EUGFhAiZw8d04cnHDUDE9R9nJ+0SGL7d2adUkudKY1+9v laDcGLtoJ7fWI924omAUBVTniYDUdvhJf+Yc4o1Ky93vPZBStvhYjwJN4WumJZ0rHHBZt7SlpEg4 4Bpz1mCRKaGM5JbYnewZ4pMctj/7rRMn+XWzO0+YHug9NgaWNNwQHaH04DARPZgoopObXWfwOYZt wkjjcKe2j7Py0BTnsZn74pNf16EdyceqCtAnXMpHmjz2IY0KNpU7spArAuY0gOlAJ22+juUykXlq qMvrZ0wP3S2soyTHNyreFTBnzJ2ccVTj3V8sWsZdVYqwx5guV4eW1aCltepkSMn3cA2Do3sCjNFJ 58FXAxGXI9DC71kcjhnuGk9SLJNfkeW9+dfAkpjMrGIDnX/XVjXJB14Zycq438uIY2LV3eUg1wTs 9ji8Y7qHKhufAaUZLOBZ20AbQqub3z4i+3ewjDzJ8WyYl9KXGYjwH3nsxt5z0dGgg8xiLgaPnCCa JOZ66GZQ/xDgmWh41crCzLXzinQmvwOKQhcx7ErDKyzD6X+EU+j9A+BRMOXPHgQANP795SoLQQM8 +zi8yuJGwFun8eFi84pTLbNmXK/xmDa9wI+UFPG6nx0oAhlfb5U2DodBJ3wqLrMDuMzXgW1lpWL9 6bQmhgD4mIcqdXKzp+Cb7gdallXASNzmLST93z00QDT93MeqNRdXjaEw5eUeSvjeb6nIb+8JgKut jOw8KIqrfAeJQqfzW0l+PAN/FfFVyNpsnWDh6jt6YG5/X6t+T8ZdquSLcQiyt4Seob1YpwBmKdsE y2ofJbEAgov4oa6TPjpy5hOYwUd265Qrc1hiY88p3Z1B/3RaTsyvwJKmLXacvsS0O+iXfQAS0mj5 rfebLN5uwHHpJz+CFx9tRLoS8Lva36QmjRntdCanaNUARLou0DLZ4r804w+j245fsYL8F3UJckZ8 PiHAFunmZ5LFc81tfrudSMTCHCDeeLslqAzRAChSCo6GteGrAy61yV53VZgI38n1cGDzhPUd5vgE 8Pi0KFcrVwC+aW/vGrT+8jO41RtU4x18PLh/lQ2NUI5RX22L6pGeSBnXSlfJXWx3+s7AIbezV8gn OJPbZV4aCQN4lzQhT9i2cB5SrwYxMr3BI8ML6o5Mp9JvtQEmQiYWetzEHj8fg/5MwbSQusNyI6I5 DieT9tpqriA62ms0zhgYh77AFczoMhUbz3p3fm4edUTZ9KjrI4I6M+xE3c4OATXytbrVSs9CDoTu ashnaeBxfZBbemBxlAfXlkZo3TEntqAL20q1hcv4mePD8eOf/x4f9F+c8SZkVSps2/0/WAFB/3aD 9txp1ogZMWVxiDdtHbfnOtSMI2/z86k8Vyrf4eQde4hH1z3LLBFfJ+CfFH4X+aaBC9BPJkiC0gL7 n7c+ST39GPH4lduJxfuHfgXRpyH1NjnnlKBBEDHxZpr7MccRNIubrqXqHznyoqElO/Cjlv08MYFo +eg/a0MmOHRlUo+TZzpDteMwOFZnoaCVRb/RZ/GmE24FWByr7k7W0s9Ia8WTxaRsCxH/jIPMfR9Q eB3mF4vkm8p7VAxwsCMab7EaXqR27ZQvYbe0UHt/Af3H6dgAXcqf8xSuJ2TtRop1R3AYw9WVMrAZ PjT+2DfcHw2y1taCRBk0NUa9yd6FxD5d53Tm7iQJe7hJT3Tf2qIij6jrr1wQSm3hXOKByhqNHE4c JEop66qniNrMUKqCdWjpb5Dekgo+mxoWEJRN3SRYTz/2h9ZPd8q0HZjxRezPFi4LNAYa1raxxaA0 4tvN7wQ0lxjhfaSD8Kn47QW0a8k25Dpwx2yhga3fCT4+pKl7h4A1Mibf9oe8FAAEZXTepxbbg5aF h+Xypnup/gfgq6iMlnPxR9z5K6AKFsFXv1YgrMYTPSC7zJFfa9EUUK42rd7q98wr5bEukDOsExIZ tz/vc5WysYyD7EUKBohMdYgAPJJXWigR6/IM0NevHcZlxleHsZpyQ8oT1P1Fj4Wre5HqGzJ8FTTL h+i9qsxVzcqvftLMc99e2I7irELrrw9dzA+j0PdTXUsAeBFd3Il+2D2BoozbaiV/EuOMJMWxgmmU alGR1UHT5yIVaobg/eqpBBRB3KeJghB30UNs9ME/4YjwIcf4c5ssydwbP6keKmcJqP2nnpR350GJ n1P4AdkdmVrlsDQtSWbJgYdfspqzsSvFTq2VGm/P+x7i016xUO8vecrVnTIAyxmQcSP0hoBznK2Y A0uhZUtrhMd/F2LZslUGSVnUIL5xz4+MpAV3fUXiHS6vGu0dOUJjVkf9ZyLYIjdSz136Kvd02Wb0 oI21KFlmc1NOpEmrADQaj/b62qn4/YB7sI+7OP+CT88148Jzl5K5TRBTQCzOYLlUU92wBZsUiChj /F29w3m62JHZ75eTpbj20Mq61xOkKx0bBmdlS0b1Pu7dHdy+MnpQwoVNI2TSayuooIF+YSst5+GW +6/eR+QfrROAYN/7zwHhoUdipEWR0yeZN/p4EA56yE8RKdMLpqYpZZ636c9OtyGita+y07xVRyxo wjgpB3POWBlKwfsb8kyw+OITKJfvWWqWrIdBPEgMKQ6kuMQ5O3I+W4Xc/6Ed6Od/MkVWsK6K3hEj 6b7QPInfLvENX50Ill38+Y3GklZG6G2y2FPxJlpNObsOHBjuC1+8LFN5R99vGFTcfJipg9Rs6O9L JNykgXH1t1UMG94WbTNVEmAqWnIwk2QkKHzGznFSYovnPz538V/sgObz5nQygznB80NfEgAdP8q4 PY6evvUQPyEGILknmtlN3dGrESWBFszHvmhQ9vRri53BvRMaEjcVFxAsNGzllGzElvAQ8MME/UDP lsd5y26uKhMIMPhkcAJIh001KBlMjWoem2aof+CYGhEvud23k8F2xgQ3qgzjNNsxq7smC2phCDBt SLd3OCNXnugGm2UD+cy6K/PrTG5hVeudlh/hoJTAGlECrUEM6oDn9GT5A0yvopPCr85qpBfhw49c A9WKzJ+1QBS9G6QkL1Q9NvfDDCFUFLKCmCacnvkkBPEY0UNkJ11j5a+97Nrdcsx1zpwRBSttVJcK u5G19/MAe3SwdrAkwDoaGoStOmcts3/wwFS5WgUt75yDdkzl5WFV7VC5F+whfMMaI/xsVlEDGrb6 ULIOWqeSPFS0PLzY+u/qRouBh6DI9RMaexAml2ZBjNIMSEdnmU2vaKi9CSHRPlM+q5oyo4E+73xY P5skCysT/geE1Tgshs+gmUpldE28RDS3a7rF6Ck3myeRXYVW5EM2YwQfaPTTsNegjOGifIhTVLhh lLxkwTgjM0CDHskoVHR7AyESkok02E2paLekL5A3FmYjBPepRNFAynfbANnsGWE9Mpe78L1o2C8t N3nERsckz5WyPDCHNCQvxXWX44yIoV5f4cCIDMGAOTkBKTvdy8LxM8ohNOCv7oJSeQX19CyKgxLW L4mC3wknvjb8GlVWkZCCoFxntwGsFHAhMvErE9FiY3IMau2qU1bdOReWMvhvs9wZorJKkeM0I7kF m+yNK9ebwtNT4je2sGQ0i9UGX+ZI/fqbC69KWlDftjv37CXg1sWxyQYX0acBOfE50iq3y3T+59vB gtdMKLAkh3lIfcmwLN0CdWRTdfYtd8nReJhxABQQUDNnnRlLI583PohYAd+i3BVo5IvJKpMLFKlY qN+/Gr+vltLqbxg6vzk7mpooQdYW5LCafqr7sjEfgMo3h7nhZGsq+lTyHvX3gnJCITtfjnD1BAWz O1Zd71LPoiSM8UICNCaAU5vXKnVlgmMbnslNHrQ8Nk5lNK7xNxlFEZRULMgjVJVZkNIzt1qnxepJ Y2iWuAB2LGojH+9ybE47WwReGnV3PDOqhs/xgk/hOjKUaBVJFN4HuSgZ3UN8BghlVi2W+pYEXqgz np0AchFRrxZsBE/D0tiu1BP7kNXzDzC6oq8YHDj06tBgutq9Q92jm5DdRLsctElGBCaqcOhSrDzC KyN0vy0/iK+AW5NNk5mR1VQGB5FEhEAOpp38LPAAnvdeapFuN+j2TqZqctCnsVn8J/DHaegsEwCN AOP3Y51T2tzCw5vasmaJTvhUinIR5PzGCgeFqU/3/uCzFSNpsgJwgV8gGPSalF/vySwUpsWq5BV7 ylnn5NuYRTs669brxs7zKTvjpn3V9AUDE8jp+0Qy/LTb7ucKzNDI95LrVICD0UVi6G4//CFerqbc Z5yqI2XlaJYu/VFfEjZXRVk4ndiab69ltlXRP96qN4b6cDgZRa4OMeRWg3QTfewp9BVmHG3UejhL aTSKKz9LmFqyxevrjJsScfgzQ8xIsp4WvF7BQmGShS84BjaV5EZstPf35I+woViLeQ5BtRiScxUo Vd6hF5Xu6jGT3zyOnqX1i0ZC3QgLzITXRZOrvDvgl1jQC8CNGuaqHJr/PtD0I2vccGsi6ztMFiSr lxnZheacKzKzX1lgVtC/i61oGCw+7rtJeF9b5ATkVkvdkhqHTeuxPnpQdghhYkie0GzqELahtjUI 2tUsfTUxzXfQo8M0wHKKWED1eEG3bqDC/oP5UcZWUAoItW5LL5SvRNy+brEyAyvW2FHLsGKNlCYk sbn9HKC835sqgIMgHucVyerhv0piJWo/shAifLWksMnW8rSrUTXuZI94FYuWbiuhu5QRX09o/XwM 5dxMXQEy+uOzFN5LcwMfTPE2yfs6fXJTXfSNojRyclY28jvVFsS2Xipv0jiOH6Cx3spHAKHW0se3 m9BGK6zgGnTLpte7YE3h49RJDp5ub/gPj5BpikPI1UWTUeTrsET3LJWDYgpqYllCrtbS+AMK0jSk bvA6at7iT31DymZnDaA43MyWZOVfMr7i/fzdTrBq0K7iLekO/vQ+XRpgxyx6RW0G/XlfWXy3mjgo 9AHhHkCgbTL3YNgm8G6JAv2X6c+4jftHfu8tvP8dlIXvSknQzilzVlCRomOdlJUE8kcn63fGzdgU ui6l6RkvLOCLiKUhdMp+AF9wsjYMHiwoyRs8jaqjzJLJsh4cMarql9YC7E30HdWAdIM+pyyi6aDs ZS058N3QUBc8y6/4Z3dfs+ZpqNM1Vg6DfnVVCICtM7AuROyxCZp8uGJne+waHV5dHdjsV2Di0ii1 vL+f4gqfptmJLQDg2R962VMDWpG4YoCPxmHxJu1AwCwvKQ/ixdlEa/8sXPI9fIvUW10MI6osI694 ljF+qVS7bPcA8eyQGZArSToj76Dj6OjeUVfyItlr5jwhZZk4yHVxUh54cnoNILrLpCZPSpmc4tTf UKgKJ+zbyOmB9HiTgfbIM10UJcpHwYYzFX4WXbUGZ83IfzgAAwU2bXGo4cSFWOskcb73ktJqx9VL q2rb1nD8d8Sidu3iM+yWmnJ23mf8qpLcm45Nathg5yj7X+A/qh8pcwTrzZPR/cY3BwVvql5GcgcK Cp1Msbd7WSKQ6Zr4IFdvuOS5UPxXIid1iwdX2E3/XkKJbSU/eTWtnhi7aelr7iwfRid14KcdV0Hf VpMIEN28C63yCgxLtSMf3m17Diw9EYA1CVrEKzQGPZ3ojl4AHXgMTuV2jBoQs3v0cb8zy2JCpjPu CRLdTWknR4Pg7fq2O2WdAIMaBi6/KuR2aI/l4Ai12W7zKpYUoXGE7FKZYZoSurLbc/WAf6BogVHh GcjGaaN4r0ZP8CpOXzREzHylXyRPFsIrD4AxodslKDZNCm2cI/vj6QhQ1GyZuhc7n2oGo1oTKa9m 0yfZOSWJliYBFjRnY0CAULeymh/YGh4OG61IE4+eZVx+rYXp0xQB2aTJvIexfiz3JmLON52fAF6B EHVfLkSVhqzpQaNF7chISib+oNAyWMwRUMUvjE0VJGX0XwGe3kv4SzOJaEgFbrBLMHIP1N/ljrPz UUV0d0c+4+rIRtEc4rxTa1Hr4laXGCPBZRyuJnmiem14Ql9dEw+XJQPIZk1I3dcFMms31QU+zONk lhD2paXPTlTmziqVBePeI28iKzzj8tST7DS5x/T2phPFAvc4eF+aIzCMO47qxCQdM0j2zced8ws+ gxFdCQCFer2XnzudjNFEnHh3eS2b3fk948D+DZYx3GK5F8crdaO2gamKLLDX4i7YX3t1gJCjKtUQ 3ub1gSlpyuODeoc8HenH9yrqJxAuQijecB2nkrYwJWUJiM2BHQB3Y8sCwgdlY9AmwMutL6grNO5w n0jR4LJGMw5a3MuoEKUHQFx9yKbswZl/ht9oLgXZ4mVAD0rxSONHzwDrqIHwdgQ= `protect end_protected
mit
70e78a02da8a112ebddba65921e12e70
0.933647
1.880041
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/case/rule_201_test_input.fixed.vhd
1
475
architecture ARCH of ENTITY is begin PROC_1 : process begin case boolean_1 is when STATE_1 => -- Comment when STATE_2 => end case; end process PROC_1; -- Violations below PROC_1 : process begin case boolean_1 is when STATE_1 => -- Comment when STATE_2 => end case; case boolean_2 is -- Comment when STATE_1 => end case; end process PROC_1; end architecture ARCH;
gpl-3.0
86587921f48388a1e6bdc4a708aa748e
0.553684
3.958333
false
false
false
false