repo_name
stringlengths 6
79
| path
stringlengths 6
236
| copies
int64 1
472
| size
int64 137
1.04M
| content
stringlengths 137
1.04M
| license
stringclasses 15
values | hash
stringlengths 32
32
| alpha_frac
float64 0.25
0.96
| ratio
float64 1.51
17.5
| autogenerated
bool 1
class | config_or_test
bool 2
classes | has_no_keywords
bool 1
class | has_few_assignments
bool 1
class |
---|---|---|---|---|---|---|---|---|---|---|---|---|
tdaede/daala_zynq | daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/fifo_generator_v11_0/ramfifo/wr_logic.vhd | 2 | 37,582 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
jwKNUSwQMcDd742XOn44h/Y43WiVR4kTpMu9Old9ljZLwyOupXghsIHKHj/fRZXuo/aP85C+C97G
arxhQ0C9zg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
M0dhUUjNhFpjrEpdgEI/tzL5mLul7JRs34JmB+KhTJtu3vWDXq2rAh31aKZUbfrgkV24mAFK2c6D
2ahchf/FBPZqhdWfXwuqMSrgha16Y3UZgwRPmkyboo8f8koVC/ZDcq0XL/+nRgAXexJQ3+EFx1S8
BpPsS/AQU4B6lKP+UY4=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
gRExZGaaAv3ry7xTvUlBUlUltXjlrHF/mdH6Vzh38CfiJMG0VSDT8Onyd0axw3Vv2LzGbG7o6nRk
mF01tK5CMBlhUj6+5A8bbXe3+yoyzjTyVGzHoqg/vQV4dkMeVAwtUT8kLlBfaVEEst7mrhJTQJGh
HWiC6+DydspfWVv3NGagjS9o0MiVaJ5cK4rvSnFqJuVf8yi6AL8EZ/6nfXCBAlmvPuK1+ZHexpaC
wuVR9BJf3NqS8BsSEVboJkXz5U7zGja3SGzZa58kkqrResV7KZtToqNRiZw9f8TBUjmD/p7AS/pU
2nnoEEHhYN1LSo7Mv2UQQDvkOYCrGKzILGIPUg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
fQZRE2Jgm2sGLGeJRXgknMtZ1Q+wYUuQI/DH5H4b8UgpcfUhZypE0PadE4QYFKTJWN2xRgdRfW4z
EfyjcfwCoHUMpSJ/pOqywKyEMsD02DUbfqeeyM75uxfIQ4Xsr37mlxEbpvsfKyXewDvhZBdyvyab
pzKjvCGhxKhFgkfxx08=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Jnynd3GzS2uvm9hJ2JIn7eqE1mheT+qOjCQnc2PCrlaPKP3zZ+KtKl9FqEm5psg4xA1R1Ri+nYsE
HLiny3oqz+JVhZTTr66/BW5W5Rejfg1Z2hl+8g2OLqi52673oeIIhPGtyJEPGnOA/rEsWQP8J2m+
rRqFL8Hcrm9XGXQTjDRF61yk4ER+Q8b68m/ytp1jVoLgf7V9z2LjQHW/HP+YjpFp7JfbwGXdk0wO
yTzDF/SdWFV6e2E1YwnmXaKE151sl+gFaI9PX4DfQAsBP3CIxuCnevNGWrvEV9KzBCU3VU/qiZET
MweTjkVJKw69CnQ06+e2s4dYPM4DzCe5qymJ2g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 26080)
`protect data_block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`protect end_protected
| bsd-2-clause | c576d630f1423edd39f650a7ffa3493c | 0.947342 | 1.823749 | false | false | false | false |
tdaede/daala_zynq | daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/fifo_generator_v11_0/builtin/builtin_top.vhd | 2 | 47,568 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
kGuxfPcmt+9SntiX2iiDTCMDjh4K9X5r9SLI3sNKvOwzezc9bDwDdUkTyX69ieY/0gVExhgiB96Z
MyOSxEQm0Q==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
I3AuTf3UjeVYCvtY/dI+NCqjz1fSeZQNQGdguIXPGpG+ucTRfvbaDeo8C5ok03rCyTf4uxPsNFWj
e6BFytRyKc/6JIKbgbjxW4jGSXPrZcGKNh3v+9K3HCgvh52E5LcMVYUbhZ0O74eEAkFDYd3kZ5N2
tGAfawXtFybKMJ30r2E=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ip5r8vTxK5x6E0ChsdvcwQux508e7eg25UmrzPQWMFQxELNxfygNYaQI5nByU/D7cMSM2DnATwNY
UafwClmelxoSFslnMY1KHZnE8UDMLPlPvk2THN0dNVm5orD8i/M1xTWVblK/KDhuqsjqvkQm8K0V
gvX0xZmcfy+ZXyAQM4IZ4TvrckgsSlWpJBIf9H5hfueFnWxji2WRgcBIlcnTOJ3ix+Y7xadd1+xS
UjdKthbcG/xxDhgA+vtp0Wn2v/HCchk1yJiSRKzoZvTe1LoANomi5xIHN7llMtzprv8ET7MYvWYv
1Q62O6nB/BRnhDg62WMCarn8T8DnPuVBbiUnUw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
GqVZ4MZowWopYhpfq2EArgF4TJj5fcrKSeCgDpsuK4p3z/SmkFG6nCauYKdABpRfn2WJ/bawjkKl
Jaj/+qHoD1ruF3K9TUcnMfsU8NVuQ2EzdCfN9KMEB86RH4T6om4PtaG73bqT+hyCTeaYLmj9Etqe
EqgZbl9qGbWxrmsrNeA=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Y757+OgCk82ByiAdL3X2+sRDxH3lG/J1bq443j9f0NPwf6SKwXWBK9o4mDtq/B8cmjdZjyVNbyZh
V1FmwwBcybwX0xx3DL3p2YOF2pzjx2uBH2FFKwt5WvaDtGkdQVdfH31Y7dH6BvTavmLEfBEr99Kf
uM9DPKJ/2DmwvZDLfIurJ8YtYfSFJ/SBvKlO0W01Gh1PuxGp1UOqwxgDf8ZXqsuxQCgzCT0wBUs5
AougsWmdf7F84m8bH0eiMycfPnPwqdiWj3b/CTaragBZb0d5n9k4O1QcIJrJcny/q+GNMdsX/+NM
JrnYxFM+dHYV0BN22851tzqZ4M7Gw3x3PlpClA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 33472)
`protect data_block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`protect end_protected
| bsd-2-clause | 7752a6fd2742045281b24248fa155c24 | 0.948873 | 1.825536 | false | false | false | false |
Logistic1994/CPU | module_P0.vhd | 1 | 1,663 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 17:20:36 05/29/2015
-- Design Name:
-- Module Name: module_P0 - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity module_P0 is
port(
clk_P0: in std_logic;
nreset: in std_logic;
P0_CS: in std_logic;
nP0_IEN: in std_logic; --ÊäÈëʹÄÜ
nP0_OEN: in std_logic; --Êä³öʹÄÜ
P0_IN: in std_logic_vector(7 downto 0);
P0_OUT: out std_logic_vector(7 downto 0);
datai: in std_logic_vector(7 downto 0);
datao: out std_logic_vector(7 downto 0);
do: out std_logic);
end module_P0;
architecture Behavioral of module_P0 is
begin
process(nreset, clk_P0)
begin
if nreset = '0' then
P0_OUT <= (others => '0');
elsif rising_edge(clk_P0) then
if P0_CS = '1' then
if nP0_IEN = '0' then
datao <= P0_IN;
do <= '1';
elsif nP0_OEN = '0' then
P0_OUT <= datai;
datao <= (others => 'Z');
do <= '0';
else
datao <= (others => 'Z');
do <= '0';
end if;
end if;
end if;
end process;
end Behavioral;
| gpl-2.0 | 7f5d55061758b5efb290d11ff7f13e33 | 0.568851 | 2.985637 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/case/rule_018_test_input.fixed_upper.vhd | 1 | 589 |
architecture ARCH of ENTITY is
begin
PROC_1 : process (a, b, c) is
begin
case boolean_1 is
when STATE_1 =>
a <= b;
b <= c;
c <= d;
end CASE;
end process PROC_1;
PROC_2 : process (a, b, c) is
begin
case boolean_1 is
when STATE_1=>
a <= b;
b <= c;
c <= d;
end CASE;
end process PROC_2;
PROC_3 : process (a, b, c) is
begin
case boolean_1 is
when STATE_1=>
a <= b;
b <= c;
c <= d;
end CASE;
end process PROC_3;
end architecture ARCH;
| gpl-3.0 | d4de91092accd03983177e7f3f171bfd | 0.4618 | 3.308989 | false | false | false | false |
cwilkens/ecen4024-microphone-array | microphone-array/microphone-array.srcs/sources_1/ip/cascaded_integrator_comb/cic_compiler_v4_0/hdl/compare.vhd | 1 | 13,460 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Y/HYwdE3B9rJy7aRHL6ljjTUHUV40fVQ3y3088IAJ8UvhCC6XLmAjqq6aC9wLqLg2V3uKWgmfw/U
lHDoGcH0sQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
XGWRouH+nAVFqR0wpIPeXdy7ItaBdqrf+Ck2LB374sDQI84J25D+iUH31gwGKeo1r5khGwiQ9p5v
/xIhwjgeO/6lPS5oHSasCRMG6vGua8lGgLVfkctiRE861PN5p9sP3bCNjD0MsbrS5yWNPwq+bYHy
gO19lzFmgNigmm74A/A=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
WCOGcf+LMg8P9j8VugQu4+/gxotk/bOb2FKzh8ExbROiaXYQTN2ATSoUD/EEZK5PbeomVvsZhdu1
j44LQai9GL53+quW74UztFeQXM4NhwwfVie+AgnWVSl48FpS6aGUtCjdN0DHKeU3SFubaLzgV3Er
nfg0eZ4KY/L7JGtrXFsMsXnbi403NJ0SjuJej/XqSHnUV5Fpi3GlnvAAYY86VGtnoFPTqr4FhxKf
iApFcd+lh/fEqCIfUtisEKjk0g2a8TFnew33XlYaO80f0e4Fn572jvqfZoxutmzMNjRSXi3TaqXX
Dtw9k3xfJvhUIqH6OmVaItQCgvjQrgh2iChWiw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
RQIzI2jFcXVavaYD9vbVuxBE1wEtj6vdTSIGdk+00gAtVFcKxsgOLvEKJHoEqDzt8K9A8Q2V54nY
/6z0YIxOUWiloOzTQCBUouNo/sgA1DCWq3eY4jouynG/525jhEYQzSKVWYVExFDXOGQzeSA1q3+h
IToNk/zq7MDo7PlNYuI=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
LAXslvxdotB47L11xepPDwmK9BdaHCTE5NcMcr8524LXh/wAC6d2KDSp8iNA54yQ2ABTgVBv0d7v
h+u/72O59FMa6fTN7+u4UCn5k1njKUVtxWdo7fA7Yk7UpP24Ur7uyVwPivaH+2g0U/ovSxRFCk+J
G2aiGiw+o6rLyvgVpLaU4lhO0Z+msG2tHTPb46XWqKUkKi6gaQx2KUcXgEU0GIT+Q53ODLh7Fi43
tc2RsbrzGSFbOm1r515Pv+KS4DoKxwBUbq4/Rj+dokqyDT4ZX4HC4HukD1LA0EZRhXMFlH6uaujx
yxk+pr03/HqLtkH3kPvRWCXtJLhdO+8Dh4HkEQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8224)
`protect data_block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`protect end_protected
| mit | 46706b84aef61fa64451d8c1d610c290 | 0.93321 | 1.880676 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/smart_tab/spi_master.fixed.vhd | 1 | 41,199 | -----------------------------------------------------------------------------------------------------------------------
-- Author: Jonny Doin, [email protected], [email protected]
--
-- Create Date: 12:18:12 04/25/2011
-- Module Name: SPI_MASTER - RTL
-- Project Name: SPI MASTER / SLAVE INTERFACE
-- Target Devices: Spartan-6
-- Tool versions: ISE 13.1
-- Description:
--
-- This block is the SPI master interface, implemented in one single entity.
-- All internal core operations are synchronous to the 'sclk_i', and a spi base clock is generated by dividing sclk_i downto
-- a frequency that is 2x the spi SCK line frequency. The divider value is passed as a generic parameter during instantiation.
-- All parallel i/o interface operations are synchronous to the 'pclk_i' high speed clock, that can be asynchronous to the serial
-- 'sclk_i' clock.
-- For optimized use of longlines, connect 'sclk_i' and 'pclk_i' to the same global clock line.
-- Fully pipelined cross-clock circuitry guarantees that no setup artifacts occur on the buffers that are accessed by the two
-- clock domains.
-- The block is very simple to use, and has parallel inputs and outputs that behave like a synchronous memory i/o.
-- It is parameterizable via generics for the data width ('N'), SPI mode (CPHA and CPOL), lookahead prefetch signaling
-- ('PREFETCH'), and spi base clock division from sclk_i ('SPI_2X_CLK_DIV').
--
-- SPI CLOCK GENERATION
-- ====================
--
-- The clock generation for the SPI SCK is derived from the high-speed 'sclk_i' clock. The core divides this reference
-- clock to form the SPI base clock, by the 'SPI_2X_CLK_DIV' generic parameter. The user must set the divider value for the
-- SPI_2X clock, which is 2x the desired SCK frequency.
-- All registers in the core are clocked by the high-speed clocks, and clock enables are used to run the FSM and other logic
-- at lower rates. This architecture preserves FPGA clock resources like global clock buffers, and avoids path delays caused
-- by combinatorial clock dividers outputs.
-- The core has async clock domain circuitry to handle asynchronous clocks for the SPI and parallel interfaces.
--
-- PARALLEL WRITE INTERFACE
-- ========================
-- The parallel interface has an input port 'di_i' and an output port 'do_o'.
-- Parallel load is controlled using 3 signals: 'di_i', 'di_req_o' and 'wren_i'. 'di_req_o' is a look ahead data request line,
-- that is set 'PREFETCH' clock cycles in advance to synchronize a pipelined memory or fifo to present the
-- next input data at 'di_i' in time to have continuous clock at the spi bus, to allow back-to-back continuous load.
-- For a pipelined sync RAM, a PREFETCH of 2 cycles allows an address generator to present the new adress to the RAM in one
-- cycle, and the RAM to respond in one more cycle, in time for 'di_i' to be latched by the shifter.
-- If the user sequencer needs a different value for PREFETCH, the generic can be altered at instantiation time.
-- The 'wren_i' write enable strobe must be valid at least one setup time before the rising edge of the last SPI clock cycle,
-- if continuous transmission is intended. If 'wren_i' is not valid 2 SPI clock cycles after the last transmitted bit, the interface
-- enters idle state and deasserts SSEL.
-- When the interface is idle, 'wren_i' write strobe loads the data and starts transmission. 'di_req_o' will strobe when entering
-- idle state, if a previously loaded data has already been transferred.
--
-- PARALLEL WRITE SEQUENCE
-- =======================
-- __ __ __ __ __ __ __
-- pclk_i __/ \__/ \__/ \__/ \__/ \__/ \__/ \... -- parallel interface clock
-- ___________
-- di_req_o ________/ \_____________________... -- 'di_req_o' asserted on rising edge of 'pclk_i'
-- ______________ ___________________________...
-- di_i __old_data____X______new_data_____________... -- user circuit loads data on 'di_i' at next 'pclk_i' rising edge
-- _______
-- wren_i __________________________/ \_______... -- user strobes 'wren_i' for one cycle of 'pclk_i'
--
--
-- PARALLEL READ INTERFACE
-- =======================
-- An internal buffer is used to copy the internal shift register data to drive the 'do_o' port. When a complete word is received,
-- the core shift register is transferred to the buffer, at the rising edge of the spi clock, 'spi_clk'.
-- The signal 'do_valid_o' is set one 'spi_clk' clock after, to directly drive a synchronous memory or fifo write enable.
-- 'do_valid_o' is synchronous to the parallel interface clock, and changes only on rising edges of 'pclk_i'.
-- When the interface is idle, data at the 'do_o' port holds the last word received.
--
-- PARALLEL READ SEQUENCE
-- ======================
-- ______ ______ ______ ______
-- spi_clk bit1 \______/ bitN \______/bitN-1\______/bitN-2\__... -- internal spi 2x base clock
-- _ __ __ __ __ __ __ __ __
-- pclk_i \__/ \__/ \__/ \__/ \__/ \__/ \__/ \__/ \_... -- parallel interface clock (may be async to sclk_i)
-- _____________ _____________________________________... -- 1) rx data is transferred to 'do_buffer_reg'
-- do_o ___old_data__X__________new_data___________________... -- after last rx bit, at rising 'spi_clk'.
-- ____________
-- do_valid_o ____________________________/ \_________... -- 2) 'do_valid_o' strobed for 2 'pclk_i' cycles
-- -- on the 3rd 'pclk_i' rising edge.
--
--
-- The propagation delay of spi_sck_o and spi_mosi_o, referred to the internal clock, is balanced by similar path delays,
-- but the sampling delay of spi_miso_i imposes a setup time referred to the sck signal that limits the high frequency
-- of the interface, for full duplex operation.
--
-- This design was originally targeted to a Spartan-6 platform, synthesized with XST and normal constraints.
-- The VHDL dialect used is VHDL'93, accepted largely by all synthesis tools.
--
------------------------------ COPYRIGHT NOTICE -----------------------------------------------------------------------
--
-- This file is part of the SPI MASTER/SLAVE INTERFACE project http://opencores.org/project,spi_master_slave
--
-- Author(s): Jonny Doin, [email protected], [email protected]
--
-- Copyright (C) 2011 Jonny Doin
-- -----------------------------
--
-- This source file may be used and distributed without restriction provided that this copyright statement is not
-- removed from the file and that any derivative work contains the original copyright notice and the associated
-- disclaimer.
--
-- This source file is free software; you can redistribute it and/or modify it under the terms of the GNU Lesser
-- General Public License as published by the Free Software Foundation; either version 2.1 of the License, or
-- (at your option) any later version.
--
-- This source is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied
-- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU Lesser General Public License for more
-- details.
--
-- You should have received a copy of the GNU Lesser General Public License along with this source; if not, download
-- it from http://www.gnu.org/licenses/lgpl.txt
--
------------------------------ REVISION HISTORY -----------------------------------------------------------------------
--
-- 2011/04/28 v0.01.0010 [JD] shifter implemented as a sequential process. timing problems and async issues in synthesis.
-- 2011/05/01 v0.01.0030 [JD] changed original shifter design to a fully pipelined RTL fsmd. solved all synthesis issues.
-- 2011/05/05 v0.01.0034 [JD] added an internal buffer register for rx_data, to allow greater liberty in data load/store.
-- 2011/05/08 v0.10.0038 [JD] increased one state to have SSEL start one cycle before SCK. Implemented full CPOL/CPHA
-- logic, based on generics, and do_valid_o signal.
-- 2011/05/13 v0.20.0045 [JD] streamlined signal names, added PREFETCH parameter, added assertions.
-- 2011/05/17 v0.80.0049 [JD] added explicit clock synchronization circuitry across clock boundaries.
-- 2011/05/18 v0.95.0050 [JD] clock generation circuitry, with generators for all-rising-edge clock core.
-- 2011/06/05 v0.96.0053 [JD] changed async clear to sync resets.
-- 2011/06/07 v0.97.0065 [JD] added cross-clock buffers, fixed fsm async glitches.
-- 2011/06/09 v0.97.0068 [JD] reduced control sets (resets, CE, presets) to the absolute minimum to operate, to reduce
-- synthesis LUT overhead in Spartan-6 architecture.
-- 2011/06/11 v0.97.0075 [JD] redesigned all parallel data interfacing ports, and implemented cross-clock strobe logic.
-- 2011/06/12 v0.97.0079 [JD] streamlined wr_ack for all cases and eliminated unnecessary register resets.
-- 2011/06/14 v0.97.0083 [JD] (bug CPHA effect) : redesigned SCK output circuit.
-- (minor bug) : removed fsm registers from (not rst_i) chip enable.
-- 2011/06/15 v0.97.0086 [JD] removed master MISO input register, to relax MISO data setup time (to get higher speed).
-- 2011/07/09 v1.00.0095 [JD] changed all clocking scheme to use a single high-speed clock with clock enables to control lower
-- frequency sequential circuits, to preserve clocking resources and avoid path delay glitches.
-- 2011/07/10 v1.00.0098 [JD] implemented SCK clock divider circuit to generate spi clock directly from system clock.
-- 2011/07/10 v1.10.0075 [JD] verified spi_master_slave in silicon at 50MHz, 25MHz, 16.666MHz, 12.5MHz, 10MHz, 8.333MHz,
-- 7.1428MHz, 6.25MHz, 1MHz and 500kHz. The core proved very robust at all tested frequencies.
-- 2011/07/16 v1.11.0080 [JD] verified both spi_master and spi_slave in loopback at 50MHz SPI clock.
-- 2011/07/17 v1.11.0080 [JD] BUG: CPOL='1', CPHA='1' @50MHz causes MOSI to be shifted one bit earlier.
-- BUG: CPOL='0', CPHA='1' causes SCK to have one extra pulse with one sclk_i width at the end.
-- 2011/07/18 v1.12.0105 [JD] CHG: spi sck output register changed to remove glitch at last clock when CPHA='1'.
-- for CPHA='1', max spi clock is 25MHz. for CPHA= '0', max spi clock is >50MHz.
-- 2011/07/24 v1.13.0125 [JD] FIX: 'sck_ena_ce' is on half-cycle advanced to 'fsm_ce', elliminating CPHA='1' glitches.
-- Core verified for all CPOL, CPHA at up to 50MHz, simulates to over 100MHz.
-- 2011/07/29 v1.14.0130 [JD] Removed global signal setting at the FSM, implementing exhaustive explicit signal attributions
-- for each state, to avoid reported inference problems in some synthesis engines.
-- Streamlined port names and indentation blocks.
-- 2011/08/01 v1.15.0135 [JD] Fixed latch inference for spi_mosi_o driver at the fsm.
-- The master and slave cores were verified in FPGA with continuous transmission, for all SPI modes.
-- 2011/08/04 v1.15.0136 [JD] Fixed assertions (PREFETCH >= 1) and minor comment bugs.
--
-----------------------------------------------------------------------------------------------------------------------
-- TODO
-- ====
--
-----------------------------------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_unsigned.all;
--================================================================================================================
-- SYNTHESIS CONSIDERATIONS
-- ========================
-- There are several output ports that are used to simulate and verify the core operation.
-- Do not map any signals to the unused ports, and the synthesis tool will remove the related interfacing
-- circuitry.
-- The same is valid for the transmit and receive ports. If the receive ports are not mapped, the
-- synthesis tool will remove the receive logic from the generated circuitry.
-- Alternatively, you can remove these ports and related circuitry once the core is verified and
-- integrated to your circuit.
--================================================================================================================
entity spi_master is
generic (
n : positive := 32; -- 32bit serial word length is default
cpol : std_logic := '0'; -- SPI mode selection (mode 0 default)
cpha : std_logic := '0'; -- CPOL = clock polarity, CPHA = clock phase.
prefetch : positive := 2; -- prefetch lookahead cycles
spi_2x_clk_div : positive := 5 -- for a 100MHz sclk_i, yields a 10MHz SCK
);
port (
sclk_i : in std_logic := 'X'; -- high-speed serial interface system clock
pclk_i : in std_logic := 'X'; -- high-speed parallel interface system clock
rst_i : in std_logic := 'X'; -- reset core
---- serial interface ----
spi_ssel_o : out std_logic; -- spi bus slave select line
spi_sck_o : out std_logic; -- spi bus sck
spi_mosi_o : out std_logic; -- spi bus mosi output
spi_miso_i : in std_logic := 'X'; -- spi bus spi_miso_i input
---- parallel interface ----
di_req_o : out std_logic; -- preload lookahead data request line
di_i : in std_logic_vector(n - 1 downto 0) := (others => 'X'); -- parallel data in (clocked on rising spi_clk after last bit)
wren_i : in std_logic := 'X'; -- user data write enable, starts transmission when interface is idle
wr_ack_o : out std_logic; -- write acknowledge
do_valid_o : out std_logic; -- do_o data valid signal, valid during one spi_clk rising edge.
do_o : out std_logic_vector(n - 1 downto 0); -- parallel output (clocked on rising spi_clk after last bit)
--- debug ports: can be removed or left unconnected for the application circuit ---
sck_ena_o : out std_logic; -- debug: internal sck enable signal
sck_ena_ce_o : out std_logic; -- debug: internal sck clock enable signal
do_transfer_o : out std_logic; -- debug: internal transfer driver
wren_o : out std_logic; -- debug: internal state of the wren_i pulse stretcher
rx_bit_reg_o : out std_logic; -- debug: internal rx bit
state_dbg_o : out std_logic_vector(3 downto 0); -- debug: internal state register
core_clk_o : out std_logic;
core_n_clk_o : out std_logic;
core_ce_o : out std_logic;
core_n_ce_o : out std_logic;
sh_reg_dbg_o : out std_logic_vector(n - 1 downto 0) -- debug: internal shift register
);
end entity spi_master;
--================================================================================================================
-- this architecture is a pipelined register-transfer description.
-- all signals are clocked at the rising edge of the system clock 'sclk_i'.
--================================================================================================================
architecture rtl of spi_master is
-- core clocks, generated from 'sclk_i': initialized at GSR to differential values
signal core_clk : std_logic := '0'; -- continuous core clock, positive logic
signal core_n_clk : std_logic := '1'; -- continuous core clock, negative logic
signal core_ce : std_logic := '0'; -- core clock enable, positive logic
signal core_n_ce : std_logic := '1'; -- core clock enable, negative logic
-- spi bus clock, generated from the CPOL selected core clock polarity
signal spi_2x_ce : std_logic := '1'; -- spi_2x clock enable
signal spi_clk : std_logic := '0'; -- spi bus output clock
signal spi_clk_reg : std_logic; -- output pipeline delay for spi sck (do NOT global initialize)
-- core fsm clock enables
signal fsm_ce : std_logic := '1'; -- fsm clock enable
signal sck_ena_ce : std_logic := '1'; -- SCK clock enable
signal samp_ce : std_logic := '1'; -- data sampling clock enable
--
-- GLOBAL RESET:
-- all signals are initialized to zero at GSR (global set/reset) by giving explicit
-- initialization values at declaration. This is needed for all Xilinx FPGAs, and
-- especially for the Spartan-6 and newer CLB architectures, where a async reset can
-- reduce the usability of the slice registers, due to the need to share the control
-- set (RESET/PRESET, CLOCK ENABLE and CLOCK) by all 8 registers in a slice.
-- By using GSR for the initialization, and reducing async RESET local init to the bare
-- essential, the model achieves better LUT/FF packing and CLB usability.
--
-- internal state signals for register and combinatorial stages
signal state_next : natural range n + 1 downto 0 := 0;
signal state_reg : natural range n + 1 downto 0 := 0;
-- shifter signals for register and combinatorial stages
signal sh_next : std_logic_vector(n - 1 downto 0);
signal sh_reg : std_logic_vector(n - 1 downto 0);
-- input bit sampled buffer
signal rx_bit_reg : std_logic := '0';
-- buffered di_i data signals for register and combinatorial stages
signal di_reg : std_logic_vector(n - 1 downto 0);
-- internal wren_i stretcher for fsm combinatorial stage
signal wren : std_logic;
signal wr_ack_next : std_logic := '0';
signal wr_ack_reg : std_logic := '0';
-- internal SSEL enable control signals
signal ssel_ena_next : std_logic := '0';
signal ssel_ena_reg : std_logic := '0';
-- internal SCK enable control signals
signal sck_ena_next : std_logic;
signal sck_ena_reg : std_logic;
-- buffered do_o data signals for register and combinatorial stages
signal do_buffer_next : std_logic_vector(n - 1 downto 0);
signal do_buffer_reg : std_logic_vector(n - 1 downto 0);
-- internal signal to flag transfer to do_buffer_reg
signal do_transfer_next : std_logic := '0';
signal do_transfer_reg : std_logic := '0';
-- internal input data request signal
signal di_req_next : std_logic := '0';
signal di_req_reg : std_logic := '0';
-- cross-clock do_transfer_reg -> do_valid_o_reg pipeline
signal do_valid_a : std_logic := '0';
signal do_valid_b : std_logic := '0';
signal do_valid_c : std_logic := '0';
signal do_valid_d : std_logic := '0';
signal do_valid_next : std_logic := '0';
signal do_valid_o_reg : std_logic := '0';
-- cross-clock di_req_reg -> di_req_o_reg pipeline
signal di_req_o_a : std_logic := '0';
signal di_req_o_b : std_logic := '0';
signal di_req_o_c : std_logic := '0';
signal di_req_o_d : std_logic := '0';
signal di_req_o_next : std_logic := '1';
signal di_req_o_reg : std_logic := '1';
begin
--=============================================================================================
-- GENERICS CONSTRAINTS CHECKING
--=============================================================================================
-- minimum word width is 8 bits
assert n >= 8
report "Generic parameter 'N' (shift register size) needs to be 8 bits minimum"
severity FAILURE;
-- minimum prefetch lookahead check
assert prefetch >= 1
report "Generic parameter 'PREFETCH' (lookahead count) needs to be 1 minimum"
severity FAILURE;
-- maximum prefetch lookahead check
assert prefetch <= n - 5
report "Generic parameter 'PREFETCH' (lookahead count) out of range, needs to be N-5 maximum"
severity FAILURE;
-- SPI_2X_CLK_DIV clock divider value must not be zero
assert spi_2x_clk_div > 0
report "Generic parameter 'SPI_2X_CLK_DIV' must not be zero"
severity FAILURE;
--=============================================================================================
-- CLOCK GENERATION
--=============================================================================================
-- In order to preserve global clocking resources, the core clocking scheme is completely based
-- on using clock enables to process the serial high-speed clock at lower rates for the core fsm,
-- the spi clock generator and the input sampling clock.
-- The clock generation block derives 2 continuous antiphase signals from the 2x spi base clock
-- for the core clocking.
-- The 2 clock phases are generated by separate and synchronous FFs, and should have only
-- differential interconnect delay skew.
-- Clock enable signals are generated with the same phase as the 2 core clocks, and these clock
-- enables are used to control clocking of all internal synchronous circuitry.
-- The clock enable phase is selected for serial input sampling, fsm clocking, and spi SCK output,
-- based on the configuration of CPOL and CPHA.
-- Each phase is selected so that all the registers can be clocked with a rising edge on all SPI
-- modes, by a single high-speed global clock, preserving clock resources and clock to data skew.
-----------------------------------------------------------------------------------------------
-- generate the 2x spi base clock enable from the serial high-speed input clock
spi_2x_ce_gen_proc : process (sclk_i) is
variable clk_cnt : integer range spi_2x_clk_div - 1 downto 0 := 0;
begin
if (sclk_i'event and sclk_i = '1') then
if (clk_cnt = spi_2x_clk_div - 1) then
spi_2x_ce <= '1';
clk_cnt := 0;
else
spi_2x_ce <= '0';
clk_cnt := clk_cnt + 1;
end if;
end if;
end process spi_2x_ce_gen_proc;
-----------------------------------------------------------------------------------------------
-- generate the core antiphase clocks and clock enables from the 2x base CE.
core_clock_gen_proc : process (sclk_i) is
begin
if (sclk_i'event and sclk_i = '1') then
if (spi_2x_ce = '1') then
-- generate the 2 antiphase core clocks
core_clk <= core_n_clk;
core_n_clk <= not core_n_clk;
-- generate the 2 phase core clock enables
core_ce <= core_n_clk;
core_n_ce <= not core_n_clk;
else
core_ce <= '0';
core_n_ce <= '0';
end if;
end if;
end process core_clock_gen_proc;
--=============================================================================================
-- GENERATE BLOCKS
--=============================================================================================
-- spi clk generator: generate spi_clk from core_clk depending on CPOL
spi_sck_cpol_0_proc : if cpol = '0' generate
begin
spi_clk <= core_clk; -- for CPOL=0, spi clk has idle LOW
end generate spi_sck_cpol_0_proc;
spi_sck_cpol_1_proc : if cpol = '1' generate
begin
spi_clk <= core_n_clk; -- for CPOL=1, spi clk has idle HIGH
end generate spi_sck_cpol_1_proc;
-----------------------------------------------------------------------------------------------
-- Sampling clock enable generation: generate 'samp_ce' from 'core_ce' or 'core_n_ce' depending on CPHA
-- always sample data at the half-cycle of the fsm update cell
samp_ce_cpha_0_proc : if cpha = '0' generate
begin
samp_ce <= core_ce;
end generate samp_ce_cpha_0_proc;
samp_ce_cpha_1_proc : if cpha = '1' generate
begin
samp_ce <= core_n_ce;
end generate samp_ce_cpha_1_proc;
-----------------------------------------------------------------------------------------------
-- FSM clock enable generation: generate 'fsm_ce' from core_ce or core_n_ce depending on CPHA
fsm_ce_cpha_0_proc : if cpha = '0' generate
begin
fsm_ce <= core_n_ce; -- for CPHA=0, latch registers at rising edge of negative core clock enable
end generate fsm_ce_cpha_0_proc;
fsm_ce_cpha_1_proc : if cpha = '1' generate
begin
fsm_ce <= core_ce; -- for CPHA=1, latch registers at rising edge of positive core clock enable
end generate fsm_ce_cpha_1_proc;
-----------------------------------------------------------------------------------------------
-- sck enable control: control sck advance phase for CPHA='1' relative to fsm clock
sck_ena_ce <= core_n_ce; -- for CPHA=1, SCK is advanced one-half cycle
--=============================================================================================
-- REGISTERED INPUTS
--=============================================================================================
-- rx bit flop: capture rx bit after SAMPLE edge of sck
rx_bit_proc : process (sclk_i, spi_miso_i) is
begin
if (sclk_i'event and sclk_i = '1') then
if (samp_ce = '1') then
rx_bit_reg <= spi_miso_i;
end if;
end if;
end process rx_bit_proc;
--=============================================================================================
-- CROSS-CLOCK PIPELINE TRANSFER LOGIC
--=============================================================================================
-- do_valid_o and di_req_o strobe output logic
-- this is a delayed pulse generator with a ripple-transfer FFD pipeline, that generates a
-- fixed-length delayed pulse for the output flags, at the parallel clock domain
out_transfer_proc : process (pclk_i, do_transfer_reg, di_req_reg,
do_valid_a, do_valid_b, do_valid_d,
di_req_o_a, di_req_o_b, di_req_o_d) is
begin
if (pclk_i'event and pclk_i = '1') then -- clock at parallel port clock
-- do_transfer_reg -> do_valid_o_reg
do_valid_a <= do_transfer_reg; -- the input signal must be at least 2 clocks long
do_valid_b <= do_valid_a; -- feed it to a ripple chain of FFDs
do_valid_c <= do_valid_b;
do_valid_d <= do_valid_c;
do_valid_o_reg <= do_valid_next; -- registered output pulse
--------------------------------
-- di_req_reg -> di_req_o_reg
di_req_o_a <= di_req_reg; -- the input signal must be at least 2 clocks long
di_req_o_b <= di_req_o_a; -- feed it to a ripple chain of FFDs
di_req_o_c <= di_req_o_b;
di_req_o_d <= di_req_o_c;
di_req_o_reg <= di_req_o_next; -- registered output pulse
end if;
-- generate a 2-clocks pulse at the 3rd clock cycle
do_valid_next <= do_valid_a and do_valid_b and not do_valid_d;
di_req_o_next <= di_req_o_a and di_req_o_b and not di_req_o_d;
end process out_transfer_proc;
-- parallel load input registers: data register and write enable
in_transfer_proc : process (pclk_i, wren_i, wr_ack_reg) is
begin
-- registered data input, input register with clock enable
if (pclk_i'event and pclk_i = '1') then
if (wren_i = '1') then
di_reg <= di_i; -- parallel data input buffer register
end if;
end if;
-- stretch wren pulse to be detected by spi fsm (ffd with sync preset and sync reset)
if (pclk_i'event and pclk_i = '1') then
if (wren_i = '1') then -- wren_i is the sync preset for wren
wren <= '1';
elsif (wr_ack_reg = '1') then -- wr_ack is the sync reset for wren
wren <= '0';
end if;
end if;
end process in_transfer_proc;
--=============================================================================================
-- REGISTER TRANSFER PROCESSES
--=============================================================================================
-- fsm state and data registers: synchronous to the spi base reference clock
core_reg_proc : process (sclk_i) is
begin
-- FF registers clocked on rising edge and cleared on sync rst_i
if (sclk_i'event and sclk_i = '1') then
if (rst_i = '1') then -- sync reset
state_reg <= 0; -- only provide local reset for the state machine
elsif (fsm_ce = '1') then -- fsm_ce is clock enable for the fsm
state_reg <= state_next; -- state register
end if;
end if;
-- FF registers clocked synchronous to the fsm state
if (sclk_i'event and sclk_i = '1') then
if (fsm_ce = '1') then
sh_reg <= sh_next; -- shift register
ssel_ena_reg <= ssel_ena_next; -- spi select enable
do_buffer_reg <= do_buffer_next; -- registered output data buffer
do_transfer_reg <= do_transfer_next; -- output data transferred to buffer
di_req_reg <= di_req_next; -- input data request
wr_ack_reg <= wr_ack_next; -- write acknowledge for data load synchronization
end if;
end if;
-- FF registers clocked one-half cycle earlier than the fsm state
if (sclk_i'event and sclk_i = '1') then
if (sck_ena_ce = '1') then
sck_ena_reg <= sck_ena_next; -- spi clock enable: look ahead logic
end if;
end if;
end process core_reg_proc;
--=============================================================================================
-- COMBINATORIAL LOGIC PROCESSES
--=============================================================================================
-- state and datapath combinatorial logic
core_combi_proc : process (sh_reg, state_reg, rx_bit_reg, ssel_ena_reg, sck_ena_reg, do_buffer_reg,
do_transfer_reg, wr_ack_reg, di_req_reg, di_reg, wren) is
begin
sh_next <= sh_reg; -- all output signals are assigned to (avoid latches)
ssel_ena_next <= ssel_ena_reg; -- controls the slave select line
sck_ena_next <= sck_ena_reg; -- controls the clock enable of spi sck line
do_buffer_next <= do_buffer_reg; -- output data buffer
do_transfer_next <= do_transfer_reg; -- output data flag
wr_ack_next <= wr_ack_reg; -- write acknowledge
di_req_next <= di_req_reg; -- prefetch data request
spi_mosi_o <= sh_reg(n - 1); -- default to avoid latch inference
state_next <= state_reg; -- next state
case state_reg is
when (n + 1) => -- this state is to enable SSEL before SCK
spi_mosi_o <= sh_reg(n - 1); -- shift out tx bit from the MSb
ssel_ena_next <= '1'; -- tx in progress: will assert SSEL
sck_ena_next <= '1'; -- enable SCK on next cycle (stays off on first SSEL clock cycle)
di_req_next <= '0'; -- prefetch data request: deassert when shifting data
wr_ack_next <= '0'; -- remove write acknowledge for all but the load stages
state_next <= state_reg - 1; -- update next state at each sck pulse
when (n) => -- deassert 'di_rdy' and stretch do_valid
spi_mosi_o <= sh_reg(n - 1); -- shift out tx bit from the MSb
di_req_next <= '0'; -- prefetch data request: deassert when shifting data
sh_next(n - 1 downto 1) <= sh_reg(n - 2 downto 0); -- shift inner bits
sh_next(0) <= rx_bit_reg; -- shift in rx bit into LSb
wr_ack_next <= '0'; -- remove write acknowledge for all but the load stages
state_next <= state_reg - 1; -- update next state at each sck pulse
when (n - 1) downto (prefetch + 3) => -- remove 'do_transfer' and shift bits
spi_mosi_o <= sh_reg(n - 1); -- shift out tx bit from the MSb
di_req_next <= '0'; -- prefetch data request: deassert when shifting data
do_transfer_next <= '0'; -- reset 'do_valid' transfer signal
sh_next(n - 1 downto 1) <= sh_reg(n - 2 downto 0); -- shift inner bits
sh_next(0) <= rx_bit_reg; -- shift in rx bit into LSb
wr_ack_next <= '0'; -- remove write acknowledge for all but the load stages
state_next <= state_reg - 1; -- update next state at each sck pulse
when (prefetch + 2) downto 2 => -- raise prefetch 'di_req_o' signal
spi_mosi_o <= sh_reg(n - 1); -- shift out tx bit from the MSb
di_req_next <= '1'; -- request data in advance to allow for pipeline delays
sh_next(n - 1 downto 1) <= sh_reg(n - 2 downto 0); -- shift inner bits
sh_next(0) <= rx_bit_reg; -- shift in rx bit into LSb
wr_ack_next <= '0'; -- remove write acknowledge for all but the load stages
state_next <= state_reg - 1; -- update next state at each sck pulse
when 1 => -- transfer rx data to do_buffer and restart if new data is written
spi_mosi_o <= sh_reg(n - 1); -- shift out tx bit from the MSb
di_req_next <= '1'; -- request data in advance to allow for pipeline delays
do_buffer_next(n - 1 downto 1) <= sh_reg(n - 2 downto 0); -- shift rx data directly into rx buffer
do_buffer_next(0) <= rx_bit_reg; -- shift last rx bit into rx buffer
do_transfer_next <= '1'; -- signal transfer to do_buffer
if (wren = '1') then -- load tx register if valid data present at di_i
state_next <= n; -- next state is top bit of new data
sh_next <= di_reg; -- load parallel data from di_reg into shifter
sck_ena_next <= '1'; -- SCK enabled
wr_ack_next <= '1'; -- acknowledge data in transfer
else
sck_ena_next <= '0'; -- SCK disabled: tx empty, no data to send
wr_ack_next <= '0'; -- remove write acknowledge for all but the load stages
state_next <= state_reg - 1; -- update next state at each sck pulse
end if;
when 0 => -- idle state: start and end of transmission
di_req_next <= '1'; -- will request data if shifter empty
sck_ena_next <= '0'; -- SCK disabled: tx empty, no data to send
if (wren = '1') then -- load tx register if valid data present at di_i
spi_mosi_o <= di_reg(n - 1); -- special case: shift out first tx bit from the MSb (look ahead)
ssel_ena_next <= '1'; -- enable interface SSEL
state_next <= n + 1; -- start from idle: let one cycle for SSEL settling
sh_next <= di_reg; -- load bits from di_reg into shifter
wr_ack_next <= '1'; -- acknowledge data in transfer
else
spi_mosi_o <= sh_reg(n - 1); -- shift out tx bit from the MSb
ssel_ena_next <= '0'; -- deassert SSEL: interface is idle
wr_ack_next <= '0'; -- remove write acknowledge for all but the load stages
state_next <= 0; -- when idle, keep this state
end if;
when others =>
state_next <= 0; -- state 0 is safe state
end case;
end process core_combi_proc;
--=============================================================================================
-- OUTPUT LOGIC PROCESSES
--=============================================================================================
-- data output processes
spi_ssel_o <= not ssel_ena_reg; -- active-low slave select line
do_o <= do_buffer_reg; -- parallel data out
do_valid_o <= do_valid_o_reg; -- data out valid
di_req_o <= di_req_o_reg; -- input data request for next cycle
wr_ack_o <= wr_ack_reg; -- write acknowledge
-----------------------------------------------------------------------------------------------
-- SCK out logic: pipeline phase compensation for the SCK line
-----------------------------------------------------------------------------------------------
-- This is a MUX with an output register.
-- The register gives us a pipeline delay for the SCK line, pairing with the state machine moore
-- output pipeline delay for the MOSI line, and thus enabling higher SCK frequency.
spi_sck_o_gen_proc : process (sclk_i, sck_ena_reg, spi_clk, spi_clk_reg) is
begin
if (sclk_i'event and sclk_i = '1') then
if (sck_ena_reg = '1') then
spi_clk_reg <= spi_clk; -- copy the selected clock polarity
else
spi_clk_reg <= cpol; -- when clock disabled, set to idle polarity
end if;
end if;
spi_sck_o <= spi_clk_reg; -- connect register to output
end process spi_sck_o_gen_proc;
--=============================================================================================
-- DEBUG LOGIC PROCESSES
--=============================================================================================
-- these signals are useful for verification, and can be deleted after debug.
do_transfer_o <= do_transfer_reg;
state_dbg_o <= std_logic_vector(to_unsigned(state_reg, 4));
rx_bit_reg_o <= rx_bit_reg;
wren_o <= wren;
sh_reg_dbg_o <= sh_reg;
core_clk_o <= core_clk;
core_n_clk_o <= core_n_clk;
core_ce_o <= core_ce;
core_n_ce_o <= core_n_ce;
sck_ena_o <= sck_ena_reg;
sck_ena_ce_o <= sck_ena_ce;
end architecture rtl;
| gpl-3.0 | 69bea2dae46b4f767f3f417907408c8c | 0.51227 | 4.21732 | false | false | false | false |
cwilkens/ecen4024-microphone-array | microphone-array/microphone-array.srcs/sources_1/ip/half_band_FIR/synth/half_band_FIR.vhd | 1 | 12,231 | -- (c) Copyright 1995-2014 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:fir_compiler:7.1
-- IP Revision: 4
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY fir_compiler_v7_1;
USE fir_compiler_v7_1.fir_compiler_v7_1;
ENTITY half_band_FIR IS
PORT (
aclk : IN STD_LOGIC;
s_axis_data_tvalid : IN STD_LOGIC;
s_axis_data_tready : OUT STD_LOGIC;
s_axis_data_tdata : IN STD_LOGIC_VECTOR(23 DOWNTO 0);
m_axis_data_tvalid : OUT STD_LOGIC;
m_axis_data_tdata : OUT STD_LOGIC_VECTOR(23 DOWNTO 0)
);
END half_band_FIR;
ARCHITECTURE half_band_FIR_arch OF half_band_FIR IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF half_band_FIR_arch: ARCHITECTURE IS "yes";
COMPONENT fir_compiler_v7_1 IS
GENERIC (
C_XDEVICEFAMILY : STRING;
C_ELABORATION_DIR : STRING;
C_COMPONENT_NAME : STRING;
C_COEF_FILE : STRING;
C_COEF_FILE_LINES : INTEGER;
C_FILTER_TYPE : INTEGER;
C_INTERP_RATE : INTEGER;
C_DECIM_RATE : INTEGER;
C_ZERO_PACKING_FACTOR : INTEGER;
C_SYMMETRY : INTEGER;
C_NUM_FILTS : INTEGER;
C_NUM_TAPS : INTEGER;
C_NUM_CHANNELS : INTEGER;
C_CHANNEL_PATTERN : STRING;
C_ROUND_MODE : INTEGER;
C_COEF_RELOAD : INTEGER;
C_NUM_RELOAD_SLOTS : INTEGER;
C_COL_MODE : INTEGER;
C_COL_PIPE_LEN : INTEGER;
C_COL_CONFIG : STRING;
C_OPTIMIZATION : INTEGER;
C_DATA_PATH_WIDTHS : STRING;
C_DATA_IP_PATH_WIDTHS : STRING;
C_DATA_PX_PATH_WIDTHS : STRING;
C_DATA_WIDTH : INTEGER;
C_COEF_PATH_WIDTHS : STRING;
C_COEF_WIDTH : INTEGER;
C_DATA_PATH_SRC : STRING;
C_COEF_PATH_SRC : STRING;
C_DATA_PATH_SIGN : STRING;
C_COEF_PATH_SIGN : STRING;
C_ACCUM_PATH_WIDTHS : STRING;
C_OUTPUT_WIDTH : INTEGER;
C_OUTPUT_PATH_WIDTHS : STRING;
C_ACCUM_OP_PATH_WIDTHS : STRING;
C_EXT_MULT_CNFG : STRING;
C_DATA_PATH_PSAMP_SRC : STRING;
C_OP_PATH_PSAMP_SRC : STRING;
C_NUM_MADDS : INTEGER;
C_OPT_MADDS : STRING;
C_OVERSAMPLING_RATE : INTEGER;
C_INPUT_RATE : INTEGER;
C_OUTPUT_RATE : INTEGER;
C_DATA_MEMTYPE : INTEGER;
C_COEF_MEMTYPE : INTEGER;
C_IPBUFF_MEMTYPE : INTEGER;
C_OPBUFF_MEMTYPE : INTEGER;
C_DATAPATH_MEMTYPE : INTEGER;
C_MEM_ARRANGEMENT : INTEGER;
C_DATA_MEM_PACKING : INTEGER;
C_COEF_MEM_PACKING : INTEGER;
C_FILTS_PACKED : INTEGER;
C_LATENCY : INTEGER;
C_HAS_ARESETn : INTEGER;
C_HAS_ACLKEN : INTEGER;
C_DATA_HAS_TLAST : INTEGER;
C_S_DATA_HAS_FIFO : INTEGER;
C_S_DATA_HAS_TUSER : INTEGER;
C_S_DATA_TDATA_WIDTH : INTEGER;
C_S_DATA_TUSER_WIDTH : INTEGER;
C_M_DATA_HAS_TREADY : INTEGER;
C_M_DATA_HAS_TUSER : INTEGER;
C_M_DATA_TDATA_WIDTH : INTEGER;
C_M_DATA_TUSER_WIDTH : INTEGER;
C_HAS_CONFIG_CHANNEL : INTEGER;
C_CONFIG_SYNC_MODE : INTEGER;
C_CONFIG_PACKET_SIZE : INTEGER;
C_CONFIG_TDATA_WIDTH : INTEGER;
C_RELOAD_TDATA_WIDTH : INTEGER
);
PORT (
aresetn : IN STD_LOGIC;
aclk : IN STD_LOGIC;
aclken : IN STD_LOGIC;
s_axis_data_tvalid : IN STD_LOGIC;
s_axis_data_tready : OUT STD_LOGIC;
s_axis_data_tlast : IN STD_LOGIC;
s_axis_data_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_data_tdata : IN STD_LOGIC_VECTOR(23 DOWNTO 0);
s_axis_config_tvalid : IN STD_LOGIC;
s_axis_config_tready : OUT STD_LOGIC;
s_axis_config_tlast : IN STD_LOGIC;
s_axis_config_tdata : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_reload_tvalid : IN STD_LOGIC;
s_axis_reload_tready : OUT STD_LOGIC;
s_axis_reload_tlast : IN STD_LOGIC;
s_axis_reload_tdata : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_data_tvalid : OUT STD_LOGIC;
m_axis_data_tready : IN STD_LOGIC;
m_axis_data_tlast : OUT STD_LOGIC;
m_axis_data_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_data_tdata : OUT STD_LOGIC_VECTOR(23 DOWNTO 0);
event_s_data_tlast_missing : OUT STD_LOGIC;
event_s_data_tlast_unexpected : OUT STD_LOGIC;
event_s_data_chanid_incorrect : OUT STD_LOGIC;
event_s_config_tlast_missing : OUT STD_LOGIC;
event_s_config_tlast_unexpected : OUT STD_LOGIC;
event_s_reload_tlast_missing : OUT STD_LOGIC;
event_s_reload_tlast_unexpected : OUT STD_LOGIC
);
END COMPONENT fir_compiler_v7_1;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF half_band_FIR_arch: ARCHITECTURE IS "fir_compiler_v7_1,Vivado 2014.2";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF half_band_FIR_arch : ARCHITECTURE IS "half_band_FIR,fir_compiler_v7_1,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF half_band_FIR_arch: ARCHITECTURE IS "half_band_FIR,fir_compiler_v7_1,{x_ipProduct=Vivado 2014.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fir_compiler,x_ipVersion=7.1,x_ipCoreRevision=4,x_ipLanguage=VERILOG,C_XDEVICEFAMILY=artix7,C_ELABORATION_DIR=./,C_COMPONENT_NAME=half_band_FIR,C_COEF_FILE=half_band_FIR.mif,C_COEF_FILE_LINES=5,C_FILTER_TYPE=7,C_INTERP_RATE=1,C_DECIM_RATE=2,C_ZERO_PACKING_FACTOR=1,C_SYMMETRY=1,C_NUM_FILTS=1,C_NUM_TAPS=15,C_NUM_CHANNELS=1,C_CHANNEL_PATTERN=fixed,C_ROUND_MODE=1,C_COEF_RELOAD=0,C_NUM_RELOAD_SLOTS=1,C_COL_MODE=1,C_COL_PIPE_LEN=4,C_COL_CONFIG=1,C_OPTIMIZATION=0,C_DATA_PATH_WIDTHS=22,C_DATA_IP_PATH_WIDTHS=22,C_DATA_PX_PATH_WIDTHS=22,C_DATA_WIDTH=22,C_COEF_PATH_WIDTHS=15,C_COEF_WIDTH=15,C_DATA_PATH_SRC=0,C_COEF_PATH_SRC=0,C_DATA_PATH_SIGN=0,C_COEF_PATH_SIGN=0,C_ACCUM_PATH_WIDTHS=38,C_OUTPUT_WIDTH=22,C_OUTPUT_PATH_WIDTHS=22,C_ACCUM_OP_PATH_WIDTHS=38,C_EXT_MULT_CNFG=none,C_DATA_PATH_PSAMP_SRC=0,C_OP_PATH_PSAMP_SRC=0,C_NUM_MADDS=1,C_OPT_MADDS=none,C_OVERSAMPLING_RATE=5,C_INPUT_RATE=16,C_OUTPUT_RATE=32,C_DATA_MEMTYPE=0,C_COEF_MEMTYPE=2,C_IPBUFF_MEMTYPE=2,C_OPBUFF_MEMTYPE=0,C_DATAPATH_MEMTYPE=2,C_MEM_ARRANGEMENT=1,C_DATA_MEM_PACKING=0,C_COEF_MEM_PACKING=0,C_FILTS_PACKED=0,C_LATENCY=42,C_HAS_ARESETn=0,C_HAS_ACLKEN=0,C_DATA_HAS_TLAST=0,C_S_DATA_HAS_FIFO=1,C_S_DATA_HAS_TUSER=0,C_S_DATA_TDATA_WIDTH=24,C_S_DATA_TUSER_WIDTH=1,C_M_DATA_HAS_TREADY=0,C_M_DATA_HAS_TUSER=0,C_M_DATA_TDATA_WIDTH=24,C_M_DATA_TUSER_WIDTH=1,C_HAS_CONFIG_CHANNEL=0,C_CONFIG_SYNC_MODE=0,C_CONFIG_PACKET_SIZE=0,C_CONFIG_TDATA_WIDTH=1,C_RELOAD_TDATA_WIDTH=1}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TDATA";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TDATA";
BEGIN
U0 : fir_compiler_v7_1
GENERIC MAP (
C_XDEVICEFAMILY => "artix7",
C_ELABORATION_DIR => "./",
C_COMPONENT_NAME => "half_band_FIR",
C_COEF_FILE => "half_band_FIR.mif",
C_COEF_FILE_LINES => 5,
C_FILTER_TYPE => 7,
C_INTERP_RATE => 1,
C_DECIM_RATE => 2,
C_ZERO_PACKING_FACTOR => 1,
C_SYMMETRY => 1,
C_NUM_FILTS => 1,
C_NUM_TAPS => 15,
C_NUM_CHANNELS => 1,
C_CHANNEL_PATTERN => "fixed",
C_ROUND_MODE => 1,
C_COEF_RELOAD => 0,
C_NUM_RELOAD_SLOTS => 1,
C_COL_MODE => 1,
C_COL_PIPE_LEN => 4,
C_COL_CONFIG => "1",
C_OPTIMIZATION => 0,
C_DATA_PATH_WIDTHS => "22",
C_DATA_IP_PATH_WIDTHS => "22",
C_DATA_PX_PATH_WIDTHS => "22",
C_DATA_WIDTH => 22,
C_COEF_PATH_WIDTHS => "15",
C_COEF_WIDTH => 15,
C_DATA_PATH_SRC => "0",
C_COEF_PATH_SRC => "0",
C_DATA_PATH_SIGN => "0",
C_COEF_PATH_SIGN => "0",
C_ACCUM_PATH_WIDTHS => "38",
C_OUTPUT_WIDTH => 22,
C_OUTPUT_PATH_WIDTHS => "22",
C_ACCUM_OP_PATH_WIDTHS => "38",
C_EXT_MULT_CNFG => "none",
C_DATA_PATH_PSAMP_SRC => "0",
C_OP_PATH_PSAMP_SRC => "0",
C_NUM_MADDS => 1,
C_OPT_MADDS => "none",
C_OVERSAMPLING_RATE => 5,
C_INPUT_RATE => 16,
C_OUTPUT_RATE => 32,
C_DATA_MEMTYPE => 0,
C_COEF_MEMTYPE => 2,
C_IPBUFF_MEMTYPE => 2,
C_OPBUFF_MEMTYPE => 0,
C_DATAPATH_MEMTYPE => 2,
C_MEM_ARRANGEMENT => 1,
C_DATA_MEM_PACKING => 0,
C_COEF_MEM_PACKING => 0,
C_FILTS_PACKED => 0,
C_LATENCY => 42,
C_HAS_ARESETn => 0,
C_HAS_ACLKEN => 0,
C_DATA_HAS_TLAST => 0,
C_S_DATA_HAS_FIFO => 1,
C_S_DATA_HAS_TUSER => 0,
C_S_DATA_TDATA_WIDTH => 24,
C_S_DATA_TUSER_WIDTH => 1,
C_M_DATA_HAS_TREADY => 0,
C_M_DATA_HAS_TUSER => 0,
C_M_DATA_TDATA_WIDTH => 24,
C_M_DATA_TUSER_WIDTH => 1,
C_HAS_CONFIG_CHANNEL => 0,
C_CONFIG_SYNC_MODE => 0,
C_CONFIG_PACKET_SIZE => 0,
C_CONFIG_TDATA_WIDTH => 1,
C_RELOAD_TDATA_WIDTH => 1
)
PORT MAP (
aresetn => '1',
aclk => aclk,
aclken => '1',
s_axis_data_tvalid => s_axis_data_tvalid,
s_axis_data_tready => s_axis_data_tready,
s_axis_data_tlast => '0',
s_axis_data_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_data_tdata => s_axis_data_tdata,
s_axis_config_tvalid => '0',
s_axis_config_tlast => '0',
s_axis_config_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_reload_tvalid => '0',
s_axis_reload_tlast => '0',
s_axis_reload_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axis_data_tvalid => m_axis_data_tvalid,
m_axis_data_tready => '1',
m_axis_data_tdata => m_axis_data_tdata
);
END half_band_FIR_arch;
| mit | 0cfbda243962b1c3a73e382569fc00c9 | 0.654076 | 3.090978 | false | true | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/generate/rule_004_test_input.fixed.vhd | 1 | 422 |
architecture RTL of FIFO is
begin
FOR_LABEL : for i in 0 to 7 generate
end generate;
IF_LABEL : if a = '1' generate
end generate;
CASE_LABEL : case data generate
end generate;
-- Violations below
c <= d;
FOR_LABEL: for i in 0 to 7 generate
end generate;
a <= b;
IF_LABEL : if a = '1' generate
end generate;
b <= c;
CASE_LABEL : case data generate
end generate;
end;
| gpl-3.0 | cb2f9e83ee4373662a2d4f03f6ba3108 | 0.611374 | 3.403226 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/comment/rule_010_test_input.fixed.vhd | 1 | 1,144 |
-- failure
-- passed
context interfaces is
library fpga;
-- Comment 2
context fpga.constants;
-- Comment 3
-- Comment 4
-- Comment 5
use fpga.fpga_if.all;
-- Comment 6
-- Comment 7
-- use fpga.registers.all;
use fpga.functions.all;
-- Comment last
end context interfaces;
architecture RTL of FIFO is
-- failure
-- passed
-- failure
begin
-- failure
-- passed
-- failure
end architecture RTL;
architecture rtl of fifo is
constant c_cons1 : t_type :=
(
-- Comment 1
(
-- Comment 2
a => 1,
-- Comment 3
b => 2
)
-- Comment 4
);
begin end architecture RTL;
architecture RTL of FIFO is
-- pass
constant c_const1 : natural := 0;
constant c_const2 : natural := 1;
begin end architecture RTL;
library ieee;
-- Comment 1
architecture rtl of fifo is
-- Comment 2
begin
-- Comment 3
end architecture rtl;
library ieee;
-- Comment 1b
entity fifo is
-- Comment 2b
end entity;
library ieee;
-- Comment 1c
package body fifo_pkg is
-- Comment 2c
end package body;
library ieee;
-- Comment 1c
package fifo_pkg is
-- Comment 2c
end package;
| gpl-3.0 | f02e78a0e79f89bff3ecc90fbd313d2f | 0.636364 | 3.552795 | false | false | false | false |
tdaede/daala_zynq | daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/fifo_generator_v11_0/builtin/clk_x_pntrs_builtin.vhd | 2 | 43,418 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
NqZ1vyo1IO8cfOc/DlsNpw3RouS4S0LuevuKI1fI9fEQgPdbgiJGkxX2mvm6oPmrDcoYrKMuxgmL
kL3FiB1aFA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Jz0RqYhajjEfWJfW3+PTOLlPXObhmyRKrrr1cSHyBb1oSfDWGFFmsJboIVct8I1bW0OEZdjpY1CX
ju14Tsz0As6ag6lAwLyfmRKHiXqXST/9Shogb9cof6GW7xSLdB6WUkUZuXpFL7svaCNkjXzdwni4
xbcX3U2mRIvns/InN04=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Oar9bcMs2V9/GnL6c4eHczbC3eqGtef6SGCfAzRbyb/7oa5GrMzBJxT1JUXSVVaoSsjVhQcy+moZ
Q0BqKAdlIyhoeghPEOrnw0Yj21CSHhwBrX7B/QsdC07p+cpHkCwuMGvF430MB4wk2tBwVLI0dHou
DTpi3ADU46IcySExbrmi29xe3Td9X2+zDRFZssnMS7tZ01UROpmysJicsDytfLg7D/wADvY+1NWP
/I9qgg3FMyK5aVuMLxSDf+kjfMXH9MCW3ClHZHWN6eCruh7qSVq37XKNe0h3UWXF9nfwWkRc29M5
qEoPORoit8My8UZFKzjguMRzgSO+nUd+kM2shg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
BoHXRDHLl9bTljXc3nsrfGVr38LXiPtU+MKe0lVwsxdRaFa8lthJ5OeIjsS6YA7RaqTOysKvSXfy
oKJRu+bpbU4278mnKLM2R3YCzFOk/zRZvNvA0648p8Wq07bLkzHSfCxpJqJSX1jpf+cwk4oZRZ9D
nkF2JrhH72Qxg4peHnk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
OG/U4KZxm9eMPW8/iYsHrZETWEdNGuX1Ou2u1bwmBtaQXXTiG5eTxhij68kLg9V3bkzA90oUvWmb
dr0TK9ZtN/iuP5GH8Le+FqSKJz+BPqMVBC1brmaKsH7L9WtKlhR8awzPHm/zwKgDiOGUNRpDVN+S
7gQZVrFfVcovJLOOCtkSwTvHLj7zKIrD0iaWopXiIa/8NMo4qZTZqg6Dmtz1rZMiuH4cVvn03va0
8Oz0DnGZYnWDqnXkEiVRiHquDKE0Z3otF9QTvFehPSYZjS2o5rdik2Kjqr/s5vGdnZNOLzHeEqms
wlyStLGm+f9chlFonK61BYL7mND7+b2b9l34tg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 30400)
`protect data_block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`protect end_protected
| bsd-2-clause | 3b2954bff76e2baf497d3bb324a8e231 | 0.948155 | 1.825513 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/styles/jcl/c16/data_core.fixed.vhd | 1 | 5,407 | library IEEE;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
-- Uncomment the following lines to use the declarations that are
-- provided for instantiating Xilinx primitive components.
-- library UNISIM;
-- use UNISIM.VComponents.all;
use work.cpu_pack.all;
entity DATA_CORE is
port (
CLK_I : in std_logic;
T2 : in std_logic;
CLR : in std_logic;
CE : in std_logic;
-- select signals
SX : in std_logic_vector( 1 downto 0);
SY : in std_logic_vector( 3 downto 0);
OP : in std_logic_vector( 4 downto 0); -- alu op
PC : in std_logic_vector(15 downto 0); -- PC
QU : in std_logic_vector( 3 downto 0); -- quick operand
SA : in std_logic_vector(4 downto 0); -- select address
SMQ : in std_logic; -- select MQ (H/L)
-- write enable/select signal
WE_RR : in std_logic;
WE_LL : in std_logic;
WE_SP : in SP_OP;
-- data in signals
IMM : in std_logic_vector(15 downto 0); -- immediate data
RDAT : in std_logic_vector( 7 downto 0); -- memory/IO data
-- memory control signals
ADR : out std_logic_vector(15 downto 0);
MQ : out std_logic_vector( 7 downto 0);
Q_RR : out std_logic_vector(15 downto 0);
Q_LL : out std_logic_vector(15 downto 0);
Q_SP : out std_logic_vector(15 downto 0)
);
end entity DATA_CORE;
architecture BEHAVIORAL of DATA_CORE is
function b8 (A : std_logic) return std_logic_vector is
begin
return A & A & A & A & A & A & A & A;
end;
component ALU8 is
port (
CLK_I : in std_logic;
T2 : in std_logic;
CE : in std_logic;
CLR : in std_logic;
ALU_OP : in std_logic_vector( 4 downto 0);
XX : in std_logic_vector(15 downto 0);
YY : in std_logic_vector(15 downto 0);
ZZ : out std_logic_vector(15 downto 0)
);
end component;
component SELECT_YY is
port (
SY : in std_logic_vector( 3 downto 0);
IMM : in std_logic_vector(15 downto 0);
QUICK : in std_logic_vector( 3 downto 0);
RDAT : in std_logic_vector( 7 downto 0);
RR : in std_logic_vector(15 downto 0);
YY : out std_logic_vector(15 downto 0)
);
end component;
-- cpu registers
--
signal rr : std_logic_vector(15 downto 0);
signal ll : std_logic_vector(15 downto 0);
signal sp : std_logic_vector(15 downto 0);
-- internal buses
--
signal xx : std_logic_vector(15 downto 0);
signal yy : std_logic_vector(15 downto 0);
signal zz : std_logic_vector(15 downto 0);
signal adr_x : std_logic_vector(15 downto 0);
signal adr_z : std_logic_vector(15 downto 0);
signal adr_yz : std_logic_vector(15 downto 0);
signal adr_xyz : std_logic_vector(15 downto 0);
begin
ALU_8 : ALU8
port map (
CLK_I => CLK_I,
T2 => T2,
CE => CE,
CLR => CLR,
ALU_OP => OP,
XX => xx,
YY => yy,
ZZ => zz
);
SELYY : SELECT_YY
port map (
SY => SY,
IMM => IMM,
QUICK => QU,
RDAT => RDAT,
RR => rr,
YY => yy
);
ADR <= adr_xyz;
MQ <= zz(15 downto 8) when SMQ = '1' else
zz(7 downto 0);
Q_RR <= rr;
Q_LL <= ll;
Q_SP <= sp;
-- memory address
--
SEL_AX : process (SA(4 downto 3), IMM) is
variable sax : std_logic_vector(4 downto 3);
begin
sax := SA(4 downto 3);
case sax is
when SA_43_I16 =>
adr_x <= IMM;
when SA_43_I8S =>
adr_x <= b8(IMM(7)) & IMM(7 downto 0);
when others =>
adr_x <= b8(SA(3)) & b8(SA(3));
end case;
end process SEL_AX;
SEL_AZ : process (SA(2 downto 1), ll, rr, sp) is
variable saz : std_logic_vector(2 downto 1);
begin
saz := SA(2 downto 1);
case saz is
when SA_21_0 =>
adr_z <= X"0000";
when SA_21_LL =>
adr_z <= ll;
when SA_21_RR =>
adr_z <= rr;
when others =>
adr_z <= sp;
end case;
end process SEL_AZ;
SEL_AYZ : process (SA(0), adr_z) is
begin
adr_yz <= adr_z + (X"000" & "000" & SA(0));
end process SEL_AYZ;
SEL_AXYZ : process (adr_x, adr_yz) is
begin
adr_xyz <= adr_x + adr_yz;
end process SEL_AXYZ;
SEL_XX : process (SX, ll, rr, sp, PC) is
begin
case SX is
when SX_LL =>
xx <= ll;
when SX_RR =>
xx <= rr;
when SX_SP =>
xx <= sp;
when others =>
xx <= PC;
end case;
end process SEL_XX;
REGS : process (CLK_I) is
begin
if (rising_edge(CLK_I)) then
if (CLR = '1') then
rr <= X"0000";
ll <= X"0000";
sp <= X"0000";
elsif (CE = '1' and T2 = '1') then
if (WE_RR = '1') then
rr <= zz;
end if;
if (WE_LL = '1') then
ll <= zz;
end if;
case WE_SP is
when SP_INC =>
sp <= adr_yz;
when SP_LOAD =>
sp <= adr_xyz;
when SP_NOP =>
null;
end case;
end if;
end if;
end process REGS;
end architecture BEHAVIORAL;
| gpl-3.0 | f3fefd7ec1f88d9af833ceac2fe2eef4 | 0.504716 | 3.197516 | false | false | false | false |
tdaede/daala_zynq | daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_bram_ctrl_0_bram_0/daala_zynq_axi_bram_ctrl_0_bram_0/example_design/daala_zynq_axi_bram_ctrl_0_bram_0_prod.vhd | 1 | 10,967 |
--------------------------------------------------------------------------------
--
-- BLK MEM GEN v7.1 Core - Top-level wrapper
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--------------------------------------------------------------------------------
--
-- Filename: daala_zynq_axi_bram_ctrl_0_bram_0_prod.vhd
--
-- Description:
-- This is the top-level BMG wrapper (over BMG core).
--
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: August 31, 2005 - First Release
--------------------------------------------------------------------------------
--
-- Configured Core Parameter Values:
-- (Refer to the SIM Parameters table in the datasheet for more information on
-- the these parameters.)
-- C_FAMILY : zynq
-- C_XDEVICEFAMILY : zynq
-- C_INTERFACE_TYPE : 0
-- C_ENABLE_32BIT_ADDRESS : 1
-- C_AXI_TYPE : 1
-- C_AXI_SLAVE_TYPE : 0
-- C_AXI_ID_WIDTH : 4
-- C_MEM_TYPE : 2
-- C_BYTE_SIZE : 8
-- C_ALGORITHM : 1
-- C_PRIM_TYPE : 1
-- C_LOAD_INIT_FILE : 0
-- C_INIT_FILE_NAME : no_coe_file_loaded
-- C_USE_DEFAULT_DATA : 0
-- C_DEFAULT_DATA : 0
-- C_RST_TYPE : SYNC
-- C_HAS_RSTA : 1
-- C_RST_PRIORITY_A : CE
-- C_RSTRAM_A : 0
-- C_INITA_VAL : 0
-- C_HAS_ENA : 1
-- C_HAS_REGCEA : 0
-- C_USE_BYTE_WEA : 1
-- C_WEA_WIDTH : 8
-- C_WRITE_MODE_A : WRITE_FIRST
-- C_WRITE_WIDTH_A : 64
-- C_READ_WIDTH_A : 64
-- C_WRITE_DEPTH_A : 1024
-- C_READ_DEPTH_A : 1024
-- C_ADDRA_WIDTH : 32
-- C_HAS_RSTB : 1
-- C_RST_PRIORITY_B : CE
-- C_RSTRAM_B : 0
-- C_INITB_VAL : 0
-- C_HAS_ENB : 1
-- C_HAS_REGCEB : 0
-- C_USE_BYTE_WEB : 1
-- C_WEB_WIDTH : 8
-- C_WRITE_MODE_B : WRITE_FIRST
-- C_WRITE_WIDTH_B : 64
-- C_READ_WIDTH_B : 64
-- C_WRITE_DEPTH_B : 1024
-- C_READ_DEPTH_B : 1024
-- C_ADDRB_WIDTH : 32
-- C_HAS_MEM_OUTPUT_REGS_A : 0
-- C_HAS_MEM_OUTPUT_REGS_B : 0
-- C_HAS_MUX_OUTPUT_REGS_A : 0
-- C_HAS_MUX_OUTPUT_REGS_B : 0
-- C_HAS_SOFTECC_INPUT_REGS_A : 0
-- C_HAS_SOFTECC_OUTPUT_REGS_B : 0
-- C_MUX_PIPELINE_STAGES : 0
-- C_USE_ECC : 0
-- C_USE_SOFTECC : 0
-- C_HAS_INJECTERR : 0
-- C_SIM_COLLISION_CHECK : ALL
-- C_COMMON_CLK : 0
-- C_DISABLE_WARN_BHV_COLL : 0
-- C_DISABLE_WARN_BHV_RANGE : 0
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
LIBRARY UNISIM;
USE UNISIM.VCOMPONENTS.ALL;
--------------------------------------------------------------------------------
-- Entity Declaration
--------------------------------------------------------------------------------
ENTITY daala_zynq_axi_bram_ctrl_0_bram_0_prod IS
PORT (
--Port A
CLKA : IN STD_LOGIC;
RSTA : IN STD_LOGIC; --opt port
ENA : IN STD_LOGIC; --optional port
REGCEA : IN STD_LOGIC; --optional port
WEA : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
DOUTA : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
--Port B
CLKB : IN STD_LOGIC;
RSTB : IN STD_LOGIC; --opt port
ENB : IN STD_LOGIC; --optional port
REGCEB : IN STD_LOGIC; --optional port
WEB : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
ADDRB : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
DINB : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
DOUTB : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
--ECC
INJECTSBITERR : IN STD_LOGIC; --optional port
INJECTDBITERR : IN STD_LOGIC; --optional port
SBITERR : OUT STD_LOGIC; --optional port
DBITERR : OUT STD_LOGIC; --optional port
RDADDRECC : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); --optional port
-- AXI BMG Input and Output Port Declarations
-- AXI Global Signals
S_ACLK : IN STD_LOGIC;
S_AXI_AWID : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
S_AXI_AWADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_AWLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_AWVALID : IN STD_LOGIC;
S_AXI_AWREADY : OUT STD_LOGIC;
S_AXI_WDATA : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
S_AXI_WSTRB : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_WLAST : IN STD_LOGIC;
S_AXI_WVALID : IN STD_LOGIC;
S_AXI_WREADY : OUT STD_LOGIC;
S_AXI_BID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0');
S_AXI_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_BVALID : OUT STD_LOGIC;
S_AXI_BREADY : IN STD_LOGIC;
-- AXI Full/Lite Slave Read (Write side)
S_AXI_ARID : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
S_AXI_ARADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_ARLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_ARVALID : IN STD_LOGIC;
S_AXI_ARREADY : OUT STD_LOGIC;
S_AXI_RID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0');
S_AXI_RDATA : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
S_AXI_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_RLAST : OUT STD_LOGIC;
S_AXI_RVALID : OUT STD_LOGIC;
S_AXI_RREADY : IN STD_LOGIC;
-- AXI Full/Lite Sideband Signals
S_AXI_INJECTSBITERR : IN STD_LOGIC;
S_AXI_INJECTDBITERR : IN STD_LOGIC;
S_AXI_SBITERR : OUT STD_LOGIC;
S_AXI_DBITERR : OUT STD_LOGIC;
S_AXI_RDADDRECC : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
S_ARESETN : IN STD_LOGIC
);
END daala_zynq_axi_bram_ctrl_0_bram_0_prod;
ARCHITECTURE xilinx OF daala_zynq_axi_bram_ctrl_0_bram_0_prod IS
COMPONENT daala_zynq_axi_bram_ctrl_0_bram_0_exdes IS
PORT (
--Port A
RSTA : IN STD_LOGIC; --opt port
ENA : IN STD_LOGIC; --opt port
WEA : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
DOUTA : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
CLKA : IN STD_LOGIC;
--Port B
RSTB : IN STD_LOGIC; --opt port
ENB : IN STD_LOGIC; --opt port
WEB : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
ADDRB : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
DINB : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
DOUTB : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
CLKB : IN STD_LOGIC
);
END COMPONENT;
BEGIN
bmg0 : daala_zynq_axi_bram_ctrl_0_bram_0_exdes
PORT MAP (
--Port A
RSTA => RSTA,
ENA => ENA,
WEA => WEA,
ADDRA => ADDRA,
DINA => DINA,
DOUTA => DOUTA,
CLKA => CLKA,
--Port B
RSTB => RSTB,
ENB => ENB,
WEB => WEB,
ADDRB => ADDRB,
DINB => DINB,
DOUTB => DOUTB,
CLKB => CLKB
);
END xilinx;
| bsd-2-clause | fc9626ce46ac8c5f18b2ae42beb31ae2 | 0.490654 | 3.786948 | false | false | false | false |
cwilkens/ecen4024-microphone-array | microphone-array/microphone-array.srcs/sources_1/ip/cascaded_integrator_comb/demo_tb/tb_cascaded_integrator_comb.vhd | 1 | 18,633 | --------------------------------------------------------------------------------
-- (c) Copyright 2011 - 2013 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
-- Description:
-- This is an example testbench for the CIC Compiler IP core.
-- The testbench has been generated by Vivado to accompany the IP core
-- instance you have generated.
--
-- This testbench is for demonstration purposes only. See note below for
-- instructions on how to use it with your core.
--
-- See the CIC Compiler product guide for further information
-- about this core.
--
--------------------------------------------------------------------------------
-- Using this testbench
--
-- This testbench instantiates your generated CIC Compiler core
-- instance named "cascaded_integrator_comb".
--
-- Use Vivado's Run Simulation flow to run this testbench. See the Vivado
-- documentation for details.
--------------------------------------------------------------------------------
-- ~ Test Phase Controller : This controls the behaviour of the other
-- blocks in the testbench, enabling and disabling
-- certain funcitonality at the appropriate times.
-- The net effect is to divide the test into a number
-- of phases, each of which tests a different feature.
-- ~ Upstream Data Master : Supplies sample data on the Data In Channel. The
-- sample data describes sine waves on each channel,
-- with each channel having a higher frequency than
-- the one below it. The same sine waves will be seen
-- on the output channels in a decimated or interpolated
-- manner.
--
---------------------------------------------------------------------------
-- Using this testbench
--
-- This testbench instantiates your generated CIC Compiler core named "cascaded_integrator_comb".
--
-- If your CORE Generator project options were set to generate a structural
-- model, a VHDL netlist named cascaded_integrator_comb.vhd was generated.
-- If this file is not present, execute the following command in the directory
-- containing your CORE Generator output files, to create a VHDL netlist:
--
-- netgen -sim -ofmt vhdl cascaded_integrator_comb.ngc cascaded_integrator_comb.vhd
--
-- Compile cascaded_integrator_comb.vhd into the work library. See your simulator
-- documentation for more information on how to do this.
--
---------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;
entity tb_cascaded_integrator_comb is
end tb_cascaded_integrator_comb;
architecture tb of tb_cascaded_integrator_comb is
-----------------------------------------------------------------------
-- Timing constants
-----------------------------------------------------------------------
constant CLOCK_PERIOD : time := 100 ns;
constant T_HOLD : time := 10 ns;
constant T_STROBE : time := CLOCK_PERIOD - (1 ns);
-- The number of clocks available to the CIC to process a sample (i.e. the oversampling rate).
-- (C_CLK_FREQ/C_SAMPLE_FREQ)/C_NUM_CHANNELS
--
constant CLKS_PER_SAMPLE : integer := 1;
-----------------------------------------------------------------------
-- DUT signals
-----------------------------------------------------------------------
-- General signals
--
signal aclk : std_logic := '0'; -- the master clock
-- Data Input Channel signals
--
signal s_axis_data_tvalid_to_dut : std_logic := '0'; -- Payload is valid
signal s_axis_data_tvalid : std_logic := '0'; -- payload is valid
signal s_axis_data_tready : std_logic := '1'; -- CIC is ready
signal s_axis_data_tdata_to_dut : std_logic_vector(7 downto 0) := (others => '0'); -- data payload
signal s_axis_data_tdata : std_logic_vector(7 downto 0) := (others => '0'); -- data payload
-- Data Out Channel signals
--
signal m_axis_data_tvalid : std_logic := '0'; -- payload is valid
signal m_axis_data_tdata : std_logic_vector(23 downto 0) := (others => '0'); -- data payload
-----------------------------------------------------------------------
-- Aliases
-----------------------------------------------------------------------
-- These are a convenience for viewing data in a simulator waveform viewer.
--
-- Alias for the Data field in the Data Input Channel
--
signal s_axis_data_tdata_data : std_logic_vector(1 downto 0) := (others => '0');
-- Alias for the Data field in the Data Out Channel
--
signal m_axis_data_tdata_data : std_logic_vector(21 downto 0) := (others => '0');
-----------------------------------------------------------------------
-- Constants, types and functions to create input data
-----------------------------------------------------------------------
constant IP_WIDTH : integer := 2; -- Width of the input data
-- Function to generate the input sample data.
--
function calculate_next_input_sample(sample_number : in integer) return std_logic_vector is
variable A : real := 1.0; -- Amplitude for wave
variable F : real := 1.0; -- Frequency for wave
variable P : real := 0.0; -- Phase for wave
variable theta : real;
variable K : integer := 16; -- Limit factor on theta to avoid max x value supported by modelsim for sin(x)
variable y : real; -- The calculated value as a real
variable y_int : integer; -- The calculated value as an integer
variable result : std_logic_vector(IP_WIDTH-1 downto 0);
variable number_of_samples : real := 100.0 * real(16);
begin
theta := (2.0 * MATH_PI * F * real(sample_number mod integer(number_of_samples))) / number_of_samples;
y := A * sin(theta + P);
y_int := integer(round(y * real(2**(IP_WIDTH-2))));
result := std_logic_vector(to_signed(y_int, IP_WIDTH));
return result;
end function calculate_next_input_sample;
-----------------------------------------------------------------------
-- Testbench signals
-----------------------------------------------------------------------
signal g_current_rate : integer := 16; -- The rate that the core is currently programmed to use
signal g_end_simulation : boolean := false; -- Set to true to halt the simulation
-- Test Phase Manager signals and variables
-- ----------------------------------------
type test_phase_t is (PHASE_START_OF_TEST,
NO_USDM_WAITSTATES, -- Upstream Data Master asserts TVALID at core rate
USDM_WAITSTATES, -- Upstream Data Master asserts TVALID with waitstates
PHASE_END_OF_TEST);
signal g_current_test_phase : test_phase_t := PHASE_START_OF_TEST; -- For debug. Add this to your waveform to see what the test is doing
signal g_usdm_waitstates_allowed : boolean := false; -- Set to true if the Upstream Data Master is allowed to insert waitstates
-- This function returns the number of output samples required by a test phase
--
function get_number_of_samples_in_test_phase (
constant NUM_CHANNELS : integer;
constant RATE : integer) return integer is
begin
-- We want to see one cycle of a sine wave at the output per channel. One cycle of the
-- input sine wave is 100 * (current rate) samples, so only 100 are required at the output
-- (per channel).
--
return 100 * NUM_CHANNELS;
end function;
-- ------------------------------------------------------------------------------------------------
-- AXI Functions
-- ------------------------------------------------------------------------------------------------
-- This procedure acts like an AXI master and sends 1 data sample on an AXI channel
--
procedure axi_master_send (
variable tdata_value : in std_logic_vector;
signal aclk : in std_logic;
signal tready : in std_logic;
signal tvalid : out std_logic;
signal tdata : out std_logic_vector) is
begin
tdata <= tdata_value;
tvalid <= '1';
-- Now wait until the rising clock edge where tready is 1
--
loop
wait until rising_edge(aclk);
exit when (tready = '1');
end loop;
tvalid <= '0';
end axi_master_send;
-- This function returns when "number_of_samples" have been seen from the Data Output Channel
--
procedure dout_channel_wait_for_samples (
signal aclk : in std_logic;
signal tvalid : in std_logic;
constant number_of_samples : in integer
) is
variable v_number_of_samples_to_wait : integer := number_of_samples;
begin
while v_number_of_samples_to_wait > 0 loop
wait until rising_edge(aclk) and tvalid = '1';
v_number_of_samples_to_wait := v_number_of_samples_to_wait - 1;
end loop;
end dout_channel_wait_for_samples;
begin
-----------------------------------------------------------------------
-- Instantiate the DUT
-----------------------------------------------------------------------
dut : entity work.cascaded_integrator_comb
port map (
s_axis_data_tvalid => s_axis_data_tvalid_to_dut,
s_axis_data_tready => s_axis_data_tready,
s_axis_data_tdata => s_axis_data_tdata_to_dut,
m_axis_data_tvalid => m_axis_data_tvalid,
m_axis_data_tdata => m_axis_data_tdata,
aclk => aclk
);
-- ----------------------------------------------------------------------------
-- Connect the testbench to the DUT.
-- ----------------------------------------------------------------------------
-- Delay all signals so that they arrive after the clock edge.
s_axis_data_tdata_to_dut <= s_axis_data_tdata after T_HOLD;
s_axis_data_tvalid_to_dut <= s_axis_data_tvalid after T_HOLD;
-----------------------------------------------------------------------
-- Clock Generator
-----------------------------------------------------------------------
--
clock_gen : process
begin
wait for 100 ns; -- Wait for (Verilog) GSR to be de-asserted
while g_end_simulation = false loop
aclk <= '0';
wait for CLOCK_PERIOD/2;
aclk <= '1';
wait for CLOCK_PERIOD/2;
end loop;
report "Simulation finished successfully / Test completed successfully" severity failure;
wait;
end process clock_gen;
-- ----------------------------------------------------------------------------
-- Test Phase Controller
-- ----------------------------------------------------------------------------
--
proc_phase_manager: process
variable v_samples_in_phase : integer := 0; -- The number of output samples required by the test phase
begin
-- Phase: Send data to CIC with no waitstates
-- -------------------------------------------
--
g_current_test_phase <= NO_USDM_WAITSTATES;
g_usdm_waitstates_allowed <= false;
v_samples_in_phase := get_number_of_samples_in_test_phase(RATE => g_current_rate, NUM_CHANNELS => 1);
dout_channel_wait_for_samples (aclk, m_axis_data_tvalid, v_samples_in_phase);
-- Phase: Send data to CIC with waitstates on Data In Channel
-- ----------------------------------------------------------
--
g_current_test_phase <= USDM_WAITSTATES;
g_usdm_waitstates_allowed <= true;
v_samples_in_phase := get_number_of_samples_in_test_phase(RATE => g_current_rate, NUM_CHANNELS => 1);
dout_channel_wait_for_samples (aclk, m_axis_data_tvalid, v_samples_in_phase);
-- End the test
------------------------------------------------
--
g_current_test_phase <= PHASE_END_OF_TEST;
g_end_simulation <= true;
wait;
end process proc_phase_manager;
-- ----------------------------------------------------------------------------
-- Upstream Data Master
-- ----------------------------------------------------------------------------
-- Generation of s_axis_data_tvalid and input data
--
proc_usdm : process
variable v_tdata : std_logic_vector(7 downto 0);
variable v_tlast : std_logic;
variable v_clocks_to_wait : integer := 0;
variable sample_number : integer := 0; -- This is the number of the sample being sent to the CIC and is used to calculate the next value of input data.
-- It is only incremented when the sample for the last channel is sent.
-- Variables for random waitstate generation
--
variable seed1 : integer := 2;
variable seed2 : integer := 1;
variable rand_val : real;
begin
-- Padding bits are ignored so we can set them to anything
--
v_tdata(7 downto 2) := (others => '0');
if g_usdm_waitstates_allowed = false then -- No waitstates, so run at specified input rate
-- Calculate sample value
--
v_tdata(1 downto 0) := calculate_next_input_sample(sample_number);
axi_master_send (tdata_value => v_tdata,
aclk => aclk,
tready => s_axis_data_tready,
tvalid => s_axis_data_tvalid,
tdata => s_axis_data_tdata
);
v_clocks_to_wait := (CLKS_PER_SAMPLE-1);
sample_number:= sample_number + 1;
for i in 1 to v_clocks_to_wait loop
wait until rising_edge(aclk);
end loop;
else -- Waitstates are allowed
UNIFORM(seed1, seed2, rand_val);
-- Decide how long to wait. Anywhere between 1 and 10 should be enough for this example
--
v_clocks_to_wait := integer(rand_val*9.0);
v_clocks_to_wait := v_clocks_to_wait + 1;
for i in 0 to v_clocks_to_wait-1 loop
wait until rising_edge(aclk);
exit when (g_usdm_waitstates_allowed = false);
end loop;
-- Calculate sample value
--
v_tdata(1 downto 0) := calculate_next_input_sample(sample_number);
axi_master_send (tdata_value => v_tdata,
aclk => aclk,
tready => s_axis_data_tready,
tvalid => s_axis_data_tvalid,
tdata => s_axis_data_tdata
);
sample_number:= sample_number + 1;
end if;
end process;
-------------------------------------------------------------------------------
-- Assign TDATA / TUSER fields to aliases, for easy simulator waveform viewing
-------------------------------------------------------------------------------
-- Data Input Channel alias signals
--
-- s_axis_data_tdata_data <= s_axis_data_tdata(1 downto 0);
s_axis_data_tdata_data <= s_axis_data_tdata(1 downto 0) when s_axis_data_tvalid = '1' and s_axis_data_tready = '1';
-- Data Output Channel alias signals
--
-- m_axis_data_tdata_data <= m_axis_data_tdata(21 downto 0);
m_axis_data_tdata_data <= m_axis_data_tdata(21 downto 0) when m_axis_data_tvalid = '1';
-----------------------------------------------------------------------
-- Check outputs
-----------------------------------------------------------------------
check_outputs : process
variable check_ok : boolean := true;
begin
-- Check outputs T_STROBE time after rising edge of clock
wait until rising_edge(aclk);
wait for T_STROBE;
-- Do not check the output payload values, as this requires a numerical model
-- which would make this demonstration testbench unwieldy.
-- Instead, check the protocol of the data master channel:
-- check that the payload is valid (not X) when TVALID is high
if m_axis_data_tvalid = '1' then
if is_x(m_axis_data_tdata) then
report "ERROR: m_axis_data_tdata is invalid when m_axis_data_tvalid is high" severity error;
check_ok := false;
end if;
end if;
assert check_ok
report "ERROR: terminating test with failures." severity failure;
end process check_outputs;
end tb;
| mit | b524aabb13a02c17a777f3b0e7932928 | 0.546826 | 4.566912 | false | true | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/exponent/rule_500_test_input.fixed_lower.vhd | 1 | 373 |
architecture rtl of fifo is
constant con_1 : natural := 20e10;
constant con_2 : natural := 20.56e10;
constant con_3 : natural := 20e-10;
constant con_4 : natural := 20.56e-10;
constant con_5 : natural := 20e10;
constant con_6 : natural := 20.56e10;
constant con_7 : natural := 20e-10;
constant con_8 : natural := 20.56e-10;
begin
end architecture rtl;
| gpl-3.0 | 9714ef87141ee54c8a99aea9d0961a44 | 0.659517 | 3.008065 | false | false | false | false |
Yarr/Yarr-fw | rtl/spartan6/rx-core/wb_rx_bridge.vhd | 2 | 13,815 | -- ####################################
-- # Project: Yarr
-- # Author: Timon Heim
-- # E-Mail: timon.heim at cern.ch
-- # Comments: Bridge between Rx core and Mem
-- ####################################
-- # Address Map:
-- # 0x0000: Start Adr (RO)
-- # 0x0001: Data Cnt (RO)
-- # 0x0002[0]: Loopback (RW)
-- # 0x0003: Data Rate (RO)
-- # 0x0004: Loop Fifo (WO)
library IEEE;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity wb_rx_bridge is
port (
-- Sys Connect
sys_clk_i : in std_logic;
rst_n_i : in std_logic;
-- Wishbone slave interface
wb_adr_i : in std_logic_vector(31 downto 0);
wb_dat_i : in std_logic_vector(31 downto 0);
wb_dat_o : out std_logic_vector(31 downto 0);
wb_cyc_i : in std_logic;
wb_stb_i : in std_logic;
wb_we_i : in std_logic;
wb_ack_o : out std_logic;
wb_stall_o : out std_logic;
-- Wishbone DMA Master Interface
dma_clk_i : in std_logic;
dma_adr_o : out std_logic_vector(31 downto 0);
dma_dat_o : out std_logic_vector(31 downto 0);
dma_dat_i : in std_logic_vector(31 downto 0);
dma_cyc_o : out std_logic;
dma_stb_o : out std_logic;
dma_we_o : out std_logic;
dma_ack_i : in std_logic;
dma_stall_i : in std_logic;
-- Rx Interface
rx_data_i : in std_logic_vector(31 downto 0);
rx_valid_i : in std_logic;
-- Status In
trig_pulse_i : in std_logic;
-- Status out
irq_o : out std_logic;
busy_o : out std_logic
);
end wb_rx_bridge;
architecture Behavioral of wb_rx_bridge is
-- Cmoponents
COMPONENT rx_bridge_fifo
PORT (
rst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
prog_full_thresh : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
prog_empty_thresh : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
full : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
prog_full : OUT STD_LOGIC;
prog_empty : OUT STD_LOGIC
);
END COMPONENT;
COMPONENT rx_bridge_ctrl_fifo
PORT (
rst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
full : OUT STD_LOGIC;
empty : OUT STD_LOGIC
);
END COMPONENT;
-- Constants
constant c_ALMOST_FULL_THRESHOLD : unsigned(10 downto 0) := TO_UNSIGNED(1900, 11);
constant c_PACKAGE_SIZE : unsigned(31 downto 0) := TO_UNSIGNED((200*256), 32); -- 200kByte
constant c_TIMEOUT : unsigned(31 downto 0) := TO_UNSIGNED(2**14, 32); -- Counts in 5ns = 0.1ms
constant c_TIME_FRAME : unsigned(31 downto 0) := TO_UNSIGNED(200000000-1, 32); -- 200MHz clock cycles in 1 sec
constant c_EMPTY_THRESHOLD : unsigned(10 downto 0) := TO_UNSIGNED(16, 11);
constant c_EMPTY_TIMEOUT : unsigned(10 downto 0) := TO_UNSIGNED(2000, 11);
-- Signals
signal data_fifo_din : std_logic_vector(31 downto 0);
signal data_fifo_dout : std_logic_vector(31 downto 0);
signal data_fifo_wren : std_logic;
signal data_fifo_rden : std_logic;
signal data_fifo_full : std_logic;
signal data_fifo_empty : std_logic;
signal data_fifo_almost_full : std_logic;
signal data_fifo_prog_empty : std_logic;
signal data_fifo_empty_cnt : unsigned(10 downto 0);
signal data_fifo_empty_true : std_logic;
signal data_fifo_empty_pressure : std_logic;
signal ctrl_fifo_din : std_logic_vector(63 downto 0);
signal ctrl_fifo_dout : std_logic_vector(63 downto 0);
signal ctrl_fifo_wren : std_logic;
signal ctrl_fifo_rden : std_logic;
signal ctrl_fifo_full : std_logic;
signal ctrl_fifo_empty : std_logic;
signal dma_stb_t : std_logic;
signal dma_stb_valid : std_logic;
signal dma_adr_cnt : unsigned(31 downto 0);
signal dma_start_adr : unsigned(31 downto 0);
signal dma_data_cnt : unsigned(31 downto 0);
signal dma_data_cnt_d : unsigned(31 downto 0);
signal dma_timeout_cnt : unsigned(31 downto 0);
signal dma_ack_cnt : unsigned(7 downto 0);
signal rx_data_local : std_logic_vector(31 downto 0);
signal rx_valid_local : std_logic;
signal rx_data_local_d : std_logic_vector(31 downto 0);
signal rx_valid_local_d : std_logic;
signal ctrl_fifo_dout_tmp : std_logic_vector(31 downto 0);
signal time_cnt : unsigned(31 downto 0);
signal time_pulse : std_logic;
signal data_rate_cnt : unsigned(31 downto 0);
signal trig_cnt : unsigned(31 downto 0);
signal trig_pulse_d0 : std_logic;
signal trig_pulse_d1 : std_logic;
signal trig_pulse_pos : std_logic;
-- Registers
signal loopback : std_logic;
signal data_rate : std_logic_vector(31 downto 0);
begin
--Tie offs
irq_o <= '0';
busy_o <= data_fifo_full;
-- Wishbone Slave
wb_slave_proc: process(sys_clk_i, rst_n_i)
begin
if (rst_n_i = '0') then
wb_dat_o <= (others => '0');
wb_ack_o <= '0';
wb_stall_o <= '0';
ctrl_fifo_rden <= '0';
rx_valid_local <= '0';
ctrl_fifo_dout_tmp <= (others => '0');
-- Regs
loopback <= '0';
elsif rising_edge(sys_clk_i) then
-- Default
wb_ack_o <= '0';
ctrl_fifo_rden <= '0';
wb_stall_o <= '0';
rx_valid_local <= '0';
if (wb_cyc_i = '1' and wb_stb_i = '1') then
if (wb_we_i = '0') then
-- READ
if (wb_adr_i(3 downto 0) = x"0") then -- Start Addr
if (ctrl_fifo_empty = '0') then
wb_dat_o <= ctrl_fifo_dout(31 downto 0);
ctrl_fifo_dout_tmp <= ctrl_fifo_dout(63 downto 32);
wb_ack_o <= '1';
ctrl_fifo_rden <= '1';
else
wb_dat_o <= x"FFFFFFFF";
ctrl_fifo_dout_tmp <= (others => '0');
wb_ack_o <= '1';
ctrl_fifo_rden <= '0';
end if;
elsif (wb_adr_i(3 downto 0) = x"1") then -- Count
wb_dat_o <= ctrl_fifo_dout_tmp;
wb_ack_o <= '1';
elsif (wb_adr_i(3 downto 0) = x"2") then -- Loopback
wb_dat_o(31 downto 1) <= (others => '0');
wb_dat_o(0) <= loopback;
wb_ack_o <= '1';
elsif (wb_adr_i(3 downto 0) = x"3") then -- Data Rate
wb_dat_o <= data_rate;
wb_ack_o <= '1';
elsif (wb_adr_i(3 downto 0) = x"5") then -- Bridge Empty
wb_dat_o(31 downto 1) <= (others => '0');
wb_dat_o(0) <= data_fifo_empty_true;
wb_ack_o <= '1';
elsif (wb_adr_i(3 downto 0) = x"6") then -- Cur Count
wb_dat_o <= std_logic_vector(dma_data_cnt_d);
wb_ack_o <= '1';
else
wb_dat_o <= x"DEADBEEF";
wb_ack_o <= '1';
end if;
else
-- WRITE
wb_ack_o <= '1';
if (wb_adr_i(3 downto 0) = x"2") then
loopback <= wb_dat_i(0);
elsif (wb_adr_i(3 downto 0) = x"4") then
rx_valid_local <= '1';
end if;
end if;
end if;
end if;
end process wb_slave_proc;
-- Data from Rx
data_rec : process (sys_clk_i, rst_n_i)
begin
if (rst_n_i <= '0') then
data_fifo_wren <= '0';
data_fifo_din <= (others => '0');
elsif rising_edge(sys_clk_i) then
if (loopback = '1') then
data_fifo_wren <= rx_valid_local_d;
data_fifo_din <= rx_data_local_d;
else
data_fifo_wren <= rx_valid_i;
data_fifo_din <= rx_data_i;
end if;
end if;
end process data_rec;
-- Empty logic to produce some backpressure
data_fifo_empty <= '1' when (data_fifo_empty_true = '1') else data_fifo_empty_pressure;
empty_proc : process(dma_clk_i, rst_n_i)
begin
if (rst_n_i = '0') then
data_fifo_empty_pressure <= '0';
data_fifo_empty_cnt <= (others => '0');
elsif rising_edge(dma_clk_i) then
-- Timeout Counter
if (data_fifo_empty_true = '0' and data_fifo_empty_pressure = '1') then
data_fifo_empty_cnt <= data_fifo_empty_cnt + 1;
elsif (data_fifo_empty_true = '1') then
data_fifo_empty_cnt <= (others => '0');
end if;
if (data_fifo_empty_cnt > c_EMPTY_TIMEOUT) then
data_fifo_empty_pressure <= '0';
elsif (data_fifo_prog_empty = '0') then
data_fifo_empty_pressure <= '0';
elsif (data_fifo_empty_true = '1') then
data_fifo_empty_pressure <= '1';
end if;
end if;
end process empty_proc;
-- DMA Master and data control
dma_stb_valid <= dma_stb_t and not data_fifo_empty;
to_ddr_proc: process(dma_clk_i, rst_n_i)
begin
if(rst_n_i = '0') then
dma_stb_t <= '0';
data_fifo_rden <= '0';
dma_adr_o <= (others => '0');
dma_dat_o <= (others => '0');
dma_cyc_o <= '0';
dma_stb_o <= '0';
dma_we_o <= '1'; -- Write only
elsif rising_edge(dma_clk_i) then
if (data_fifo_empty = '0' and dma_stall_i = '0' and ctrl_fifo_full = '0') then
dma_stb_t <= '1';
data_fifo_rden <= '1';
else
dma_stb_t <= '0';
data_fifo_rden <= '0';
end if;
if (data_fifo_empty = '0' or dma_ack_cnt > 0) then
dma_cyc_o <= '1';
else
dma_cyc_o <= '0';
end if;
dma_adr_o <= std_logic_vector(dma_adr_cnt);
dma_dat_o <= data_fifo_dout;
dma_stb_o <= dma_stb_t and not data_fifo_empty;
dma_we_o <= '1'; -- Write only
end if;
end process to_ddr_proc;
adr_proc : process (dma_clk_i, rst_n_i)
begin
if (rst_n_i = '0') then
ctrl_fifo_wren <= '0';
dma_adr_cnt <= (others => '0');
dma_start_adr <= (others => '0');
dma_data_cnt <= (others => '0');
dma_data_cnt_d <= (others => '0');
dma_timeout_cnt <= (others => '0');
ctrl_fifo_din(63 downto 0) <= (others => '0');
dma_ack_cnt <= (others => '0');
elsif rising_edge(dma_clk_i) then
-- Address Counter
if (dma_stb_valid = '1') then
dma_adr_cnt <= dma_adr_cnt + 1;
end if;
if (dma_stb_valid = '1' and dma_ack_i = '0') then
dma_ack_cnt <= dma_ack_cnt + 1;
elsif (dma_stb_valid = '0' and dma_ack_i = '1' and dma_ack_cnt > 0) then
dma_ack_cnt <= dma_ack_cnt - 1;
end if;
-- Package size counter
-- Check if Fifo is full
if (dma_stb_valid = '1' and dma_data_cnt >= c_PACKAGE_SIZE and ctrl_fifo_full = '0') then
ctrl_fifo_din(63 downto 32) <= std_logic_vector(dma_data_cnt);
ctrl_fifo_din(31 downto 0) <= std_logic_vector(dma_start_adr);
dma_start_adr <= dma_start_adr + c_PACKAGE_SIZE;
dma_data_cnt <= TO_UNSIGNED(1, 32);
ctrl_fifo_wren <= '1';
elsif (dma_stb_valid = '0' and dma_data_cnt >= c_PACKAGE_SIZE and ctrl_fifo_full = '0') then
ctrl_fifo_din(63 downto 32) <= std_logic_vector(dma_data_cnt);
ctrl_fifo_din(31 downto 0) <= std_logic_vector(dma_start_adr);
dma_start_adr <= dma_start_adr + c_PACKAGE_SIZE;
dma_data_cnt <= TO_UNSIGNED(0, 32);
ctrl_fifo_wren <= '1';
elsif (dma_stb_valid = '0' and dma_timeout_cnt >= c_TIMEOUT and dma_data_cnt > 0 and ctrl_fifo_full ='0') then
ctrl_fifo_din(63 downto 32) <= std_logic_vector(dma_data_cnt);
ctrl_fifo_din(31 downto 0) <= std_logic_vector(dma_start_adr);
dma_start_adr <= dma_start_adr + dma_data_cnt;
dma_data_cnt <= TO_UNSIGNED(0, 32);
ctrl_fifo_wren <= '1';
elsif (dma_stb_valid = '1') then
dma_data_cnt <= dma_data_cnt + 1;
ctrl_fifo_wren <= '0';
else
ctrl_fifo_wren <= '0';
end if;
dma_data_cnt_d <= dma_data_cnt;
-- if (dma_data_cnt = 0 and ctrl_fifo_wren = '1') then -- New package
-- ctrl_fifo_din(31 downto 0) <= std_logic_vector(dma_adr_cnt);
-- elsif (dma_data_cnt = 1 and ctrl_fifo_wren = '1') then -- Flying take over
-- ctrl_fifo_din(31 downto 0) <= std_logic_vector(dma_adr_cnt-1);
-- end if;
-- Timeout counter
if (dma_data_cnt > 0 and data_fifo_empty = '1') then
dma_timeout_cnt <= dma_timeout_cnt + 1;
elsif (data_fifo_empty = '0') then
dma_timeout_cnt <= TO_UNSIGNED(0, 32);
end if;
end if;
end process adr_proc;
-- Data Rate maeasurement
data_rate_proc: process(sys_clk_i, rst_n_i)
begin
if (rst_n_i = '0') then
data_rate_cnt <= (others => '0');
data_rate <= (others => '0');
time_cnt <= (others => '0');
time_pulse <= '0';
elsif rising_edge(sys_clk_i) then
-- 1Hz pulser
if (time_cnt = c_TIME_FRAME) then
time_cnt <= (others => '0');
time_pulse <= '1';
else
time_cnt <= time_cnt + 1;
time_pulse <= '0';
end if;
if (time_pulse = '1') then
data_rate <= std_logic_vector(data_rate_cnt);
data_rate_cnt <= (others => '0');
elsif (data_fifo_wren = '1') then
data_rate_cnt <= data_rate_cnt + 1;
end if;
end if;
end process data_rate_proc;
-- Loopback delay
delayproc : process (sys_clk_i, rst_n_i)
begin
if (rst_n_i = '0') then
rx_data_local <= (others => '0');
rx_data_local_d <= (others => '0');
rx_valid_local_d <= '0';
elsif rising_edge(sys_clk_i) then
rx_data_local_d <= wb_dat_i;
rx_valid_local_d <= rx_valid_local;
end if;
end process;
-- Trigger sync and count
trig_sync : process (sys_clk_i, rst_n_i)
begin
if (rst_n_i = '0') then
trig_pulse_d0 <= '0';
trig_pulse_d1 <= '0';
trig_pulse_pos <= '0';
trig_cnt <= (others => '0');
elsif rising_edge(sys_clk_i) then
trig_pulse_d0 <= trig_pulse_i;
trig_pulse_d1 <= trig_pulse_d0;
if (trig_pulse_d0 = '1' and trig_pulse_d1 = '0') then
trig_pulse_pos <= '1';
else
trig_pulse_pos <= '0';
end if;
if (trig_pulse_pos = '1') then
trig_cnt <= trig_cnt + 1;
end if;
end if;
end process trig_sync;
cmp_rx_bridge_fifo : rx_bridge_fifo PORT MAP (
rst => not rst_n_i,
wr_clk => sys_clk_i,
rd_clk => dma_clk_i,
din => data_fifo_din,
wr_en => data_fifo_wren,
rd_en => data_fifo_rden,
prog_full_thresh => std_logic_vector(c_ALMOST_FULL_THRESHOLD),
prog_empty_thresh => std_logic_vector(c_EMPTY_THRESHOLD),
dout => data_fifo_dout,
full => data_fifo_full,
empty => data_fifo_empty_true,
prog_full => data_fifo_almost_full,
prog_empty => data_fifo_prog_empty
);
cmp_rx_bridge_ctrl_fifo : rx_bridge_ctrl_fifo PORT MAP (
rst => not rst_n_i,
wr_clk => dma_clk_i,
rd_clk => sys_clk_i,
din => ctrl_fifo_din,
wr_en => ctrl_fifo_wren,
rd_en => ctrl_fifo_rden,
dout => ctrl_fifo_dout,
full => ctrl_fifo_full,
empty => ctrl_fifo_empty
);
end Behavioral;
| gpl-3.0 | 0d88ec910f8b931c5822826492f4aeb0 | 0.597032 | 2.567844 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/vhdlFile/concurrent_statement/classification_test_input.vhd | 1 | 5,845 |
entity BLOCK_EXAMPLE is
end entity BLOCK_EXAMPLE;
architecture RTL of BLOCK_EXAMPLE is
begin
BLK : block is
begin
LABEL : assert TRUE
report "This is a string"
severity WARNING;
-- Simple form
a <= b;
GEN : for ii in 0 to 7 generate
-- Simple form
a <= b when 'a' else
c when 'b' else
d;
LABEL : assert TRUE
report "This is a string"
severity WARNING;
BLK2 : block is
begin
assert TRUE
report "This is a string"
severity WARNING;
-- Basic version
with sel select
out1 <= a when "00",
b when "01",
c when "10",
d when others;
GEN2 : for jj in 0 to 7 generate
-- Simple form
simple_label : a <= b;
assert TRUE
report "This is a string"
severity WARNING;
end generate GEN2;
end block BLK2;
end generate GEN;
end block BLK;
BLK : block is
begin
-- Simple form
conditional_label : a <= b when 'a' else
c when 'b' else
d;
IF_GEN_LABEL: if a = x generate
-- Basic version
select_label : with sel select
out1 <= a when "00",
b when "01",
c when "10",
d when others;
BLK2 : block is
begin
LABEL : assert TRUE
report "This is a string";
-- Simple form
simple_label : postponed a <= b;
GEN2 : for jj in 0 to 7 generate
-- Simple form
conditional_label : postponed a <= b when 'a' else
c when 'b' else
d;
LABEL : assert TRUE
report "This is a string";
BLK3: block is
begin
LABEL : assert TRUE
report "This is a string";
end block BLK3;
end generate GEN2;
BLK4 : block is
begin
CASE_GEN_LABEL : case a & B & c generate
when "000" =>
LABEL : assert TRUE
report "This is a string";
-- Basic version
select_label : postponed with sel select
out1 <= a when "00",
b when "01",
c when "10",
d when others;
BLK4A : block is
begin
LABEL : assert TRUE
report "This is a string";
-- Simple form
postponed a <= b;
end block BLK4A;
when "001" =>
-- Simple form
postponed a <= b when 'a' else
c when 'b' else
d;
IF_GEN_LABELA: if a = y generate
LABEL : assert TRUE
severity WARNING;
-- Basic version
postponed with sel select
out1 <= a when "00",
b when "01",
c when "10",
d when others;
BLK4B : block is
begin
LABEL : assert TRUE
severity WARNING;
-- Simple form
conditional_label : postponed a <= b when 'a' else
c when 'b' else
d;
end block BLK4B;
end generate IF_GEN_LABELA;
end generate CASE_GEN_LABEL;
end block BLK4;
BLK5 : block is
begin
postponed assert TRUE
report "This is a string";
-- Simple form
conditional_label : postponed a <= b when 'a' else
c when 'b' else
d;
end block BLK5;
end block BLK2;
end generate IF_GEN_LABEL;
GEN : for ii in 0 to 7 generate
-- Simple form
conditional_label : postponed a <= b when 'a' else
c when 'b' else
d;
postponed assert TRUE
report "This is a string";
GEN2 : for jj in 0 to 7 generate
postponed assert TRUE
report "This is a string";
-- Simple form
conditional_label : postponed a <= b when 'a' else
c when 'b' else
d;
IF_GEN_LABEL2 : if b = y generate
-- Simple form
conditional_label : postponed a <= b when 'a' else
c when 'b' else
d;
BLK2 : block is
begin
LABEL : postponed assert TRUE
report "This is a string";
-- Simple form
conditional_label : postponed a <= b when 'a' else
c when 'b' else
d;
end block BLK2;
elsif x = z generate
BLK2 : block is
begin
-- Simple form
conditional_label : postponed a <= b when 'a' else
c when 'b' else
d;
LABEL : postponed assert TRUE
report "This is a string";
end block BLK2;
end generate IF_GEN_LABEL2;
end generate GEN2;
end generate GEN;
end block BLK;
end architecture RTL;
| gpl-3.0 | dca978ff8bb180d38ea728ffc66aa84b | 0.40479 | 5.402033 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/case/rule_019_test_input.vhd | 1 | 622 |
architecture ARCH of ENTITY is
begin
PROC_1 : process (a, b, c) is
begin
case boolean_1 is
when STATE_1 =>
a <= b;
b <= c;
c <= d;
end case;
end process PROC_1;
PROC_2 : process (a, b, c) is
begin
CASE_LABEL : case boolean_1 is
when STATE_1=>
a <= b;
b <= c;
c <= d;
end CASE;
end process PROC_2;
PROC_2 : process (a, b, c) is
begin
CASE_LABEL : -- Some Comment
case boolean_1 is
when STATE_1=>
a <= b;
b <= c;
c <= d;
end CASE;
end process PROC_2;
end architecture ARCH;
| gpl-3.0 | 2c12e8f081fb152bbacaf03c187184f9 | 0.483923 | 3.273684 | false | false | false | false |
Nibble-Knowledge/peripheral-ethernet | vhdl-serial/cpu2periph.vhd | 1 | 3,202 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 16:44:29 03/03/2016
-- Design Name:
-- Module Name: cpu2periph - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity cpu2periph is
Port ( clk_cpu : in STD_LOGIC;
reset : in STD_LOGIC;
cpu_write : in STD_LOGIC;
cpu_data : in STD_LOGIC_VECTOR (3 downto 0);
--established : in STD_LOGIC;
buffok : in STD_LOGIC;
setbuff : out STD_LOGIC;
pcbuff : out STD_LOGIC_VECTOR (7 downto 0);
cpu_ready : out STD_LOGIC;
debug : out std_logic);
end cpu2periph;
architecture Behavioral of cpu2periph is
signal buff : std_logic_vector(pcbuff'range);
type PERIPHSTATE is (WAIT4EMPTYBUFF, WAIT4MSB, RXMSB, WAIT4LSB, RXLSB);
signal CurrState : PERIPHSTATE;
signal dbg : std_logic;
begin
process(clk_cpu, reset)
begin
if reset = '1' then
CurrState <= WAIT4EMPTYBUFF;
buff <= (others => '0');
pcbuff <= (others => '0');
cpu_ready <= '0';
dbg <= '0';
elsif rising_edge(clk_cpu) then
case CurrState is
when WAIT4EMPTYBUFF => --wait until the BUFFOK flag is cleared, which hopefully happens fast enough, and connection is established
setbuff <= '0';
if buffok = '0' then --and established = '1' then
CurrState <= WAIT4MSB;
end if;
when WAIT4MSB => --wait for CPU to request a write
if cpu_write = '1' then
cpu_ready <= '1';
CurrState <= RXMSB;
end if;
when RXMSB => --meh, quite a useless state
-- CurrState <= STOPMSB;
--when STOPMSB => --set ready low, and while cpu_write is still high, copy its data to the buffer
-- cpu_ready <= '0';
if cpu_write = '1' then
buff(7 downto 4) <= cpu_data;
else
cpu_ready <= '0';
CurrState <= WAIT4LSB;
end if;
when WAIT4LSB => --wait for CPU to request a write
if cpu_write = '1' then
cpu_ready <= '1';
CurrState <= RXLSB;
end if;
when RXLSB => --meh, quite a useless state
-- CurrState <= STOPLSB;
--when STOPLSB => --set ready low, and while cpu_write is still high, copy its data to the buffer
-- cpu_ready <= '0';
if cpu_write = '1' then
buff(3 downto 0) <= cpu_data;
else
--Our data is ready, put it into the PCBUFF and set the BUFFOK flag
cpu_ready <= '0';
pcbuff <= buff;
setbuff <= '1';
CurrState <= WAIT4EMPTYBUFF;
end if;
dbg <= not dbg;
end case;
end if;
end process;
debug <= dbg;
end Behavioral;
| unlicense | de8f084861b87638ffcecf408b802f6d | 0.574953 | 3.424599 | false | false | false | false |
tdaede/daala_zynq | daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_bram_ctrl_0_bram_0/daala_zynq_axi_bram_ctrl_0_bram_0/simulation/daala_zynq_axi_bram_ctrl_0_bram_0_synth.vhd | 1 | 12,981 |
--------------------------------------------------------------------------------
--
-- BLK MEM GEN v8_0 Core - Synthesizable Testbench
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: daala_zynq_axi_bram_ctrl_0_bram_0_synth.vhd
--
-- Description:
-- Synthesizable Testbench
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: Sep 12, 2011 - First Release
--------------------------------------------------------------------------------
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.NUMERIC_STD.ALL;
USE IEEE.STD_LOGIC_MISC.ALL;
LIBRARY STD;
USE STD.TEXTIO.ALL;
--LIBRARY unisim;
--USE unisim.vcomponents.ALL;
LIBRARY work;
USE work.ALL;
USE work.BMG_TB_PKG.ALL;
ENTITY daala_zynq_axi_bram_ctrl_0_bram_0_synth IS
GENERIC (
C_ROM_SYNTH : INTEGER := 1
);
PORT(
CLK_IN : IN STD_LOGIC;
CLKB_IN : IN STD_LOGIC;
RESET_IN : IN STD_LOGIC;
STATUS : OUT STD_LOGIC_VECTOR(6 DOWNTO 0) := (OTHERS => '0') --ERROR STATUS OUT OF FPGA
);
END ENTITY;
ARCHITECTURE daala_zynq_axi_bram_ctrl_0_bram_0_synth_ARCH OF daala_zynq_axi_bram_ctrl_0_bram_0_synth IS
CONSTANT STIM_CNT : INTEGER := if_then_else((C_ROM_SYNTH=0),8,22);
-- TDP Configuration
COMPONENT BMG_STIM_GEN
PORT (
CLKA : IN STD_LOGIC;
CLKB : IN STD_LOGIC;
RSTA : IN STD_LOGIC;
RSTB : IN STD_LOGIC;
TB_RST : IN STD_LOGIC;
ADDRA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
DINA : OUT STD_LOGIC_VECTOR(63 DOWNTO 0) := (OTHERS => '0');
ENA : OUT STD_LOGIC :='0';
WEA : OUT STD_LOGIC_VECTOR (7 DOWNTO 0) := (OTHERS => '0');
WEB : OUT STD_LOGIC_VECTOR (7 DOWNTO 0) := (OTHERS => '0');
ADDRB : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
DINB : OUT STD_LOGIC_VECTOR(63 DOWNTO 0) := (OTHERS => '0');
ENB : OUT STD_LOGIC :='0';
CHECK_DATA: OUT STD_LOGIC_VECTOR(1 DOWNTO 0):=(OTHERS => '0')
);
END COMPONENT;
COMPONENT daala_zynq_axi_bram_ctrl_0_bram_0_exdes
PORT (
--Inputs - Port A
RSTA : IN STD_LOGIC; --opt port
ENA : IN STD_LOGIC; --opt port
WEA : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
DOUTA : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
CLKA : IN STD_LOGIC;
--Inputs - Port B
RSTB : IN STD_LOGIC; --opt port
ENB : IN STD_LOGIC; --opt port
WEB : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
ADDRB : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
DINB : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
DOUTB : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
CLKB : IN STD_LOGIC
);
END COMPONENT;
SIGNAL CLKA: STD_LOGIC := '0';
SIGNAL RSTA: STD_LOGIC := '0';
SIGNAL ENA: STD_LOGIC := '0';
SIGNAL ENA_R: STD_LOGIC := '0';
SIGNAL WEA: STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0');
SIGNAL WEA_R: STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0');
SIGNAL ADDRA: STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
SIGNAL ADDRA_R: STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
SIGNAL ADDRA_SHIFT: STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
SIGNAL ADDRA_SHIFT_R: STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
SIGNAL ADDRB_SHIFT: STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
SIGNAL ADDRB_SHIFT_R: STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
SIGNAL DINA: STD_LOGIC_VECTOR(63 DOWNTO 0) := (OTHERS => '0');
SIGNAL DINA_R: STD_LOGIC_VECTOR(63 DOWNTO 0) := (OTHERS => '0');
SIGNAL DOUTA: STD_LOGIC_VECTOR(63 DOWNTO 0);
SIGNAL CLKB: STD_LOGIC := '0';
SIGNAL RSTB: STD_LOGIC := '0';
SIGNAL ENB: STD_LOGIC := '0';
SIGNAL ENB_R: STD_LOGIC := '0';
SIGNAL WEB: STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0');
SIGNAL WEB_R: STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0');
SIGNAL ADDRB: STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
SIGNAL ADDRB_R: STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
SIGNAL DINB: STD_LOGIC_VECTOR( 63 DOWNTO 0) := (OTHERS => '0');
SIGNAL DINB_R: STD_LOGIC_VECTOR( 63 DOWNTO 0) := (OTHERS => '0');
SIGNAL DOUTB: STD_LOGIC_VECTOR(63 DOWNTO 0);
SIGNAL CHECKER_EN : STD_LOGIC:='0';
SIGNAL CHECKER_EN_R : STD_LOGIC:='0';
SIGNAL CHECK_DATA_TDP : STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0');
SIGNAL CHECKER_ENB_R : STD_LOGIC := '0';
SIGNAL STIMULUS_FLOW : STD_LOGIC_VECTOR(22 DOWNTO 0) := (OTHERS =>'0');
SIGNAL clk_in_i: STD_LOGIC;
SIGNAL RESET_SYNC_R1 : STD_LOGIC:='1';
SIGNAL RESET_SYNC_R2 : STD_LOGIC:='1';
SIGNAL RESET_SYNC_R3 : STD_LOGIC:='1';
SIGNAL clkb_in_i: STD_LOGIC;
SIGNAL RESETB_SYNC_R1 : STD_LOGIC := '1';
SIGNAL RESETB_SYNC_R2 : STD_LOGIC := '1';
SIGNAL RESETB_SYNC_R3 : STD_LOGIC := '1';
SIGNAL ITER_R0 : STD_LOGIC := '0';
SIGNAL ITER_R1 : STD_LOGIC := '0';
SIGNAL ITER_R2 : STD_LOGIC := '0';
SIGNAL ISSUE_FLAG : STD_LOGIC_VECTOR(5 DOWNTO 0) := (OTHERS => '0');
SIGNAL ISSUE_FLAG_STATUS : STD_LOGIC_VECTOR(5 DOWNTO 0) := (OTHERS => '0');
BEGIN
-- clk_buf: bufg
-- PORT map(
-- i => CLK_IN,
-- o => clk_in_i
-- );
clk_in_i <= CLK_IN;
CLKA <= clk_in_i;
-- clkb_buf: bufg
-- PORT map(
-- i => CLKB_IN,
-- o => clkb_in_i
-- );
clkb_in_i <= CLKB_IN;
CLKB <= clkb_in_i;
RSTA <= RESET_SYNC_R3 AFTER 50 ns;
PROCESS(clk_in_i)
BEGIN
IF(RISING_EDGE(clk_in_i)) THEN
RESET_SYNC_R1 <= RESET_IN;
RESET_SYNC_R2 <= RESET_SYNC_R1;
RESET_SYNC_R3 <= RESET_SYNC_R2;
END IF;
END PROCESS;
RSTB <= RESETB_SYNC_R3 AFTER 100 ns;
PROCESS(clkb_in_i)
BEGIN
IF(RISING_EDGE(clkb_in_i)) THEN
RESETB_SYNC_R1 <= RESET_IN;
RESETB_SYNC_R2 <= RESETB_SYNC_R1;
RESETB_SYNC_R3 <= RESETB_SYNC_R2;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
ISSUE_FLAG_STATUS<= (OTHERS => '0');
ELSE
ISSUE_FLAG_STATUS <= ISSUE_FLAG_STATUS OR ISSUE_FLAG;
END IF;
END IF;
END PROCESS;
STATUS(5 DOWNTO 0) <= ISSUE_FLAG_STATUS;
BMG_DATA_CHECKER_INST_A: ENTITY work.CHECKER
GENERIC MAP (
WRITE_WIDTH => 64,
READ_WIDTH => 64
)
PORT MAP (
CLK => CLKA,
RST => RSTA,
EN => CHECKER_EN_R,
DATA_IN => DOUTA,
STATUS => ISSUE_FLAG(0)
);
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RSTA='1') THEN
CHECKER_EN_R <= '0';
ELSE
CHECKER_EN_R <= CHECK_DATA_TDP(0) AFTER 50 ns;
END IF;
END IF;
END PROCESS;
BMG_DATA_CHECKER_INST_B: ENTITY work.CHECKER
GENERIC MAP (
WRITE_WIDTH => 64,
READ_WIDTH => 64
)
PORT MAP (
CLK => CLKB,
RST => RSTB,
EN => CHECKER_ENB_R,
DATA_IN => DOUTB,
STATUS => ISSUE_FLAG(1)
);
PROCESS(CLKB)
BEGIN
IF(RISING_EDGE(CLKB)) THEN
IF(RSTB='1') THEN
CHECKER_ENB_R <= '0';
ELSE
CHECKER_ENB_R <= CHECK_DATA_TDP(1) AFTER 100 ns;
END IF;
END IF;
END PROCESS;
BMG_STIM_GEN_INST: BMG_STIM_GEN
PORT MAP(
CLKA => CLKA,
CLKB => CLKB,
RSTA => RSTA,
RSTB => RSTB,
TB_RST => RSTA,
ADDRA => ADDRA,
DINA => DINA,
ENA => ENA,
WEA => WEA,
WEB => WEB,
ADDRB => ADDRB,
DINB => DINB,
ENB => ENB,
CHECK_DATA => CHECK_DATA_TDP
);
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
STATUS(6) <= '0';
iter_r2 <= '0';
iter_r1 <= '0';
iter_r0 <= '0';
ELSE
STATUS(6) <= iter_r2;
iter_r2 <= iter_r1;
iter_r1 <= iter_r0;
iter_r0 <= STIMULUS_FLOW(STIM_CNT);
END IF;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
STIMULUS_FLOW <= (OTHERS => '0');
ELSIF(WEA(0)='1') THEN
STIMULUS_FLOW <= STIMULUS_FLOW+1;
END IF;
END IF;
END PROCESS;
ADDRA_SHIFT(31 DOWNTO 3) <= ADDRA(28 DOWNTO 0) ;
ADDRA_SHIFT(2 DOWNTO 0) <= (OTHERS=> '0' );
ADDRB_SHIFT(31 DOWNTO 3) <= ADDRB(28 DOWNTO 0);
ADDRB_SHIFT(2 DOWNTO 0) <= (OTHERS => '0');
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
ENA_R <= '0' AFTER 50 ns;
WEA_R <= (OTHERS=>'0') AFTER 50 ns;
DINA_R <= (OTHERS=>'0') AFTER 50 ns;
ELSE
ENA_R <= ENA AFTER 50 ns;
WEA_R <= WEA AFTER 50 ns;
DINA_R <= DINA AFTER 50 ns;
END IF;
END IF;
END PROCESS;
PROCESS(CLKB)
BEGIN
IF(RISING_EDGE(CLKB)) THEN
IF(RESETB_SYNC_R3='1') THEN
ENB_R <= '0' AFTER 100 ns;
WEB_R <= (OTHERS=>'0') AFTER 100 ns;
DINB_R <= (OTHERS=>'0') AFTER 100 ns;
ELSE
ENB_R <= ENB AFTER 100 ns;
WEB_R <= WEB AFTER 100 ns;
DINB_R <= DINB AFTER 100 ns;
END IF;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
ADDRA_SHIFT_R <= (OTHERS=>'0') AFTER 50 ns;
ELSE
ADDRA_SHIFT_R <= ADDRA_SHIFT AFTER 50 ns;
END IF;
END IF;
END PROCESS;
PROCESS(CLKB)
BEGIN
IF(RISING_EDGE(CLKB)) THEN
IF(RESETB_SYNC_R3='1') THEN
ADDRB_SHIFT_R <= (OTHERS=>'0') AFTER 100 ns;
ELSE
ADDRB_SHIFT_R <= ADDRB_SHIFT AFTER 100 ns;
END IF;
END IF;
END PROCESS;
BMG_PORT: daala_zynq_axi_bram_ctrl_0_bram_0_exdes PORT MAP (
--Port A
RSTA => RSTA,
ENA => ENA_R,
WEA => WEA_R,
ADDRA => ADDRA_SHIFT_R,
DINA => DINA_R,
DOUTA => DOUTA,
CLKA => CLKA,
--Port B
RSTB => RSTB,
ENB => ENB_R,
WEB => WEB_R,
ADDRB => ADDRB_SHIFT_R,
DINB => DINB_R,
DOUTB => DOUTB,
CLKB => CLKB
);
END ARCHITECTURE;
| bsd-2-clause | 19ddae5beeb6e285e4efbc1f829aadf2 | 0.549881 | 3.489516 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/case/rule_015_test_input.fixed_upper.vhd | 1 | 589 |
architecture ARCH of ENTITY is
begin
PROC_1 : process (a, b, c) is
begin
case boolean_1 IS
when STATE_1 =>
a <= b;
b <= c;
c <= d;
end case;
end process PROC_1;
PROC_2 : process (a, b, c) is
begin
case boolean_1 IS
when STATE_1=>
a <= b;
b <= c;
c <= d;
end case;
end process PROC_2;
PROC_3 : process (a, b, c) is
begin
case boolean_1 IS
when STATE_1=>
a <= b;
b <= c;
c <= d;
end case;
end process PROC_3;
end architecture ARCH;
| gpl-3.0 | 2d398ad610328aa0d629d1f6a3a21cec | 0.4618 | 3.308989 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/sequential/rule_001_test_input.fixed.vhd | 1 | 786 |
architecture RTL of FIFO is
begin
process
begin
sig1 <= sig2;
sig2 <= sig3;
if a = b then
sig3 <= sig4;
sig4 <= sig5;
if c = d then
sig6 <= sig7;
sig7 <= sig8;
end if;
end if;
case address is
when 0 =>
sig5 <= sig6;
sig6 <= sig7;
sig8 <= '0' when b = '1';
end case;
end process;
-- Violations below
process
begin
sig1 <= sig2;
sig2 <= sig3;
if a = b then
sig3 <= sig4;
sig4 <= sig5;
if c = d then
sig6 <= sig7;
sig7 <= sig8;
end if;
end if;
case address is
when 0 =>
sig5 <= sig6;
sig6 <= sig7;
sig8 <= '0' when b = '1';
end case;
end process;
end architecture RTL;
| gpl-3.0 | 9dd17a4a538ee6b8aa4e1bea2d536788 | 0.454198 | 3.288703 | false | false | false | false |
okaxaki/vm2413 | VoiceMemory.vhd | 2 | 1,939 | --
-- VoiceMemory.vhd
--
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use WORK.VM2413.ALL;
entity VoiceMemory is
port (
clk : in std_logic;
reset : in std_logic;
idata : in VOICE_TYPE;
wr : in std_logic;
rwaddr : in VOICE_ID_TYPE; -- read/write address
roaddr : in VOICE_ID_TYPE; -- read only address
odata : out VOICE_TYPE;
rodata : out VOICE_TYPE
);
end VoiceMemory;
architecture RTL of VoiceMemory is
-- The following array is mapped into a Single-Clock Synchronous RAM with two-read
-- addresses by Altera's QuartusII compiler.
type VOICE_ARRAY_TYPE is array (VOICE_ID_TYPE'range) of VOICE_VECTOR_TYPE;
signal voices : VOICE_ARRAY_TYPE;
component VoiceRom port (
clk : in std_logic;
addr : in VOICE_ID_TYPE;
data : out VOICE_TYPE
);
end component;
signal rom_addr : VOICE_ID_TYPE;
signal rom_data : VOICE_TYPE;
signal rstate : integer range 0 to 2;
begin
ROM2413 : VoiceRom port map(clk, rom_addr, rom_data);
process (clk, reset)
variable init_id : integer range 0 to VOICE_ID_TYPE'high+1;
begin
if reset = '1' then
init_id := 0;
rstate <= 0;
elsif clk'event and clk = '1' then
if init_id /= VOICE_ID_TYPE'high+1 then
case rstate is
when 0 =>
rom_addr <= init_id;
rstate <= 1;
when 1 =>
rstate <= 2;
when 2 =>
voices(init_id) <= CONV_VOICE_VECTOR(rom_data);
rstate <= 0;
init_id := init_id + 1;
end case;
elsif wr = '1' then
voices(rwaddr) <= CONV_VOICE_VECTOR(idata);
end if;
odata <= CONV_VOICE(voices(rwaddr));
rodata <= CONV_VOICE(voices(roaddr));
end if;
end process;
end RTL; | mit | 40f50e82e54b6a9ca6c4391090159e96 | 0.556472 | 3.610801 | false | false | false | false |
kjellhar/axi_mmc | src/vhdl/mmc_crc16.vhd | 1 | 2,164 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 12/01/2014 10:27:20 AM
-- Design Name:
-- Module Name: mmc_crc16 - rtl
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity mmc_crc16 is
Port ( clk : in std_logic;
clk_en : in std_logic;
reset : in std_logic;
enable : in std_logic;
serial_in : in std_logic;
crc16_out : out std_logic_vector (15 downto 0)
);
end mmc_crc16;
architecture rtl of mmc_crc16 is
signal crc_reg : std_logic_vector (15 downto 0) := (others => '0');
begin
crc16_out <= crc_reg;
process
begin
wait until rising_edge(clk);
if reset='1' then
crc_reg <= (others => '0');
elsif enable='1' and clk_en='1' then
crc_reg(0) <= crc_reg(15) xor serial_in;
crc_reg(1) <= crc_reg(0);
crc_reg(2) <= crc_reg(1);
crc_reg(3) <= crc_reg(2);
crc_reg(4) <= crc_reg(3);
crc_reg(5) <= crc_reg(4) xor crc_reg(15) xor serial_in;
crc_reg(6) <= crc_reg(5);
crc_reg(7) <= crc_reg(6);
crc_reg(8) <= crc_reg(7);
crc_reg(9) <= crc_reg(8);
crc_reg(10) <= crc_reg(9);
crc_reg(11) <= crc_reg(10);
crc_reg(12) <= crc_reg(11) xor crc_reg(15) xor serial_in;
crc_reg(13) <= crc_reg(12);
crc_reg(14) <= crc_reg(13);
crc_reg(15) <= crc_reg(14);
end if;
end process;
end rtl;
| mit | 969f3091c7b930b3ead1f2328fe9772b | 0.493068 | 3.473515 | false | false | false | false |
tdaede/daala_zynq | daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/axi_dma_v7_1/hdl/src/vhdl/axi_dma_afifo_autord.vhd | 1 | 17,654 | -- (c) Copyright 2012 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
------------------------------------------------------------
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_dma_afifo_autord.vhd
-- Version: initial
-- Description:
-- This file contains the logic to generate a CoreGen call to create a
-- asynchronous FIFO as part of the synthesis process of XST. This eliminates
-- the need for multiple fixed netlists for various sizes and widths of FIFOs.
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library proc_common_v4_0;
use proc_common_v4_0.async_fifo_fg;
library axi_dma_v7_1;
use axi_dma_v7_1.axi_dma_pkg.all;
-----------------------------------------------------------------------------
-- Entity section
-----------------------------------------------------------------------------
entity axi_dma_afifo_autord is
generic (
C_DWIDTH : integer := 32;
C_DEPTH : integer := 16;
C_CNT_WIDTH : Integer := 5;
C_USE_BLKMEM : Integer := 0 ;
C_USE_AUTORD : Integer := 1;
C_FAMILY : String := "virtex7"
);
port (
-- Inputs
AFIFO_Ainit : In std_logic; --
AFIFO_Wr_clk : In std_logic; --
AFIFO_Wr_en : In std_logic; --
AFIFO_Din : In std_logic_vector(C_DWIDTH-1 downto 0); --
AFIFO_Rd_clk : In std_logic; --
AFIFO_Rd_en : In std_logic; --
AFIFO_Clr_Rd_Data_Valid : In std_logic; --
--
-- Outputs --
AFIFO_DValid : Out std_logic; --
AFIFO_Dout : Out std_logic_vector(C_DWIDTH-1 downto 0); --
AFIFO_Full : Out std_logic; --
AFIFO_Empty : Out std_logic; --
AFIFO_Almost_full : Out std_logic; --
AFIFO_Almost_empty : Out std_logic; --
AFIFO_Wr_count : Out std_logic_vector(C_CNT_WIDTH-1 downto 0); --
AFIFO_Rd_count : Out std_logic_vector(C_CNT_WIDTH-1 downto 0); --
AFIFO_Corr_Rd_count : Out std_logic_vector(C_CNT_WIDTH downto 0); --
AFIFO_Corr_Rd_count_minus1 : Out std_logic_vector(C_CNT_WIDTH downto 0); --
AFIFO_Rd_ack : Out std_logic --
);
end entity axi_dma_afifo_autord;
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
architecture imp of axi_dma_afifo_autord is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes";
-- Constant declarations
ATTRIBUTE async_reg : STRING;
-- Signal declarations
signal write_data_lil_end : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0');
signal read_data_lil_end : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0');
signal wr_count_lil_end : std_logic_vector(C_CNT_WIDTH-1 downto 0) := (others => '0');
signal rd_count_lil_end : std_logic_vector(C_CNT_WIDTH-1 downto 0) := (others => '0');
signal rd_count_int : integer range 0 to C_DEPTH+1 := 0;
signal rd_count_int_corr : integer range 0 to C_DEPTH+1 := 0;
signal rd_count_int_corr_minus1 : integer range 0 to C_DEPTH+1 := 0;
Signal corrected_empty : std_logic := '0';
Signal corrected_almost_empty : std_logic := '0';
Signal sig_afifo_empty : std_logic := '0';
Signal sig_afifo_almost_empty : std_logic := '0';
-- backend fifo read ack sample and hold
Signal sig_rddata_valid : std_logic := '0';
Signal hold_ff_q : std_logic := '0';
Signal ored_ack_ff_reset : std_logic := '0';
Signal autoread : std_logic := '0';
Signal sig_wrfifo_rdack : std_logic := '0';
Signal fifo_read_enable : std_logic := '0';
Signal first_write : std_logic := '0';
Signal first_read_cdc_tig : std_logic := '0';
Signal first_read1 : std_logic := '0';
Signal first_read2 : std_logic := '0';
signal AFIFO_Ainit_d1_cdc_tig : std_logic;
signal AFIFO_Ainit_d2 : std_logic;
--ATTRIBUTE async_reg OF AFIFO_Ainit_d1_cdc_tig : SIGNAL IS "true";
--ATTRIBUTE async_reg OF AFIFO_Ainit_d2 : SIGNAL IS "true";
--ATTRIBUTE async_reg OF first_read_cdc_tig : SIGNAL IS "true";
--ATTRIBUTE async_reg OF first_read1 : SIGNAL IS "true";
-- Component declarations
-----------------------------------------------------------------------------
-- Begin architecture
-----------------------------------------------------------------------------
begin
-- Bit ordering translations
write_data_lil_end <= AFIFO_Din; -- translate from Big Endian to little
-- endian.
AFIFO_Rd_ack <= sig_wrfifo_rdack;
AFIFO_Dout <= read_data_lil_end; -- translate from Little Endian to
-- Big endian.
AFIFO_Almost_empty <= corrected_almost_empty;
GEN_EMPTY : if (C_USE_AUTORD = 1) generate
begin
AFIFO_Empty <= corrected_empty;
end generate GEN_EMPTY;
GEN_EMPTY1 : if (C_USE_AUTORD = 0) generate
begin
AFIFO_Empty <= sig_afifo_empty;
end generate GEN_EMPTY1;
AFIFO_Wr_count <= wr_count_lil_end;
AFIFO_Rd_count <= rd_count_lil_end;
AFIFO_Corr_Rd_count <= CONV_STD_LOGIC_VECTOR(rd_count_int_corr,
C_CNT_WIDTH+1);
AFIFO_Corr_Rd_count_minus1 <= CONV_STD_LOGIC_VECTOR(rd_count_int_corr_minus1,
C_CNT_WIDTH+1);
AFIFO_DValid <= sig_rddata_valid; -- Output data valid indicator
fifo_read_enable <= AFIFO_Rd_en or autoread;
-------------------------------------------------------------------------------
-- Instantiate the CoreGen FIFO
--
-- NOTE:
-- This instance refers to a wrapper file that interm will use the
-- CoreGen FIFO Generator Async FIFO utility.
--
-------------------------------------------------------------------------------
I_ASYNC_FIFOGEN_FIFO : entity proc_common_v4_0.async_fifo_fg
generic map (
-- C_ALLOW_2N_DEPTH => 1,
C_ALLOW_2N_DEPTH => 0,
C_FAMILY => C_FAMILY,
C_DATA_WIDTH => C_DWIDTH,
C_ENABLE_RLOCS => 0,
C_FIFO_DEPTH => C_DEPTH,
C_HAS_ALMOST_EMPTY => 1,
C_HAS_ALMOST_FULL => 1,
C_HAS_RD_ACK => 1,
C_HAS_RD_COUNT => 1,
C_HAS_RD_ERR => 0,
C_HAS_WR_ACK => 0,
C_HAS_WR_COUNT => 1,
C_HAS_WR_ERR => 0,
C_RD_ACK_LOW => 0,
C_RD_COUNT_WIDTH => C_CNT_WIDTH,
C_RD_ERR_LOW => 0,
C_USE_BLOCKMEM => C_USE_BLKMEM,
C_WR_ACK_LOW => 0,
C_WR_COUNT_WIDTH => C_CNT_WIDTH,
C_WR_ERR_LOW => 0,
C_SYNCHRONIZER_STAGE => C_FIFO_MTBF
-- C_USE_EMBEDDED_REG => 1, -- 0 ;
-- C_PRELOAD_REGS => 0, -- 0 ;
-- C_PRELOAD_LATENCY => 1 -- 1 ;
)
port Map (
Din => write_data_lil_end,
Wr_en => AFIFO_Wr_en,
Wr_clk => AFIFO_Wr_clk,
Rd_en => fifo_read_enable,
Rd_clk => AFIFO_Rd_clk,
Ainit => AFIFO_Ainit,
Dout => read_data_lil_end,
Full => AFIFO_Full,
Empty => sig_afifo_empty,
Almost_full => AFIFO_Almost_full,
Almost_empty => sig_afifo_almost_empty,
Wr_count => wr_count_lil_end,
Rd_count => rd_count_lil_end,
Rd_ack => sig_wrfifo_rdack,
Rd_err => open, -- Not used by axi_dma
Wr_ack => open, -- Not used by axi_dma
Wr_err => open -- Not used by axi_dma
);
----------------------------------------------------------------------------
-- Read Ack assert & hold logic (needed because:
-- 1) The Async FIFO has to be read once to get valid
-- data to the read data port (data is discarded).
-- 2) The Read ack from the fifo is only asserted for 1 clock.
-- 3) A signal is needed that indicates valid data is at the read
-- port of the FIFO and has not yet been read. This signal needs
-- to be held until the next read operation occurs or a clear
-- signal is received.
ored_ack_ff_reset <= fifo_read_enable or
AFIFO_Ainit_d2 or
AFIFO_Clr_Rd_Data_Valid;
sig_rddata_valid <= hold_ff_q or
sig_wrfifo_rdack;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_ACK_HOLD_FLOP
--
-- Process Description:
-- Flop for registering the hold flag
--
-------------------------------------------------------------
IMP_SYNC_FLOP : entity proc_common_v4_0.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_RESET_STATE => 0,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => '0',
prmry_resetn => '0',
prmry_in => AFIFO_Ainit,
prmry_vect_in => (others => '0'),
scndry_aclk => AFIFO_Rd_clk,
scndry_resetn => '0',
scndry_out => AFIFO_Ainit_d2,
scndry_vect_out => open
);
-- IMP_SYNC_FLOP : process (AFIFO_Rd_clk)
-- begin
-- if (AFIFO_Rd_clk'event and AFIFO_Rd_clk = '1') then
-- AFIFO_Ainit_d1_cdc_tig <= AFIFO_Ainit;
-- AFIFO_Ainit_d2 <= AFIFO_Ainit_d1_cdc_tig;
-- end if;
-- end process IMP_SYNC_FLOP;
IMP_ACK_HOLD_FLOP : process (AFIFO_Rd_clk)
begin
if (AFIFO_Rd_clk'event and AFIFO_Rd_clk = '1') then
if (ored_ack_ff_reset = '1') then
hold_ff_q <= '0';
else
hold_ff_q <= sig_rddata_valid;
end if;
end if;
end process IMP_ACK_HOLD_FLOP;
-- I_ACK_HOLD_FF : FDRE
-- port map(
-- Q => hold_ff_q,
-- C => AFIFO_Rd_clk,
-- CE => '1',
-- D => sig_rddata_valid,
-- R => ored_ack_ff_reset
-- );
-- generate auto-read enable. This keeps fresh data at the output
-- of the FIFO whenever it is available.
GEN_AUTORD1 : if C_USE_AUTORD = 1 generate
autoread <= '1' -- create a read strobe when the
when (sig_rddata_valid = '0' and -- output data is NOT valid
sig_afifo_empty = '0') -- and the FIFO is not empty
Else '0';
end generate GEN_AUTORD1;
GEN_AUTORD2 : if C_USE_AUTORD = 0 generate
process (AFIFO_Wr_clk)
begin
if (AFIFO_Wr_clk'event and AFIFO_Wr_clk = '1') then
if (AFIFO_Ainit = '0') then
first_write <= '0';
elsif (AFIFO_Wr_en = '1') then
first_write <= '1';
end if;
end if;
end process;
IMP_SYNC_FLOP1 : entity proc_common_v4_0.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_RESET_STATE => 0,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => '0',
prmry_resetn => '0',
prmry_in => first_write,
prmry_vect_in => (others => '0'),
scndry_aclk => AFIFO_Rd_clk,
scndry_resetn => '0',
scndry_out => first_read1,
scndry_vect_out => open
);
process (AFIFO_Rd_clk)
begin
if (AFIFO_Rd_clk'event and AFIFO_Rd_clk = '1') then
if (AFIFO_Ainit_d2 = '0') then
first_read2 <= '0';
elsif (sig_afifo_empty = '0') then
first_read2 <= first_read1;
end if;
end if;
end process;
autoread <= first_read1 xor first_read2;
end generate GEN_AUTORD2;
rd_count_int <= CONV_INTEGER(rd_count_lil_end);
-------------------------------------------------------------
-- Combinational Process
--
-- Label: CORRECT_RD_CNT
--
-- Process Description:
-- This process corrects the FIFO Read Count output for the
-- auto read function.
--
-------------------------------------------------------------
CORRECT_RD_CNT : process (sig_rddata_valid,
sig_afifo_empty,
sig_afifo_almost_empty,
rd_count_int)
begin
if (sig_rddata_valid = '0') then
rd_count_int_corr <= 0;
rd_count_int_corr_minus1 <= 0;
corrected_empty <= '1';
corrected_almost_empty <= '0';
elsif (sig_afifo_empty = '1') then -- rddata valid and fifo empty
rd_count_int_corr <= 1;
rd_count_int_corr_minus1 <= 0;
corrected_empty <= '0';
corrected_almost_empty <= '1';
Elsif (sig_afifo_almost_empty = '1') Then -- rddata valid and fifo almost empty
rd_count_int_corr <= 2;
rd_count_int_corr_minus1 <= 1;
corrected_empty <= '0';
corrected_almost_empty <= '0';
else -- rddata valid and modify rd count from FIFO
rd_count_int_corr <= rd_count_int+1;
rd_count_int_corr_minus1 <= rd_count_int;
corrected_empty <= '0';
corrected_almost_empty <= '0';
end if;
end process CORRECT_RD_CNT;
end imp;
| bsd-2-clause | 51f8f19d11b34eecab3325a60025c425 | 0.473151 | 4.116111 | false | false | false | false |
tdaede/daala_zynq | daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/fifo_generator_v11_0/ramfifo/wr_dc_fwft_ext_as.vhd | 2 | 13,630 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Ah73AHTkyXpG5+DuFXwDDyawpQBYtI5b8+zVRSDyY2JtbAXbp9NfWVymEMG71eJ9lAUkNNPhS6SK
9M7sjq+Qfg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
WcJm5H11ztkQiFQPP72Hnq7a3Y8s/Ykinql+7GzLhN1hs0u5Ead7AfWNxz4sXJNPV26xmPoZveM3
/hWDUilAm9xEtMSA+GSFKBsJ8WhqTtXvLoe7yb3SBr8Yd5SqB7c/DZXO18dAf/Q0d1XOY9qF50Mq
WFyyoL1tIUJzION1wJs=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bE6EK9El4bF4ZlXBqoOKUPa8yZPXF5cgW2E9G0hpk3o5lDnXMjzuDkixrotwy5kKCRDhDZ1YhT69
azOyLeFCOkVL3YHjw3ySzyLaUfWE5zCYPb/a0MD4WwThn/ynACDaQFQxZyUgkVp5Q7rCRstFxG7C
meJhng75ktJ2SVtWqpoF2w6FxCodEN8raQ4EBylg7l4daOwzNC40LwXNSqdBkT7+P4fLTPk9nMLs
EGukXARGp/ZowECjlK/CXGtvioYUpvwJOGTbMuqZtZ6Ozoqe0x4AE4v4ROkxvktGhA7koGHZ2561
HVeu/mFwlVTLPw+q26JIhS95GuunSpKNQ8fs2w==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
P2rGm8GgtC5w0wySXOK0F0wNbFdTmcaybFAvsZv1zRoWVDiU0iUOWWogNdU7gSmDBDLcY5PKJWjX
jqLH1cSwghv1xOn3qTNgNId3skyDK4olQEGgYQgkHUkpaMiIWku5g4HMJi497N72Vm9HF7+wEmC3
tGiIIAcz6YpjGV4B8lk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
OYVhYvilUDNgPNfr9h5JlYdR/ssQSkaKvQ23wzDw9U14nxF79RJnQkHsCtQUrODZBWthoYH7mwPC
zePqlo/2iOPIjlwklOJcx/8BxJoPh6wih9ul7YgO+JWhigBD+yAqJBqAYUtvVz7qjySpPu8/bp20
OIvxQ8ZNTjSHjaBXNmJ6nxCIRiQI6gdHaCBt3lDal3fkr+CX/lbOnFeT5XOm0rpL5RmUY0qE8Ob1
+kuUEk2//aKKtWSzonBIh+di2TjOXTJ9U5J8kHaHGibm2ElhRc8BnJQ4GQPXDgcZ48yq3J2WCRD1
algtHhnEFy3YHkUd38ogKLJSMEjaBAgq+ZRLAQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8352)
`protect data_block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`protect end_protected
| bsd-2-clause | 33305040c8fdad1df66e7a56e965c8b7 | 0.936757 | 1.887289 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/constant/rule_013_test_input.vhd | 1 | 607 |
architecture RTL of ENTITY1 is
constant c_size : integer := 5;
constant c_ones : std_logic_vector(C_SIZE - 1 downto 0) := (others => '1');
constant c_zeros : std_logic_vector(c_size - 1 downto 0) := (others => '0');
signal data : std_logic_vector(c_size - 1 downto 0);
begin
data <= C_ONES;
PROC_NAME : process () is
begin
data <= C_ones & c_Zeros;
if (sig2 = '0') then
data <= c_Zeros;
end if;
if (sig2 = '1') then
data <= c_ones;
end if;
if (sig3 = '1') then
data <= c_zeros;
end if;
end process PROC_NAME;
end architecture RTL;
| gpl-3.0 | 99e554a50e9c5eacd686030b70b0a3c0 | 0.565074 | 3.050251 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/styles/code_examples/c16/data_core.vhd | 1 | 4,682 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following lines to use the declarations that are
-- provided for instantiating Xilinx primitive components.
--library UNISIM;
--use UNISIM.VComponents.all;
use work.cpu_pack.ALL;
entity data_core is
PORT( CLK_I : in std_logic;
T2 : in std_logic;
CLR : in std_logic;
CE : in std_logic;
-- select signals
SX : in std_logic_vector( 1 downto 0);
SY : in std_logic_vector( 3 downto 0);
OP : in std_logic_vector( 4 downto 0); -- alu op
PC : in std_logic_vector(15 downto 0); -- PC
QU : in std_logic_vector( 3 downto 0); -- quick operand
SA : in std_logic_vector(4 downto 0); -- select address
SMQ : in std_logic; -- select MQ (H/L)
-- write enable/select signal
WE_RR : in std_logic;
WE_LL : in std_logic;
WE_SP : in SP_OP;
-- data in signals
IMM : in std_logic_vector(15 downto 0); -- immediate data
RDAT : in std_logic_vector( 7 downto 0); -- memory/IO data
-- memory control signals
ADR : out std_logic_vector(15 downto 0);
MQ : out std_logic_vector( 7 downto 0);
Q_RR : out std_logic_vector(15 downto 0);
Q_LL : out std_logic_vector(15 downto 0);
Q_SP : out std_logic_vector(15 downto 0)
);
end data_core;
architecture Behavioral of data_core is
function b8(A : std_logic) return std_logic_vector is
begin
return A & A & A & A & A & A & A & A;
end;
COMPONENT alu8
PORT( CLK_I : in std_logic;
T2 : in std_logic;
CE : in std_logic;
CLR : in std_logic;
ALU_OP : IN std_logic_vector( 4 downto 0);
XX : IN std_logic_vector(15 downto 0);
YY : IN std_logic_vector(15 downto 0);
ZZ : OUT std_logic_vector(15 downto 0)
);
END COMPONENT;
COMPONENT select_yy
PORT( SY : IN std_logic_vector( 3 downto 0);
IMM : IN std_logic_vector(15 downto 0);
QUICK : IN std_logic_vector( 3 downto 0);
RDAT : IN std_logic_vector( 7 downto 0);
RR : IN std_logic_vector(15 downto 0);
YY : OUT std_logic_vector(15 downto 0)
);
END COMPONENT;
-- cpu registers
--
signal RR : std_logic_vector(15 downto 0);
signal LL : std_logic_vector(15 downto 0);
signal SP : std_logic_vector(15 downto 0);
-- internal buses
--
signal XX : std_logic_vector(15 downto 0);
signal YY : std_logic_vector(15 downto 0);
signal ZZ : std_logic_vector(15 downto 0);
signal ADR_X : std_logic_vector(15 downto 0);
signal ADR_Z : std_logic_vector(15 downto 0);
signal ADR_YZ : std_logic_vector(15 downto 0);
signal ADR_XYZ : std_logic_vector(15 downto 0);
begin
alu_8: alu8
PORT MAP( CLK_I => CLK_I,
T2 => T2,
CE => CE,
CLR => CLR,
ALU_OP => OP,
XX => XX,
YY => YY,
ZZ => ZZ
);
selyy: select_yy
PORT MAP( SY => SY,
IMM => IMM,
QUICK => QU,
RDAT => RDAT,
RR => RR,
YY => YY
);
ADR <= ADR_XYZ;
MQ <= ZZ(15 downto 8) when SMQ = '1' else ZZ(7 downto 0);
Q_RR <= RR;
Q_LL <= LL;
Q_SP <= SP;
-- memory address
--
sel_ax: process(SA(4 downto 3), IMM)
variable SAX : std_logic_vector(4 downto 3);
begin
SAX := SA(4 downto 3);
case SAX is
when SA_43_I16 => ADR_X <= IMM;
when SA_43_I8S => ADR_X <= b8(IMM(7)) & IMM(7 downto 0);
when others => ADR_X <= b8(SA(3)) & b8(SA(3));
end case;
end process;
sel_az: process(SA(2 downto 1), LL, RR, SP)
variable SAZ : std_logic_vector(2 downto 1);
begin
SAZ := SA(2 downto 1);
case SAZ is
when SA_21_0 => ADR_Z <= X"0000";
when SA_21_LL => ADR_Z <= LL;
when SA_21_RR => ADR_Z <= RR;
when others => ADR_Z <= SP;
end case;
end process;
sel_ayz: process(SA(0), ADR_Z)
begin
ADR_YZ <= ADR_Z + (X"000" & "000" & SA(0));
end process;
sel_axyz: process(ADR_X, ADR_YZ)
begin
ADR_XYZ <= ADR_X + ADR_YZ;
end process;
sel_xx: process(SX, LL, RR, SP, PC)
begin
case SX is
when SX_LL => XX <= LL;
when SX_RR => XX <= RR;
when SX_SP => XX <= SP;
when others => XX <= PC;
end case;
end process;
regs: process(CLK_I)
begin
if (rising_edge(CLK_I)) then
if (CLR = '1') then
RR <= X"0000";
LL <= X"0000";
SP <= X"0000";
elsif (CE = '1' and T2 = '1') then
if (WE_RR = '1') then RR <= ZZ; end if;
if (WE_LL = '1') then LL <= ZZ; end if;
case WE_SP is
when SP_INC => SP <= ADR_YZ;
when SP_LOAD => SP <= ADR_XYZ;
when SP_NOP => null;
end case;
end if;
end if;
end process;
end Behavioral;
| gpl-3.0 | 1dfcc050a24a6534d26ce03e3b50f726 | 0.568774 | 2.557073 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/case/rule_001_test_input.fixed.vhd | 1 | 583 |
architecture ARCH of ENTITY is
begin
PROC_1 : process (a, b, c) is
begin
case boolean_1 is
when STATE_1 =>
a <= b;
b <= c;
c <= d;
end case;
end process PROC_1;
PROC_2 : process (a, b, c) is
begin
case boolean_1 is
when STATE_1=>
a <= b;
b <= c;
c <= d;
end CASE;
end process PROC_2;
PROC_3 : process (a, b, c) is
begin
case boolean_1 is
when STATE_1=>
a <= b;
b <= c;
c <= d;
end Case;
end process PROC_3;
end architecture ARCH;
| gpl-3.0 | 77fe944d4bb91bbd8ce4e33da674a110 | 0.466552 | 3.275281 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/case/rule_010_test_input.vhd | 1 | 433 |
architecture ARCH of ENTITY is
begin
PROC_1 : process (a, b, c) is
begin
case boolean_1 is
when STATE_1 =>
a <= b;
b <= c;
c <= d;
end case;
end process PROC_1;
PROC_2 : process (a, b, c) is
begin
case boolean_1 is
when STATE_1=>
a <= b;
b <= c;
c <= d;
end case;
sig1 <= sig2;
end process PROC_2;
end architecture ARCH;
| gpl-3.0 | 9ddb7aeeea02b60d55c7c94a223fd502 | 0.475751 | 3.305344 | false | false | false | false |
cwilkens/ecen4024-microphone-array | microphone-array/microphone-array.srcs/sources_1/ip/lp_FIR/fir_compiler_v7_1/hdl/dpr_mem.vhd | 2 | 19,986 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
i6eRywqd0YyvPqi0rlR47PgnlPm2O4ttsB8TVkVL+VsqVH1g/2FNTxubrNmZQTcjOdhxYs7nxZxE
0W5hEQyAZg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
GPJyJwAjPpwC75IoiqO3wU03HXuTJkUxZmDbi7A3cUnDAXh0Y/dytut/xg9IyuOOp0/Rkwxnig2H
HJTmELeayOTwmGhElMdBYBJch6MJteSdynMDQu8OQT056DSiiP/YBsv0rNlN6/sG0i/pmEMKepwO
vH9MUBABTa8eDskM26g=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
eU9tZu6BWAYbSCGEdOAGQzNlTxK8YczYvJEUi/DTt8gSNNhOKVZy06NY30sAtEjDDqeSHUQ3N5WO
aCwgpJu4wTmRaxEXFo1liTFKUzU4hvtNq7LMe4AshhUNRHGFeMjN2nkZ0E6EmE2fs2Rtr63MUMbN
6EInmuaWsV5Dnrl0WIKuHAw29bODHSFhQJyCxnurahQ2gswHcLvsZJNazYmudgOuKgFRVqXRpJNB
1xITtkuge90Yv37uO6S/wRAuregsuG6hzd/aZoLTTzjAEz1uQXMfboSmGaH9+FxYApy08DnOmjE4
1Q74q7gdYfXYCLXFjn62JaKgp0qDKxDEnmBaYQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
B8PX+0kXC8DLomFiwd7XDJ8QiypuVStFJ2Av3XjBezys5lfKP6yTadkAxTBSwbbozVJFfjAYhy84
DPPTveNfqL+nCNgdY/11rKp9ke02qa+7krBFrUJeXs6hw5Zbn8btP31hfVYYtv0A+dOlHPtcOOpk
ncvw6yt66+3YdN6X1tA=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
N2RWA+kuerQZ/+s9OEd0ana3xykJXaRLaMQjkH32395jziBTc0BpME9QvadJgpp1hgOaUqL9HhP0
UEo807dAl85/Tb5mgIYqi48MVrE+9HEiei4txIF/gQcGfnKpN30/ELG4xuEc6CT/o8X97jRoLeEJ
AUO1j3Q2CLl4vP8qCqVIUxYvz4sdV3VDKNX7TMkpGipFjkBEoPYGQGpOapP+t5CVzmYwTHiO+imu
0CVEGi8cu1Jk5ueCcosnM8lnJ4Q3wbmi3pJb61KphW1AUWaZX4G11TaEtQbYzI09Hfc/gPjY1A4P
44uEQEAwSN3O6XJDvnbZkTf97/SD4zBcRfH59w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13056)
`protect data_block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`protect end_protected
| mit | f2b8e419134b4d9f00be2338201f7058 | 0.941309 | 1.852614 | false | false | false | false |
Yarr/Yarr-fw | rtl/kintex7/ddr3k7-core/ddr3_ctrl_wb.vhd | 1 | 20,464 | ----------------------------------------------------------------------------------
-- Company: LBNL
-- Engineer: Arnaud Sautaux
--
-- Create Date: 07/27/2017 10:50:41 AM
-- Design Name: DDR3 Wishbone control core
-- Module Name: ddr3_ctrl_wb - Behavioral
-- Project Name: YARR
-- Target Devices:
-- Tool Versions: Vivado v2016.2 (64 bit)
-- Description:
-- Wishbone to Xilinx MiG interface
-- Dependencies:
-- ddr3_read_core
-- ddr3_write_core
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
entity ddr3_ctrl_wb is
generic (
g_BYTE_ADDR_WIDTH : integer := 29;
g_MASK_SIZE : integer := 8;
g_DATA_PORT_SIZE : integer := 64;
g_NOT_CONSECUTIVE_DETECTION : boolean := false
);
port (
----------------------------------------------------------------------------
-- Reset input (active low)
----------------------------------------------------------------------------
rst_n_i : in std_logic;
----------------------------------------------------------------------------
-- Status
----------------------------------------------------------------------------
----------------------------------------------------------------------------
-- DDR controller port
----------------------------------------------------------------------------
ddr_addr_o : out std_logic_vector(g_BYTE_ADDR_WIDTH-1 downto 0);
ddr_cmd_o : out std_logic_vector(2 downto 0);
ddr_cmd_en_o : out std_logic;
ddr_wdf_data_o : out std_logic_vector(511 downto 0);
ddr_wdf_end_o : out std_logic;
ddr_wdf_mask_o : out std_logic_vector(63 downto 0);
ddr_wdf_wren_o : out std_logic;
ddr_rd_data_i : in std_logic_vector(511 downto 0);
ddr_rd_data_end_i : in std_logic;
ddr_rd_data_valid_i : in std_logic;
ddr_rdy_i : in std_logic;
ddr_wdf_rdy_i : in std_logic;
ddr_sr_req_o : out std_logic;
ddr_ref_req_o : out std_logic;
ddr_zq_req_o : out std_logic;
ddr_sr_active_i : in std_logic;
ddr_ref_ack_i : in std_logic;
ddr_zq_ack_i : in std_logic;
ddr_ui_clk_i : in std_logic;
ddr_ui_clk_sync_rst_i : in std_logic;
ddr_init_calib_complete_i : in std_logic;
----------------------------------------------------------------------------
-- Wishbone bus port
----------------------------------------------------------------------------
wb_clk_i : in std_logic;
wb_sel_i : in std_logic_vector(g_MASK_SIZE - 1 downto 0);
wb_cyc_i : in std_logic;
wb_stb_i : in std_logic;
wb_we_i : in std_logic;
wb_addr_i : in std_logic_vector(32 - 1 downto 0);
wb_data_i : in std_logic_vector(g_DATA_PORT_SIZE - 1 downto 0);
wb_data_o : out std_logic_vector(g_DATA_PORT_SIZE - 1 downto 0);
wb_ack_o : out std_logic;
wb_stall_o : out std_logic;
----------------------------------------------------------------------------
-- Wishbone bus port
----------------------------------------------------------------------------
wb1_sel_i : in std_logic_vector(g_MASK_SIZE - 1 downto 0);
wb1_cyc_i : in std_logic;
wb1_stb_i : in std_logic;
wb1_we_i : in std_logic;
wb1_addr_i : in std_logic_vector(32 - 1 downto 0);
wb1_data_i : in std_logic_vector(g_DATA_PORT_SIZE - 1 downto 0);
wb1_data_o : out std_logic_vector(g_DATA_PORT_SIZE - 1 downto 0);
wb1_ack_o : out std_logic;
wb1_stall_o : out std_logic;
----------------------------------------------------------------------------
-- Debug ports
----------------------------------------------------------------------------
ddr_wb_rd_mask_dout_do : out std_logic_vector(7 downto 0);
ddr_wb_rd_mask_addr_dout_do : out std_logic_vector(g_BYTE_ADDR_WIDTH-1 downto 0);
ddr_rd_mask_rd_data_count_do : out std_logic_vector(3 downto 0);
ddr_rd_data_rd_data_count_do : out std_logic_vector(3 downto 0);
ddr_rd_fifo_full_do : out std_logic_vector(1 downto 0);
ddr_rd_fifo_empty_do : out std_logic_vector(1 downto 0);
ddr_rd_fifo_rd_do : out std_logic_vector(1 downto 0)
);
end entity ddr3_ctrl_wb;
architecture behavioral of ddr3_ctrl_wb is
component ddr3_write_core is
generic (
g_BYTE_ADDR_WIDTH : integer := 29;
g_MASK_SIZE : integer := 8;
g_DATA_PORT_SIZE : integer := 64;
g_NOT_CONSECUTIVE_DETECTION : boolean := false
);
Port (
----------------------------------------------------------------------------
-- Reset input (active low)
----------------------------------------------------------------------------
rst_n_i : in std_logic;
wb_clk_i : in STD_LOGIC;
wb_sel_i : in STD_LOGIC_VECTOR (g_MASK_SIZE - 1 downto 0);
wb_stb_i : in STD_LOGIC;
wb_cyc_i : in STD_LOGIC;
wb_we_i : in STD_LOGIC;
wb_adr_i : in STD_LOGIC_VECTOR (32 - 1 downto 0);
wb_dat_i : in STD_LOGIC_VECTOR (g_DATA_PORT_SIZE - 1 downto 0);
wb_dat_o : out STD_LOGIC_VECTOR (g_DATA_PORT_SIZE - 1 downto 0);
wb_ack_o : out STD_LOGIC;
wb_stall_o : out STD_LOGIC;
ddr_addr_o : out std_logic_vector(g_BYTE_ADDR_WIDTH-1 downto 0);
ddr_cmd_o : out std_logic_vector(2 downto 0);
ddr_cmd_en_o : out std_logic;
ddr_wdf_data_o : out std_logic_vector(511 downto 0);
ddr_wdf_end_o : out std_logic;
ddr_wdf_mask_o : out std_logic_vector(63 downto 0);
ddr_wdf_wren_o : out std_logic;
ddr_rdy_i : in std_logic;
ddr_wdf_rdy_i : in std_logic;
ddr_ui_clk_i : in std_logic;
ddr_req_o : out std_logic;
ddr_gnt_i : in std_logic
);
end component;
component ddr3_read_core is
generic (
g_BYTE_ADDR_WIDTH : integer := 29;
g_MASK_SIZE : integer := 8;
g_DATA_PORT_SIZE : integer := 64;
g_NOT_CONSECUTIVE_DETECTION : boolean := false
);
Port (
----------------------------------------------------------------------------
-- Reset input (active low)
----------------------------------------------------------------------------
rst_n_i : in std_logic;
wb_clk_i : in STD_LOGIC;
wb_sel_i : in STD_LOGIC_VECTOR (g_MASK_SIZE - 1 downto 0);
wb_stb_i : in STD_LOGIC;
wb_cyc_i : in STD_LOGIC;
wb_we_i : in STD_LOGIC;
wb_adr_i : in STD_LOGIC_VECTOR (32 - 1 downto 0);
wb_dat_i : in STD_LOGIC_VECTOR (g_DATA_PORT_SIZE - 1 downto 0);
wb_dat_o : out STD_LOGIC_VECTOR (g_DATA_PORT_SIZE - 1 downto 0);
wb_ack_o : out STD_LOGIC;
wb_stall_o : out STD_LOGIC;
ddr_addr_o : out std_logic_vector(g_BYTE_ADDR_WIDTH-1 downto 0);
ddr_cmd_o : out std_logic_vector(2 downto 0);
ddr_cmd_en_o : out std_logic;
ddr_rd_data_i : in std_logic_vector(511 downto 0);
ddr_rd_data_end_i : in std_logic;
ddr_rd_data_valid_i : in std_logic;
ddr_rdy_i : in std_logic;
ddr_ui_clk_i : in std_logic;
ddr_req_o : out std_logic;
ddr_gnt_i : in std_logic
);
end component;
component rr_arbiter is
generic (
g_CHANNELS : integer := 16
);
port (
-- sys connect
clk_i : in std_logic;
rst_i : in std_logic;
-- requests
req_i : in std_logic_vector(g_CHANNELS-1 downto 0);
-- grant
gnt_o : out std_logic_vector(g_CHANNELS-1 downto 0)
);
end component;
--------------------------------------
-- Constants
--------------------------------------
constant c_register_shift_size : integer := 8;
constant c_wb_wr0_nb : integer := 0;
constant c_wb_wr1_nb : integer := 1;
constant c_wb_rd0_nb : integer := 2;
type data_array is array (0 to c_register_shift_size-1) of std_logic_vector(g_DATA_PORT_SIZE - 1 downto 0);
type mask_array is array (0 to c_register_shift_size-1) of std_logic_vector(g_MASK_SIZE - 1 downto 0);
type addr_array is array (0 to c_register_shift_size-1) of std_logic_vector(g_BYTE_ADDR_WIDTH - 1 downto 0);
type row_array is array (0 to c_register_shift_size-1) of std_logic_vector(c_register_shift_size-1 downto 0);
--------------------------------------
-- Signals
--------------------------------------
signal rst_s : std_logic;
signal rr_rst_s : std_logic;
signal wb_sel_s : std_logic_vector(g_MASK_SIZE - 1 downto 0);
signal wb_cyc_s : std_logic;
signal wb_stb_s : std_logic;
signal wb_we_s : std_logic;
signal wb_addr_s : std_logic_vector(32 - 1 downto 0);
signal wb_data_s : std_logic_vector(g_DATA_PORT_SIZE - 1 downto 0);
signal ddr_wr_addr_s : std_logic_vector(g_BYTE_ADDR_WIDTH-1 downto 0);
signal ddr_wr_cmd_s : std_logic_vector(2 downto 0);
signal ddr_wr_cmd_en_s: std_logic;
signal ddr_wdf_data_s : std_logic_vector(511 downto 0);
signal ddr_wdf_end_s : std_logic;
signal ddr_wdf_mask_s : std_logic_vector(63 downto 0);
signal ddr_wdf_wren_s : std_logic;
signal ddr1_wr_addr_s : std_logic_vector(g_BYTE_ADDR_WIDTH-1 downto 0);
signal ddr1_wr_cmd_s : std_logic_vector(2 downto 0);
signal ddr1_wr_cmd_en_s: std_logic;
signal ddr1_wdf_data_s : std_logic_vector(511 downto 0);
signal ddr1_wdf_end_s : std_logic;
signal ddr1_wdf_mask_s : std_logic_vector(63 downto 0);
signal ddr1_wdf_wren_s : std_logic;
signal ddr_rd_addr_s : std_logic_vector(g_BYTE_ADDR_WIDTH-1 downto 0);
signal ddr_rd_cmd_s : std_logic_vector(2 downto 0);
signal ddr_rd_cmd_en_s : std_logic;
signal ddr_rd_data_s : std_logic_vector(511 downto 0);
signal ddr_rd_data_end_s : std_logic;
signal ddr_rd_data_valid_s : std_logic;
signal arb_req_s : std_logic_vector(2 downto 0);
signal arb_gnt_s : std_logic_vector(2 downto 0);
signal wb_wr_stall_s : std_logic;
signal wb1_wr_stall_s : std_logic;
signal wb_rd_stall_s : std_logic;
signal wb_wr_ack_s : std_logic;
signal wb1_wr_ack_s : std_logic;
signal wb_rd_ack_s : std_logic;
--------------------------------------
-- Counter
--------------------------------------
signal wb_write_wait_cnt : unsigned(7 downto 0);
signal wb_read_wait_cnt : unsigned(7 downto 0);
begin
rst_s <= not rst_n_i;
rr_rst_s <= rst_s or (not ddr_rdy_i) or (not ddr_wdf_rdy_i);
ddr_sr_req_o <= '0';
ddr_ref_req_o <= '0';
ddr_zq_req_o <= '0';
--------------------------------------
-- Wishbone input delay
--------------------------------------
p_wb_in : process (wb_clk_i, rst_n_i)
begin
if (rst_n_i = '0') then
wb_sel_s <= (others =>'0');
wb_cyc_s <= '0';
wb_stb_s <= '0';
wb_we_s <= '0';
wb_addr_s <= (others =>'0');
wb_data_s <= (others =>'0');
elsif rising_edge(wb_clk_i) then
wb_sel_s <= wb_sel_i;
wb_cyc_s <= wb_cyc_i;
wb_stb_s <= wb_stb_i;
wb_we_s <= wb_we_i;
wb_addr_s <= wb_addr_i;
wb_data_s <= wb_data_i;
end if;
end process p_wb_in;
--------------------------------------
-- Wishbone ack and stall
--------------------------------------
wb_ack_o <= wb_wr_ack_s or wb_rd_ack_s;
wb_stall_o <= wb_wr_stall_s or wb_rd_stall_s;
wb1_ack_o <= wb1_wr_ack_s;
wb1_stall_o <= wb1_wr_stall_s;
--------------------------------------
-- Wishbone write
--------------------------------------
ddr3_write_core_cmp0:ddr3_write_core
generic map (
g_BYTE_ADDR_WIDTH => g_BYTE_ADDR_WIDTH,
g_MASK_SIZE => g_MASK_SIZE,
g_DATA_PORT_SIZE => g_DATA_PORT_SIZE,
g_NOT_CONSECUTIVE_DETECTION => g_NOT_CONSECUTIVE_DETECTION
)
Port map (
----------------------------------------------------------------------------
-- Reset input (active low)
----------------------------------------------------------------------------
rst_n_i => rst_n_i,
wb_clk_i => wb_clk_i,
wb_sel_i => wb_sel_i,
wb_stb_i => wb_stb_i,
wb_cyc_i => wb_cyc_i,
wb_we_i => wb_we_i,
wb_adr_i => wb_addr_i,
wb_dat_i => wb_data_i,
wb_dat_o => open,
wb_ack_o => wb_wr_ack_s,
wb_stall_o => wb_wr_stall_s,
ddr_addr_o => ddr_wr_addr_s,
ddr_cmd_o => ddr_wr_cmd_s,
ddr_cmd_en_o => ddr_wr_cmd_en_s,
ddr_wdf_data_o => ddr_wdf_data_s,
ddr_wdf_end_o => ddr_wdf_end_s,
ddr_wdf_mask_o => ddr_wdf_mask_s,
ddr_wdf_wren_o => ddr_wdf_wren_s,
ddr_rdy_i => ddr_rdy_i,
ddr_wdf_rdy_i => ddr_wdf_rdy_i,
ddr_ui_clk_i => ddr_ui_clk_i,
ddr_req_o => arb_req_s(c_wb_wr0_nb),
ddr_gnt_i => arb_gnt_s(c_wb_wr0_nb)
);
ddr3_write_core_cmp1:ddr3_write_core
generic map (
g_BYTE_ADDR_WIDTH => g_BYTE_ADDR_WIDTH,
g_MASK_SIZE => g_MASK_SIZE,
g_DATA_PORT_SIZE => g_DATA_PORT_SIZE,
g_NOT_CONSECUTIVE_DETECTION => g_NOT_CONSECUTIVE_DETECTION
)
Port map (
----------------------------------------------------------------------------
-- Reset input (active low)
----------------------------------------------------------------------------
rst_n_i => rst_n_i,
wb_clk_i => wb_clk_i,
wb_sel_i => wb1_sel_i,
wb_stb_i => wb1_stb_i,
wb_cyc_i => wb1_cyc_i,
wb_we_i => wb1_we_i,
wb_adr_i => wb1_addr_i,
wb_dat_i => wb1_data_i,
wb_dat_o => wb1_data_o,
wb_ack_o => wb1_wr_ack_s,
wb_stall_o => wb1_wr_stall_s,
ddr_addr_o => ddr1_wr_addr_s,
ddr_cmd_o => ddr1_wr_cmd_s,
ddr_cmd_en_o => ddr1_wr_cmd_en_s,
ddr_wdf_data_o => ddr1_wdf_data_s,
ddr_wdf_end_o => ddr1_wdf_end_s,
ddr_wdf_mask_o => ddr1_wdf_mask_s,
ddr_wdf_wren_o => ddr1_wdf_wren_s,
ddr_rdy_i => ddr_rdy_i,
ddr_wdf_rdy_i => ddr_wdf_rdy_i,
ddr_ui_clk_i => ddr_ui_clk_i,
ddr_req_o => arb_req_s(c_wb_wr1_nb),
ddr_gnt_i => arb_gnt_s(c_wb_wr1_nb)
);
--------------------------------------
-- Wishbone read
--------------------------------------
ddr3_read_core_cmp:ddr3_read_core
generic map (
g_BYTE_ADDR_WIDTH => g_BYTE_ADDR_WIDTH,
g_MASK_SIZE => g_MASK_SIZE,
g_DATA_PORT_SIZE => g_DATA_PORT_SIZE,
g_NOT_CONSECUTIVE_DETECTION => g_NOT_CONSECUTIVE_DETECTION
)
Port map (
----------------------------------------------------------------------------
-- Reset input (active low)
----------------------------------------------------------------------------
rst_n_i => rst_n_i,
wb_clk_i => wb_clk_i,
wb_sel_i => wb_sel_i,
wb_stb_i => wb_stb_i,
wb_cyc_i => wb_cyc_i,
wb_we_i => wb_we_i,
wb_adr_i => wb_addr_i,
wb_dat_i => wb_data_i,
wb_dat_o => wb_data_o,
wb_ack_o => wb_rd_ack_s,
wb_stall_o => wb_rd_stall_s,
ddr_rd_data_i => ddr_rd_data_s,
ddr_rd_data_end_i => ddr_rd_data_end_s,
ddr_rd_data_valid_i => ddr_rd_data_valid_s,
ddr_addr_o => ddr_rd_addr_s,
ddr_cmd_o => ddr_rd_cmd_s,
ddr_cmd_en_o => ddr_rd_cmd_en_s,
ddr_rdy_i => ddr_rdy_i,
ddr_ui_clk_i => ddr_ui_clk_i,
ddr_req_o => arb_req_s(c_wb_rd0_nb),
ddr_gnt_i => arb_gnt_s(c_wb_rd0_nb)
);
--------------------------------------
-- DDR CMD
--------------------------------------
ddr_addr_o <= ddr_wr_addr_s when arb_gnt_s(c_wb_wr0_nb) = '1' else
ddr_rd_addr_s when arb_gnt_s(c_wb_rd0_nb) = '1' else
ddr1_wr_addr_s when arb_gnt_s(c_wb_wr1_nb) = '1' else
(others => '0');
ddr_cmd_o <= ddr_wr_cmd_s when arb_gnt_s(c_wb_wr0_nb) = '1' else
ddr_rd_cmd_s when arb_gnt_s(c_wb_rd0_nb) = '1' else
ddr1_wr_cmd_s when arb_gnt_s(c_wb_wr1_nb) = '1' else
(others => '0');
ddr_cmd_en_o<= ddr_wr_cmd_en_s or ddr1_wr_cmd_en_s or ddr_rd_cmd_en_s;
cmp_rr_arbiter:rr_arbiter
generic map (
g_CHANNELS => 3
)
port map (
-- sys connect
clk_i => ddr_ui_clk_i,
rst_i => rr_rst_s,
-- requests
req_i => arb_req_s,
-- grant
gnt_o => arb_gnt_s
);
--------------------------------------
-- DDR Data out
--------------------------------------
ddr_wdf_data_o <= ddr_wdf_data_s when arb_gnt_s(c_wb_wr0_nb) = '1' else
ddr1_wdf_data_s;
ddr_wdf_end_o <= ddr_wdf_end_s when arb_gnt_s(c_wb_wr0_nb) = '1' else
ddr1_wdf_end_s;
ddr_wdf_mask_o <= ddr_wdf_mask_s when arb_gnt_s(c_wb_wr0_nb) = '1' else
ddr1_wdf_mask_s;
ddr_wdf_wren_o <= ddr_wdf_wren_s when arb_gnt_s(c_wb_wr0_nb) = '1' else
ddr1_wdf_wren_s;
--------------------------------------
-- DDR Data in
--------------------------------------
ddr_rd_data_s <= ddr_rd_data_i;
ddr_rd_data_end_s <= ddr_rd_data_end_i;
ddr_rd_data_valid_s <= ddr_rd_data_valid_i;
end architecture behavioral;
| gpl-3.0 | a9b18ca2d3dadf318b4eca77a3a36673 | 0.398016 | 3.621947 | false | false | false | false |
cwilkens/ecen4024-microphone-array | microphone-array/microphone-array.srcs/sources_1/ip/cascaded_integrator_comb/sim/cascaded_integrator_comb.vhd | 1 | 7,211 | -- (c) Copyright 1995-2014 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:cic_compiler:4.0
-- IP Revision: 4
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY cic_compiler_v4_0;
USE cic_compiler_v4_0.cic_compiler_v4_0;
ENTITY cascaded_integrator_comb IS
PORT (
aclk : IN STD_LOGIC;
s_axis_data_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_data_tvalid : IN STD_LOGIC;
s_axis_data_tready : OUT STD_LOGIC;
m_axis_data_tdata : OUT STD_LOGIC_VECTOR(23 DOWNTO 0);
m_axis_data_tvalid : OUT STD_LOGIC
);
END cascaded_integrator_comb;
ARCHITECTURE cascaded_integrator_comb_arch OF cascaded_integrator_comb IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF cascaded_integrator_comb_arch: ARCHITECTURE IS "yes";
COMPONENT cic_compiler_v4_0 IS
GENERIC (
C_COMPONENT_NAME : STRING;
C_FILTER_TYPE : INTEGER;
C_NUM_STAGES : INTEGER;
C_DIFF_DELAY : INTEGER;
C_RATE : INTEGER;
C_INPUT_WIDTH : INTEGER;
C_OUTPUT_WIDTH : INTEGER;
C_USE_DSP : INTEGER;
C_HAS_ROUNDING : INTEGER;
C_NUM_CHANNELS : INTEGER;
C_RATE_TYPE : INTEGER;
C_MIN_RATE : INTEGER;
C_MAX_RATE : INTEGER;
C_SAMPLE_FREQ : INTEGER;
C_CLK_FREQ : INTEGER;
C_USE_STREAMING_INTERFACE : INTEGER;
C_FAMILY : STRING;
C_XDEVICEFAMILY : STRING;
C_C1 : INTEGER;
C_C2 : INTEGER;
C_C3 : INTEGER;
C_C4 : INTEGER;
C_C5 : INTEGER;
C_C6 : INTEGER;
C_I1 : INTEGER;
C_I2 : INTEGER;
C_I3 : INTEGER;
C_I4 : INTEGER;
C_I5 : INTEGER;
C_I6 : INTEGER;
C_S_AXIS_CONFIG_TDATA_WIDTH : INTEGER;
C_S_AXIS_DATA_TDATA_WIDTH : INTEGER;
C_M_AXIS_DATA_TDATA_WIDTH : INTEGER;
C_M_AXIS_DATA_TUSER_WIDTH : INTEGER;
C_HAS_DOUT_TREADY : INTEGER;
C_HAS_ACLKEN : INTEGER;
C_HAS_ARESETN : INTEGER
);
PORT (
aclk : IN STD_LOGIC;
aclken : IN STD_LOGIC;
aresetn : IN STD_LOGIC;
s_axis_config_tdata : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_config_tvalid : IN STD_LOGIC;
s_axis_config_tready : OUT STD_LOGIC;
s_axis_data_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_data_tvalid : IN STD_LOGIC;
s_axis_data_tready : OUT STD_LOGIC;
s_axis_data_tlast : IN STD_LOGIC;
m_axis_data_tdata : OUT STD_LOGIC_VECTOR(23 DOWNTO 0);
m_axis_data_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_data_tvalid : OUT STD_LOGIC;
m_axis_data_tready : IN STD_LOGIC;
m_axis_data_tlast : OUT STD_LOGIC;
event_tlast_unexpected : OUT STD_LOGIC;
event_tlast_missing : OUT STD_LOGIC;
event_halted : OUT STD_LOGIC
);
END COMPONENT cic_compiler_v4_0;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TREADY";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TDATA";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TVALID";
BEGIN
U0 : cic_compiler_v4_0
GENERIC MAP (
C_COMPONENT_NAME => "cascaded_integrator_comb",
C_FILTER_TYPE => 1,
C_NUM_STAGES => 5,
C_DIFF_DELAY => 1,
C_RATE => 16,
C_INPUT_WIDTH => 2,
C_OUTPUT_WIDTH => 22,
C_USE_DSP => 1,
C_HAS_ROUNDING => 0,
C_NUM_CHANNELS => 1,
C_RATE_TYPE => 0,
C_MIN_RATE => 16,
C_MAX_RATE => 16,
C_SAMPLE_FREQ => 1,
C_CLK_FREQ => 1,
C_USE_STREAMING_INTERFACE => 1,
C_FAMILY => "artix7",
C_XDEVICEFAMILY => "artix7",
C_C1 => 22,
C_C2 => 22,
C_C3 => 22,
C_C4 => 22,
C_C5 => 22,
C_C6 => 0,
C_I1 => 22,
C_I2 => 22,
C_I3 => 22,
C_I4 => 22,
C_I5 => 22,
C_I6 => 0,
C_S_AXIS_CONFIG_TDATA_WIDTH => 1,
C_S_AXIS_DATA_TDATA_WIDTH => 8,
C_M_AXIS_DATA_TDATA_WIDTH => 24,
C_M_AXIS_DATA_TUSER_WIDTH => 1,
C_HAS_DOUT_TREADY => 0,
C_HAS_ACLKEN => 0,
C_HAS_ARESETN => 0
)
PORT MAP (
aclk => aclk,
aclken => '1',
aresetn => '1',
s_axis_config_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_config_tvalid => '0',
s_axis_data_tdata => s_axis_data_tdata,
s_axis_data_tvalid => s_axis_data_tvalid,
s_axis_data_tready => s_axis_data_tready,
s_axis_data_tlast => '0',
m_axis_data_tdata => m_axis_data_tdata,
m_axis_data_tvalid => m_axis_data_tvalid,
m_axis_data_tready => '0'
);
END cascaded_integrator_comb_arch;
| mit | 7ae634e0b87f146e6362854d0673374e | 0.653585 | 3.396609 | false | false | false | false |
cwilkens/ecen4024-microphone-array | microphone-array/microphone-array.srcs/sources_1/ip/lp_FIR/axi_utils_v2_0/hdl/axi_slave_4to1.vhd | 3 | 47,179 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Cv2xzbUKuFd7tmbja2JP8HUrVOdm5tpR1sLU8k5JJazpeootFV7XmYIk7KIkl0XggjNPG6I9GK+L
3A6oqULueg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
HZ/+IxuAMIFGKRS+IabRrHMyivvOpTLeQrUB4psHROvNT9LuSozbsdBbgRQqAdR1hrYt/YuNySzM
5Zye+5rdGPhpU1wVnEbzNZJ+b+2blobc/Z/pK8X428dnBu/vao+Qxzf+B0kMnybpyADcalDlwGy9
THqgBqLKJqMk/WPiWgs=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
kjUDVSDHT9zOJYKnanzIg22GOlUAe9upqW6Ce/aMAMK2KONeKkWSwWEgsg/YIJO1r0wlsMk07RCn
pzdQO/lEhmFoXVLzu09R3PP2GWe0JwO/Jzfs34ajPB6/xMbtjnZae6A1NostxQs3PVbIJfnIpZZ2
kzuc2i9tcfz+kEKKP+HLpyTMpA9piiWByGuTuztk/LF82Fbm4eFM9in3U2kL011NNo54SnhtY7Zf
7FloM74WGwMUeyMqmu9VaI2BIjzcjEXCTHnpONPPQrcDKl5HaPIK1lUHQf0hnuyFoq2OVhE5Wq5j
6JEzjma6p7hlTH/d6WI43IQz6ZquKs/tMYs+lA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
jsA2RKM0L3fIJtgrp1JleeSPIJD3jTagxs0WbgftVxP3PTIyHwdWSCztsUtbaHjIE96rQfPnO5KX
1Ns9RbqBxiFiJ60R7hvYpuTGwziqWD+/Cpue3n5Etf4fsyAO/WrIRedK4BRWoaLEibZgtPzIrHIw
V1+pCfNBA32X77RR0Nc=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Qqxj34uwTS6oWRL1slI9/e310bwRXdhiiEisGPQdAeY3oe5s8yOTpnqGEoS3+kszc/5gO9JI8Vj+
ViHmRBFVLUpW+eEdV4S98TE1x2c9tjbRAATqFBHtnWfkt7YRx9OqpnY0zsAu6nuwDRbr+eJKQrp6
FvrSTI4s5Uo6t7CQYk6qB9AIKsEY5rdEwENryPO+HGB2fOPqhFsSDVwj4NgNCtdyw5aIU/t2PKUQ
eXFJHxe//xcIz/xfUDg+jPImA8qFPOuyLxqouqbEQwMHEywqv4vgbZ3OIUVCLU3W6diFoQoAj8xW
A8XGv9ptJY7zTK87hwn54Ff4csvVvyG+qGOwCg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 33184)
`protect data_block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`protect end_protected
| mit | ea4813eba15c7ca9a663e8027abebd72 | 0.948113 | 1.826025 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/entity/rule_018_test_input.fixed_combined_generic.vhd | 1 | 662 |
entity fifo is
generic (
gen_dec1 : integer := 0; -- Comment
gen_dec2 : integer := 1; -- Comment
gen_dec3 : integer := 2 -- Comment
);
port (
sig1 : std_logic := '0'; -- Comment
sig2 : std_logic := '1'; -- Comment
sig3 : std_logic := 'Z' -- Comment
);
end entity fifo;
-- Failures below
entity fifo is
generic (
gen_dec1 : integer := 0; -- Comment
gen_dec2 : integer := 1; -- Comment
gen_dec3 : integer := 2 -- Comment
);
port (
sig1 : std_logic := '0'; -- Comment
sig2 : std_logic := '1'; -- Comment
sig3 : std_logic := 'Z' -- Comment
);
end entity fifo;
| gpl-3.0 | 561ef4396d3a408e6af03ba9c5d28574 | 0.509063 | 3.152381 | false | false | false | false |
tdaede/daala_zynq | daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_dre_mux2_1_x_n.vhd | 1 | 5,422 | -------------------------------------------------------------------------------
-- axi_datamover_dre_mux2_1_x_n.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_datamover_dre_mux2_1_x_n.vhd
--
-- Description:
--
-- This VHDL file provides a 2 to 1 xn bit wide mux for the AXI Data Realignment
-- Engine (DRE).
--
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- axi_datamover_dre_mux2_1_x_n.vhd
--
-------------------------------------------------------------------------------
-- Revision History:
--
--
-- Author: DET
--
-- History:
-- DET 04/19/2011 Initial Version for EDK 13.3
--
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use ieee.STD_LOGIC_UNSIGNED.all;
use ieee.std_logic_arith.all;
-------------------------------------------------------------------------------
-- Start 2 to 1 xN Mux
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
Entity axi_datamover_dre_mux2_1_x_n is
generic (
C_WIDTH : Integer := 8
-- Sets the bit width of the 2x Mux slice
);
port (
Sel : In std_logic;
-- Mux select control
I0 : In std_logic_vector(C_WIDTH-1 downto 0);
-- Select 0 input
I1 : In std_logic_vector(C_WIDTH-1 downto 0);
-- Select 1 inputl
Y : Out std_logic_vector(C_WIDTH-1 downto 0)
-- Mux output value
);
end entity axi_datamover_dre_mux2_1_x_n; --
Architecture implementation of axi_datamover_dre_mux2_1_x_n is
begin
-------------------------------------------------------------
-- Combinational Process
--
-- Label: SELECT2_1
--
-- Process Description:
-- This process implements an 2 to 1 mux.
--
-------------------------------------------------------------
SELECT2_1 : process (Sel, I0, I1)
begin
case Sel is
when '0' =>
Y <= I0;
when '1' =>
Y <= I1;
when others =>
Y <= I0;
end case;
end process SELECT2_1;
end implementation; -- axi_datamover_dre_mux2_1_x_n
-------------------------------------------------------------------------------
-- End 2 to 1 xN Mux
-------------------------------------------------------------------------------
| bsd-2-clause | 1abbd673ade6d7668cce9b956e50a2f1 | 0.48377 | 4.920145 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/constant/rule_014_test_input.vhd | 1 | 735 |
architecture RTL of FIFO is
constant con1 : integer := a + b + c + d;
constant con1 : integer :=
a + b + c + d;
constant con2 : integer := a + b +
c + d;
constant con3 : integer :=
(
0,
1,
2,
3
);
constant con4 : dictionary :=
(
(3, 4, 5),
(1, 2, 3),
(9, 8, 7)
);
-- Violations
constant con2 : integer := a + b +
c + d;
constant con2 : integer := a + b +
c + d;
constant con1 : integer :=
a + b + c + d;
constant con3 : integer :=
(
0,
1,
2,
3
);
constant con4 : dictionary :=
(
(3, 4, 5),
(1, 2, 3),
(9, 8, 7)
);
begin
end architecture RTL;
| gpl-3.0 | f6f822f6cff21cbd72336ab3441b927a | 0.410884 | 3.181818 | false | false | false | false |
tdaede/daala_zynq | daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/blk_mem_gen_v8_0/blk_mem_axi_write_wrapper.vhd | 2 | 66,283 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Ao/rj98KIMpr5RszBUGh3jcV5QAxc7KTANfAA7W/CaVNpRRoMwFSHpHGgmDwxAFAHPdYCST0/oyF
kw5FpmcIRA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ij+k8IiqfLIw7Tc6LF08TqszDoDyN3EiNKUlfXaNLlVfvqLcCpPMni0KOif959BkNNGcwdsSdGyK
cA+WhXiteNr9hqEH8bX6+fVFFB28y4QCtDiwDwN6XagZnCvDIRLknrWMhk9f4yMF8UBVl2fwIFqc
LEfFA9Hcp2GhssOKVvQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
4QwWxMFaFjSFwGlRv9BxifpBZLzjnpXg9475iNwJCLqhcY/ugMQe6avzU0ixQj1OTKrWSRhL18WB
qXK3EHoy9et8yXysfrI+rgrUzShTMjM+DraNbgluJh3azFI5NVegNh0lxKTYrWfmyj1bOAmspRvx
VrvRvHkNevBncW5SHAlxJp41ph1YWsq6YADwmAJAkArNazQ+Vf38QxMSbvBQhoWfMsQMdLZ5PipX
kCpZD9JE4q3ZK5Y1287O2eLP1daODO6YERsHYY6bDTeMQh/uYzW0vThKARTVAFM90N4qPQAPjEbU
YKWIJo0+PDBYBrg+4EMZzHOfUy93qpEG1lceOw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
2rolg/uzWYnqNY9nObWUom5qZr3qzklJy2pQ36ouysvrPgQPYr7QV+H3ZhirwD3r2Ng0ETca/qT7
p9BO6It8j21D9BewfpkycofiD0s7EHiVh9OQIfdmLA5y4LHITkclzNcIZYfE03L2r9f79A3Ylc9H
ba04l/DP1DSJ/to5CV0=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
YDVPV7ZWsiOnmxtyHTS2ovL9qXK/TbIY6lkbQVq9laT36bc2YS3hoRlGlQLdQXemLykxsK/raehd
/eqUvOi/ur3+fQY4gde/9wm6dtXJQCbWaEVe9n6MgbI8z0IsdXg39oVmIZkp8ed+h6Z3QBDk7F/r
gcKaUB+GCZ7H2ylVf62HVfD4BaRWMzlveOCFIpCgT5TLmmzFy2em4ZEHLfq5x9f71+WtorPHfm/T
YfYFqSQKwwHaiKkmku+/gsX4zADEHtvAf1EKdJgBCR7wiOQKCIRGSZzxMfVpC8uYr9MT/Vus89E0
DDOJvNQtq/Wa9il1Q7zDMPXY7SGpd0tPq27EDA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 47328)
`protect data_block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`protect end_protected
| bsd-2-clause | 0faf68a69feec1a3f39d660a8f8b218d | 0.950425 | 1.812844 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/if_generate_statement/rule_300_test_input.fixed.vhd | 1 | 297 |
architecture RTL of FIFO is
begin
IF_LABEL : if a = '1' generate
elsif a = '0' generate
end generate;
-- Violations below
IF_LABEL : if a = '1' generate
elsif a = '0' generate
end generate;
IF_LABEL : if a = '1' generate
elsif a = '0' generate
end generate;
end;
| gpl-3.0 | 3e0b8ca495e43cb59e9c81db449b7ba4 | 0.609428 | 3.263736 | false | false | false | false |
tdaede/daala_zynq | daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_skid2mm_buf.vhd | 1 | 17,799 | -------------------------------------------------------------------------------
-- axi_datamover_skid2mm_buf.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_datamover_skid2mm_buf.vhd
--
-- Description:
-- Implements the AXi Skid Buffer in the Option 2 (Registerd outputs) mode.
--
-- This Module also provides Write Data Bus Mirroring and WSTRB
-- Demuxing to match a narrow Stream to a wider MMap Write
-- Channel. By doing this in the skid buffer, the resource
-- utilization of the skid buffer can be minimized by only
-- having to buffer/mux the Stream data width, not the MMap
-- Data width.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- axi_datamover_skid2mm_buf.vhd
-- |
-- |- axi_datamover_wr_demux.vhd
--
-------------------------------------------------------------------------------
-- Revision History:
--
--
-- Author: DET
--
-- History:
-- DET 04/19/2011 Initial Version for EDK 13.3
--
-- DET 6/20/2011 Initial Version for EDK 13.3
-- ~~~~~~
-- - Added 512 and 1024 data width support
-- ^^^^^^
--
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library axi_datamover_v5_1;
use axi_datamover_v5_1.axi_datamover_wr_demux;
-------------------------------------------------------------------------------
entity axi_datamover_skid2mm_buf is
generic (
C_MDATA_WIDTH : INTEGER range 32 to 1024 := 32 ;
-- Width of the MMap Write Data bus (in bits)
C_SDATA_WIDTH : INTEGER range 8 to 1024 := 32 ;
-- Width of the Stream Data bus (in bits)
C_ADDR_LSB_WIDTH : INTEGER range 1 to 8 := 5
-- Width of the LS address bus needed to Demux the WSTRB
);
port (
-- Clock and Reset Inputs -------------------------------------------
--
ACLK : In std_logic ; --
ARST : In std_logic ; --
---------------------------------------------------------------------
-- Slave Side (Wr Data Controller Input Side) -----------------------
--
S_ADDR_LSB : in std_logic_vector(C_ADDR_LSB_WIDTH-1 downto 0); --
S_VALID : In std_logic ; --
S_READY : Out std_logic ; --
S_DATA : In std_logic_vector(C_SDATA_WIDTH-1 downto 0); --
S_STRB : In std_logic_vector((C_SDATA_WIDTH/8)-1 downto 0); --
S_LAST : In std_logic ; --
---------------------------------------------------------------------
-- Master Side (MMap Write Data Output Side) ------------------------
M_VALID : Out std_logic ; --
M_READY : In std_logic ; --
M_DATA : Out std_logic_vector(C_MDATA_WIDTH-1 downto 0); --
M_STRB : Out std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0); --
M_LAST : Out std_logic --
---------------------------------------------------------------------
);
end entity axi_datamover_skid2mm_buf;
architecture implementation of axi_datamover_skid2mm_buf is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
Constant IN_DATA_WIDTH : integer := C_SDATA_WIDTH;
Constant MM2STRM_WIDTH_RATIO : integer := C_MDATA_WIDTH/C_SDATA_WIDTH;
-- Signals decalrations -------------------------
Signal sig_reset_reg : std_logic := '0';
signal sig_spcl_s_ready_set : std_logic := '0';
signal sig_data_skid_reg : std_logic_vector(IN_DATA_WIDTH-1 downto 0) := (others => '0');
signal sig_strb_skid_reg : std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0) := (others => '0');
signal sig_last_skid_reg : std_logic := '0';
signal sig_skid_reg_en : std_logic := '0';
signal sig_data_skid_mux_out : std_logic_vector(IN_DATA_WIDTH-1 downto 0) := (others => '0');
signal sig_strb_skid_mux_out : std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0) := (others => '0');
signal sig_last_skid_mux_out : std_logic := '0';
signal sig_skid_mux_sel : std_logic := '0';
signal sig_data_reg_out : std_logic_vector(IN_DATA_WIDTH-1 downto 0) := (others => '0');
signal sig_strb_reg_out : std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0) := (others => '0');
signal sig_last_reg_out : std_logic := '0';
signal sig_data_reg_out_en : std_logic := '0';
signal sig_m_valid_out : std_logic := '0';
signal sig_m_valid_dup : std_logic := '0';
signal sig_m_valid_comb : std_logic := '0';
signal sig_s_ready_out : std_logic := '0';
signal sig_s_ready_dup : std_logic := '0';
signal sig_s_ready_comb : std_logic := '0';
signal sig_mirror_data_out : std_logic_vector(C_MDATA_WIDTH-1 downto 0) := (others => '0');
signal sig_wstrb_demux_out : std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0) := (others => '0');
-- Register duplication attribute assignments to control fanout
-- on handshake output signals
Attribute KEEP : string; -- declaration
Attribute EQUIVALENT_REGISTER_REMOVAL : string; -- declaration
Attribute KEEP of sig_m_valid_out : signal is "TRUE"; -- definition
Attribute KEEP of sig_m_valid_dup : signal is "TRUE"; -- definition
Attribute KEEP of sig_s_ready_out : signal is "TRUE"; -- definition
Attribute KEEP of sig_s_ready_dup : signal is "TRUE"; -- definition
Attribute EQUIVALENT_REGISTER_REMOVAL of sig_m_valid_out : signal is "no";
Attribute EQUIVALENT_REGISTER_REMOVAL of sig_m_valid_dup : signal is "no";
Attribute EQUIVALENT_REGISTER_REMOVAL of sig_s_ready_out : signal is "no";
Attribute EQUIVALENT_REGISTER_REMOVAL of sig_s_ready_dup : signal is "no";
begin --(architecture implementation)
M_VALID <= sig_m_valid_out;
S_READY <= sig_s_ready_out;
M_STRB <= sig_strb_reg_out;
M_LAST <= sig_last_reg_out;
M_DATA <= sig_mirror_data_out;
-- Assign the special S_READY FLOP set signal
sig_spcl_s_ready_set <= sig_reset_reg;
-- Generate the ouput register load enable control
sig_data_reg_out_en <= M_READY or not(sig_m_valid_dup);
-- Generate the skid inpit register load enable control
sig_skid_reg_en <= sig_s_ready_dup;
-- Generate the skid mux select control
sig_skid_mux_sel <= not(sig_s_ready_dup);
-- Skid Mux
sig_data_skid_mux_out <= sig_data_skid_reg
When (sig_skid_mux_sel = '1')
Else S_DATA;
sig_strb_skid_mux_out <= sig_strb_skid_reg
When (sig_skid_mux_sel = '1')
--Else S_STRB;
Else sig_wstrb_demux_out;
sig_last_skid_mux_out <= sig_last_skid_reg
When (sig_skid_mux_sel = '1')
Else S_LAST;
-- m_valid combinational logic
sig_m_valid_comb <= S_VALID or
(sig_m_valid_dup and
(not(sig_s_ready_dup) or
not(M_READY)));
-- s_ready combinational logic
sig_s_ready_comb <= M_READY or
(sig_s_ready_dup and
(not(sig_m_valid_dup) or
not(S_VALID)));
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_THE_RST
--
-- Process Description:
-- Register input reset
--
-------------------------------------------------------------
REG_THE_RST : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
sig_reset_reg <= ARST;
end if;
end process REG_THE_RST;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: S_READY_FLOP
--
-- Process Description:
-- Registers S_READY handshake signals per Skid Buffer
-- Option 2 scheme
--
-------------------------------------------------------------
S_READY_FLOP : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARST = '1') then
sig_s_ready_out <= '0';
sig_s_ready_dup <= '0';
Elsif (sig_spcl_s_ready_set = '1') Then
sig_s_ready_out <= '1';
sig_s_ready_dup <= '1';
else
sig_s_ready_out <= sig_s_ready_comb;
sig_s_ready_dup <= sig_s_ready_comb;
end if;
end if;
end process S_READY_FLOP;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: M_VALID_FLOP
--
-- Process Description:
-- Registers M_VALID handshake signals per Skid Buffer
-- Option 2 scheme
--
-------------------------------------------------------------
M_VALID_FLOP : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARST = '1' or
sig_spcl_s_ready_set = '1') then -- Fix from AXI DMA
sig_m_valid_out <= '0';
sig_m_valid_dup <= '0';
else
sig_m_valid_out <= sig_m_valid_comb;
sig_m_valid_dup <= sig_m_valid_comb;
end if;
end if;
end process M_VALID_FLOP;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: SKID_DATA_REG
--
-- Process Description:
-- This process implements the Skid register for the
-- Skid Buffer Data signals.
--
-------------------------------------------------------------
SKID_DATA_REG : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (sig_skid_reg_en = '1') then
sig_data_skid_reg <= S_DATA;
else
null; -- hold current state
end if;
end if;
end process SKID_DATA_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: SKID_CNTL_REG
--
-- Process Description:
-- This process implements the Output registers for the
-- Skid Buffer Control signals
--
-------------------------------------------------------------
SKID_CNTL_REG : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARST = '1') then
sig_strb_skid_reg <= (others => '0');
sig_last_skid_reg <= '0';
elsif (sig_skid_reg_en = '1') then
sig_strb_skid_reg <= sig_wstrb_demux_out;
sig_last_skid_reg <= S_LAST;
else
null; -- hold current state
end if;
end if;
end process SKID_CNTL_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: OUTPUT_DATA_REG
--
-- Process Description:
-- This process implements the Output register for the
-- Data signals.
--
-------------------------------------------------------------
OUTPUT_DATA_REG : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (sig_data_reg_out_en = '1') then
sig_data_reg_out <= sig_data_skid_mux_out;
else
null; -- hold current state
end if;
end if;
end process OUTPUT_DATA_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: OUTPUT_CNTL_REG
--
-- Process Description:
-- This process implements the Output registers for the
-- control signals.
--
-------------------------------------------------------------
OUTPUT_CNTL_REG : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARST = '1') then
sig_strb_reg_out <= (others => '0');
sig_last_reg_out <= '0';
elsif (sig_data_reg_out_en = '1') then
sig_strb_reg_out <= sig_strb_skid_mux_out;
sig_last_reg_out <= sig_last_skid_mux_out;
else
null; -- hold current state
end if;
end if;
end process OUTPUT_CNTL_REG;
-------------------------------------------------------------
-- Combinational Process
--
-- Label: DO_WR_DATA_MIRROR
--
-- Process Description:
-- Implement the Write Data Mirror structure
--
-- Note that it is required that the Stream Width be less than
-- or equal to the MMap WData width.
--
-------------------------------------------------------------
DO_WR_DATA_MIRROR : process (sig_data_reg_out)
begin
for slice_index in 0 to MM2STRM_WIDTH_RATIO-1 loop
sig_mirror_data_out(((C_SDATA_WIDTH*slice_index)+C_SDATA_WIDTH)-1
downto C_SDATA_WIDTH*slice_index)
<= sig_data_reg_out;
end loop;
end process DO_WR_DATA_MIRROR;
------------------------------------------------------------
-- Instance: I_WSTRB_DEMUX
--
-- Description:
-- Instance for the Write Strobe DeMux.
--
------------------------------------------------------------
I_WSTRB_DEMUX : entity axi_datamover_v5_1.axi_datamover_wr_demux
generic map (
C_SEL_ADDR_WIDTH => C_ADDR_LSB_WIDTH ,
C_MMAP_DWIDTH => C_MDATA_WIDTH ,
C_STREAM_DWIDTH => C_SDATA_WIDTH
)
port map (
wstrb_in => S_STRB ,
demux_wstrb_out => sig_wstrb_demux_out ,
debeat_saddr_lsb => S_ADDR_LSB
);
end implementation;
| bsd-2-clause | 935f1a763a5c6c3fd89f757516735bab | 0.468453 | 4.47661 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/after/rule_002_test_input.vhd | 1 | 744 |
architecture ARCH of ENTITY is
begin
CLK_PROC : process (reset, clk) is
begin
if (reset = '1') then
a <= '0';
b <= '1';
c <= '0';
d <= '1';
elsif (clk'event and clk = '1') then
a <= b after 1 ns;
b <= c after 1 ns;
c <= d after 1 ns;
d <= e after 1 ns;
end if;
end process CLK_PROC;
-- Violations
CLK_PROC : process (reset, clk) is
begin
if (reset = '1') then
a <= '0';
b <= '1';
c <= '0';
d <= '1';
elsif (clk'event and clk = '1') then
a <= b after 1 ns;
b <= c after 1 ns;
c <= d after 1 ns;
d <= e after 1 ns;
end if;
end process CLK_PROC;
end architecture ARCH;
| gpl-3.0 | 486a533ee1967dbda084d7acc33d12d9 | 0.443548 | 3.234783 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/whitespace/rule_010_test_input.fixed.vhd | 1 | 279 |
architecture RTL of FIFO is
begin
a <= b & c;
-- violations
a <= b & c;
a <= b & c;
a <= b & c;
-- Multiple violations
a <= b & c & d & e;
-- Extra spaces
a <= b & c & d & e;
-- This is okay
a <= b
& c
& d;
end architecture RTL;
| gpl-3.0 | bfea4430ca10d539d3a1277ba2f043c5 | 0.433692 | 3 | false | false | false | false |
Yarr/Yarr-fw | rtl/kintex7/wbexp-core/wbexp_core.vhd | 1 | 36,291 | ----------------------------------------------------------------------------------
-- Company: LBNL
-- Engineer: Arnaud Sautaux
--
-- Create Date: 07/27/2017 10:50:41 AM
-- Design Name: Wishbone express core
-- Module Name: wshexp_core - Behavioral
-- Project Name: YARR
-- Target Devices:
-- Tool Versions: Vivado v2016.2 (64 bit)
-- Description:
-- Wishbone express top level
-- Dependencies:
-- wbexp_core_pkg
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use work.wshexp_core_pkg.ALL;
entity wshexp_core is
Generic(
AXI_BUS_WIDTH : integer := 64
);
Port (
clk_i : in STD_LOGIC; --! PCIe user clock 250 MHz
wb_clk_i : in STD_LOGIC; --! Wishbone bus clock
rst_i : in STD_LOGIC; --! Reset input active high
---------------------------------------------------------
-- AXI-Stream bus
m_axis_tx_tready_i : in STD_LOGIC; --! AXI-Stream bus: Transmit destination ready to accept data
m_axis_tx_tdata_o : out STD_LOGIC_VECTOR(AXI_BUS_WIDTH-1 DOWNTO 0); --! AXI-Stream bus: Transmit data
m_axis_tx_tkeep_o : out STD_LOGIC_VECTOR(AXI_BUS_WIDTH/8-1 DOWNTO 0); --! AXI-Stream bus: Transmit data strobe
m_axis_tx_tlast_o : out STD_LOGIC; --! AXI-Stream bus: Indicates the last data beaf of a packet
m_axis_tx_tvalid_o : out STD_LOGIC; --! AXI-Stream bus: Indicates valid transmit data
m_axis_tx_tuser_o : out STD_LOGIC_VECTOR(3 DOWNTO 0); --! AXI-Stream bus: Indicates custom informations about the transmit destination [PG054]
s_axis_rx_tdata_i : in STD_LOGIC_VECTOR(AXI_BUS_WIDTH-1 DOWNTO 0); --! AXI-Stream bus: Receive data
s_axis_rx_tkeep_i : in STD_LOGIC_VECTOR(AXI_BUS_WIDTH/8-1 DOWNTO 0); --! AXI-Stream bus: Receive data strobe
s_axis_rx_tlast_i : in STD_LOGIC; --! AXI-Stream bus: Indicates the last data beaf of a packet
s_axis_rx_tvalid_i : in STD_LOGIC; --! AXI-Stream bus: Indicates valid receive data
s_axis_rx_tready_o : out STD_LOGIC; --! AXI-Stream bus: Receive source ready to accept data
s_axis_rx_tuser_i : in STD_LOGIC_VECTOR(21 DOWNTO 0); --! AXI-Stream bus: Indicates custom informations about the receive source [PG054]
---------------------------------------------------------
-- DMA wishbone interface (master pipelined)
dma_adr_o : out std_logic_vector(31 downto 0); --! DMA Wishbone Bus: Adress
dma_dat_o : out std_logic_vector(63 downto 0); --! DMA Wishbone Bus: Data out
dma_dat_i : in std_logic_vector(63 downto 0); --! DMA Wishbone Bus: Data in
dma_sel_o : out std_logic_vector(7 downto 0); --! DMA Wishbone Bus: Byte select
dma_cyc_o : out std_logic; --! DMA Wishbone Bus: Read or write cycle
dma_stb_o : out std_logic; --! DMA Wishbone Bus: Read or write strobe
dma_we_o : out std_logic; --! DMA Wishbone Bus: Write enable
dma_ack_i : in std_logic; --! DMA Wishbone Bus: Acknowledge
dma_stall_i : in std_logic; --! DMA Wishbone Bus: for pipelined Wishbone
---------------------------------------------------------
-- CSR wishbone interface (master classic)
csr_adr_o : out std_logic_vector(31 downto 0); --! CSR Wishbone Bus: Address
csr_dat_o : out std_logic_vector(31 downto 0); --! CSR Wishbone Bus: Data out
csr_sel_o : out std_logic_vector(3 downto 0); --! CSR Wishbone Bus: Byte select
csr_stb_o : out std_logic; --! CSR Wishbone Bus: Read or write cycle
csr_we_o : out std_logic; --! CSR Wishbone Bus: Write enable
csr_cyc_o : out std_logic; --! CSR Wishbone Bus: Read or write strobe
csr_dat_i : in std_logic_vector(31 downto 0); --! CSR Wishbone Bus: Data in
csr_ack_i : in std_logic; --! CSR Wishbone Bus: Acknoledge
csr_stall_i : in std_logic; --! CSR Wishbone Bus: for pipelined Wishbone
csr_err_i : in std_logic; --! CSR Wishbone Bus: Error
csr_rty_i : in std_logic; --! not used internally
csr_int_i : in std_logic; --! not used internally
---------------------------------------------------------
-- DMA registers wishbone interface (slave classic)
dma_reg_adr_i : in std_logic_vector(31 downto 0); --! DMA Registers Bus: Address
dma_reg_dat_i : in std_logic_vector(31 downto 0); --! DMA Registers Bus: Data in
dma_reg_sel_i : in std_logic_vector(3 downto 0); --! DMA Registers Bus: Byte select
dma_reg_stb_i : in std_logic; --! DMA Registers Bus: Read or write strobe
dma_reg_we_i : in std_logic; --! DMA Registers Bus: Write enable
dma_reg_cyc_i : in std_logic; --! DMA Registers Bus: Read or write cycle
dma_reg_dat_o : out std_logic_vector(31 downto 0); --! DMA Registers Bus: Data out
dma_reg_ack_o : out std_logic; --! DMA Registers Bus: Acknoledge
dma_reg_stall_o : out std_logic; --! DMA Registers Bus: for pipelined wishbone
---------------------------------------------------------
-- PCIe interrupt config
cfg_interrupt_o : out STD_LOGIC; --! Interrupt request signal
cfg_interrupt_rdy_i : in STD_LOGIC; --! Interrupt grant signal
cfg_interrupt_assert_o : out STD_LOGIC; --! Not used
cfg_interrupt_di_o : out STD_LOGIC_VECTOR(7 DOWNTO 0); --! Interrupt message data out
cfg_interrupt_do_i : in STD_LOGIC_VECTOR(7 DOWNTO 0); --! Interrupt message data in
cfg_interrupt_mmenable_i : in STD_LOGIC_VECTOR(2 DOWNTO 0); --! Intteurpt multiple message enable
cfg_interrupt_msienable_i : in STD_LOGIC; --! Not used
cfg_interrupt_msixenable_i : in STD_LOGIC; --! Not used
cfg_interrupt_msixfm_i : in STD_LOGIC; --! Not used
cfg_interrupt_stat_o : out STD_LOGIC; --! Not used
cfg_pciecap_interrupt_msgnum_o : out STD_LOGIC_VECTOR(4 DOWNTO 0); --! Not used
---------------------------------------------------------
-- PCIe ID
cfg_bus_number_i : in STD_LOGIC_VECTOR(7 DOWNTO 0); --! PCIe bus number (usually 0)
cfg_device_number_i : in STD_LOGIC_VECTOR(4 DOWNTO 0); --! PCIe device number (lspci)
cfg_function_number_i : in STD_LOGIC_VECTOR(2 DOWNTO 0) --! PCIe function number (lspci)
);
end wshexp_core;
architecture Behavioral of wshexp_core is
constant axis_data_width_c : integer := 64;
---------------------------------------------------------
-- Reset and Clocks
signal rst_n_s : std_logic;
---------------------------------------------------------
-- PCIe
signal cfg_interrupt_s : std_logic;
signal pcie_id_s : std_logic_vector (15 downto 0); -- Completer/Requester ID
---------------------------------------------------------
-- Slave AXI-Stream from arbiter to pcie_tx
signal s_axis_rx_tdata_s : STD_LOGIC_VECTOR (axis_data_width_c - 1 downto 0);
signal s_axis_rx_tkeep_s : STD_LOGIC_VECTOR (axis_data_width_c/8 - 1 downto 0);
signal s_axis_rx_tuser_s : STD_LOGIC_VECTOR (21 downto 0);
signal s_axis_rx_tlast_s : STD_LOGIC;
signal s_axis_rx_tvalid_s :STD_LOGIC;
signal s_axis_rx_tready_s : STD_LOGIC;
---------------------------------------------------------
-- Master AXI-Stream pcie_rx to wishbone master
signal m_axis_tx_tdata_s : STD_LOGIC_VECTOR (axis_data_width_c - 1 downto 0);
signal m_axis_tx_tkeep_s : STD_LOGIC_VECTOR (axis_data_width_c/8 - 1 downto 0);
signal m_axis_tx_tuser_s : STD_LOGIC_VECTOR (3 downto 0);
signal m_axis_tx_tlast_s : STD_LOGIC;
signal m_axis_tx_tvalid_s : STD_LOGIC;
signal m_axis_tx_tready_s : STD_LOGIC;
---------------------------------------------------------
-- From Packet decoder to Wishbone master (wbm)
signal pd_wbm_address_s : STD_LOGIC_VECTOR(63 downto 0);
signal pd_wbm_data_s : STD_LOGIC_VECTOR(31 downto 0);
signal p2l_wbm_rdy_s : std_logic;
signal pd_pdm_data_valid_w_s : std_logic_vector(1 downto 0);
signal pd_wbm_valid_s : std_logic;
signal pd_wbm_hdr_rid_s : std_logic_vector(15 downto 0); -- Requester ID
signal pd_wbm_hdr_tag_s : std_logic_vector(7 downto 0);
signal pd_wbm_target_mrd_s : std_logic; -- Target memory read
signal pd_wbm_target_mwr_s : std_logic;
signal wbm_pd_ready_s : std_logic;
signal pd_op_s : STD_LOGIC_VECTOR(2 downto 0);
signal pd_header_type_s : STD_LOGIC;
signal pd_payload_length_s : STD_LOGIC_VECTOR(9 downto 0);
---------------------------------------------------------
-- From Wishbone master (wbm) to L2P DMA
signal pd_pdm_data_valid_s : STD_LOGIC;
signal pd_pdm_data_last_s : STD_LOGIC;
signal pd_pdm_data_s : STD_LOGIC_VECTOR(AXI_BUS_WIDTH - 1 downto 0);
signal pd_pdm_keep_s : std_logic_vector(7 downto 0);
signal p2l_dma_rdy_s : std_logic;
---------------------------------------------------------
-- From the DMA ctrl registers to the L2P DMA master and P2L DMA master
signal dma_ctrl_carrier_addr_s : std_logic_vector(31 downto 0);
signal dma_ctrl_host_addr_h_s : std_logic_vector(31 downto 0);
signal dma_ctrl_host_addr_l_s : std_logic_vector(31 downto 0);
signal dma_ctrl_len_s : std_logic_vector(31 downto 0);
signal dma_ctrl_start_l2p_s : std_logic; -- To the L2P DMA master
signal dma_ctrl_start_p2l_s : std_logic; -- To the P2L DMA master
signal dma_ctrl_start_next_s : std_logic; -- To the P2L DMA master
signal dma_ctrl_byte_swap_s : std_logic_vector(1 downto 0);
signal dma_ctrl_abort_s : std_logic;
signal dma_ctrl_done_s : std_logic;
signal dma_ctrl_error_s : std_logic;
signal dma_ctrl_l2p_done_s : std_logic;
signal dma_ctrl_l2p_error_s : std_logic;
signal dma_ctrl_p2l_done_s : std_logic;
signal dma_ctrl_p2l_error_s : std_logic;
---------------------------------------------------------
-- From P2L Master to the Arbiter
signal pdm_arb_tvalid_s : std_logic; -- Read completion signals
signal pdm_arb_tlast_s : std_logic; -- Toward the arbiter
signal pdm_arb_tdata_s : std_logic_vector(63 downto 0);
signal pdm_arb_tkeep_s : std_logic_vector(7 downto 0);
signal pdm_arb_req_s : std_logic;
signal pdm_arb_tready_s : std_logic;
---------------------------------------------------------
-- DMA Interface (Pipelined Wishbone)
signal p2l_dma_adr_s : std_logic_vector(31 downto 0); -- Adress
signal p2l_dma_dat_s2m_s : std_logic_vector(63 downto 0); -- Data in
signal p2l_dma_dat_m2s_s : std_logic_vector(63 downto 0); -- Data out
signal p2l_dma_sel_s : std_logic_vector(7 downto 0); -- Byte select
signal p2l_dma_cyc_s : std_logic; -- Read or write cycle
signal p2l_dma_stb_s : std_logic; -- Read or write strobe
signal p2l_dma_we_s : std_logic; -- Write
signal p2l_dma_ack_s : std_logic; -- Acknowledge
signal p2l_dma_stall_s : std_logic; -- for pipelined Wishbone
signal l2p_dma_adr_s : std_logic_vector(64-1 downto 0);
signal l2p_dma_dat_s2m_s : std_logic_vector(64-1 downto 0);
signal l2p_dma_dat_m2s_s : std_logic_vector(64-1 downto 0);
signal l2p_dma_sel_s : std_logic_vector(3 downto 0);
signal l2p_dma_cyc_s : std_logic;
signal l2p_dma_stb_s : std_logic;
signal l2p_dma_we_s : std_logic;
signal l2p_dma_ack_s : std_logic;
signal l2p_dma_stall_s : std_logic;
signal dma_adr_s : std_logic_vector(31 downto 0); -- Adress
signal dma_dat_s2m_s : std_logic_vector(63 downto 0); -- Data in
signal dma_dat_m2s_s : std_logic_vector(63 downto 0); -- Data out
signal dma_sel_s : std_logic_vector(7 downto 0); -- Byte select
signal dma_cyc_s : std_logic; -- Read or write cycle
signal dma_stb_s : std_logic; -- Read or write strobe
signal dma_we_s : std_logic; -- Write
signal dma_ack_s : std_logic; -- Acknowledge
signal dma_stall_s : std_logic; -- for pipelined Wishbone
---------------------------------------------------------
-- From DMA ctrl registers to PCIe
signal dma_ctrl_irq_s : std_logic_vector(1 downto 0);
---------------------------------------------------------
-- From P2L DMA master to DMA ctrl registers
signal next_item_carrier_addr_s : std_logic_vector(31 downto 0);
signal next_item_host_addr_h_s : std_logic_vector(31 downto 0);
signal next_item_host_addr_l_s : std_logic_vector(31 downto 0);
signal next_item_len_s : std_logic_vector(31 downto 0);
signal next_item_next_l_s : std_logic_vector(31 downto 0);
signal next_item_next_h_s : std_logic_vector(31 downto 0);
signal next_item_attrib_s : std_logic_vector(31 downto 0);
signal next_item_valid_s : std_logic;
---------------------------------------------------------
-- From L2P DMA master (ldm) to arbiter (arb)
signal ldm_arb_tdata_s : std_logic_vector (AXI_BUS_WIDTH - 1 downto 0);
signal ldm_arb_tkeep_s : std_logic_vector (AXI_BUS_WIDTH/8 - 1 downto 0);
signal ldm_arb_tlast_s : std_logic;
signal ldm_arb_tvalid_s : std_logic;
signal ldm_arb_tready_s : std_logic;
signal ldm_arb_req_s : std_logic;
---------------------------------------------------------
-- From Wishbone master (wbm) to arbiter (arb)
signal wbm_arb_tdata_s : std_logic_vector (AXI_BUS_WIDTH - 1 downto 0);
signal wbm_arb_tkeep_s : std_logic_vector (AXI_BUS_WIDTH/8 - 1 downto 0);
signal wbm_arb_tlast_s : std_logic;
signal wbm_arb_tvalid_s : std_logic;
signal wbm_arb_req_s : std_logic;
signal wbm_arb_tready_s : std_logic;
begin
rst_n_s <= not rst_i;
wbm_pd_ready_s <= p2l_wbm_rdy_s and p2l_dma_rdy_s;
-- Slave AXI-Stream
s_axis_rx_tdata_s <= s_axis_rx_tdata_i;
s_axis_rx_tkeep_s <= s_axis_rx_tkeep_i;
s_axis_rx_tlast_s <= s_axis_rx_tlast_i;
s_axis_rx_tready_o <= s_axis_rx_tready_s;
s_axis_rx_tuser_s <= s_axis_rx_tuser_i;
s_axis_rx_tvalid_s <= s_axis_rx_tvalid_i;
-- Master AXI-Stream
m_axis_tx_tdata_o <= m_axis_tx_tdata_s;
m_axis_tx_tkeep_o <= m_axis_tx_tkeep_s;
m_axis_tx_tuser_o <= m_axis_tx_tuser_s;
m_axis_tx_tlast_o <= m_axis_tx_tlast_s;
m_axis_tx_tvalid_o <= m_axis_tx_tvalid_s;
m_axis_tx_tready_s <= m_axis_tx_tready_i;
---------------------------------------------------------
-- PCIe interrupt and ID
cfg_interrupt_assert_o <= '0';
cfg_interrupt_di_o <= (others => '0');
cfg_interrupt_stat_o <= '0';
cfg_pciecap_interrupt_msgnum_o <= (others => '0');
cfg_interrupt_o <= cfg_interrupt_s;
interrupt_p : process(rst_i,clk_i,cfg_interrupt_rdy_i)
-- interrupt_p : process(rst_i,clk_i)
begin
if (rst_i = '1') then
cfg_interrupt_s <= '0';
elsif (cfg_interrupt_rdy_i = '1') then
cfg_interrupt_s <= '0';
elsif(clk_i'event and clk_i = '1') then
cfg_interrupt_s <= cfg_interrupt_s;
--if (cfg_interrupt_rdy_i = '1') then
--cfg_interrupt_s <= '0';
if (dma_ctrl_irq_s /= "00") then
cfg_interrupt_s <= '1';
end if;
end if;
end process interrupt_p;
id_p : process(rst_i,clk_i)
begin
if (rst_i = '1') then
pcie_id_s <= (others=> '0');
elsif(clk_i'event and clk_i = '1') then
pcie_id_s <= cfg_bus_number_i & cfg_device_number_i & cfg_function_number_i;
end if;
end process id_p;
-- DMA registers is a classic wishbone slave supporting single pipelined cycles
dma_reg_stall_o <= '0';
p2l_dec_comp:p2l_decoder
port map(
clk_i => clk_i,
rst_i => rst_i,
-- Slave AXI-Stream
s_axis_rx_tdata_i => s_axis_rx_tdata_s,
s_axis_rx_tkeep_i => s_axis_rx_tkeep_s,
s_axis_rx_tlast_i => s_axis_rx_tlast_s,
s_axis_rx_tready_o => s_axis_rx_tready_s,
s_axis_rx_tuser_i => s_axis_rx_tuser_s,
s_axis_rx_tvalid_i => s_axis_rx_tvalid_s,
-- To the wishbone master
pd_wbm_address_o => pd_wbm_address_s,
pd_wbm_data_o => pd_wbm_data_s,
pd_wbm_valid_o => pd_wbm_valid_s,
pd_wbm_hdr_rid_o => pd_wbm_hdr_rid_s,
pd_wbm_hdr_tag_o => pd_wbm_hdr_tag_s,
pd_wbm_target_mrd_o => pd_wbm_target_mrd_s,
pd_wbm_target_mwr_o => pd_wbm_target_mwr_s,
wbm_pd_ready_i => wbm_pd_ready_s,
pd_op_o => pd_op_s,
pd_header_type_o => pd_header_type_s,
pd_payload_length_o => pd_payload_length_s,
-- L2P DMA
pd_pdm_data_valid_o => pd_pdm_data_valid_s,
pd_pdm_data_valid_w_o => pd_pdm_data_valid_w_s,
pd_pdm_data_last_o => pd_pdm_data_last_s,
pd_pdm_keep_o => pd_pdm_keep_s,
pd_pdm_data_o => pd_pdm_data_s
);
csr_adr_o(31) <= '0';
wb32:wbmaster32
generic map (
g_ACK_TIMEOUT => 100 -- Wishbone ACK timeout (in wb_clk cycles)
)
port map
(
---------------------------------------------------------
-- GN4124 core clock and reset
clk_i => clk_i,
rst_n_i => rst_n_s,
---------------------------------------------------------
-- From P2L packet decoder
--
-- Header
pd_wbm_hdr_start_i => pd_wbm_valid_s, -- Header strobe
--pd_wbm_hdr_length_i : in std_logic_vector(9 downto 0); -- Packet length in 32-bit words multiples
pd_wbm_hdr_rid_i => pd_wbm_hdr_rid_s, -- Requester ID
pd_wbm_hdr_cid_i => pcie_id_s, --X"0100", -- Completer ID
pd_wbm_hdr_tag_i => pd_wbm_hdr_tag_s,
pd_wbm_target_mrd_i => pd_wbm_target_mrd_s, -- Target memory read
pd_wbm_target_mwr_i => pd_wbm_target_mwr_s, -- Target memory write
--
-- Address
pd_wbm_addr_start_i => pd_wbm_valid_s, -- Address strobe
pd_wbm_addr_i => pd_wbm_address_s(31 downto 0),-- Target address (in byte) that will increment with data
-- increment = 4 bytes
--
-- Data
pd_wbm_data_valid_i => pd_wbm_valid_s, -- Indicates Data is valid
pd_wbm_data_i => pd_wbm_data_s, -- Data
---------------------------------------------------------
-- P2L channel control
p_wr_rdy_o => open,-- Ready to accept target write
p2l_rdy_o => p2l_wbm_rdy_s,--wbm_pd_ready_s, -- De-asserted to pause transfer already in progress
p_rd_d_rdy_i => "11",-- Asserted when GN4124 ready to accept read completion with data
---------------------------------------------------------
-- To the arbiter (L2P data)
wbm_arb_tdata_o => wbm_arb_tdata_s,
wbm_arb_tkeep_o => wbm_arb_tkeep_s,
wbm_arb_tlast_o => wbm_arb_tlast_s,
wbm_arb_tvalid_o => wbm_arb_tvalid_s,
wbm_arb_tready_i => wbm_arb_tready_s,
wbm_arb_req_o => wbm_arb_req_s,
---------------------------------------------------------
-- CSR wishbone interface
wb_clk_i => wb_clk_i, -- Wishbone bus clock
wb_adr_o => csr_adr_o(30 downto 0),-- Address
wb_dat_o => csr_dat_o,-- Data out
wb_sel_o => csr_sel_o, -- Byte select
wb_stb_o => csr_stb_o, -- Strobe
wb_we_o => csr_we_o, -- Write
wb_cyc_o => csr_cyc_o, -- Cycle
wb_dat_i => csr_dat_i,-- Data in
wb_ack_i => csr_ack_i, -- Acknowledge
wb_stall_i => csr_stall_i, -- Stall
wb_err_i => csr_err_i, -- Error
wb_rty_i => csr_rty_i, -- Retry
wb_int_i => csr_int_i -- Interrupt
);
p2l_dma:p2l_dma_master
generic map (
-- Enable byte swap module (if false, no swap)
g_BYTE_SWAP => false
)
port map
(
---------------------------------------------------------
-- GN4124 core clock and reset
clk_i => clk_i,
rst_n_i => rst_n_s,
l2p_rid_i => pcie_id_s,
---------------------------------------------------------
-- From the DMA controller
dma_ctrl_carrier_addr_i => dma_ctrl_carrier_addr_s,
dma_ctrl_host_addr_h_i => dma_ctrl_host_addr_h_s,
dma_ctrl_host_addr_l_i => dma_ctrl_host_addr_l_s,
dma_ctrl_len_i => dma_ctrl_len_s,
dma_ctrl_start_p2l_i => dma_ctrl_start_p2l_s,
dma_ctrl_start_next_i => dma_ctrl_start_next_s,
dma_ctrl_done_o => dma_ctrl_p2l_done_s,
dma_ctrl_error_o => dma_ctrl_p2l_error_s,
dma_ctrl_byte_swap_i => "111",
dma_ctrl_abort_i => dma_ctrl_abort_s,
---------------------------------------------------------
-- From P2L Decoder (receive the read completion)
--
-- Header
pd_pdm_master_cpld_i => '1', -- Master read completion with data
pd_pdm_master_cpln_i => '0', -- Master read completion without data
--
-- Data
pd_pdm_data_valid_i => pd_pdm_data_valid_s, -- Indicates Data is valid
pd_pdm_data_valid_w_i => pd_pdm_data_valid_w_s,
pd_pdm_data_last_i => pd_pdm_data_last_s, -- Indicates end of the packet
pd_pdm_data_i => pd_pdm_data_s, -- Data
pd_pdm_be_i => pd_pdm_keep_s, -- Byte Enable for data
---------------------------------------------------------
-- P2L control
p2l_rdy_o => p2l_dma_rdy_s, -- De-asserted to pause transfer already in progress
rx_error_o => open, -- Asserted when transfer is aborted
---------------------------------------------------------
-- To the P2L Interface (send the DMA Master Read request)
pdm_arb_tvalid_o => pdm_arb_tvalid_s, -- Read completion signals
pdm_arb_tlast_o => pdm_arb_tlast_s, -- Toward the arbiter
pdm_arb_tdata_o => pdm_arb_tdata_s,
pdm_arb_tkeep_o => pdm_arb_tkeep_s,
pdm_arb_req_o => pdm_arb_req_s,
arb_pdm_gnt_i => pdm_arb_tready_s,
---------------------------------------------------------
-- DMA Interface (Pipelined Wishbone)
p2l_dma_clk_i => wb_clk_i, -- Bus clock
p2l_dma_adr_o => p2l_dma_adr_s, -- Adress
p2l_dma_dat_i => p2l_dma_dat_s2m_s, -- Data in
p2l_dma_dat_o => p2l_dma_dat_m2s_s, -- Data out
p2l_dma_sel_o => p2l_dma_sel_s, -- Byte select
p2l_dma_cyc_o => p2l_dma_cyc_s, -- Read or write cycle
p2l_dma_stb_o => p2l_dma_stb_s, -- Read or write strobe
p2l_dma_we_o => p2l_dma_we_s, -- Write
p2l_dma_ack_i => p2l_dma_ack_s, -- Acknowledge
p2l_dma_stall_i => p2l_dma_stall_s, -- for pipelined Wishbone
l2p_dma_cyc_i => l2p_dma_cyc_s, -- L2P dma wb cycle (for bus arbitration)
---------------------------------------------------------
-- To the DMA controller
next_item_carrier_addr_o => next_item_carrier_addr_s,
next_item_host_addr_h_o => next_item_host_addr_h_s,
next_item_host_addr_l_o => next_item_host_addr_l_s,
next_item_len_o => next_item_len_s,
next_item_next_l_o => next_item_next_l_s,
next_item_next_h_o => next_item_next_h_s,
next_item_attrib_o => next_item_attrib_s,
next_item_valid_o => next_item_valid_s
);
l2p_dma : l2p_dma_master
port map
(
clk_i => clk_i,
rst_n_i => rst_n_s,
l2p_rid_i => pcie_id_s,
dma_ctrl_target_addr_i => dma_ctrl_carrier_addr_s,
dma_ctrl_host_addr_h_i => dma_ctrl_host_addr_h_s,
dma_ctrl_host_addr_l_i => dma_ctrl_host_addr_l_s,
dma_ctrl_len_i => dma_ctrl_len_s,
dma_ctrl_start_l2p_i => dma_ctrl_start_l2p_s,
dma_ctrl_done_o => dma_ctrl_l2p_done_s,
dma_ctrl_error_o => dma_ctrl_l2p_error_s,
dma_ctrl_byte_swap_i => "000",
dma_ctrl_abort_i => dma_ctrl_abort_s,
ldm_arb_tvalid_o => ldm_arb_tvalid_s,
ldm_arb_tlast_o => ldm_arb_tlast_s,
ldm_arb_tdata_o => ldm_arb_tdata_s,
ldm_arb_tkeep_o => ldm_arb_tkeep_s,
ldm_arb_req_o => ldm_arb_req_s,
arb_ldm_gnt_i => ldm_arb_tready_s,
l2p_edb_o => open,
ldm_arb_tready_i => ldm_arb_tready_s,
l2p_rdy_i => '1',
tx_error_i => '0',
l2p_dma_clk_i => wb_clk_i,
l2p_dma_adr_o => l2p_dma_adr_s,
l2p_dma_dat_i => l2p_dma_dat_s2m_s,
l2p_dma_dat_o => l2p_dma_dat_m2s_s,
l2p_dma_sel_o => l2p_dma_sel_s,
l2p_dma_cyc_o => l2p_dma_cyc_s,
l2p_dma_stb_o => l2p_dma_stb_s,
l2p_dma_we_o => l2p_dma_we_s,
l2p_dma_ack_i => l2p_dma_ack_s,
l2p_dma_stall_i => l2p_dma_stall_s,
p2l_dma_cyc_i => p2l_dma_cyc_s--,
--DMA Debug
--l2p_current_state_do => l2p_current_state_ds,
--l2p_data_cnt_do => l2p_data_cnt_ds,
--l2p_len_cnt_do => l2p_len_cnt_ds,
--l2p_timeout_cnt_do => l2p_timeout_cnt_ds,
--wb_timeout_cnt_do => wb_timeout_cnt_ds,
-- Data FIFO
--data_fifo_rd_do => data_fifo_rd_ds,
--data_fifo_wr_do => data_fifo_wr_ds,
--data_fifo_empty_do => data_fifo_empty_ds,
--data_fifo_full_do => data_fifo_full_ds,
--data_fifo_dout_do => data_fifo_dout_ds,
--data_fifo_din_do => data_fifo_din_ds,
-- Addr FIFO
--addr_fifo_rd_do => addr_fifo_rd_ds,
--addr_fifo_wr_do => addr_fifo_wr_ds,
--addr_fifo_empty_do => addr_fifo_empty_ds,
--addr_fifo_full_do => addr_fifo_full_ds,
--addr_fifo_dout_do => addr_fifo_dout_ds,
--addr_fifo_din_do => addr_fifo_din_ds
);
dma_ctrl:dma_controller
port map
(
---------------------------------------------------------
-- GN4124 core clock and reset
clk_i => clk_i,
rst_n_i => rst_n_s,
---------------------------------------------------------
-- Interrupt request
dma_ctrl_irq_o => dma_ctrl_irq_s,
---------------------------------------------------------
-- To the L2P DMA master and P2L DMA master
dma_ctrl_carrier_addr_o => dma_ctrl_carrier_addr_s,
dma_ctrl_host_addr_h_o => dma_ctrl_host_addr_h_s,
dma_ctrl_host_addr_l_o => dma_ctrl_host_addr_l_s,
dma_ctrl_len_o => dma_ctrl_len_s,
dma_ctrl_start_l2p_o => dma_ctrl_start_l2p_s, -- To the L2P DMA master
dma_ctrl_start_p2l_o => dma_ctrl_start_p2l_s, -- To the P2L DMA master
dma_ctrl_start_next_o => dma_ctrl_start_next_s, -- To the P2L DMA master
dma_ctrl_byte_swap_o => dma_ctrl_byte_swap_s,
dma_ctrl_abort_o => dma_ctrl_abort_s,
dma_ctrl_done_i => dma_ctrl_done_s,
dma_ctrl_error_i => dma_ctrl_error_s,
---------------------------------------------------------
-- From P2L DMA master
next_item_carrier_addr_i => next_item_carrier_addr_s,
next_item_host_addr_h_i => next_item_host_addr_h_s,
next_item_host_addr_l_i => next_item_host_addr_l_s,
next_item_len_i => next_item_len_s,
next_item_next_l_i => next_item_next_l_s,
next_item_next_h_i => next_item_next_h_s,
next_item_attrib_i => next_item_attrib_s,
next_item_valid_i => next_item_valid_s,
---------------------------------------------------------
-- Wishbone slave interface
wb_clk_i => wb_clk_i, -- Bus clock
wb_adr_i => dma_reg_adr_i(3 downto 0), -- Adress
wb_dat_o => dma_reg_dat_o, -- Data in
wb_dat_i => dma_reg_dat_i, -- Data out
wb_sel_i => dma_reg_sel_i, -- Byte select
wb_cyc_i => dma_reg_cyc_i, -- Read or write cycle
wb_stb_i => dma_reg_stb_i, -- Read or write strobe
wb_we_i => dma_reg_we_i, -- Write
wb_ack_o => dma_reg_ack_o--, -- Acknowledge
---------------------------------------------------------
-- Debug interface
--dma_ctrl_current_state_do => dma_ctrl_current_state_ds,
--dma_ctrl_do => dma_ctrl_ds,
--dma_stat_do => dma_stat_ds,
--dma_attrib_do => dma_attrib_ds
);
-- Status signals from DMA masters
dma_ctrl_done_s <= dma_ctrl_l2p_done_s or dma_ctrl_p2l_done_s;
dma_ctrl_error_s <= dma_ctrl_l2p_error_s or dma_ctrl_p2l_error_s;
arbiter:l2p_arbiter
port map(
---------------------------------------------------------
-- GN4124 core clock and reset
clk_i => clk_i,
rst_n_i => rst_n_s,
---------------------------------------------------------
-- From Wishbone master (wbm) to arbiter (arb)
wbm_arb_tdata_i => wbm_arb_tdata_s,
wbm_arb_tkeep_i => wbm_arb_tkeep_s,
wbm_arb_tlast_i => wbm_arb_tlast_s,
wbm_arb_tvalid_i => wbm_arb_tvalid_s,
wbm_arb_req_i => wbm_arb_req_s,
wbm_arb_tready_o => wbm_arb_tready_s,
---------------------------------------------------------
-- From P2L DMA master (pdm) to arbiter (arb)
pdm_arb_tdata_i => pdm_arb_tdata_s,
pdm_arb_tkeep_i => pdm_arb_tkeep_s,
pdm_arb_tlast_i => pdm_arb_tlast_s,
pdm_arb_tvalid_i => pdm_arb_tvalid_s,
pdm_arb_req_i => pdm_arb_req_s,
pdm_arb_tready_o => pdm_arb_tready_s,
arb_pdm_gnt_o => open,
---------------------------------------------------------
-- From L2P DMA master (ldm) to arbiter (arb)
ldm_arb_tdata_i => ldm_arb_tdata_s,
ldm_arb_tkeep_i => ldm_arb_tkeep_s,
ldm_arb_tlast_i => ldm_arb_tlast_s,
ldm_arb_tvalid_i => ldm_arb_tvalid_s,
ldm_arb_req_i => ldm_arb_req_s,
ldm_arb_tready_o => ldm_arb_tready_s,
arb_ldm_gnt_o => open,
---------------------------------------------------------
-- From arbiter (arb) to pcie_tx (tx)
axis_tx_tdata_o => m_axis_tx_tdata_s,
axis_tx_tkeep_o => m_axis_tx_tkeep_s,
axis_tx_tuser_o => m_axis_tx_tuser_s,
axis_tx_tlast_o => m_axis_tx_tlast_s,
axis_tx_tvalid_o => m_axis_tx_tvalid_s,
axis_tx_tready_i => m_axis_tx_tready_s--,
---------------------------------------------------------
-- Debug
--eop_do => eop_s
);
dma_mux: process(
l2p_dma_adr_s,l2p_dma_dat_m2s_s,l2p_dma_sel_s,l2p_dma_cyc_s,l2p_dma_stb_s,l2p_dma_we_s,
p2l_dma_adr_s,p2l_dma_dat_m2s_s,p2l_dma_sel_s,p2l_dma_cyc_s,p2l_dma_stb_s,p2l_dma_we_s)
begin
if l2p_dma_cyc_s = '1' then
dma_adr_s <= l2p_dma_adr_s(31 downto 0);
dma_dat_m2s_s <= l2p_dma_dat_m2s_s;
dma_sel_s <= l2p_dma_sel_s & l2p_dma_sel_s;
dma_cyc_s <= l2p_dma_cyc_s;
dma_stb_s <= l2p_dma_stb_s;
dma_we_s <= l2p_dma_we_s;
elsif p2l_dma_cyc_s = '1' then
dma_adr_s <= p2l_dma_adr_s;
dma_dat_m2s_s <= p2l_dma_dat_m2s_s;
dma_sel_s <= p2l_dma_sel_s;
dma_cyc_s <= p2l_dma_cyc_s;
dma_stb_s <= p2l_dma_stb_s;
dma_we_s <= p2l_dma_we_s;
else
dma_adr_s <= (others => '0');
dma_dat_m2s_s <= (others => '0');
dma_sel_s <= (others => '0');
dma_cyc_s <= '0';
dma_stb_s <= '0';
dma_we_s <= '0';
end if;
end process dma_mux;
l2p_dma_dat_s2m_s <= dma_dat_s2m_s;
p2l_dma_dat_s2m_s <= dma_dat_s2m_s;
l2p_dma_ack_s <= dma_ack_s;
p2l_dma_ack_s <= dma_ack_s;
l2p_dma_stall_s <= dma_stall_s;
p2l_dma_stall_s <= dma_stall_s;
--dma_stall_s <= '0';
---------------------------------------------------------
-- DMA wishbone interface (master pipelined)
dma_adr_o <= dma_adr_s;
dma_dat_o <= dma_dat_m2s_s;
dma_dat_s2m_s <= dma_dat_i;
dma_sel_o <= dma_sel_s;
dma_cyc_o <= dma_cyc_s;
dma_stb_o <= dma_stb_s;
dma_we_o <= dma_we_s;
dma_ack_s <= dma_ack_i;
dma_stall_s <= dma_stall_i;
-- axis_debug : ila_axis
-- PORT MAP (
-- clk => clk_i,
-- probe0 => s_axis_rx_tdata_s,
-- probe1 => s_axis_rx_tkeep_s,
-- probe2(0) => s_axis_rx_tlast_s,
-- probe3(0) => s_axis_rx_tvalid_s,
-- probe4(0) => s_axis_rx_tready_s,
-- probe5 => m_axis_tx_tdata_s,
-- probe6 => m_axis_tx_tkeep_s,
-- probe7(0) => m_axis_tx_tlast_s,
-- probe8(0) => m_axis_tx_tvalid_s,
-- probe9(0) => m_axis_tx_tready_s,
-- probe10 => s_axis_rx_tuser_i,
-- probe11(0) => dma_ctrl_start_l2p_s,
-- probe12(0) => dma_ctrl_start_p2l_s,
-- probe13(0) => dma_ctrl_start_next_s,
-- probe14(0) => dma_ctrl_abort_s,
-- probe15(0) => dma_ctrl_done_s,
-- probe16(0) => dma_ctrl_error_s,
-- probe17(0) => '0',--user_lnk_up_i,
-- probe18(0) => '0',--cfg_interrupt_s,
-- probe19(0) => '0',--cfg_interrupt_rdy_i,
-- probe20(0) => '0',--dma_ctrl_done_s,
-- probe21 => (others => '0'),--wbm_arb_tready_s & wbm_arb_tready_s & ldm_arb_tready_s,--dma_ctrl_current_state_ds,
-- probe22(0) => next_item_valid_s, --tx_err_drop_i,
-- probe23 => (others => '0')--iteration_count_s
-- );
-- pipelined_wishbone_debug : ila_wsh_pipe
-- PORT MAP (
-- clk => wb_clk_i,
-- probe0 => dma_adr_s,
-- probe1 => dma_dat_s2m_s,
-- probe2 => dma_dat_m2s_s,
-- probe3 => dma_sel_s,
-- probe4(0) => dma_cyc_s,
-- probe5(0) => dma_stb_s,
-- probe6(0) => dma_we_s,
-- probe7(0) => dma_ack_s,
-- probe8(0) => dma_stall_s,
-- probe9(0) => l2p_dma_cyc_s,
-- probe10(0) => p2l_dma_cyc_s,
-- probe11(0) => dma_ctrl_start_l2p_s,
-- probe12(0) => dma_ctrl_start_p2l_s,
-- probe13(0) => dma_ctrl_start_next_s,
-- probe14 => (others => '0'),--ddr_rd_mask_rd_data_count_ds,
-- probe15 => (others => '0'),--ddr_rd_data_rd_data_count_ds,
-- probe16 => (others => '0'),--ddr_wb_rd_mask_addr_dout_ds & ddr_wb_rd_mask_dout_ds,
-- probe17 => (others => '0')--iteration_count_s
-- );
end Behavioral;
| gpl-3.0 | 4c8e6ca8e8b42530f887c4d3c15b0943 | 0.494778 | 3.146164 | false | false | false | false |
NicoLedwith/Dr.AluOpysel | RAT_MCU/ALU.vhd | 1 | 2,900 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 11:54:00 10/26/2015
-- Design Name:
-- Module Name: ALU - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
use IEEE.NUMERIC_STD.ALL;
use IEEE.std_logic_UNSIGNED.all;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity ALU is
Port ( A : in STD_LOGIC_VECTOR (7 downto 0);
B : in STD_LOGIC_VECTOR (7 downto 0);
SEL : in STD_LOGIC_VECTOR (3 downto 0);
Cin : in STD_LOGIC;
RESULT : out STD_LOGIC_VECTOR (7 downto 0);
C : out STD_LOGIC;
Z : out STD_LOGIC);
end ALU;
architecture Behavioral of ALU is
begin
process(A, B, Cin, SEL)
variable v_res : std_logic_vector(8 downto 0);
variable tmp : std_logic_vector(8 downto 0);
begin
case SEL is
when "0000" => --Add
v_res := ('0' & A) + ('0' & B);
C <= v_res(8);
when "0001" => --AddC
v_res := ('0' & A) + ('0' & B) + Cin;
C <= v_res(8);
when "0010" => --Sub
v_res := ('0' & A) - ('0' & B);
C <= v_res(8);
when "0011" => --SubC
v_res := ('0' & A) - ('0' & B) - Cin;
C <= v_res(8);
when "0100" => --Cmp
tmp := ('0' & A) - ('0' & B);
v_res := tmp;
C <= tmp(8);
if(tmp = "000000000") then z <= '1';
end if;
when "0101" => --And
v_res := '0' & (A and B);
C <= '0';
when "0110" => --Or
v_res := '0' & (A or B);
C <= '0';
when "0111" => --Exor
v_res := '0' & (A xor B);
C <= '0';
when "1000" => --Test
tmp := '0' & (A and B);
v_res := tmp;
C <= '0';
if(tmp = "000000000") then z <= '1';
end if;
when "1001" => --LSL
v_res := A & Cin;
C <= v_res(8);
when "1010" => --LSR
tmp := Cin & A;
v_res := '0' & tmp(8 downto 1);
c <= A(0);
when "1011" => --ROL
v_res := '0' & A(6 downto 0) & A(7);
c <= A(7);
when "1100" => --ROAR
v_res := '0' & A(0) & A(7 downto 1);
C <= A(0);
when "1101" => --ASR
v_res := '0' & A(7) & A(7 downto 1);
C <= A(0);
when "1110" => --MOV
v_res := '0' & B;
C <= Cin;
when others =>
v_res := (others => '1');
c <= Cin;
end case;
if (v_res(7 downto 0) = x"00") then
z <= '1';
else
z <= '0';
end if;
Result <= v_res(7 downto 0);
end process;
end Behavioral;
| mit | f202156d7bb97d865d5bd105b524cdaa | 0.458966 | 2.783109 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/procedure_call/rule_401_test_input.vhd | 1 | 500 |
architecture rtl of fifo is
begin
-- Valid formatting
connect_ports(
port_1 => data,
port_2 => enable,
port_3 => overflow,
port_4 => underflow
);
-- Invalid formatting
process
begin
connect_ports(
port_1 => data,
port_2=> enable,
port_3 => overflow,
port_4 => underflow
);
end process;
connect_ports(
port_1=> data,
port_2 => enable,
port_3 => overflow,
port_4 => underflow
);
end architecture;
| gpl-3.0 | a671ed7455f56538df13dcd7283ddfa8 | 0.548 | 3.649635 | false | false | false | false |
tdaede/daala_zynq | daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_mm2s_full_wrap.vhd | 1 | 72,317 | -------------------------------------------------------------------------------
-- axi_datamover_mm2s_full_wrap.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_datamover_mm2s_full_wrap.vhd
--
-- Description:
-- This file implements the DataMover MM2S Full Wrapper.
--
--
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- axi_datamover_mm2s_full_wrap.vhd
-- |
-- |-- axi_datamover_reset.vhd
-- |-- axi_datamover_cmd_status.vhd
-- |-- axi_datamover_pcc.vhd
-- |-- axi_datamover_mm2s_dre.vhd
-- |-- axi_datamover_addr_cntl.vhd
-- |-- axi_datamover_rddata_cntl.vhd
-- |-- axi_datamover_rd_status_cntl.vhd
-- |-- axi_datamover_skid2mm_buf.vhd
-- |-- axi_datamover_skid_buf
-- |-- axi_datamover_rd_sf
--
--
-------------------------------------------------------------------------------
-- Revision History:
--
--
-- Author: DET
--
-- History:
-- DET 04/19/2011 Initial Version for EDK 13.3
--
-- DET 5/9/2011 Initial Version for EDK 13.3
-- ~~~~~~
-- - Added General Purpose Store and Forward support.
-- ^^^^^^
--
-- DET 6/10/2011 Initial Version for EDK 13.3
-- ~~~~~~
-- -- Per CR613147
-- - Routed the DRE Flush control from the RDC to the Read Store and
-- Forward instance.
-- ^^^^^^
--
-- DET 6/20/2011 Initial Version for EDK 13.3
-- ~~~~~~
-- - Added 512 and 1024 data width support
-- ^^^^^^
--
-- DET 9/1/2011 Initial Version for EDK 13.3
-- ~~~~~~
-- - Per a Lint warning, added the output port mstr2dre_cmd_cmplt to the
-- instance of the PCC.
-- ^^^^^^
--
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
-- axi_datamover Library Modules
library axi_datamover_v5_1;
use axi_datamover_v5_1.axi_datamover_reset;
use axi_datamover_v5_1.axi_datamover_cmd_status;
use axi_datamover_v5_1.axi_datamover_pcc;
use axi_datamover_v5_1.axi_datamover_addr_cntl;
use axi_datamover_v5_1.axi_datamover_rddata_cntl;
use axi_datamover_v5_1.axi_datamover_rd_status_cntl;
use axi_datamover_v5_1.axi_datamover_mm2s_dre;
Use axi_datamover_v5_1.axi_datamover_rd_sf;
use axi_datamover_v5_1.axi_datamover_skid_buf;
-------------------------------------------------------------------------------
entity axi_datamover_mm2s_full_wrap is
generic (
C_INCLUDE_MM2S : Integer range 0 to 2 := 1;
-- Specifies the type of MM2S function to include
-- 0 = Omit MM2S functionality
-- 1 = Full MM2S Functionality
-- 2 = Lite MM2S functionality
C_MM2S_ARID : Integer range 0 to 255 := 8;
-- Specifies the constant value to output on
-- the ARID output port
C_MM2S_ID_WIDTH : Integer range 1 to 8 := 4;
-- Specifies the width of the MM2S ID port
C_MM2S_ADDR_WIDTH : Integer range 32 to 64 := 32;
-- Specifies the width of the MMap Read Address Channel
-- Address bus
C_MM2S_MDATA_WIDTH : Integer range 32 to 1024 := 32;
-- Specifies the width of the MMap Read Data Channel
-- data bus
C_MM2S_SDATA_WIDTH : Integer range 8 to 1024 := 32;
-- Specifies the width of the MM2S Master Stream Data
-- Channel data bus
C_INCLUDE_MM2S_STSFIFO : Integer range 0 to 1 := 1;
-- Specifies if a Status FIFO is to be implemented
-- 0 = Omit MM2S Status FIFO
-- 1 = Include MM2S Status FIFO
C_MM2S_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 4;
-- Specifies the depth of the MM2S Command FIFO and the
-- optional Status FIFO
-- Valid values are 1,4,8,16
C_MM2S_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0;
-- Specifies if the Status and Command interfaces need to
-- be asynchronous to the primary data path clocking
-- 0 = Use same clocking as data path
-- 1 = Use special Status/Command clock for the interfaces
C_INCLUDE_MM2S_DRE : Integer range 0 to 1 := 0;
-- Specifies if DRE is to be included in the MM2S function
-- 0 = Omit DRE
-- 1 = Include DRE
C_MM2S_BURST_SIZE : Integer range 2 to 256 := 16;
-- Specifies the max number of databeats to use for MMap
-- burst transfers by the MM2S function
C_MM2S_BTT_USED : Integer range 8 to 23 := 16;
-- Specifies the number of bits used from the BTT field
-- of the input Command Word of the MM2S Command Interface
C_MM2S_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 3;
-- This parameter specifies the depth of the MM2S internal
-- child command queues in the Read Address Controller and
-- the Read Data Controller. Increasing this value will
-- allow more Read Addresses to be issued to the AXI4 Read
-- Address Channel before receipt of the associated read
-- data on the Read Data Channel.
C_TAG_WIDTH : Integer range 1 to 8 := 4 ;
-- Width of the TAG field
C_INCLUDE_MM2S_GP_SF : Integer range 0 to 1 := 1 ;
-- This parameter specifies the incllusion/omission of the
-- MM2S (Read) Store and Forward function
-- 0 = Omit Store and Forward
-- 1 = Include Store and Forward
C_ENABLE_CACHE_USER : Integer range 0 to 1 := 1;
C_ENABLE_MM2S_TKEEP : integer range 0 to 1 := 1;
C_ENABLE_SKID_BUF : string := "11111";
C_FAMILY : String := "virtex7"
-- Specifies the target FPGA family type
);
port (
-- MM2S Primary Clock input ---------------------------------
mm2s_aclk : in std_logic; --
-- Primary synchronization clock for the Master side --
-- interface and internal logic. It is also used --
-- for the User interface synchronization when --
-- C_STSCMD_IS_ASYNC = 0. --
--
-- MM2S Primary Reset input --
mm2s_aresetn : in std_logic; --
-- Reset used for the internal master logic --
-------------------------------------------------------------
-- MM2S Halt request input control --------------------------
mm2s_halt : in std_logic; --
-- Active high soft shutdown request --
--
-- MM2S Halt Complete status flag --
mm2s_halt_cmplt : Out std_logic; --
-- Active high soft shutdown complete status --
-------------------------------------------------------------
-- Error discrete output ------------------------------------
mm2s_err : Out std_logic; --
-- Composite Error indication --
-------------------------------------------------------------
-- Optional MM2S Command and Status Clock and Reset ---------
-- Used when C_MM2S_STSCMD_IS_ASYNC = 1 --
mm2s_cmdsts_awclk : in std_logic; --
-- Secondary Clock input for async CMD/Status interface --
--
mm2s_cmdsts_aresetn : in std_logic; --
-- Secondary Reset input for async CMD/Status interface --
-------------------------------------------------------------
-- User Command Interface Ports (AXI Stream) ----------------------------------------------------
mm2s_cmd_wvalid : in std_logic; --
mm2s_cmd_wready : out std_logic; --
mm2s_cmd_wdata : in std_logic_vector((C_TAG_WIDTH+(8*C_ENABLE_CACHE_USER)+C_MM2S_ADDR_WIDTH+36)-1 downto 0); --
-------------------------------------------------------------------------------------------------
-- User Status Interface Ports (AXI Stream) -------------------
mm2s_sts_wvalid : out std_logic; --
mm2s_sts_wready : in std_logic; --
mm2s_sts_wdata : out std_logic_vector(7 downto 0); --
mm2s_sts_wstrb : out std_logic_vector(0 downto 0); --
mm2s_sts_wlast : out std_logic; --
---------------------------------------------------------------
-- Address Posting contols ------------------------------------
mm2s_allow_addr_req : in std_logic; --
mm2s_addr_req_posted : out std_logic; --
mm2s_rd_xfer_cmplt : out std_logic; --
---------------------------------------------------------------
-- MM2S AXI Address Channel I/O ---------------------------------------
mm2s_arid : out std_logic_vector(C_MM2S_ID_WIDTH-1 downto 0); --
-- AXI Address Channel ID output --
--
mm2s_araddr : out std_logic_vector(C_MM2S_ADDR_WIDTH-1 downto 0); --
-- AXI Address Channel Address output --
--
mm2s_arlen : out std_logic_vector(7 downto 0); --
-- AXI Address Channel LEN output --
-- Sized to support 256 data beat bursts --
--
mm2s_arsize : out std_logic_vector(2 downto 0); --
-- AXI Address Channel SIZE output --
--
mm2s_arburst : out std_logic_vector(1 downto 0); --
-- AXI Address Channel BURST output --
--
mm2s_arprot : out std_logic_vector(2 downto 0); --
-- AXI Address Channel PROT output --
--
mm2s_arcache : out std_logic_vector(3 downto 0); --
-- AXI Address Channel CACHE output --
mm2s_aruser : out std_logic_vector(3 downto 0); --
-- AXI Address Channel CACHE output --
--
mm2s_arvalid : out std_logic; --
-- AXI Address Channel VALID output --
--
mm2s_arready : in std_logic; --
-- AXI Address Channel READY input --
------------------------------------------------------------------------
-- Currently unsupported AXI Address Channel output signals ------------
-- addr2axi_alock : out std_logic_vector(2 downto 0); --
-- addr2axi_acache : out std_logic_vector(4 downto 0); --
-- addr2axi_aqos : out std_logic_vector(3 downto 0); --
-- addr2axi_aregion : out std_logic_vector(3 downto 0); --
------------------------------------------------------------------------
-- MM2S AXI MMap Read Data Channel I/O -----------------------------------------
mm2s_rdata : In std_logic_vector(C_MM2S_MDATA_WIDTH-1 downto 0); --
mm2s_rresp : In std_logic_vector(1 downto 0); --
mm2s_rlast : In std_logic; --
mm2s_rvalid : In std_logic; --
mm2s_rready : Out std_logic; --
---------------------------------------------------------------------------------
-- MM2S AXI Master Stream Channel I/O -------------------------------------------------
mm2s_strm_wdata : Out std_logic_vector(C_MM2S_SDATA_WIDTH-1 downto 0); --
mm2s_strm_wstrb : Out std_logic_vector((C_MM2S_SDATA_WIDTH/8)-1 downto 0); --
mm2s_strm_wlast : Out std_logic; --
mm2s_strm_wvalid : Out std_logic; --
mm2s_strm_wready : In std_logic; --
----------------------------------------------------------------------------------------
-- Testing Support I/O -------------------------------------------
mm2s_dbg_sel : in std_logic_vector( 3 downto 0); --
mm2s_dbg_data : out std_logic_vector(31 downto 0) --
------------------------------------------------------------------
);
end entity axi_datamover_mm2s_full_wrap;
architecture implementation of axi_datamover_mm2s_full_wrap is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-- Function Declarations ----------------------------------------
-------------------------------------------------------------------
-- Function
--
-- Function Name: func_calc_rdmux_sel_bits
--
-- Function Description:
-- This function calculates the number of address bits needed for
-- the Read data mux select control.
--
-------------------------------------------------------------------
function func_calc_rdmux_sel_bits (mmap_dwidth_value : integer) return integer is
Variable num_addr_bits_needed : Integer range 1 to 7 := 1;
begin
case mmap_dwidth_value is
when 32 =>
num_addr_bits_needed := 2;
when 64 =>
num_addr_bits_needed := 3;
when 128 =>
num_addr_bits_needed := 4;
when 256 =>
num_addr_bits_needed := 5;
when 512 =>
num_addr_bits_needed := 6;
when others => -- 1024 bits
num_addr_bits_needed := 7;
end case;
Return (num_addr_bits_needed);
end function func_calc_rdmux_sel_bits;
-------------------------------------------------------------------
-- Function
--
-- Function Name: func_include_dre
--
-- Function Description:
-- This function desides if conditions are right for allowing DRE
-- inclusion.
--
-------------------------------------------------------------------
function func_include_dre (need_dre : integer;
needed_data_width : integer) return integer is
Variable include_dre : Integer := 0;
begin
If (need_dre = 1 and
needed_data_width < 128 and
needed_data_width > 8) Then
include_dre := 1;
Else
include_dre := 0;
End if;
Return (include_dre);
end function func_include_dre;
-------------------------------------------------------------------
-- Function
--
-- Function Name: func_get_align_width
--
-- Function Description:
-- This function calculates the needed DRE alignment port width\
-- based upon the inclusion of DRE and the needed bit width of the
-- DRE.
--
-------------------------------------------------------------------
function func_get_align_width (dre_included : integer;
dre_data_width : integer) return integer is
Variable align_port_width : Integer := 1;
begin
if (dre_included = 1) then
If (dre_data_width = 64) Then
align_port_width := 3;
Elsif (dre_data_width = 32) Then
align_port_width := 2;
else -- 16 bit data width
align_port_width := 1;
End if;
else -- no DRE
align_port_width := 1;
end if;
Return (align_port_width);
end function func_get_align_width;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_rnd2pwr_of_2
--
-- Function Description:
-- Rounds the input value up to the nearest power of 2 between
-- 128 and 8192.
--
-------------------------------------------------------------------
function funct_rnd2pwr_of_2 (input_value : integer) return integer is
Variable temp_pwr2 : Integer := 128;
begin
if (input_value <= 128) then
temp_pwr2 := 128;
elsif (input_value <= 256) then
temp_pwr2 := 256;
elsif (input_value <= 512) then
temp_pwr2 := 512;
elsif (input_value <= 1024) then
temp_pwr2 := 1024;
elsif (input_value <= 2048) then
temp_pwr2 := 2048;
elsif (input_value <= 4096) then
temp_pwr2 := 4096;
else
temp_pwr2 := 8192;
end if;
Return (temp_pwr2);
end function funct_rnd2pwr_of_2;
-------------------------------------------------------------------
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_get_sf_offset_width
--
-- Function Description:
-- This function calculates the address offset width needed by
-- the GP Store and Forward module with data packing.
--
-------------------------------------------------------------------
function funct_get_sf_offset_width (mmap_dwidth : integer;
stream_dwidth : integer) return integer is
Constant FCONST_WIDTH_RATIO : integer := mmap_dwidth/stream_dwidth;
Variable fvar_temp_offset_width : Integer := 1;
begin
case FCONST_WIDTH_RATIO is
when 1 =>
fvar_temp_offset_width := 1;
when 2 =>
fvar_temp_offset_width := 1;
when 4 =>
fvar_temp_offset_width := 2;
when 8 =>
fvar_temp_offset_width := 3;
when 16 =>
fvar_temp_offset_width := 4;
when 32 =>
fvar_temp_offset_width := 5;
when 64 =>
fvar_temp_offset_width := 6;
when others => -- 128 ratio
fvar_temp_offset_width := 7;
end case;
Return (fvar_temp_offset_width);
end function funct_get_sf_offset_width;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_get_stream_width2use
--
-- Function Description:
-- This function calculates the Stream width to use for MM2S
-- modules upstream from the downsizing Store and Forward. If
-- Store and Forward is present, then the effective native width
-- is the MMAP data width. If no Store and Forward then the Stream
-- width is the input Native Data width from the User.
--
-------------------------------------------------------------------
function funct_get_stream_width2use (mmap_data_width : integer;
stream_data_width : integer;
sf_enabled : integer) return integer is
Variable fvar_temp_width : Integer := 32;
begin
If (sf_enabled = 1) Then
fvar_temp_width := mmap_data_width;
Else
fvar_temp_width := stream_data_width;
End if;
Return (fvar_temp_width);
end function funct_get_stream_width2use;
-- Constant Declarations ----------------------------------------
Constant SF_UPSIZED_SDATA_WIDTH : integer := funct_get_stream_width2use(C_MM2S_MDATA_WIDTH,
C_MM2S_SDATA_WIDTH,
C_INCLUDE_MM2S_GP_SF);
Constant LOGIC_LOW : std_logic := '0';
Constant LOGIC_HIGH : std_logic := '1';
Constant INCLUDE_MM2S : integer range 0 to 2 := C_INCLUDE_MM2S;
Constant IS_MM2S : integer range 0 to 1 := 1;
Constant MM2S_ARID_VALUE : integer range 0 to 255 := C_MM2S_ARID;
Constant MM2S_ARID_WIDTH : integer range 1 to 8 := C_MM2S_ID_WIDTH;
Constant MM2S_ADDR_WIDTH : integer range 32 to 64 := C_MM2S_ADDR_WIDTH;
Constant MM2S_MDATA_WIDTH : integer range 32 to 1024 := C_MM2S_MDATA_WIDTH;
Constant MM2S_SDATA_WIDTH : integer range 8 to 1024 := C_MM2S_SDATA_WIDTH;
Constant MM2S_TAG_WIDTH : integer range 1 to 8 := C_TAG_WIDTH;
Constant MM2S_CMD_WIDTH : integer := (MM2S_TAG_WIDTH+C_MM2S_ADDR_WIDTH+32);
Constant MM2S_STS_WIDTH : integer := 8; -- always 8 for MM2S
Constant INCLUDE_MM2S_STSFIFO : integer range 0 to 1 := C_INCLUDE_MM2S_STSFIFO;
Constant MM2S_STSCMD_FIFO_DEPTH : integer range 1 to 16 := C_MM2S_STSCMD_FIFO_DEPTH;
Constant MM2S_STSCMD_IS_ASYNC : integer range 0 to 1 := C_MM2S_STSCMD_IS_ASYNC;
Constant INCLUDE_MM2S_DRE : integer range 0 to 1 := C_INCLUDE_MM2S_DRE;
Constant MM2S_BURST_SIZE : integer range 2 to 256 := C_MM2S_BURST_SIZE;
Constant ADDR_CNTL_FIFO_DEPTH : integer range 1 to 30 := C_MM2S_ADDR_PIPE_DEPTH;
Constant RD_DATA_CNTL_FIFO_DEPTH : integer range 1 to 30 := ADDR_CNTL_FIFO_DEPTH;
Constant SEL_ADDR_WIDTH : integer range 2 to 7 := func_calc_rdmux_sel_bits(MM2S_MDATA_WIDTH);
Constant MM2S_BTT_USED : integer range 8 to 23 := C_MM2S_BTT_USED;
Constant NO_INDET_BTT : integer range 0 to 1 := 0;
Constant INCLUDE_DRE : integer range 0 to 1 := func_include_dre(C_INCLUDE_MM2S_DRE,
C_MM2S_SDATA_WIDTH);
Constant DRE_ALIGN_WIDTH : integer range 1 to 3 := func_get_align_width(INCLUDE_DRE,
C_MM2S_SDATA_WIDTH);
-- Calculates the minimum needed depth of the Store and Forward FIFO
-- based on the MM2S pipeline depth and the max allowed Burst length
Constant PIPEDEPTH_BURST_LEN_PROD : integer :=
(ADDR_CNTL_FIFO_DEPTH+2) * MM2S_BURST_SIZE;
-- Assigns the depth of the optional Store and Forward FIFO to the nearest
-- power of 2
Constant SF_FIFO_DEPTH : integer range 128 to 8192 :=
funct_rnd2pwr_of_2(PIPEDEPTH_BURST_LEN_PROD);
-- Calculate the width of the Store and Forward Starting Address Offset bus
Constant SF_STRT_OFFSET_WIDTH : integer := funct_get_sf_offset_width(MM2S_MDATA_WIDTH,
MM2S_SDATA_WIDTH);
-- Signal Declarations ------------------------------------------
signal sig_cmd_stat_rst_user : std_logic := '0';
signal sig_cmd_stat_rst_int : std_logic := '0';
signal sig_mmap_rst : std_logic := '0';
signal sig_stream_rst : std_logic := '0';
signal sig_mm2s_cmd_wdata : std_logic_vector(MM2S_CMD_WIDTH-1 downto 0) := (others => '0');
signal sig_cache_data : std_logic_vector(7 downto 0) := (others => '0');
signal sig_cmd2mstr_command : std_logic_vector(MM2S_CMD_WIDTH-1 downto 0) := (others => '0');
signal sig_cmd2mstr_cmd_valid : std_logic := '0';
signal sig_mst2cmd_cmd_ready : std_logic := '0';
signal sig_mstr2addr_addr : std_logic_vector(MM2S_ADDR_WIDTH-1 downto 0) := (others => '0');
signal first_addr : std_logic_vector(MM2S_ADDR_WIDTH-1 downto 0) := (others => '0');
signal last_addr : std_logic_vector(MM2S_ADDR_WIDTH-1 downto 0) := (others => '0');
signal sig_mstr2addr_len : std_logic_vector(7 downto 0) := (others => '0');
signal sig_mstr2addr_size : std_logic_vector(2 downto 0) := (others => '0');
signal sig_mstr2addr_burst : std_logic_vector(1 downto 0) := (others => '0');
signal sig_mstr2addr_cache : std_logic_vector(3 downto 0) := (others => '0');
signal sig_mstr2addr_user : std_logic_vector(3 downto 0) := (others => '0');
signal sig_mstr2addr_cmd_cmplt : std_logic := '0';
signal sig_mstr2addr_calc_error : std_logic := '0';
signal sig_mstr2addr_cmd_valid : std_logic := '0';
signal sig_addr2mstr_cmd_ready : std_logic := '0';
signal sig_mstr2data_saddr_lsb : std_logic_vector(SEL_ADDR_WIDTH-1 downto 0) := (others => '0');
signal sig_mstr2data_len : std_logic_vector(7 downto 0) := (others => '0');
signal sig_mstr2data_strt_strb : std_logic_vector((SF_UPSIZED_SDATA_WIDTH/8)-1 downto 0) := (others => '0');
signal sig_mstr2data_last_strb : std_logic_vector((SF_UPSIZED_SDATA_WIDTH/8)-1 downto 0) := (others => '0');
signal sig_mstr2data_drr : std_logic := '0';
signal sig_mstr2data_eof : std_logic := '0';
signal sig_mstr2data_sequential : std_logic := '0';
signal sig_mstr2data_calc_error : std_logic := '0';
signal sig_mstr2data_cmd_cmplt : std_logic := '0';
signal sig_mstr2data_cmd_valid : std_logic := '0';
signal sig_data2mstr_cmd_ready : std_logic := '0';
signal sig_mstr2data_dre_src_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0');
signal sig_mstr2data_dre_dest_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0');
signal sig_addr2data_addr_posted : std_logic := '0';
signal sig_data2all_dcntlr_halted : std_logic := '0';
signal sig_addr2rsc_calc_error : std_logic := '0';
signal sig_addr2rsc_cmd_fifo_empty : std_logic := '0';
signal sig_data2rsc_tag : std_logic_vector(MM2S_TAG_WIDTH-1 downto 0) := (others => '0');
signal sig_data2rsc_calc_err : std_logic := '0';
signal sig_data2rsc_okay : std_logic := '0';
signal sig_data2rsc_decerr : std_logic := '0';
signal sig_data2rsc_slverr : std_logic := '0';
signal sig_data2rsc_cmd_cmplt : std_logic := '0';
signal sig_rsc2data_ready : std_logic := '0';
signal sig_data2rsc_valid : std_logic := '0';
signal sig_calc2dm_calc_err : std_logic := '0';
signal sig_rsc2stat_status : std_logic_vector(MM2S_STS_WIDTH-1 downto 0) := (others => '0');
signal sig_stat2rsc_status_ready : std_logic := '0';
signal sig_rsc2stat_status_valid : std_logic := '0';
signal sig_rsc2mstr_halt_pipe : std_logic := '0';
signal sig_mstr2data_tag : std_logic_vector(MM2S_TAG_WIDTH-1 downto 0) := (others => '0');
signal sig_mstr2addr_tag : std_logic_vector(MM2S_TAG_WIDTH-1 downto 0) := (others => '0');
signal sig_dbg_data_mux_out : std_logic_vector(31 downto 0) := (others => '0');
signal sig_dbg_data_0 : std_logic_vector(31 downto 0) := (others => '0');
signal sig_dbg_data_1 : std_logic_vector(31 downto 0) := (others => '0');
signal sig_sf2rdc_wready : std_logic := '0';
signal sig_rdc2sf_wvalid : std_logic := '0';
signal sig_rdc2sf_wdata : std_logic_vector(SF_UPSIZED_SDATA_WIDTH-1 downto 0) := (others => '0');
signal sig_rdc2sf_wstrb : std_logic_vector((SF_UPSIZED_SDATA_WIDTH/8)-1 downto 0) := (others => '0');
signal sig_rdc2sf_wlast : std_logic := '0';
signal sig_skid2dre_wready : std_logic := '0';
signal sig_dre2skid_wvalid : std_logic := '0';
signal sig_dre2skid_wdata : std_logic_vector(MM2S_SDATA_WIDTH-1 downto 0) := (others => '0');
signal sig_dre2skid_wstrb : std_logic_vector((MM2S_SDATA_WIDTH/8)-1 downto 0) := (others => '0');
signal sig_dre2skid_wlast : std_logic := '0';
signal sig_dre2sf_wready : std_logic := '0';
signal sig_sf2dre_wvalid : std_logic := '0';
signal sig_sf2dre_wdata : std_logic_vector(MM2S_SDATA_WIDTH-1 downto 0) := (others => '0');
signal sig_sf2dre_wstrb : std_logic_vector((MM2S_SDATA_WIDTH/8)-1 downto 0) := (others => '0');
signal sig_sf2dre_wlast : std_logic := '0';
signal sig_rdc2dre_new_align : std_logic := '0';
signal sig_rdc2dre_use_autodest : std_logic := '0';
signal sig_rdc2dre_src_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0');
signal sig_rdc2dre_dest_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0');
signal sig_rdc2dre_flush : std_logic := '0';
signal sig_sf2dre_new_align : std_logic := '0';
signal sig_sf2dre_use_autodest : std_logic := '0';
signal sig_sf2dre_src_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0');
signal sig_sf2dre_dest_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0');
signal sig_sf2dre_flush : std_logic := '0';
signal sig_dre_new_align : std_logic := '0';
signal sig_dre_use_autodest : std_logic := '0';
signal sig_dre_src_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0');
signal sig_dre_dest_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0');
signal sig_dre_flush : std_logic := '0';
signal sig_rst2all_stop_request : std_logic := '0';
signal sig_data2rst_stop_cmplt : std_logic := '0';
signal sig_addr2rst_stop_cmplt : std_logic := '0';
signal sig_data2addr_stop_req : std_logic := '0';
signal sig_data2skid_halt : std_logic := '0';
signal sig_sf_allow_addr_req : std_logic := '0';
signal sig_mm2s_allow_addr_req : std_logic := '0';
signal sig_addr_req_posted : std_logic := '0';
signal sig_rd_xfer_cmplt : std_logic := '0';
signal sig_sf2mstr_cmd_ready : std_logic := '0';
signal sig_mstr2sf_cmd_valid : std_logic := '0';
signal sig_mstr2sf_tag : std_logic_vector(MM2S_TAG_WIDTH-1 downto 0) := (others => '0');
signal sig_mstr2sf_dre_src_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0');
signal sig_mstr2sf_dre_dest_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0');
signal sig_mstr2sf_btt : std_logic_vector(MM2S_BTT_USED-1 downto 0) := (others => '0');
signal sig_mstr2sf_drr : std_logic := '0';
signal sig_mstr2sf_eof : std_logic := '0';
signal sig_mstr2sf_calc_error : std_logic := '0';
signal sig_mstr2sf_strt_offset : std_logic_vector(SF_STRT_OFFSET_WIDTH-1 downto 0) := (others => '0');
signal sig_data2sf_cmd_cmplt : std_logic := '0';
signal sig_cache2mstr_command : std_logic_vector (7 downto 0);
signal mm2s_arcache_int : std_logic_vector (3 downto 0);
signal mm2s_aruser_int : std_logic_vector (3 downto 0);
begin --(architecture implementation)
-- Debug vector output
mm2s_dbg_data <= sig_dbg_data_mux_out;
-- Note that only the mm2s_dbg_sel(0) is used at this time
sig_dbg_data_mux_out <= sig_dbg_data_1
When (mm2s_dbg_sel(0) = '1')
else sig_dbg_data_0 ;
sig_dbg_data_0 <= X"BEEF1111" ; -- 32 bit Constant indicating MM2S Full type
sig_dbg_data_1(0) <= sig_cmd_stat_rst_user ;
sig_dbg_data_1(1) <= sig_cmd_stat_rst_int ;
sig_dbg_data_1(2) <= sig_mmap_rst ;
sig_dbg_data_1(3) <= sig_stream_rst ;
sig_dbg_data_1(4) <= sig_cmd2mstr_cmd_valid ;
sig_dbg_data_1(5) <= sig_mst2cmd_cmd_ready ;
sig_dbg_data_1(6) <= sig_stat2rsc_status_ready;
sig_dbg_data_1(7) <= sig_rsc2stat_status_valid;
sig_dbg_data_1(11 downto 8) <= sig_data2rsc_tag ; -- Current TAG of active data transfer
sig_dbg_data_1(15 downto 12) <= sig_rsc2stat_status(3 downto 0); -- Internal status tag field
sig_dbg_data_1(16) <= sig_rsc2stat_status(4) ; -- Internal error
sig_dbg_data_1(17) <= sig_rsc2stat_status(5) ; -- Decode Error
sig_dbg_data_1(18) <= sig_rsc2stat_status(6) ; -- Slave Error
sig_dbg_data_1(19) <= sig_rsc2stat_status(7) ; -- OKAY
sig_dbg_data_1(20) <= sig_stat2rsc_status_ready ; -- Status Ready Handshake
sig_dbg_data_1(21) <= sig_rsc2stat_status_valid ; -- Status Valid Handshake
-- Spare bits in debug1
sig_dbg_data_1(31 downto 22) <= (others => '0') ; -- spare bits
GEN_CACHE : if (C_ENABLE_CACHE_USER = 0) generate
begin
-- Cache signal tie-off
mm2s_arcache <= "0011"; -- Per Interface-X guidelines for Masters
mm2s_aruser <= "0000"; -- Per Interface-X guidelines for Masters
sig_cache_data <= (others => '0'); --mm2s_cmd_wdata(103 downto 96); -- This is the xUser and xCache values
end generate GEN_CACHE;
GEN_CACHE2 : if (C_ENABLE_CACHE_USER = 1) generate
begin
-- Cache signal tie-off
mm2s_arcache <= mm2s_arcache_int; -- Cache from Desc
mm2s_aruser <= mm2s_aruser_int; -- Cache from Desc
-- sig_cache_data <= mm2s_cmd_wdata(103 downto 96); -- This is the xUser and xCache values
sig_cache_data <= mm2s_cmd_wdata(79 downto 72); -- This is the xUser and xCache values
end generate GEN_CACHE2;
-- Internal error output discrete ------------------------------
mm2s_err <= sig_calc2dm_calc_err;
-- Rip the used portion of the Command Interface Command Data
-- and throw away the padding
sig_mm2s_cmd_wdata <= mm2s_cmd_wdata(MM2S_CMD_WIDTH-1 downto 0);
------------------------------------------------------------
-- Instance: I_RESET
--
-- Description:
-- Reset Block
--
------------------------------------------------------------
I_RESET : entity axi_datamover_v5_1.axi_datamover_reset
generic map (
C_STSCMD_IS_ASYNC => MM2S_STSCMD_IS_ASYNC
)
port map (
primary_aclk => mm2s_aclk ,
primary_aresetn => mm2s_aresetn ,
secondary_awclk => mm2s_cmdsts_awclk ,
secondary_aresetn => mm2s_cmdsts_aresetn ,
halt_req => mm2s_halt ,
halt_cmplt => mm2s_halt_cmplt ,
flush_stop_request => sig_rst2all_stop_request ,
data_cntlr_stopped => sig_data2rst_stop_cmplt ,
addr_cntlr_stopped => sig_addr2rst_stop_cmplt ,
aux1_stopped => LOGIC_HIGH ,
aux2_stopped => LOGIC_HIGH ,
cmd_stat_rst_user => sig_cmd_stat_rst_user ,
cmd_stat_rst_int => sig_cmd_stat_rst_int ,
mmap_rst => sig_mmap_rst ,
stream_rst => sig_stream_rst
);
------------------------------------------------------------
-- Instance: I_CMD_STATUS
--
-- Description:
-- Command and Status Interface Block
--
------------------------------------------------------------
I_CMD_STATUS : entity axi_datamover_v5_1.axi_datamover_cmd_status
generic map (
C_ADDR_WIDTH => MM2S_ADDR_WIDTH ,
C_INCLUDE_STSFIFO => INCLUDE_MM2S_STSFIFO ,
C_STSCMD_FIFO_DEPTH => MM2S_STSCMD_FIFO_DEPTH ,
C_STSCMD_IS_ASYNC => MM2S_STSCMD_IS_ASYNC ,
C_CMD_WIDTH => MM2S_CMD_WIDTH ,
C_STS_WIDTH => MM2S_STS_WIDTH ,
C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER ,
C_FAMILY => C_FAMILY
)
port map (
primary_aclk => mm2s_aclk ,
secondary_awclk => mm2s_cmdsts_awclk ,
user_reset => sig_cmd_stat_rst_user ,
internal_reset => sig_cmd_stat_rst_int ,
cmd_wvalid => mm2s_cmd_wvalid ,
cmd_wready => mm2s_cmd_wready ,
cmd_wdata => sig_mm2s_cmd_wdata ,
cache_data => sig_cache_data ,
sts_wvalid => mm2s_sts_wvalid ,
sts_wready => mm2s_sts_wready ,
sts_wdata => mm2s_sts_wdata ,
sts_wstrb => mm2s_sts_wstrb ,
sts_wlast => mm2s_sts_wlast ,
cmd2mstr_command => sig_cmd2mstr_command ,
cache2mstr_command => sig_cache2mstr_command ,
mst2cmd_cmd_valid => sig_cmd2mstr_cmd_valid ,
cmd2mstr_cmd_ready => sig_mst2cmd_cmd_ready ,
mstr2stat_status => sig_rsc2stat_status ,
stat2mstr_status_ready => sig_stat2rsc_status_ready ,
mst2stst_status_valid => sig_rsc2stat_status_valid
);
------------------------------------------------------------
-- Instance: I_RD_STATUS_CNTLR
--
-- Description:
-- Read Status Controller Block
--
------------------------------------------------------------
I_RD_STATUS_CNTLR : entity axi_datamover_v5_1.axi_datamover_rd_status_cntl
generic map (
C_STS_WIDTH => MM2S_STS_WIDTH ,
C_TAG_WIDTH => MM2S_TAG_WIDTH
)
port map (
primary_aclk => mm2s_aclk ,
mmap_reset => sig_mmap_rst ,
calc2rsc_calc_error => sig_calc2dm_calc_err ,
addr2rsc_calc_error => sig_addr2rsc_calc_error ,
addr2rsc_fifo_empty => sig_addr2rsc_cmd_fifo_empty ,
data2rsc_tag => sig_data2rsc_tag ,
data2rsc_calc_error => sig_data2rsc_calc_err ,
data2rsc_okay => sig_data2rsc_okay ,
data2rsc_decerr => sig_data2rsc_decerr ,
data2rsc_slverr => sig_data2rsc_slverr ,
data2rsc_cmd_cmplt => sig_data2rsc_cmd_cmplt ,
rsc2data_ready => sig_rsc2data_ready ,
data2rsc_valid => sig_data2rsc_valid ,
rsc2stat_status => sig_rsc2stat_status ,
stat2rsc_status_ready => sig_stat2rsc_status_ready ,
rsc2stat_status_valid => sig_rsc2stat_status_valid ,
rsc2mstr_halt_pipe => sig_rsc2mstr_halt_pipe
);
------------------------------------------------------------
-- Instance: I_MSTR_PCC
--
-- Description:
-- Predictive Command Calculator Block
--
------------------------------------------------------------
I_MSTR_PCC : entity axi_datamover_v5_1.axi_datamover_pcc
generic map (
C_IS_MM2S => IS_MM2S ,
C_DRE_ALIGN_WIDTH => DRE_ALIGN_WIDTH ,
C_SEL_ADDR_WIDTH => SEL_ADDR_WIDTH ,
C_ADDR_WIDTH => MM2S_ADDR_WIDTH ,
C_STREAM_DWIDTH => MM2S_SDATA_WIDTH ,
C_MAX_BURST_LEN => MM2S_BURST_SIZE ,
C_CMD_WIDTH => MM2S_CMD_WIDTH ,
C_TAG_WIDTH => MM2S_TAG_WIDTH ,
C_BTT_USED => MM2S_BTT_USED ,
C_SUPPORT_INDET_BTT => NO_INDET_BTT ,
C_NATIVE_XFER_WIDTH => SF_UPSIZED_SDATA_WIDTH ,
C_STRT_SF_OFFSET_WIDTH => SF_STRT_OFFSET_WIDTH
)
port map (
-- Clock input
primary_aclk => mm2s_aclk ,
mmap_reset => sig_mmap_rst ,
cmd2mstr_command => sig_cmd2mstr_command ,
cache2mstr_command => sig_cache2mstr_command ,
cmd2mstr_cmd_valid => sig_cmd2mstr_cmd_valid ,
mst2cmd_cmd_ready => sig_mst2cmd_cmd_ready ,
mstr2addr_tag => sig_mstr2addr_tag ,
mstr2addr_addr => sig_mstr2addr_addr ,
mstr2addr_len => sig_mstr2addr_len ,
mstr2addr_size => sig_mstr2addr_size ,
mstr2addr_burst => sig_mstr2addr_burst ,
mstr2addr_cache => sig_mstr2addr_cache ,
mstr2addr_user => sig_mstr2addr_user ,
mstr2addr_cmd_cmplt => sig_mstr2addr_cmd_cmplt ,
mstr2addr_calc_error => sig_mstr2addr_calc_error ,
mstr2addr_cmd_valid => sig_mstr2addr_cmd_valid ,
addr2mstr_cmd_ready => sig_addr2mstr_cmd_ready ,
mstr2data_tag => sig_mstr2data_tag ,
mstr2data_saddr_lsb => sig_mstr2data_saddr_lsb ,
mstr2data_len => sig_mstr2data_len ,
mstr2data_strt_strb => sig_mstr2data_strt_strb ,
mstr2data_last_strb => sig_mstr2data_last_strb ,
mstr2data_drr => sig_mstr2data_drr ,
mstr2data_eof => sig_mstr2data_eof ,
mstr2data_sequential => sig_mstr2data_sequential ,
mstr2data_calc_error => sig_mstr2data_calc_error ,
mstr2data_cmd_cmplt => sig_mstr2data_cmd_cmplt ,
mstr2data_cmd_valid => sig_mstr2data_cmd_valid ,
data2mstr_cmd_ready => sig_data2mstr_cmd_ready ,
mstr2data_dre_src_align => sig_mstr2data_dre_src_align ,
mstr2data_dre_dest_align => sig_mstr2data_dre_dest_align ,
calc_error => sig_calc2dm_calc_err ,
dre2mstr_cmd_ready => sig_sf2mstr_cmd_ready ,
mstr2dre_cmd_valid => sig_mstr2sf_cmd_valid ,
mstr2dre_tag => sig_mstr2sf_tag ,
mstr2dre_dre_src_align => sig_mstr2sf_dre_src_align ,
mstr2dre_dre_dest_align => sig_mstr2sf_dre_dest_align ,
mstr2dre_btt => sig_mstr2sf_btt ,
mstr2dre_drr => sig_mstr2sf_drr ,
mstr2dre_eof => sig_mstr2sf_eof ,
mstr2dre_cmd_cmplt => open ,
mstr2dre_calc_error => sig_mstr2sf_calc_error ,
mstr2dre_strt_offset => sig_mstr2sf_strt_offset
);
------------------------------------------------------------
-- Instance: I_ADDR_CNTL
--
-- Description:
-- Address Controller Block
--
------------------------------------------------------------
I_ADDR_CNTL : entity axi_datamover_v5_1.axi_datamover_addr_cntl
generic map (
C_ADDR_FIFO_DEPTH => ADDR_CNTL_FIFO_DEPTH ,
C_ADDR_WIDTH => MM2S_ADDR_WIDTH ,
C_ADDR_ID => MM2S_ARID_VALUE ,
C_ADDR_ID_WIDTH => MM2S_ARID_WIDTH ,
C_TAG_WIDTH => MM2S_TAG_WIDTH ,
C_FAMILY => C_FAMILY
)
port map (
primary_aclk => mm2s_aclk ,
mmap_reset => sig_mmap_rst ,
addr2axi_aid => mm2s_arid ,
addr2axi_aaddr => mm2s_araddr ,
addr2axi_alen => mm2s_arlen ,
addr2axi_asize => mm2s_arsize ,
addr2axi_aburst => mm2s_arburst ,
addr2axi_aprot => mm2s_arprot ,
addr2axi_avalid => mm2s_arvalid ,
addr2axi_acache => mm2s_arcache_int ,
addr2axi_auser => mm2s_aruser_int ,
axi2addr_aready => mm2s_arready ,
mstr2addr_tag => sig_mstr2addr_tag ,
mstr2addr_addr => sig_mstr2addr_addr ,
mstr2addr_len => sig_mstr2addr_len ,
mstr2addr_size => sig_mstr2addr_size ,
mstr2addr_burst => sig_mstr2addr_burst ,
mstr2addr_cache => sig_mstr2addr_cache ,
mstr2addr_user => sig_mstr2addr_user ,
mstr2addr_cmd_cmplt => sig_mstr2addr_cmd_cmplt ,
mstr2addr_calc_error => sig_mstr2addr_calc_error ,
mstr2addr_cmd_valid => sig_mstr2addr_cmd_valid ,
addr2mstr_cmd_ready => sig_addr2mstr_cmd_ready ,
addr2rst_stop_cmplt => sig_addr2rst_stop_cmplt ,
allow_addr_req => sig_mm2s_allow_addr_req ,
addr_req_posted => sig_addr_req_posted ,
addr2data_addr_posted => sig_addr2data_addr_posted ,
data2addr_data_rdy => LOGIC_LOW ,
data2addr_stop_req => sig_data2addr_stop_req ,
addr2stat_calc_error => sig_addr2rsc_calc_error ,
addr2stat_cmd_fifo_empty => sig_addr2rsc_cmd_fifo_empty
);
------------------------------------------------------------
-- Instance: I_RD_DATA_CNTL
--
-- Description:
-- Read Data Controller Block
--
------------------------------------------------------------
I_RD_DATA_CNTL : entity axi_datamover_v5_1.axi_datamover_rddata_cntl
generic map (
C_INCLUDE_DRE => INCLUDE_DRE ,
C_ALIGN_WIDTH => DRE_ALIGN_WIDTH ,
C_SEL_ADDR_WIDTH => SEL_ADDR_WIDTH ,
C_DATA_CNTL_FIFO_DEPTH => RD_DATA_CNTL_FIFO_DEPTH ,
C_MMAP_DWIDTH => MM2S_MDATA_WIDTH ,
C_STREAM_DWIDTH => SF_UPSIZED_SDATA_WIDTH ,
C_ENABLE_MM2S_TKEEP => C_ENABLE_MM2S_TKEEP ,
C_TAG_WIDTH => MM2S_TAG_WIDTH ,
C_FAMILY => C_FAMILY
)
port map (
-- Clock and Reset -----------------------------------
primary_aclk => mm2s_aclk ,
mmap_reset => sig_mmap_rst ,
-- Soft Shutdown Interface -----------------------------
rst2data_stop_request => sig_rst2all_stop_request ,
data2addr_stop_req => sig_data2addr_stop_req ,
data2rst_stop_cmplt => sig_data2rst_stop_cmplt ,
-- External Address Pipelining Contol support
mm2s_rd_xfer_cmplt => sig_rd_xfer_cmplt ,
-- AXI Read Data Channel I/O -------------------------------
mm2s_rdata => mm2s_rdata ,
mm2s_rresp => mm2s_rresp ,
mm2s_rlast => mm2s_rlast ,
mm2s_rvalid => mm2s_rvalid ,
mm2s_rready => mm2s_rready ,
-- MM2S DRE Control -----------------------------------
mm2s_dre_new_align => sig_rdc2dre_new_align ,
mm2s_dre_use_autodest => sig_rdc2dre_use_autodest ,
mm2s_dre_src_align => sig_rdc2dre_src_align ,
mm2s_dre_dest_align => sig_rdc2dre_dest_align ,
mm2s_dre_flush => sig_rdc2dre_flush ,
-- AXI Master Stream -----------------------------------
mm2s_strm_wvalid => sig_rdc2sf_wvalid ,
mm2s_strm_wready => sig_sf2rdc_wready ,
mm2s_strm_wdata => sig_rdc2sf_wdata ,
mm2s_strm_wstrb => sig_rdc2sf_wstrb ,
mm2s_strm_wlast => sig_rdc2sf_wlast ,
-- MM2S Store and Forward Supplimental Control ----------
mm2s_data2sf_cmd_cmplt => sig_data2sf_cmd_cmplt ,
-- Command Calculator Interface --------------------------
mstr2data_tag => sig_mstr2data_tag ,
mstr2data_saddr_lsb => sig_mstr2data_saddr_lsb ,
mstr2data_len => sig_mstr2data_len ,
mstr2data_strt_strb => sig_mstr2data_strt_strb ,
mstr2data_last_strb => sig_mstr2data_last_strb ,
mstr2data_drr => sig_mstr2data_drr ,
mstr2data_eof => sig_mstr2data_eof ,
mstr2data_sequential => sig_mstr2data_sequential ,
mstr2data_calc_error => sig_mstr2data_calc_error ,
mstr2data_cmd_cmplt => sig_mstr2data_cmd_cmplt ,
mstr2data_cmd_valid => sig_mstr2data_cmd_valid ,
data2mstr_cmd_ready => sig_data2mstr_cmd_ready ,
mstr2data_dre_src_align => sig_mstr2data_dre_src_align ,
mstr2data_dre_dest_align => sig_mstr2data_dre_dest_align ,
-- Address Controller Interface --------------------------
addr2data_addr_posted => sig_addr2data_addr_posted ,
-- Data Controller Halted Status
data2all_dcntlr_halted => sig_data2all_dcntlr_halted ,
-- Output Stream Skid Buffer Halt control
data2skid_halt => sig_data2skid_halt ,
-- Read Status Controller Interface --------------------------
data2rsc_tag => sig_data2rsc_tag ,
data2rsc_calc_err => sig_data2rsc_calc_err ,
data2rsc_okay => sig_data2rsc_okay ,
data2rsc_decerr => sig_data2rsc_decerr ,
data2rsc_slverr => sig_data2rsc_slverr ,
data2rsc_cmd_cmplt => sig_data2rsc_cmd_cmplt ,
rsc2data_ready => sig_rsc2data_ready ,
data2rsc_valid => sig_data2rsc_valid ,
rsc2mstr_halt_pipe => sig_rsc2mstr_halt_pipe
);
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_INCLUDE_MM2S_SF
--
-- If Generate Description:
-- Include the MM2S Store and Forward function
--
--
------------------------------------------------------------
GEN_INCLUDE_MM2S_SF : if (C_INCLUDE_MM2S_GP_SF = 1) generate
begin
-- Merge external address posting control with the
-- Store and Forward address posting control
sig_mm2s_allow_addr_req <= sig_sf_allow_addr_req and
mm2s_allow_addr_req;
-- Address Posting support outputs
mm2s_addr_req_posted <= sig_addr_req_posted ;
mm2s_rd_xfer_cmplt <= sig_rd_xfer_cmplt ;
sig_dre_new_align <= sig_sf2dre_new_align ;
sig_dre_use_autodest <= sig_sf2dre_use_autodest ;
sig_dre_src_align <= sig_sf2dre_src_align ;
sig_dre_dest_align <= sig_sf2dre_dest_align ;
sig_dre_flush <= sig_sf2dre_flush ;
------------------------------------------------------------
-- Instance: I_RD_SF
--
-- Description:
-- Instance for the MM2S Store and Forward module with
-- downsizer support.
--
------------------------------------------------------------
I_RD_SF : entity axi_datamover_v5_1.axi_datamover_rd_sf
generic map (
C_SF_FIFO_DEPTH => SF_FIFO_DEPTH ,
C_MAX_BURST_LEN => MM2S_BURST_SIZE ,
C_DRE_IS_USED => INCLUDE_DRE ,
C_DRE_CNTL_FIFO_DEPTH => RD_DATA_CNTL_FIFO_DEPTH ,
C_DRE_ALIGN_WIDTH => DRE_ALIGN_WIDTH ,
C_MMAP_DWIDTH => MM2S_MDATA_WIDTH ,
C_STREAM_DWIDTH => MM2S_SDATA_WIDTH ,
C_STRT_SF_OFFSET_WIDTH => SF_STRT_OFFSET_WIDTH ,
C_TAG_WIDTH => MM2S_TAG_WIDTH ,
C_ENABLE_MM2S_TKEEP => C_ENABLE_MM2S_TKEEP ,
C_FAMILY => C_FAMILY
)
port map (
-- Clock and Reset inputs -------------------------------
aclk => mm2s_aclk ,
reset => sig_mmap_rst ,
-- DataMover Read Side Address Pipelining Control Interface
ok_to_post_rd_addr => sig_sf_allow_addr_req ,
rd_addr_posted => sig_addr_req_posted ,
rd_xfer_cmplt => sig_rd_xfer_cmplt ,
-- Read Side Stream In from DataMover MM2S Read Data Controller -----
sf2sin_tready => sig_sf2rdc_wready ,
sin2sf_tvalid => sig_rdc2sf_wvalid ,
sin2sf_tdata => sig_rdc2sf_wdata ,
sin2sf_tkeep => sig_rdc2sf_wstrb ,
sin2sf_tlast => sig_rdc2sf_wlast ,
-- RDC Store and Forward Supplimental Controls ----------
data2sf_cmd_cmplt => sig_data2sf_cmd_cmplt ,
data2sf_dre_flush => sig_rdc2dre_flush ,
-- DRE Control Interface from the Command Calculator -----------------------------
dre2mstr_cmd_ready => sig_sf2mstr_cmd_ready ,
mstr2dre_cmd_valid => sig_mstr2sf_cmd_valid ,
mstr2dre_tag => sig_mstr2sf_tag ,
mstr2dre_dre_src_align => sig_mstr2sf_dre_src_align ,
mstr2dre_dre_dest_align => sig_mstr2sf_dre_dest_align ,
mstr2dre_drr => sig_mstr2sf_drr ,
mstr2dre_eof => sig_mstr2sf_eof ,
mstr2dre_calc_error => sig_mstr2sf_calc_error ,
mstr2dre_strt_offset => sig_mstr2sf_strt_offset ,
-- MM2S DRE Control -------------------------------------------------------------
sf2dre_new_align => sig_sf2dre_new_align ,
sf2dre_use_autodest => sig_sf2dre_use_autodest ,
sf2dre_src_align => sig_sf2dre_src_align ,
sf2dre_dest_align => sig_sf2dre_dest_align ,
sf2dre_flush => sig_sf2dre_flush ,
-- Stream Out ----------------------------------
sout2sf_tready => sig_dre2sf_wready ,
sf2sout_tvalid => sig_sf2dre_wvalid ,
sf2sout_tdata => sig_sf2dre_wdata ,
sf2sout_tkeep => sig_sf2dre_wstrb ,
sf2sout_tlast => sig_sf2dre_wlast
);
-- ------------------------------------------------------------
-- -- Instance: I_RD_SF
-- --
-- -- Description:
-- -- Instance for the MM2S Store and Forward module.
-- --
-- ------------------------------------------------------------
-- I_RD_SF : entity axi_datamover_v5_1.axi_datamover_rd_sf
-- generic map (
--
-- C_SF_FIFO_DEPTH => SF_FIFO_DEPTH ,
-- C_MAX_BURST_LEN => MM2S_BURST_SIZE ,
-- C_DRE_IS_USED => INCLUDE_DRE ,
-- C_STREAM_DWIDTH => MM2S_SDATA_WIDTH ,
-- C_FAMILY => C_FAMILY
-- )
-- port map (
--
-- -- Clock and Reset inputs -------------------------------
-- aclk => mm2s_aclk ,
-- reset => sig_mmap_rst ,
--
--
-- -- DataMover Read Side Address Pipelining Control Interface
-- ok_to_post_rd_addr => sig_sf_allow_addr_req ,
-- rd_addr_posted => sig_addr_req_posted ,
-- rd_xfer_cmplt => sig_rd_xfer_cmplt ,
--
--
--
-- -- Read Side Stream In from DataMover MM2S -----
-- sf2sin_tready => sig_sf2dre_wready ,
-- sin2sf_tvalid => sig_dre2sf_wvalid ,
-- sin2sf_tdata => sig_dre2sf_wdata ,
-- sin2sf_tkeep => sig_dre2sf_wstrb ,
-- sin2sf_tlast => sig_dre2sf_wlast ,
--
--
--
-- -- Stream Out ----------------------------------
-- sout2sf_tready => sig_skid2sf_wready ,
-- sf2sout_tvalid => sig_sf2skid_wvalid ,
-- sf2sout_tdata => sig_sf2skid_wdata ,
-- sf2sout_tkeep => sig_sf2skid_wstrb ,
-- sf2sout_tlast => sig_sf2skid_wlast
--
-- );
end generate GEN_INCLUDE_MM2S_SF;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_NO_MM2S_SF
--
-- If Generate Description:
-- Omit the MM2S Store and Forward function
--
--
------------------------------------------------------------
GEN_NO_MM2S_SF : if (C_INCLUDE_MM2S_GP_SF = 0) generate
begin
-- Allow external address posting control
-- Ignore Store and Forward Control
sig_mm2s_allow_addr_req <= mm2s_allow_addr_req ;
sig_sf_allow_addr_req <= '0' ;
-- Address Posting support outputs
mm2s_addr_req_posted <= sig_addr_req_posted ;
mm2s_rd_xfer_cmplt <= sig_rd_xfer_cmplt ;
-- DRE Control Bus (Connect to the Read data Controller)
sig_dre_new_align <= sig_rdc2dre_new_align ;
sig_dre_use_autodest <= sig_rdc2dre_use_autodest ;
sig_dre_src_align <= sig_rdc2dre_src_align ;
sig_dre_dest_align <= sig_rdc2dre_dest_align ;
sig_dre_flush <= sig_rdc2dre_flush ;
-- Just pass stream signals through
sig_sf2rdc_wready <= sig_dre2sf_wready ;
sig_sf2dre_wvalid <= sig_rdc2sf_wvalid ;
sig_sf2dre_wdata <= sig_rdc2sf_wdata ;
sig_sf2dre_wstrb <= sig_rdc2sf_wstrb ;
sig_sf2dre_wlast <= sig_rdc2sf_wlast ;
-- Always enable the DRE Cmd bus for loading to keep from
-- stalling the PCC module
sig_sf2mstr_cmd_ready <= LOGIC_HIGH;
end generate GEN_NO_MM2S_SF;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_INCLUDE_MM2S_DRE
--
-- If Generate Description:
-- Include the MM2S DRE
--
--
------------------------------------------------------------
GEN_INCLUDE_MM2S_DRE : if (INCLUDE_DRE = 1) generate
begin
------------------------------------------------------------
-- Instance: I_DRE64
--
-- Description:
-- Instance for the MM2S DRE whach can support widths of
-- 16 bits to 64 bits.
--
------------------------------------------------------------
I_DRE_16_to_64 : entity axi_datamover_v5_1.axi_datamover_mm2s_dre
generic map (
C_DWIDTH => MM2S_SDATA_WIDTH ,
C_ALIGN_WIDTH => DRE_ALIGN_WIDTH
)
port map (
-- Control inputs
dre_clk => mm2s_aclk ,
dre_rst => sig_stream_rst ,
dre_new_align => sig_dre_new_align ,
dre_use_autodest => sig_dre_use_autodest ,
dre_src_align => sig_dre_src_align ,
dre_dest_align => sig_dre_dest_align ,
dre_flush => sig_dre_flush ,
-- Stream Inputs
dre_in_tstrb => sig_sf2dre_wstrb ,
dre_in_tdata => sig_sf2dre_wdata ,
dre_in_tlast => sig_sf2dre_wlast ,
dre_in_tvalid => sig_sf2dre_wvalid ,
dre_in_tready => sig_dre2sf_wready ,
-- Stream Outputs
dre_out_tstrb => sig_dre2skid_wstrb ,
dre_out_tdata => sig_dre2skid_wdata ,
dre_out_tlast => sig_dre2skid_wlast ,
dre_out_tvalid => sig_dre2skid_wvalid ,
dre_out_tready => sig_skid2dre_wready
);
end generate GEN_INCLUDE_MM2S_DRE;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_NO_MM2S_DRE
--
-- If Generate Description:
-- Omit the MM2S DRE and housekeep the signals that it
-- needs to output.
--
------------------------------------------------------------
GEN_NO_MM2S_DRE : if (INCLUDE_DRE = 0) generate
begin
-- Just pass stream signals through from the Store
-- and Forward module
sig_dre2sf_wready <= sig_skid2dre_wready ;
sig_dre2skid_wvalid <= sig_sf2dre_wvalid ;
sig_dre2skid_wdata <= sig_sf2dre_wdata ;
sig_dre2skid_wstrb <= sig_sf2dre_wstrb ;
sig_dre2skid_wlast <= sig_sf2dre_wlast ;
end generate GEN_NO_MM2S_DRE;
ENABLE_AXIS_SKID : if C_ENABLE_SKID_BUF(5) = '1' generate
begin
------------------------------------------------------------
-- Instance: I_MM2S_SKID_BUF
--
-- Description:
-- Instance for the MM2S Skid Buffer which provides for
-- registerd Master Stream outputs and supports bi-dir
-- throttling.
--
------------------------------------------------------------
I_MM2S_SKID_BUF : entity axi_datamover_v5_1.axi_datamover_skid_buf
generic map (
C_WDATA_WIDTH => MM2S_SDATA_WIDTH
)
port map (
-- System Ports
aclk => mm2s_aclk ,
arst => sig_stream_rst ,
-- Shutdown control (assert for 1 clk pulse)
skid_stop => sig_data2skid_halt ,
-- Slave Side (Stream Data Input)
s_valid => sig_dre2skid_wvalid ,
s_ready => sig_skid2dre_wready ,
s_data => sig_dre2skid_wdata ,
s_strb => sig_dre2skid_wstrb ,
s_last => sig_dre2skid_wlast ,
-- Master Side (Stream Data Output
m_valid => mm2s_strm_wvalid ,
m_ready => mm2s_strm_wready ,
m_data => mm2s_strm_wdata ,
m_strb => mm2s_strm_wstrb ,
m_last => mm2s_strm_wlast
);
end generate ENABLE_AXIS_SKID;
DISABLE_AXIS_SKID : if C_ENABLE_SKID_BUF(5) = '0' generate
begin
mm2s_strm_wvalid <= sig_dre2skid_wvalid;
sig_skid2dre_wready <= mm2s_strm_wready;
mm2s_strm_wdata <= sig_dre2skid_wdata;
mm2s_strm_wstrb <= sig_dre2skid_wstrb;
mm2s_strm_wlast <= sig_dre2skid_wlast;
end generate DISABLE_AXIS_SKID;
end implementation;
| bsd-2-clause | fa1884eb1b2cd3161cceb5d276882a1c | 0.438652 | 4.206433 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/conditional_expressions/rule_501_test_input.fixed_lower.vhd | 1 | 400 |
architecture rtl of fifo is
begin
process
begin
var1 := '0' when rd_en = '1' else '1';
var2 := '0' when rd_en = '1' else '1';
wr_en_a <= force '0' when rd_en = '1' else '1';
wr_en_b <= force '0' when rd_en = '1' else '1';
end process;
concurrent_wr_en_a <= '0' when rd_en = '1' ELSE '1';
concurrent_wr_en_b <= '0' when rd_en = '1' else '1';
end architecture rtl;
| gpl-3.0 | 174c3045c96dce113502b3b4d3ff972a | 0.54 | 2.564103 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/port_map/rule_002_test_input.fixed_lower.vhd | 1 | 585 |
architecture ARCH of ENTITY1 is
begin
U_INST1 : INST1
generic map (
G_GEN_1(3 downto 0) => 3,
G_GEN_2(2 downto 1) => 4,
G_GEN_3 => 5
)
port map (
port_1(3 downto 0) => w_port_1,
port_2 => w_port_2,
port_3(2 downto 1) => w_port_3
);
-- Violations below
U_INST1 : INST1
generic map (
g_gen_1(3 downto 0) => 3,
g_gen_2(2 downto 1) => 4,
g_gen_3 => 5
)
port map (
port_1(3 downto 0) => w_port_1,
port_2 => w_port_2,
port_3(2 downto 1) => w_port_3
);
end architecture ARCH;
| gpl-3.0 | 8ed009a6d6e56a179825682b899af60f | 0.492308 | 2.683486 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/instantiation/rule_031_test_input.fixed_upper.vhd | 1 | 545 |
architecture ARCH of ENTITY1 is
begin
U_INST1 : INST1
generic map (
G_GEN_1 => 3,
G_GEN_2 => 4,
G_GEN_3 => 5
)
port map (
PORT_1 => w_port_1,
PORT_2 => w_port_2,
PORT_3 => w_port_3
);
-- Violations below
U_INST1 : COMPONENT INST1
port map (
PORT_1 => w_port_1,
PORT_2 => w_port_2,
PORT_3 => w_port_3
);
U_INST1 : COMPONENT INST1
port map (
PORT_1 => w_port_1,
PORT_2 => w_port_2,
PORT_3 => w_port_3
);
end architecture ARCH;
| gpl-3.0 | 09796b8c82263e2755c262f22309dffb | 0.486239 | 2.809278 | false | false | false | false |
cwilkens/ecen4024-microphone-array | microphone-array/microphone-array.srcs/sources_1/imports/Nexys4_PDM_RefProj/hp_rc.vhd | 1 | 3,374 | -------------------------------------------------------------------------------
--
-- COPYRIGHT (C) 2013, Digilent RO. All rights reserved
--
-------------------------------------------------------------------------------
-- FILE NAME : hp_rc.vhd
-- MODULE NAME : DC Component Remover (High-pass RC filter)
-- AUTHOR : Mihaita Nagy
-- AUTHOR'S EMAIL : [email protected]
-------------------------------------------------------------------------------
-- REVISION HISTORY
-- VERSION DATE AUTHOR DESCRIPTION
-- 1.0 2013-06-20 Mihaita Nagy Created
-------------------------------------------------------------------------------
-- DESCRIPTION : Based on Xilinx's WP279 this module models a high-pass
-- first order RC filter.
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;
use ieee.std_logic_signed.all;
entity hp_rc is
port(
clk_i : in std_logic; -- 100 MHz
rst_i : in std_logic;
en_i : in std_logic; -- sampling frequency
data_i : in std_logic_vector(15 downto 0);
data_o : out std_logic_vector(15 downto 0)
);
end hp_rc;
architecture Behavioral of hp_rc is
------------------------------------------------------------------------
-- Constant Declarations
------------------------------------------------------------------------
constant D : integer range 1 to 32 := 32; -- fc = ~120 Hz, rolls off 24 dB for 40 Hz and lower
constant SHIFT_POS : integer := integer(ceil(log2(real(D))));
--constant MAX_SHIFT_POS : integer := 12; --
constant SIZE : integer := SHIFT_POS+16;
------------------------------------------------------------------------
-- Signal Declarations
------------------------------------------------------------------------
signal int_sub : std_logic_vector(16 downto 0) := (others => '0');
--signal int_sub : std_logic_vector((28-SHIFT_POS) downto 0) := (others => '0');
signal int_mult : std_logic_vector(SIZE downto 0) := (others => '0');
signal int_temp : std_logic_vector(SIZE downto 0) := (others => '0');
------------------------------------------------------------------------
-- Module Implementation
------------------------------------------------------------------------
begin
-- Subtracting only the integer part and discard the fractional part
-- of int_temp. The subtractor:
int_sub <= (data_i(15) & data_i) - int_temp(SIZE downto (SIZE-16));
-- Multiply by the power of two => right shift with log2 of the power
-- of two. Sign extending:
int_mult(SIZE downto (SIZE-SHIFT_POS)+1) <= (others => int_sub(16));
-- Right shifting:
int_mult((SIZE-SHIFT_POS) downto 0) <= int_sub;
-- Final output:
data_o <= int_sub(15 downto 0);
-- Integral part
Integrate: process(clk_i)
begin
if rising_edge(clk_i) then
if rst_i = '1' then
int_temp <= (others => '0');
else
if en_i = '1' then
int_temp <= int_temp + int_mult;
end if;
end if;
end if;
end process Integrate;
end Behavioral;
| mit | 57d4cb7e6daa0cd797bd1f651636092d | 0.428571 | 4.565629 | false | false | false | false |
cwilkens/ecen4024-microphone-array | microphone-array/microphone-array.srcs/sources_1/ip/cascaded_integrator_comb/cic_compiler_v4_0/hdl/cic_compiler_v4_0_comp.vhd | 1 | 11,620 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
aVqLpj974PoyQmGfqmUoxmdhld9MvdlriuGNHdLw90hs9Z6YjCQk9VoW7NhAT9Nlfxazu9fkwTWg
gjcYJqNrwg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
kJeipTua4zRjScZkipS44ib2AEoL9HW7RWB3zyrvMPhZdMsbdpWZFdpPHFKv86csEv5QS0G+LfRT
nkjp+gLZWmWeL+74BMGTmKTfXIWKwFhqQQ06lekiiuuTYIBJH3nk0NLxMs2Mh0rjyv9K4PJc36Q6
ed3J3NDj8Ahy7YRQI1g=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
gYAnqvm3Nw6+mRhQclXKMjyADfYalyRavd/6WLTF1kTYbpngTtqMI50ag361wOFGaZtdmSILBkYx
xRD9WjN3AqnG2WZzd9mPvgaEwZEYUuNkBg2PsLdKLAPCaEHX+UDLKFFjuA3gTQRx4Awpio7ziW1X
rQdlY1rwJ221aN9M/Cm6dIjH+XV+rrgtolVIdM93RLtsNTUQejlHM+oO8sTG2sVJH93GmYe4ubbE
y+1JA+rYhI4g1yeU1YiZxsJwTALVbfgyO9YnWZaAA+ah64ZeOAQsLVu9UGe5hoWjOgEcwDK7nOqL
5lLJYswYAGYSH2XlwkGk2Mh3bApnQgSaFx5Fjw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
0pHjn3ZJTazrMRA2mX53AuGYROmbZ9qswzrl3JiTvO+JG4FD9obKAiThYTnLsIryEZj2KfjdKQs1
YQfvtV1m5vLNjmr4em3l0g3Wl8YCATgsD5y/vRYx8aRt20Z4M40cdBiMaezqmMT6gEmWwWFyGKhW
AzTCJexQ64VQje6AVuk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
pK7oE3C7s/xAh7DoQjeuDLOGEK53Ct/7yFTy1xSszhEME+uCxwPC3VcUPbqIBWlmgzssgjhi+4IZ
LfpUpZZcmxwF3vXS143dgJ62+l6gneHOh6wnzBJIwe024MOgfdqqdHYZDzqQijPZ3Prs8Jx77y6M
6hE0UIO83rb5SAIdqXNVZl/knp1XQR6XbqbB21fc19juP7OBOP5FQiF4j7BVZwCkHm7pKxjhVeDD
xu0iuqzFHvgwLPu9MnfWlncckjxz1H4SiGMm+3k0jjLNXkKA5XhSaj2J+QsgJvU8UzoZZ69Keeha
ZMDnybfVhJIzVQicXR6CldhWyl96N44dbiQGIg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6864)
`protect data_block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`protect end_protected
| mit | c8d601fbb0d512ecf7e3efe39eaab090 | 0.927539 | 1.873891 | false | false | false | false |
rjarzmik/mips_processor | DI/RegisterFile.vhd | 1 | 4,023 | -------------------------------------------------------------------------------
-- Title : Register File
-- Project :
-------------------------------------------------------------------------------
-- File : RegisterFile.vhd
-- Author : Robert Jarzmik <[email protected]>
-- Company :
-- Created : 2016-11-12
-- Last update: 2017-01-03
-- Platform :
-- Standard : VHDL'93/02
-------------------------------------------------------------------------------
-- Description: MIPS Register File, 32 registers
-------------------------------------------------------------------------------
-- Copyright (c) 2016
-------------------------------------------------------------------------------
-- Revisions :
-- Date Version Author Description
-- 2016-11-12 1.0 rj Created
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
-------------------------------------------------------------------------------
entity RegisterFile is
generic (
DATA_WIDTH : positive := 32;
NB_REGISTERS : positive := 32; -- r0 to r31
NB_REGISTERS_SPECIAL : positive := 2 -- mflo and mfhi
);
port (
clk : in std_logic;
rst : in std_logic;
stall_req : in std_logic;
a_idx : in natural range 0 to NB_REGISTERS + NB_REGISTERS_SPECIAL - 1;
b_idx : in natural range 0 to NB_REGISTERS + NB_REGISTERS_SPECIAL - 1;
-- Writeback register
rwb_reg1_we : in std_logic;
rwb_reg1_idx : in natural range 0 to NB_REGISTERS + NB_REGISTERS_SPECIAL - 1;
rwb_reg1_data : in std_logic_vector(DATA_WIDTH - 1 downto 0);
rwb_reg2_we : in std_logic;
rwb_reg2_idx : in natural range 0 to NB_REGISTERS + NB_REGISTERS_SPECIAL - 1;
rwb_reg2_data : in std_logic_vector(DATA_WIDTH - 1 downto 0);
-- Output read registers, set on clk rising edge
a : out std_logic_vector(DATA_WIDTH - 1 downto 0);
b : out std_logic_vector(DATA_WIDTH - 1 downto 0)
);
end entity RegisterFile;
-------------------------------------------------------------------------------
architecture rtl of RegisterFile is
-----------------------------------------------------------------------------
-- Internal signal declarations
-----------------------------------------------------------------------------
type r_array is array (0 to NB_REGISTERS + NB_REGISTERS_SPECIAL - 1) of
std_logic_vector(DATA_WIDTH -1 downto 0);
signal registers : r_array := (
x"00000000", -- r0
x"00000000",
x"00000000",
x"00000000",
x"00000000",
x"00000000",
x"00000000",
x"00000000",
x"00000000",
x"00000000",
x"00000000",
x"00000000",
x"00000000",
x"00000000",
x"00000000",
x"00000000",
x"00000000",
x"00000000",
x"00000000",
x"00000000",
x"00000000",
x"00000000",
x"00000000",
x"00000000",
x"00000000",
x"00000000",
x"00000000",
x"00000000",
x"00000000",
x"00000000",
x"00000000",
x"00000000",
x"00000000",
x"00000000");
begin -- architecture rtl
-----------------------------------------------------------------------------
-- Component instantiations
-----------------------------------------------------------------------------
process(rst, clk, rwb_reg1_we, rwb_reg2_we)
begin
if rst = '1' then
a <= (others => 'X');
b <= (others => 'X');
elsif rising_edge(clk) then
if rwb_reg1_we = '1' then
registers(rwb_reg1_idx) <= rwb_reg1_data;
end if;
if rwb_reg2_we = '1' then
registers(rwb_reg2_idx) <= rwb_reg2_data;
end if;
if stall_req = '0' then
a <= registers(a_idx);
b <= registers(b_idx);
end if;
end if;
end process;
end architecture rtl;
-------------------------------------------------------------------------------
| gpl-3.0 | 7f5f25cf40b936d724995eecde606c24 | 0.425553 | 4.284345 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/sequential/rule_007_test_input.vhd | 1 | 282 |
architecture RTL of FIFO is
begin
process
begin
sig1 <= sig2;
sig2 <= sig3;
end process;
-- Violations below
process
begin
sig1 <= sig2; sig2 <= sig3; -- Comment 1
siga <= sigb; sigb <= sigc; sigc <= sigd;
end process;
end architecture RTL;
| gpl-3.0 | e6a0f6053dcea4445b1e972a54a5e063 | 0.599291 | 3.39759 | false | false | false | false |
tdaede/daala_zynq | daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_proc_sys_reset_0/sim/daala_zynq_proc_sys_reset_0.vhd | 1 | 5,857 | -- (c) Copyright 1995-2014 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:proc_sys_reset:5.0
-- IP Revision: 2
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY proc_sys_reset_v5_0;
USE proc_sys_reset_v5_0.proc_sys_reset;
ENTITY daala_zynq_proc_sys_reset_0 IS
PORT (
slowest_sync_clk : IN STD_LOGIC;
ext_reset_in : IN STD_LOGIC;
aux_reset_in : IN STD_LOGIC;
mb_debug_sys_rst : IN STD_LOGIC;
dcm_locked : IN STD_LOGIC;
mb_reset : OUT STD_LOGIC;
bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0)
);
END daala_zynq_proc_sys_reset_0;
ARCHITECTURE daala_zynq_proc_sys_reset_0_arch OF daala_zynq_proc_sys_reset_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF daala_zynq_proc_sys_reset_0_arch: ARCHITECTURE IS "yes";
COMPONENT proc_sys_reset IS
GENERIC (
C_FAMILY : STRING;
C_EXT_RST_WIDTH : INTEGER;
C_AUX_RST_WIDTH : INTEGER;
C_EXT_RESET_HIGH : STD_LOGIC;
C_AUX_RESET_HIGH : STD_LOGIC;
C_NUM_BUS_RST : INTEGER;
C_NUM_PERP_RST : INTEGER;
C_NUM_INTERCONNECT_ARESETN : INTEGER;
C_NUM_PERP_ARESETN : INTEGER
);
PORT (
slowest_sync_clk : IN STD_LOGIC;
ext_reset_in : IN STD_LOGIC;
aux_reset_in : IN STD_LOGIC;
mb_debug_sys_rst : IN STD_LOGIC;
dcm_locked : IN STD_LOGIC;
mb_reset : OUT STD_LOGIC;
bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0)
);
END COMPONENT proc_sys_reset;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF slowest_sync_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clock CLK";
ATTRIBUTE X_INTERFACE_INFO OF ext_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 ext_reset RST";
ATTRIBUTE X_INTERFACE_INFO OF aux_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 aux_reset RST";
ATTRIBUTE X_INTERFACE_INFO OF mb_debug_sys_rst: SIGNAL IS "xilinx.com:signal:reset:1.0 dbg_reset RST";
ATTRIBUTE X_INTERFACE_INFO OF mb_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 mb_rst RST";
ATTRIBUTE X_INTERFACE_INFO OF bus_struct_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 bus_struct_reset RST";
ATTRIBUTE X_INTERFACE_INFO OF peripheral_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_high_rst RST";
ATTRIBUTE X_INTERFACE_INFO OF interconnect_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 interconnect_low_rst RST";
ATTRIBUTE X_INTERFACE_INFO OF peripheral_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_low_rst RST";
BEGIN
U0 : proc_sys_reset
GENERIC MAP (
C_FAMILY => "zynq",
C_EXT_RST_WIDTH => 4,
C_AUX_RST_WIDTH => 4,
C_EXT_RESET_HIGH => '0',
C_AUX_RESET_HIGH => '0',
C_NUM_BUS_RST => 1,
C_NUM_PERP_RST => 1,
C_NUM_INTERCONNECT_ARESETN => 1,
C_NUM_PERP_ARESETN => 1
)
PORT MAP (
slowest_sync_clk => slowest_sync_clk,
ext_reset_in => ext_reset_in,
aux_reset_in => aux_reset_in,
mb_debug_sys_rst => mb_debug_sys_rst,
dcm_locked => dcm_locked,
mb_reset => mb_reset,
bus_struct_reset => bus_struct_reset,
peripheral_reset => peripheral_reset,
interconnect_aresetn => interconnect_aresetn,
peripheral_aresetn => peripheral_aresetn
);
END daala_zynq_proc_sys_reset_0_arch;
| bsd-2-clause | 72df4b2504065cd7fd9bcdaf177f0b00 | 0.707188 | 3.593252 | false | false | false | false |
cwilkens/ecen4024-microphone-array | microphone-array/microphone-array.srcs/sources_1/ip/lp_FIR/fir_compiler_v7_1/hdl/transpose_single_rate.vhd | 2 | 148,289 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
V8HNrHb8cgOjCZdshguZQ56uO5WywiInyJvuMBIvfwT9e65YhlaXmuBFf5nsI9RXa1aWa/9xaHsB
GZzn69ZVDA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
VVesWSZ61JwG5wMAIEColqjKX9YZrotKNwtxk55EyY0TNMdxFJPFd722J0iM9C2TDwTHbRUMi8aw
H585V7pZV5zqeeYn+SPkmWbLRWRdS/rEhvLFczylyvK7u6pDrk0C7goJv9fgW7fajS2VQ2j7peGF
FNQ29FzqYRZfUyfE/ew=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
fJ7/LMLz/1uFnxbnqmsj4DzUjZWcpgaSGv4w3LfZY0TWOUCuNbhiqX/g8J0Nbs3xsw6ZD6COWAh7
kevRzPgbDv1qD6tDLWrE5vmGII85PoZWMEJYSCEME+h6dkKL8ejl8Yd+sG1cWFBEPyHNUgnjsBca
s+CvTjqu2RlTXNysYqiJn5wQHecZqAX+/NZGG9cqkIy91lLuQpqb2o+1MN1hQxpvFrP4pJmX490U
4s2Tr94ZUoEgHRkEp8wwVr3G/UWHtXxGfMSReG+Q+ASmRnVRF4UeQ8iFZx49pr8sWarY8RjPiqu5
CKN3kttEkQRqG06oFOQrv2QqyOg4ihOc33A6Pg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ilze5WSH4g7jFAI9gY/UtR7krdK7lE4sbF49Y/ZJJeUmukt/lyiLyclV2/BlOB9XUdo9aLQIa9mu
W7/Ife6JOLJTcTcCe9aK0IugMPVyTQltSAhDqIjFEOmrBOB13x9pQFJwZcZB9TuEBHn70D/GSUGO
cxh7hvJonTOGrIocz+0=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
iQfvt18ZNou3wvswjFuoGG0Bw2SexHRPgbhscT3sd33qN/361zRkPWLLxWaIURyrcErqHoOUd2TH
bcr052bFyMGT8VBXL4Y60lIipHxXusywR8LuaFUhGh0JbfpZi94pfKTeE9ctn6lFEFlhwKEJttMx
rC72WeELyHz9nwhzFZBTxsNId8GmlSM2oTWSc4zN0c4p5RArUovOylWGaxu/zdgw5V/fD2kHuPcW
5nG4od295m39xPYebH9poz9vLExD93v0Ya36ty9WtqS4lEN39J1m3BrTp+Lzdth7fW/RD5wwp58y
1zOXTH1pspPRLBoV5QaoPej4ABdINeJqI6X3EQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 108032)
`protect data_block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`protect end_protected
| mit | ab29fcb743d1e056588587cd09c40f86 | 0.954278 | 1.812182 | false | false | false | false |
Yarr/Yarr-fw | rtl/kintex7/rx-core/xapp1017/serdes_1_to_468_idelay_ddr.vhd | 1 | 21,977 | ------------------------------------------------------------------------------
-- Copyright (c) 2012 Xilinx, Inc.
-- This design is confidential and proprietary of Xilinx, All Rights Reserved.
------------------------------------------------------------------------------
-- ____ ____
-- / /\/ /
-- /___/ \ / Vendor: Xilinx
-- \ \ \/ Version: 1.0
-- \ \ Filename: serdes_1_to_468_idelay_ddr.v
-- / / Date Last Modified: Mar 30, 2016
-- /___/ /\ Date Created: Mar 5, 2011
-- \ \ / \
-- \___\/\___\
--
--Device: 7 Series
--Purpose: 1 to 4 DDR data receiver.
-- Data formatting is set by the DATA_FORMAT parameter.
-- PER_CLOCK (default) format receives bits for 0, 1, 2 .. on the same sample edge
-- PER_CHANL format receives bits for 0, 4, 8 .. on the same sample edge
--
--Reference:
--
--Revision History:
-- Rev 1.0 - First created (nicks)
--
------------------------------------------------------------------------------
--
-- Disclaimer:
--
-- This disclaimer is not a license and does not grant any rights to the materials
-- distributed herewith. Except as otherwise provided in a valid license issued to you
-- by Xilinx, and to the maximum extent permitted by applicable law:
-- (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND WITH ALL FAULTS,
-- AND XILINX HEREBY DISCLAIMS ALL WARRANTIES AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY,
-- INCLUDING BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-INFRINGEMENT, OR
-- FITNESS FOR ANY PARTICULAR PURPOSE; and (2) Xilinx shall not be liable (whether in contract
-- or tort, including negligence, or under any other theory of liability) for any loss or damage
-- of any kind or nature related to, arising under or in connection with these materials,
-- including for any direct, or any indirect, special, incidental, or consequential loss
-- or damage (including loss of data, profits, goodwill, or any type of loss or damage suffered
-- as a result of any action brought by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the possibility of the same.
--
-- Critical Applications:
--
-- Xilinx products are not designed or intended to be fail-safe, or for use in any application
-- requiring fail-safe performance, such as life-support or safety devices or systems,
-- Class III medical devices, nuclear facilities, applications related to the deployment of airbags,
-- or any other applications that could lead to death, personal injury, or severe property or
-- environmental damage (individually and collectively, "Critical Applications"). Customer assumes
-- the sole risk and liability of any use of Xilinx products in Critical Applications, subject only
-- to applicable laws and regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS PART OF THIS FILE AT ALL TIMES.
--
------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all ;
library unisim ;
use unisim.vcomponents.all ;
entity serdes_1_to_468_idelay_ddr is generic (
S : integer := 8 ; -- Set the serdes factor to 4, 6 or 8
D : integer := 8 ; -- Set the number of inputs
CLKIN_PERIOD : real := 2.000 ; -- clock period (ns) of input clock on clkin_p
REF_FREQ : real := 200.0 ; -- Parameter to set reference frequency used by idelay controller
HIGH_PERFORMANCE_MODE : string := "FALSE" ; -- Parameter to set HIGH_PERFORMANCE_MODE of input delays to reduce jitter
DATA_FORMAT : string := "PER_CLOCK") ; -- Used to determine method for mapping input parallel word to output serial words
port (
-- clkin_p : in std_logic ; -- Input from LVDS clock pin
-- clkin_n : in std_logic ; -- Input from LVDS clock pin
datain_p : in std_logic_vector(D-1 downto 0) ; -- Input from LVDS receiver pin
datain_n : in std_logic_vector(D-1 downto 0) ; -- Input from LVDS receiver pin
enable_phase_detector : in std_logic ; -- Enables the phase detector logic when high
enable_monitor : in std_logic ; -- Enables the monitor logic when high, note time-shared with phase detector function
reset : in std_logic ; -- Reset line
bitslip : in std_logic ; -- bitslip
idelay_rdy : in std_logic ; -- input delays are ready
rxclk : in std_logic ; -- Global/BUFIO rx clock network
system_clk : in std_logic ; -- Global/Regional clock output
rx_lckd : out std_logic ; --
rx_data : out std_logic_vector((S*D)-1 downto 0) ; -- Output data
bit_rate_value : in std_logic_vector(15 downto 0) ; -- Bit rate in Mbps, eg X"0585
dcd_correct : in std_logic ; -- '0' = square, '1' = assume 10% DCD
bit_time_value : out std_logic_vector(4 downto 0) ; -- Calculated bit time value for slave devices
debug : out std_logic_vector(10*D+18 downto 0) ; -- Debug bus
eye_info : out std_logic_vector(32*D-1 downto 0) ; -- Eye info
m_delay_1hot : out std_logic_vector(32*D-1 downto 0) ; -- Master delay control value as a one-hot vector
clock_sweep : out std_logic_vector(31 downto 0)) ; -- clock Eye info
end serdes_1_to_468_idelay_ddr ;
architecture arch_serdes_1_to_468_idelay_ddr of serdes_1_to_468_idelay_ddr is
component delay_controller_wrap is generic (
S : integer := 4) ; -- Set the number of bits
port (
m_datain : in std_logic_vector(S-1 downto 0) ; -- Inputs from master serdes
s_datain : in std_logic_vector(S-1 downto 0) ; -- Inputs from slave serdes
enable_phase_detector : in std_logic ; -- Enables the phase detector logic when high
enable_monitor : in std_logic ; -- Enables the eye monitoring logic when high
reset : in std_logic ; -- Reset line synchronous to clk
clk : in std_logic ; -- Global/Regional clock
c_delay_in : in std_logic_vector(4 downto 0) ; -- delay value found on clock line
m_delay_out : out std_logic_vector(4 downto 0) ; -- Master delay control value
s_delay_out : out std_logic_vector(4 downto 0) ; -- Master delay control value
data_out : out std_logic_vector(S-1 downto 0) ; -- Output data
results : out std_logic_vector(31 downto 0) ; -- eye monitor result data
m_delay_1hot : out std_logic_vector(31 downto 0) ; -- Master delay control value as a one-hot vector
debug : out std_logic_vector(1 downto 0) ; -- debug data
del_mech : in std_logic ; -- changes delay mechanism slightly at higher bit rates
bt_val : in std_logic_vector(4 downto 0)) ; -- Calculated bit time value for slave devices
end component ;
signal m_delay_val_in : std_logic_vector(5*D-1 downto 0) ;
signal s_delay_val_in : std_logic_vector(5*D-1 downto 0) ;
signal m_delay_val_out : std_logic_vector(5*D-1 downto 0) ;
signal s_delay_val_out : std_logic_vector(5*D-1 downto 0) ;
signal cdataout : std_logic_vector(3 downto 0) ;
signal bsstate : std_logic_vector(1 downto 0) ;
signal bcount : std_logic_vector(3 downto 0) ;
signal clk_iserdes_data_d : std_logic_vector(3 downto 0) ;
signal enable : std_logic ;
signal flag1 : std_logic ;
signal state2_count : std_logic_vector(4 downto 0) := "00000" ;
signal rx_lckd_int : std_logic ;
signal rx_lckd_intd4 : std_logic ;
signal not_rx_lckd_intd4 : std_logic ;
signal rx_data_in_p : std_logic_vector(D-1 downto 0) ;
signal rx_data_in_n : std_logic_vector(D-1 downto 0) ;
signal rx_data_in_m : std_logic_vector(D-1 downto 0) ;
signal rx_data_in_s : std_logic_vector(D-1 downto 0) ;
signal rx_data_in_md : std_logic_vector(D-1 downto 0) ;
signal rx_data_in_sd : std_logic_vector(D-1 downto 0) ;
signal mdataout : std_logic_vector(S*D-1 downto 0) ;
signal mdataoutd : std_logic_vector(S*D-1 downto 0) ;
signal sdataout : std_logic_vector(S*D-1 downto 0) ;
signal s_serdes : std_logic_vector(8*D-1 downto 0) ;
signal m_serdes : std_logic_vector(8*D-1 downto 0) ;
signal system_clk_int : std_logic ;
signal data_different : std_logic ;
signal bt_val : std_logic_vector(4 downto 0) ;
signal su_locked : std_logic ;
signal m_count : std_logic_vector(5 downto 0) ;
signal c_sweep_delay : std_logic_vector(4 downto 0) := "00000" ;
signal c_found_val : std_logic_vector(4 downto 0) ;
signal c_found_offset : std_logic_vector(4 downto 0) ;
signal temp_shift : std_logic_vector(31 downto 0) ;
signal rx_clk_in_p : std_logic ;
signal rx_clk_in_pc : std_logic ;
signal rx_clk_in_pd : std_logic ;
signal rxclk_int : std_logic ;
signal rst_iserdes : std_logic ;
signal not_rxclk : std_logic ;
signal clock_sweep_int : std_logic_vector(31 downto 0) ;
signal zflag : std_logic ;
signal del_mech : std_logic ;
signal bt_val_d2 : std_logic_vector(4 downto 0) ;
signal del_debug : std_logic_vector(2*D-1 downto 0) ;
signal initial_delay : std_logic_vector(4 downto 0) ;
constant RX_SWAP_MASK : std_logic_vector(D-1 downto 0) := (others => '0') ; -- pinswap mask for input data bits (0 = no swap (default), 1 = swap). Allows inputs to be connected the wrong way round to ease PCB routing.
attribute IODELAY_GROUP : STRING;
begin
debug <= "0000000" & del_debug(1 downto 0) & cdataout & s_delay_val_out & m_delay_val_out & bitslip & initial_delay ;
rx_lckd <= not reset;-- not not_rx_lckd_intd4 and su_locked ;
bit_time_value <= bt_val ;
system_clk_int <= system_clk ; -- theim: use external
not_rxclk <= not rxclk;
clock_sweep <= clock_sweep_int ;
rxclk_int <= rxclk ; -- theim: use external;
bt_val_d2 <= '0' & bt_val(4 downto 1) ;
--cdataout <= m_serdes(3 downto 0); -- theim: hardcoded
loop11a : if REF_FREQ <= 210.0 generate -- Generate tap number to be used for input bit rate (200 MHz ref clock)
bt_val <= "00111" when bit_rate_value > X"1984" else
"01000" when bit_rate_value > X"1717" else
"01001" when bit_rate_value > X"1514" else
"01010" when bit_rate_value > X"1353" else
"01011" when bit_rate_value > X"1224" else
"01100" when bit_rate_value > X"1117" else
"01101" when bit_rate_value > X"1027" else
"01110" when bit_rate_value > X"0951" else
"01111" when bit_rate_value > X"0885" else
"10000" when bit_rate_value > X"0828" else
"10001" when bit_rate_value > X"0778" else
"10010" when bit_rate_value > X"0733" else
"10011" when bit_rate_value > X"0694" else
"10100" when bit_rate_value > X"0658" else
"10101" when bit_rate_value > X"0626" else
"10110" when bit_rate_value > X"0597" else
"10111" when bit_rate_value > X"0570" else
"11000" when bit_rate_value > X"0546" else
"11001" when bit_rate_value > X"0524" else
"11010" when bit_rate_value > X"0503" else
"11011" when bit_rate_value > X"0484" else
"11100" when bit_rate_value > X"0466" else
"11101" when bit_rate_value > X"0450" else
"11110" when bit_rate_value > X"0435" else
"11111" ; -- min bit rate 420 Mbps
del_mech <= '1' when bt_val < "10110" else '0' ; -- adjust delay mechanism when tap values are low enough
end generate ;
loop11b : if REF_FREQ > 210.0 generate -- Generate tap number to be used for input bit rate (300 MHz ref clock)
bt_val <= "01010" when (dcd_correct = '0' and bit_rate_value > X"2030") or (dcd_correct = '1' and bit_rate_value > X"1845")else
"01011" when (dcd_correct = '0' and bit_rate_value > X"1836") or (dcd_correct = '1' and bit_rate_value > X"1669")else
"01100" when (dcd_correct = '0' and bit_rate_value > X"1675") or (dcd_correct = '1' and bit_rate_value > X"1523")else
"01101" when (dcd_correct = '0' and bit_rate_value > X"1541") or (dcd_correct = '1' and bit_rate_value > X"1401")else
"01110" when (dcd_correct = '0' and bit_rate_value > X"1426") or (dcd_correct = '1' and bit_rate_value > X"1297")else
"01111" when (dcd_correct = '0' and bit_rate_value > X"1328") or (dcd_correct = '1' and bit_rate_value > X"1207")else
"10000" when (dcd_correct = '0' and bit_rate_value > X"1242") or (dcd_correct = '1' and bit_rate_value > X"1129")else
"10001" when (dcd_correct = '0' and bit_rate_value > X"1167") or (dcd_correct = '1' and bit_rate_value > X"1061")else
"10010" when (dcd_correct = '0' and bit_rate_value > X"1100") or (dcd_correct = '1' and bit_rate_value > X"0999")else
"10011" when (dcd_correct = '0' and bit_rate_value > X"1040") or (dcd_correct = '1' and bit_rate_value > X"0946")else
"10100" when (dcd_correct = '0' and bit_rate_value > X"0987") or (dcd_correct = '1' and bit_rate_value > X"0897")else
"10101" when (dcd_correct = '0' and bit_rate_value > X"0939") or (dcd_correct = '1' and bit_rate_value > X"0853")else
"10110" when (dcd_correct = '0' and bit_rate_value > X"0895") or (dcd_correct = '1' and bit_rate_value > X"0814")else
"10111" when (dcd_correct = '0' and bit_rate_value > X"0855") or (dcd_correct = '1' and bit_rate_value > X"0777")else
"11000" when (dcd_correct = '0' and bit_rate_value > X"0819") or (dcd_correct = '1' and bit_rate_value > X"0744")else
"11001" when (dcd_correct = '0' and bit_rate_value > X"0785") or (dcd_correct = '1' and bit_rate_value > X"0714")else
"11010" when (dcd_correct = '0' and bit_rate_value > X"0754") or (dcd_correct = '1' and bit_rate_value > X"0686")else
"11011" when (dcd_correct = '0' and bit_rate_value > X"0726") or (dcd_correct = '1' and bit_rate_value > X"0660")else
"11100" when (dcd_correct = '0' and bit_rate_value > X"0700") or (dcd_correct = '1' and bit_rate_value > X"0636")else
"11101" when (dcd_correct = '0' and bit_rate_value > X"0675") or (dcd_correct = '1' and bit_rate_value > X"0614")else
"11110" when (dcd_correct = '0' and bit_rate_value > X"0652") or (dcd_correct = '1' and bit_rate_value > X"0593")else
"11111" ; -- min bit rate 631 Mbps
del_mech <= '1' when bt_val < "10110" else '0' ; -- adjust delay mechanism when tap values are low enough
end generate ;
process (system_clk_int) begin -- sweep data
if system_clk_int'event and system_clk_int = '1' then
if su_locked = '0' then
c_sweep_delay <= "00000" ;
temp_shift <= (0 => '1', others => '0') ;
clock_sweep_int <= (others => '0') ;
zflag <= '0' ;
not_rx_lckd_intd4 <= '1' ;
rx_lckd_intd4 <= '0' ;
initial_delay <= "00000" ;
else
not_rx_lckd_intd4 <= not rx_lckd_intd4 ;
if state2_count = "11111" then
if c_sweep_delay /= bt_val then
if zflag = '0' then
c_sweep_delay <= c_sweep_delay + 1 ;
temp_shift <= temp_shift(30 downto 0) & temp_shift(31) ;
else
zflag <= '0' ;
end if ;
else
c_sweep_delay <= "00000" ;
zflag <= '1' ; -- need to check tap 0 twice bacause of wraparound
temp_shift <= (0 => '1', others => '0') ;
end if ;
if zflag = '0' then
if data_different = '1' then
clock_sweep_int <= clock_sweep_int and not temp_shift ;
if initial_delay = "00000" then
rx_lckd_intd4 <= '1' ;
if c_sweep_delay < '0' & bt_val(4 downto 1) then -- choose the lowest delay value to minimise jitter
initial_delay <= c_sweep_delay + ('0' & bt_val(4 downto 1)) ;
else
initial_delay <= c_sweep_delay - ('0' & bt_val(4 downto 1)) ;
end if ;
end if ;
else
clock_sweep_int <= clock_sweep_int or temp_shift ;
end if ;
end if ;
end if ;
end if ;
end if ;
end process ;
process (system_clk_int, reset, idelay_rdy) begin
if reset = '1' or idelay_rdy = '0' then
su_locked <= '0' ;
m_count <= "000000" ;
rst_iserdes <= '1' ;
elsif system_clk_int'event and system_clk_int = '1' then -- startup delay
if m_count = "111100" then
rst_iserdes <= '0' ;
m_count <= m_count + 1 ;
elsif m_count = "111111" then
su_locked <= '1' ;
else
m_count <= m_count + 1 ;
end if ;
end if ;
end process ;
process (system_clk_int) begin -- sweep data
if system_clk_int'event and system_clk_int = '1' then
if su_locked = '0' then
state2_count <= "00000" ;
else
state2_count <= state2_count + 1 ;
if state2_count = "00000" then
clk_iserdes_data_d <= cdataout ;
elsif state2_count <= "01000" then
data_different <= '0' ;
elsif cdataout /= clk_iserdes_data_d then
data_different <= '1' ;
end if ;
end if ;
end if ;
end process ;
loop3 : for i in 0 to D-1 generate
dc_inst : delay_controller_wrap generic map (
S => S)
port map (
m_datain => mdataout(S*i+S-1 downto S*i),
s_datain => sdataout(S*i+S-1 downto S*i),
enable_phase_detector => enable_phase_detector,
enable_monitor => enable_monitor,
--reset => not_rx_lckd_intd4,
reset => reset,
clk => system_clk_int,
--c_delay_in => initial_delay,
c_delay_in => "00000",
m_delay_out => m_delay_val_in(5*i+4 downto 5*i),
s_delay_out => s_delay_val_in(5*i+4 downto 5*i),
data_out => mdataoutd(S*i+S-1 downto S*i),
bt_val => bt_val,
del_mech => del_mech,
debug => del_debug(i*2+1 downto i*2),
m_delay_1hot => m_delay_1hot(32*i+31 downto 32*i),
results => eye_info(32*i+31 downto 32*i)) ;
end generate ;
-- Data bit Receivers
loop0 : for i in 0 to D-1 generate
attribute IODELAY_GROUP of idelay_m : label is "aurora";
attribute IODELAY_GROUP of idelay_s : label is "aurora";
begin
loop1 : for j in 0 to S-1 generate -- Assign data bits to correct serdes according to required format
loop1a : if DATA_FORMAT = "PER_CLOCK" generate
rx_data(D*j+i) <= mdataoutd(S*i+j) ;
end generate ;
loop1b : if DATA_FORMAT = "PER_CHANL" generate
rx_data(S*i+j) <= mdataoutd(S*i+j) ;
end generate ;
end generate ;
--data_in : IBUFDS_DIFF_OUT generic map(
-- IBUF_LOW_PWR => FALSE)
--port map (
-- I => datain_p(i),
-- IB => datain_n(i),
-- O => rx_data_in_p(i),
-- OB => rx_data_in_n(i));
rx_data_in_p <= datain_p;
rx_data_in_n <= datain_n;
rx_data_in_m(i) <= rx_data_in_p(i) xor RX_SWAP_MASK(i) ;
rx_data_in_s(i) <= rx_data_in_n(i) xor RX_SWAP_MASK(i) ;
idelay_m : IDELAYE2 generic map(
REFCLK_FREQUENCY => REF_FREQ,
HIGH_PERFORMANCE_MODE => HIGH_PERFORMANCE_MODE,
IDELAY_VALUE => 0,
DELAY_SRC => "IDATAIN",
IDELAY_TYPE => "VAR_LOAD")
port map(
DATAOUT => rx_data_in_md(i),
C => system_clk_int,
CE => '0',
INC => '0',
DATAIN => '0',
IDATAIN => rx_data_in_m(i),
LD => '1',
LDPIPEEN => '0',
REGRST => '0',
CINVCTRL => '0',
CNTVALUEIN => m_delay_val_in(5*i+4 downto 5*i),
CNTVALUEOUT => m_delay_val_out(5*i+4 downto 5*i));
iserdes_m : ISERDESE2 generic map(
DATA_WIDTH => S,
DATA_RATE => "DDR",
SERDES_MODE => "MASTER",
IOBDELAY => "IFD",
DYN_CLK_INV_EN => "FALSE",
INTERFACE_TYPE => "NETWORKING")
port map (
D => '0',
DDLY => rx_data_in_md(i),
CE1 => '1',
CE2 => '1',
CLK => rxclk_int,
CLKB => not_rxclk,
RST => rst_iserdes,
CLKDIV => system_clk_int,
CLKDIVP => '0',
OCLK => '0',
OCLKB => '0',
DYNCLKSEL => '0',
DYNCLKDIVSEL => '0',
SHIFTIN1 => '0',
SHIFTIN2 => '0',
BITSLIP => bitslip,
O => open,
Q8 => m_serdes(8*i+0),
Q7 => m_serdes(8*i+1),
Q6 => m_serdes(8*i+2),
Q5 => m_serdes(8*i+3),
Q4 => m_serdes(8*i+4),
Q3 => m_serdes(8*i+5),
Q2 => m_serdes(8*i+6),
Q1 => m_serdes(8*i+7),
OFB => '0',
SHIFTOUT1 => open,
SHIFTOUT2 => open);
idelay_s : IDELAYE2 generic map(
REFCLK_FREQUENCY => REF_FREQ,
HIGH_PERFORMANCE_MODE => HIGH_PERFORMANCE_MODE,
IDELAY_VALUE => 0,
DELAY_SRC => "IDATAIN",
IDELAY_TYPE => "VAR_LOAD")
port map(
DATAOUT => rx_data_in_sd(i),
C => system_clk_int,
CE => '0',
INC => '0',
DATAIN => '0',
IDATAIN => rx_data_in_s(i),
LD => '1',
LDPIPEEN => '0',
REGRST => '0',
CINVCTRL => '0',
CNTVALUEIN => s_delay_val_in(5*i+4 downto 5*i),
CNTVALUEOUT => s_delay_val_out(5*i+4 downto 5*i));
iserdes_s : ISERDESE2 generic map(
DATA_WIDTH => S,
DATA_RATE => "DDR",
-- SERDES_MODE => "MASTER",
IOBDELAY => "IFD",
DYN_CLK_INV_EN => "FALSE",
INTERFACE_TYPE => "NETWORKING")
port map (
D => '0',
DDLY => rx_data_in_sd(i),
CE1 => '1',
CE2 => '1',
CLK => rxclk_int,
CLKB => not_rxclk,
RST => rst_iserdes,
CLKDIV => system_clk_int,
CLKDIVP => '0',
OCLK => '0',
OCLKB => '0',
DYNCLKSEL => '0',
DYNCLKDIVSEL => '0',
SHIFTIN1 => '0',
SHIFTIN2 => '0',
BITSLIP => bitslip,
O => open,
Q8 => s_serdes(8*i+0),
Q7 => s_serdes(8*i+1),
Q6 => s_serdes(8*i+2),
Q5 => s_serdes(8*i+3),
Q4 => s_serdes(8*i+4),
Q3 => s_serdes(8*i+5),
Q2 => s_serdes(8*i+6),
Q1 => s_serdes(8*i+7),
OFB => '0',
SHIFTOUT1 => open,
SHIFTOUT2 => open);
-- sort out necessary bits from iserdes
loop0a : if S = 4 generate
mdataout(4*i+3 downto 4*i) <= m_serdes(8*i+7 downto 8*i+4) ;
sdataout(4*i+3 downto 4*i) <= not s_serdes(8*i+7 downto 8*i+4) ;
end generate ;
loop0b : if S = 6 generate
mdataout(6*i+5 downto 6*i) <= m_serdes(8*i+7 downto 8*i+2) ;
sdataout(6*i+5 downto 6*i) <= not s_serdes(8*i+7 downto 8*i+2) ;
end generate ;
loop0c : if S = 8 generate
mdataout(8*i+7 downto 8*i) <= m_serdes(8*i+7 downto 8*i) ;
sdataout(8*i+7 downto 8*i) <= not s_serdes(8*i+7 downto 8*i) ;
end generate ;
end generate ;
end arch_serdes_1_to_468_idelay_ddr ;
| gpl-3.0 | be4cd7c74f3635b0ba58c212bc0462a5 | 0.601356 | 2.793923 | false | false | false | false |
okaxaki/vm2413 | EnvelopeMemory.vhd | 2 | 1,128 | --
-- EnvelopeMemory.vhd
--
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use WORK.VM2413.ALL;
entity EnvelopeMemory is port (
clk : in std_logic;
reset : in std_logic;
waddr : in SLOT_TYPE;
wr : in std_logic;
wdata : in EGDATA_TYPE;
raddr : in SLOT_TYPE;
rdata : out EGDATA_TYPE
);
end EnvelopeMemory;
architecture RTL of EnvelopeMemory is
type EGDATA_ARRAY is array (0 to MAXSLOT-1) of EGDATA_VECTOR_TYPE;
signal egdata_set : EGDATA_ARRAY;
begin
process (clk, reset)
variable init_slot : integer range 0 to SLOT_TYPE'high+1;
begin
if reset = '1' then
init_slot := 0;
elsif clk'event and clk = '1' then
if init_slot /= SLOT_TYPE'high + 1 then
egdata_set(init_slot) <= (others=>'1');
init_slot := init_slot + 1;
elsif wr = '1' then
egdata_set(waddr) <= CONV_EGDATA_VECTOR(wdata);
end if;
rdata <= CONV_EGDATA(egdata_set(raddr));
end if;
end process;
end RTL;
| mit | 6611d6691e0f2e9dd074a4d15b758924 | 0.562057 | 3.317647 | false | false | false | false |
spzSource/MPFSM.RegFile.Sort | MPFSM_RegFile_Sort/MPFSM_RegFile_Sort_Design/src/TestBench/microprocessor_TB.vhd | 1 | 1,025 | library ieee;
use ieee.NUMERIC_STD.all;
use ieee.STD_LOGIC_UNSIGNED.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
entity microprocessor_tb is
end microprocessor_tb;
architecture TB_ARCHITECTURE of microprocessor_tb is
component MicroProcessor
port(
clk : in STD_LOGIC;
rst : in STD_LOGIC;
start : in STD_LOGIC;
stop : out STD_LOGIC);
end component;
signal clk : STD_LOGIC := '0';
signal rst : STD_LOGIC := '0';
signal start : STD_LOGIC := '0';
signal stop : STD_LOGIC := '0';
constant CLK_PERIOD : time := 10 ns;
begin
UUT : microprocessor
port map(
clk => clk,
rst => rst,
start => start,
stop => stop
);
CLK_P : process
begin
clk <= '0';
wait for CLK_PERIOD / 2;
clk <= '1';
wait for CLK_PERIOD / 2;
end process;
MAIN_P : process
begin
rst <= '1';
wait for CLK_PERIOD;
rst <= '0';
start <= '1';
wait for 100 * CLK_PERIOD;
wait;
end process;
end TB_ARCHITECTURE; | mit | 1406431a3b1c77534185fbf976b5d166 | 0.595122 | 2.89548 | false | false | false | false |
cwilkens/ecen4024-microphone-array | microphone-array/microphone-array.srcs/sources_1/ip/lp_FIR/axi_utils_v2_0/hdl/axi_slave_3to1.vhd | 3 | 39,418 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
SGxjY4BMYHxNNV6vHUvIcABDfvEx6mAZCSoy83mTtyL54+bmu5lsX4L8iUUMjjrC/kIrTlXyhnjj
FTguvFAreg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Pi32kwP7yqLs1N79vPzcCN4JL0oJc3aAq7Jmbmk/xtPItDPdiJ+/yP/YnXONgQcgSKOdAk9wBZrr
8tgz/g8eiPXZR/ikt3Qk+vdcEc3fnJDFTy8qkyLr/rz5lKgPl4rYUurTev84liflFzVXMw1JRsQ8
2B0H9XotWqtrx9fIpN0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Vovqcj7xsHKISOK9dx+yppfeRiK06pfl0XO6WA1VhTXQhPDCwzlgtvaLmp83/KdTkJTYWL61kpLQ
XWip0UXgdYBLQIv8OB6I590jYmqQYgMMIWZiU2sIlamlxB1EqYysIqg6d3s0cZGQisiS0ze/3Ivg
7Mj0SPqn+hADK22tYs4PFTyTu8Xscj+bXRTh7KZsZMYF7sRmGw+X2E1lCBsDNjaZpq99lFmkAzUM
fdZAw+830BSigv29hQUloNDVaemXpRaRZtcBdLhCEljvudSmhS0zULMg2ZlyWrF4odtvABeANHLk
3xDzXXp+zP8UZUCg/KBgLOWArIniR8YsnEIZ/w==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
IQothDf8/o8sy4Ouc3t22EHmN8MUwS3/oLuB3LmOi3JWu8IZ/W4Hix1rZkGCMaVI3ArnPPyI1dbJ
eDMhsLCKQDgsBJuYk1mwCn+7VsK7JzVDhXxO0Z2HlwWDgmY3M/FnddFXboEXTOMo3kU9fN+/oqzN
z7XuhGqWRBvyYoXAHNw=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ecsDZYaf16Q3SqX8Zh/qLgAbssG9oJyJpWr/+ENIV4MGuFBKjwDISI9x3g3ADWmjLfmQ+pbx9PCx
rvFj88R0c42YSjjo4J7JhNE6IqVvADdc2uaQopeBYVxFQ6dDa3DZmKFPA70ovnv3l0wIoFnkLMRc
Do/YpNwQtqyu5+jWwTaDVKECbMgZuPkcxPig9sLeS81azRcLNbTeF5LMaZV9NPW7BL0ompBJWq+8
OcXZhgln96zBI7S2PSQG5ufHH8QhGwKSUNb2GjlPaKu0pGr+s22thpxtKOGtX1N1+DZwPyL0uwM+
jzioiO9KI/hUFaHRrqs12zhpcerSgCDViC/tVQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 27440)
`protect data_block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`protect end_protected
| mit | 10419f2b69d2f39155b09a91b2018f37 | 0.947943 | 1.833566 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/generic_map/rule_002_test_input.fixed_upper.vhd | 1 | 585 |
architecture ARCH of ENTITY1 is
begin
U_INST1 : INST1
generic map (
G_GEN_1(3 downto 0) => 3,
G_GEN_2(2 downto 1) => 4,
G_GEN_3 => 5
)
port map (
PORT_1(3 downto 0) => w_port_1,
PORT_2 => w_port_2,
PORT_3(2 downto 1) => w_port_3
);
-- Violations below
U_INST1 : INST1
generic map (
G_GEN_1(3 downto 0) => 3,
G_GEN_2(2 downto 1) => 4,
G_GEN_3 => 5
)
port map (
port_1(3 downto 0) => w_port_1,
port_2 => w_port_2,
port_3(2 downto 1) => w_port_3
);
end architecture ARCH;
| gpl-3.0 | d82f325f07fa3f383aeef94b2fa56117 | 0.492308 | 2.683486 | false | false | false | false |
okaxaki/vm2413 | PhaseMemory.vhd | 2 | 1,057 | --
-- PhaseMemory.vhd
--
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use WORK.VM2413.ALL;
entity PhaseMemory is
port (
clk : in std_logic;
reset : in std_logic;
slot : in SLOT_TYPE;
memwr : in std_logic;
memout : out PHASE_TYPE;
memin : in PHASE_TYPE
);
end PhaseMemory;
architecture RTL of PhaseMemory is
type PHASE_ARRAY_TYPE is array (0 to MAXSLOT-1) of PHASE_TYPE;
signal phase_array : PHASE_ARRAY_TYPE;
begin
process (clk, reset)
variable init_slot : integer range 0 to MAXSLOT;
begin
if reset = '1' then
init_slot := 0;
elsif clk'event and clk = '1' then
if init_slot /= MAXSLOT then
phase_array(init_slot) <= (others=>'0');
init_slot := init_slot + 1;
elsif memwr = '1' then
phase_array(slot) <= memin;
end if;
memout <= phase_array(slot);
end if;
end process;
end RTL; | mit | d320dc131ad091b5c9059f40d62c9fe0 | 0.543992 | 3.523333 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/vhdlFile/aggregate/classification_test_input.vhd | 1 | 493 |
architecture rtl of fifo is begin
s_foo <= (
item => 12,
another_item => 34
);
proc_label : process is
begin
s_foo <= (
item => 12,
another_item => 34
);
end process proc_label;
s_foo <= ( item1 => 12,
item2 => f(a, b ,c),
item3 => 36,
item4 => (
itemA => 3,
itemB => 4
)
);
end architecture rtl;
| gpl-3.0 | 38170f2d607a249ea28acae7e445aa06 | 0.361055 | 4.142857 | false | false | false | false |
tdaede/daala_zynq | daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_s2mm_dre.vhd | 1 | 89,724 | -------------------------------------------------------------------------------
-- axi_datamover_s2mm_dre.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_datamover_s2mm_dre.vhd
--
-- Description:
-- This VHDL design implements a 64 bit wide (8 byte lane) function that
-- realigns an arbitrarily aligned input data stream to an arbitrarily aligned
-- output data stream.
--
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- axi_datamover_s2mm_dre.vhd
-- |
-- |-- axi_datamover_dre_mux8_1_x_n.vhd
-- |-- axi_datamover_dre_mux4_1_x_n.vhd
-- |-- axi_datamover_dre_mux2_1_x_n.vhd
--
-------------------------------------------------------------------------------
-- Revision History:
--
--
-- Author: DET
--
-- History:
-- DET 04/19/2011 Initial Version for EDK 13.3
--
--
-- DET 9/1/2011 Initial
-- ~~~~~~
-- - Per a Lint warning, added a range to the varables lvar_loop_count and
-- lvar_last_strb_hole_position.
-- ^^^^^^
--
-- PVK 9/16/2011
-- ~~~~~~~
-- - Removed else clause in some of the clocked process. This was reported
-- as synthesis warning in modelsim.
-- ^^^^^^^
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library axi_datamover_v5_1;
use axi_datamover_v5_1.axi_datamover_dre_mux8_1_x_n;
use axi_datamover_v5_1.axi_datamover_dre_mux4_1_x_n;
use axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n;
-------------------------------------------------------------------------------
entity axi_datamover_s2mm_dre is
Generic (
C_DWIDTH : Integer := 64;
-- Sets the native data width of the DRE
C_ALIGN_WIDTH : Integer := 3
-- Sets the width of the alignment control inputs
-- Should be log2(C_DWIDTH)
);
port (
-- Clock and Reset Input ----------------------------------------------
--
dre_clk : In std_logic; --
dre_rst : In std_logic; --
----------------------------------------------------------------------
-- Alignment Control (Independent from Stream Input timing) ----------
--
dre_align_ready : Out std_logic; --
dre_align_valid : In std_logic; --
dre_use_autodest : In std_logic; --
dre_src_align : In std_logic_vector(C_ALIGN_WIDTH-1 downto 0); --
dre_dest_align : In std_logic_vector(C_ALIGN_WIDTH-1 downto 0); --
----------------------------------------------------------------------
-- Flush Control (Aligned to input Stream timing) --------------------
--
dre_flush : In std_logic; --
----------------------------------------------------------------------
-- Stream Input Channel ----------------------------------------------
--
dre_in_tstrb : In std_logic_vector((C_DWIDTH/8)-1 downto 0); --
dre_in_tdata : In std_logic_vector(C_DWIDTH-1 downto 0); --
dre_in_tlast : In std_logic; --
dre_in_tvalid : In std_logic; --
dre_in_tready : Out std_logic; --
----------------------------------------------------------------------
-- Stream Output Channel ---------------------------------------------
--
dre_out_tstrb : Out std_logic_vector((C_DWIDTH/8)-1 downto 0); --
dre_out_tdata : Out std_logic_vector(C_DWIDTH-1 downto 0); --
dre_out_tlast : Out std_logic; --
dre_out_tvalid : Out std_logic; --
dre_out_tready : In std_logic --
----------------------------------------------------------------------
);
end entity axi_datamover_s2mm_dre;
architecture implementation of axi_datamover_s2mm_dre is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-- Functions
-------------------------------------------------------------------
-- Function
--
-- Function Name: get_start_index
--
-- Function Description:
-- This function calculates the bus bit index corresponding
-- to the MSB of the Slice lane index input and the Slice width.
--
-------------------------------------------------------------------
function get_start_index (lane_index : integer;
lane_width : integer)
return integer is
Variable bit_index_start : Integer := 0;
begin
bit_index_start := lane_index*lane_width;
return(bit_index_start);
end function get_start_index;
-------------------------------------------------------------------
-- Function
--
-- Function Name: get_end_index
--
-- Function Description:
-- This function calculates the bus bit index corresponding
-- to the LSB of the Slice lane index input and the Slice width.
--
-------------------------------------------------------------------
function get_end_index (lane_index : integer;
lane_width : integer)
return integer is
Variable bit_index_end : Integer := 0;
begin
bit_index_end := (lane_index*lane_width) + (lane_width-1);
return(bit_index_end);
end function get_end_index;
-- Constants
Constant BYTE_WIDTH : integer := 8; -- bits
Constant DATA_WIDTH_BYTES : integer := C_DWIDTH/BYTE_WIDTH;
Constant SLICE_WIDTH : integer := BYTE_WIDTH+2; -- 8 data bits plus Strobe plus TLAST bit
Constant SLICE_STROBE_INDEX : integer := (BYTE_WIDTH-1)+1;
Constant SLICE_TLAST_INDEX : integer := SLICE_STROBE_INDEX+1;
Constant ZEROED_SLICE : std_logic_vector(SLICE_WIDTH-1 downto 0) := (others => '0');
Constant NUM_BYTE_LANES : integer := C_DWIDTH/BYTE_WIDTH;
Constant ALIGN_VECT_WIDTH : integer := C_ALIGN_WIDTH;
Constant NO_STRB_SET_VALUE : integer := 0;
-- Types
type sig_byte_lane_type is array(DATA_WIDTH_BYTES-1 downto 0) of
std_logic_vector(SLICE_WIDTH-1 downto 0);
-- Signals
signal sig_input_data_reg : sig_byte_lane_type;
signal sig_delay_data_reg : sig_byte_lane_type;
signal sig_output_data_reg : sig_byte_lane_type;
signal sig_pass_mux_bus : sig_byte_lane_type;
signal sig_delay_mux_bus : sig_byte_lane_type;
signal sig_final_mux_bus : sig_byte_lane_type;
Signal sig_dre_strb_out_i : std_logic_vector(DATA_WIDTH_BYTES-1 downto 0) := (others => '0');
Signal sig_dre_data_out_i : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0');
Signal sig_dest_align_i : std_logic_vector(ALIGN_VECT_WIDTH-1 downto 0) := (others => '0');
Signal sig_dre_flush_i : std_logic := '0';
Signal sig_pipeline_halt : std_logic := '0';
Signal sig_dre_tvalid_i : std_logic := '0';
Signal sig_input_accept : std_logic := '0';
Signal sig_tlast_enables : std_logic_vector(NUM_BYTE_LANES-1 downto 0) := (others => '0');
signal sig_final_mux_has_tlast : std_logic := '0';
signal sig_tlast_out : std_logic := '0';
Signal sig_tlast_strobes : std_logic_vector(NUM_BYTE_LANES-1 downto 0) := (others => '0');
Signal sig_next_auto_dest : std_logic_vector(ALIGN_VECT_WIDTH-1 downto 0) := (others => '0');
Signal sig_current_dest_align : std_logic_vector(ALIGN_VECT_WIDTH-1 downto 0) := (others => '0');
Signal sig_last_written_strb : std_logic_vector(NUM_BYTE_LANES-1 downto 0) := (others => '0');
Signal sig_auto_flush : std_logic := '0';
Signal sig_flush_db1 : std_logic := '0';
Signal sig_flush_db2 : std_logic := '0';
signal sig_flush_db1_complete : std_logic := '0';
signal sig_flush_db2_complete : std_logic := '0';
signal sig_output_xfer : std_logic := '0';
signal sig_advance_pipe_data : std_logic := '0';
Signal sig_flush_reg : std_logic := '0';
Signal sig_input_flush_stall : std_logic := '0';
Signal sig_cntl_accept : std_logic := '0';
Signal sig_dre_halted : std_logic := '0';
begin --(architecture implementation)
-- Misc port assignments
dre_align_ready <= sig_dre_halted or
sig_flush_db2_complete ;
dre_in_tready <= sig_input_accept ;
dre_out_tstrb <= sig_dre_strb_out_i ;
dre_out_tdata <= sig_dre_data_out_i ;
dre_out_tvalid <= sig_dre_tvalid_i ;
dre_out_tlast <= sig_tlast_out ;
-- Internal logic
sig_cntl_accept <= dre_align_valid and
(sig_dre_halted or
sig_flush_db2_complete);
sig_pipeline_halt <= sig_dre_halted or
(sig_dre_tvalid_i and
not(dre_out_tready));
sig_output_xfer <= sig_dre_tvalid_i and
dre_out_tready;
sig_advance_pipe_data <= (dre_in_tvalid or
sig_dre_flush_i) and
not(sig_pipeline_halt);
sig_dre_flush_i <= sig_auto_flush ;
sig_input_accept <= dre_in_tvalid and
not(sig_pipeline_halt) and
not(sig_input_flush_stall);
sig_flush_db1_complete <= sig_flush_db1 and
not(sig_pipeline_halt);
sig_flush_db2_complete <= sig_flush_db2 and
not(sig_pipeline_halt);
sig_auto_flush <= sig_flush_db1 or
sig_flush_db2;
sig_input_flush_stall <= sig_auto_flush; -- commanded flush needed for concatonation
sig_last_written_strb <= sig_dre_strb_out_i;
------------------------------------------------------------------------------------
-- DRE Halted logic
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_DRE_HALTED_FLOP
--
-- Process Description:
-- Implements a flop for the Halted state flag. All DRE
-- operation is halted until a new alignment control is
-- loaded. The DRE automatically goes into halted state
-- at reset and at completion of a flush operation.
--
-------------------------------------------------------------
IMP_DRE_HALTED_FLOP : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1' or
(sig_flush_db2_complete = '1' and
dre_align_valid = '0'))then
sig_dre_halted <= '1'; -- default to halted state
elsif (sig_cntl_accept = '1') then
sig_dre_halted <= '0';
else
null; -- hold current state
end if;
end if;
end process IMP_DRE_HALTED_FLOP;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_FLUSH_IN
--
-- Process Description:
-- Input Register for the flush command
--
-------------------------------------------------------------
REG_FLUSH_IN : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1' or
sig_flush_db2 = '1') then
sig_flush_reg <= '0';
elsif (sig_input_accept = '1') then
sig_flush_reg <= dre_flush;
else
null; -- hold current state
end if;
end if;
end process REG_FLUSH_IN;
-------------------------------------------------------------
-- Combinational Process
--
-- Label: DO_FINAL_MUX_TLAST_OR
--
-- Process Description:
-- Look at all associated tlast bits in the Final Mux output
-- and detirmine if any are set.
--
--
-------------------------------------------------------------
DO_FINAL_MUX_TLAST_OR : process (sig_final_mux_bus)
Variable lvar_finalmux_or : std_logic_vector(NUM_BYTE_LANES-1 downto 0);
begin
lvar_finalmux_or(0) := sig_final_mux_bus(0)(SLICE_TLAST_INDEX);
for tlast_index in 1 to NUM_BYTE_LANES-1 loop
lvar_finalmux_or(tlast_index) :=
lvar_finalmux_or(tlast_index-1) or
sig_final_mux_bus(tlast_index)(SLICE_TLAST_INDEX);
end loop;
sig_final_mux_has_tlast <= lvar_finalmux_or(NUM_BYTE_LANES-1);
end process DO_FINAL_MUX_TLAST_OR;
------------------------------------------------------------------------
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: GEN_FLUSH_DB1
--
-- Process Description:
-- Creates the first sequential flag indicating that the DRE needs to flush out
-- current contents before allowing any new inputs. This is
-- triggered by the receipt of the TLAST.
--
-------------------------------------------------------------
GEN_FLUSH_DB1 : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
If (dre_rst = '1' or
sig_flush_db2_complete = '1') Then
sig_flush_db1 <= '0';
Elsif (sig_input_accept = '1') Then
sig_flush_db1 <= dre_flush or dre_in_tlast;
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process GEN_FLUSH_DB1;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: GEN_FLUSH_DB2
--
-- Process Description:
-- Creates a second sequential flag indicating that the DRE
-- is flushing out current contents. This is
-- triggered by the assertion of the first sequential flush
-- flag.
--
-------------------------------------------------------------
GEN_FLUSH_DB2 : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
If (dre_rst = '1' or
sig_flush_db2_complete = '1') Then
sig_flush_db2 <= '0';
elsif (sig_pipeline_halt = '0') then
sig_flush_db2 <= sig_flush_db1;
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process GEN_FLUSH_DB2;
-------------------------------------------------------------
-- Combinational Process
--
-- Label: CALC_DEST_STRB_ALIGN
--
-- Process Description:
-- This process calculates the byte lane position of the
-- left-most STRB that is unasserted on the DRE output STRB bus.
-- The resulting value is used as the Destination Alignment
-- Vector for the DRE.
--
-------------------------------------------------------------
CALC_DEST_STRB_ALIGN : process (sig_last_written_strb)
Variable lvar_last_strb_hole_position : Integer range 0 to NUM_BYTE_LANES;
Variable lvar_strb_hole_detected : Boolean;
Variable lvar_first_strb_assert_found : Boolean;
Variable lvar_loop_count : integer range 0 to NUM_BYTE_LANES;
Begin
lvar_loop_count := NUM_BYTE_LANES;
lvar_last_strb_hole_position := 0;
lvar_strb_hole_detected := FALSE;
lvar_first_strb_assert_found := FALSE;
-- Search through the output STRB bus starting with the MSByte
while (lvar_loop_count > 0) loop
If (sig_last_written_strb(lvar_loop_count-1) = '0' and
lvar_first_strb_assert_found = FALSE) Then
lvar_strb_hole_detected := TRUE;
lvar_last_strb_hole_position := lvar_loop_count-1;
Elsif (sig_last_written_strb(lvar_loop_count-1) = '1') Then
lvar_first_strb_assert_found := true;
else
null; -- do nothing
End if;
lvar_loop_count := lvar_loop_count - 1;
End loop;
-- now assign the encoder output value to the bit position of the last Strobe encountered
If (lvar_strb_hole_detected) Then
sig_current_dest_align <= STD_LOGIC_VECTOR(TO_UNSIGNED(lvar_last_strb_hole_position, ALIGN_VECT_WIDTH));
else
sig_current_dest_align <= STD_LOGIC_VECTOR(TO_UNSIGNED(NO_STRB_SET_VALUE, ALIGN_VECT_WIDTH));
End if;
end process CALC_DEST_STRB_ALIGN;
------------------------------------------------------------
------------------------------------------------------------
------------------------------------------------------------
-- For Generate
--
-- Label: FORMAT_OUTPUT_DATA_STRB
--
-- For Generate Description:
-- Connect the output Data and Strobe ports to the appropriate
-- bits in the sig_output_data_reg.
--
------------------------------------------------------------
FORMAT_OUTPUT_DATA_STRB : for byte_lane_index in 0 to NUM_BYTE_LANES-1 generate
begin
sig_dre_data_out_i(get_end_index(byte_lane_index, BYTE_WIDTH) downto
get_start_index(byte_lane_index, BYTE_WIDTH)) <=
sig_output_data_reg(byte_lane_index)(BYTE_WIDTH-1 downto 0);
sig_dre_strb_out_i(byte_lane_index) <=
sig_output_data_reg(byte_lane_index)(SLICE_WIDTH-2);
end generate FORMAT_OUTPUT_DATA_STRB;
------------------------------------------------------------
------------------------------------------------------------
------------------------------------------------------------
---------------------------------------------------------------------------------
-- Registers
------------------------------------------------------------
-- For Generate
--
-- Label: GEN_INPUT_REG
--
-- For Generate Description:
--
-- Implements a programble number of input register slices.
--
--
------------------------------------------------------------
GEN_INPUT_REG : for slice_index in 0 to NUM_BYTE_LANES-1 generate
begin
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: DO_INPUTREG_SLICE
--
-- Process Description:
-- Implement a single register slice for the Input Register.
--
-------------------------------------------------------------
DO_INPUTREG_SLICE : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1' or
sig_flush_db1_complete = '1' or -- clear on reset or if
(dre_in_tvalid = '1' and
sig_pipeline_halt = '0' and -- the pipe is being advanced and
dre_in_tstrb(slice_index) = '0')) then -- no new valid data id being loaded
sig_input_data_reg(slice_index) <= ZEROED_SLICE;
elsif (dre_in_tstrb(slice_index) = '1' and
sig_input_accept = '1') then
sig_input_data_reg(slice_index) <= sig_tlast_enables(slice_index) &
dre_in_tstrb(slice_index) &
dre_in_tdata((slice_index*8)+7 downto slice_index*8);
else
null; -- don't change state
end if;
end if;
end process DO_INPUTREG_SLICE;
end generate GEN_INPUT_REG;
------------------------------------------------------------
-- For Generate
--
-- Label: GEN_DELAY_REG
--
-- For Generate Description:
--
-- Implements a programble number of output register slices
--
--
------------------------------------------------------------
GEN_DELAY_REG : for slice_index in 0 to NUM_BYTE_LANES-1 generate
begin
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: DO_DELAYREG_SLICE
--
-- Process Description:
-- Implement a single register slice
--
-------------------------------------------------------------
DO_DELAYREG_SLICE : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1' or -- clear on reset or if
(sig_advance_pipe_data = '1' and -- the pipe is being advanced and
sig_delay_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '0')) then -- no new valid data id being loaded
sig_delay_data_reg(slice_index) <= ZEROED_SLICE;
elsif (sig_delay_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '1' and
sig_advance_pipe_data = '1') then
sig_delay_data_reg(slice_index) <= sig_delay_mux_bus(slice_index);
else
null; -- don't change state
end if;
end if;
end process DO_DELAYREG_SLICE;
end generate GEN_DELAY_REG;
------------------------------------------------------------
-- For Generate
--
-- Label: GEN_OUTPUT_REG
--
-- For Generate Description:
--
-- Implements a programble number of output register slices
--
--
------------------------------------------------------------
GEN_OUTPUT_REG : for slice_index in 0 to NUM_BYTE_LANES-1 generate
begin
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: DO_OUTREG_SLICE
--
-- Process Description:
-- Implement a single register slice
--
-------------------------------------------------------------
DO_OUTREG_SLICE : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1' or -- clear on reset or if
(sig_output_xfer = '1' and -- the output is being transfered and
sig_final_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '0')) then -- no new valid data id being loaded
sig_output_data_reg(slice_index) <= ZEROED_SLICE;
elsif (sig_final_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '1' and
sig_advance_pipe_data = '1') then
sig_output_data_reg(slice_index) <= sig_final_mux_bus(slice_index);
else
null; -- don't change state
end if;
end if;
end process DO_OUTREG_SLICE;
end generate GEN_OUTPUT_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: GEN_TVALID
--
-- Process Description:
-- This sync process generates the Write request for the
-- destination interface.
--
-------------------------------------------------------------
GEN_TVALID : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1') then
sig_dre_tvalid_i <= '0';
elsif (sig_advance_pipe_data = '1') then
sig_dre_tvalid_i <= sig_final_mux_bus(NUM_BYTE_LANES-1)(SLICE_STROBE_INDEX) or -- MS Strobe is set or
sig_final_mux_has_tlast; -- the Last data beat of a packet
Elsif (dre_out_tready = '1' and -- a completed write but no
sig_dre_tvalid_i = '1') Then -- new input data so clear
-- until more input data shows up
sig_dre_tvalid_i <= '0';
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process GEN_TVALID;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: GEN_TLAST_OUT
--
-- Process Description:
-- This sync process generates the TLAST output for the
-- destination interface.
--
-------------------------------------------------------------
GEN_TLAST_OUT : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1') then
sig_tlast_out <= '0';
elsif (sig_advance_pipe_data = '1') then
sig_tlast_out <= sig_final_mux_has_tlast;
Elsif (dre_out_tready = '1' and -- a completed transfer
sig_dre_tvalid_i = '1') Then -- so clear tlast
sig_tlast_out <= '0';
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process GEN_TLAST_OUT;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_MUXFARM_64
--
-- If Generate Description:
-- Support Logic and Mux Farm for 64-bit data path case
--
--
------------------------------------------------------------
GEN_MUXFARM_64 : if (C_DWIDTH = 64) generate
Signal s_case_i_64 : Integer range 0 to 7 := 0;
signal sig_cntl_state_64 : std_logic_vector(5 downto 0) := (others => '0');
Signal sig_shift_case_i : std_logic_vector(2 downto 0) := (others => '0');
Signal sig_shift_case_reg : std_logic_vector(2 downto 0) := (others => '0');
Signal sig_final_mux_sel : std_logic_vector(7 downto 0) := (others => '0');
begin
-------------------------------------------------------------
-- Combinational Process
--
-- Label: FIND_MS_STRB_SET_8
--
-- Process Description:
-- This process finds the most significant asserted strobe
-- position. This position is used to enable the input flop
-- for TLAST that is associated with that byte position. The
-- TLAST can then flow through the DRE pipe with the last
-- valid byte of data.
--
-------------------------------------------------------------
FIND_MS_STRB_SET_8 : process (dre_in_tlast,
dre_in_tstrb,
sig_tlast_strobes)
begin
sig_tlast_strobes <= dre_in_tstrb(7 downto 0); -- makes case choice locally static
if (dre_in_tlast = '0') then
sig_tlast_enables <= "00000000";
elsif (sig_tlast_strobes(7) = '1') then
sig_tlast_enables <= "10000000";
elsif (sig_tlast_strobes(6) = '1') then
sig_tlast_enables <= "01000000";
elsif (sig_tlast_strobes(5) = '1') then
sig_tlast_enables <= "00100000";
elsif (sig_tlast_strobes(4) = '1') then
sig_tlast_enables <= "00010000";
elsif (sig_tlast_strobes(3) = '1') then
sig_tlast_enables <= "00001000";
elsif (sig_tlast_strobes(2) = '1') then
sig_tlast_enables <= "00000100";
elsif (sig_tlast_strobes(1) = '1') then
sig_tlast_enables <= "00000010";
else
sig_tlast_enables <= "00000001";
end if;
end process FIND_MS_STRB_SET_8;
---------------------------------------------------------------------------------
-- Shift Case logic
-- The new auto-destination alignment is based on the last
-- strobe alignment written into the output register.
sig_next_auto_dest <= sig_current_dest_align;
-- Select the destination alignment to use
sig_dest_align_i <= sig_next_auto_dest
When (dre_use_autodest = '1')
Else dre_dest_align;
-- Convert shift case to sld_logic_vector
--sig_shift_case_i <= CONV_STD_LOGIC_VECTOR(s_case_i_64, 3);
sig_shift_case_i <= STD_LOGIC_VECTOR(TO_UNSIGNED(s_case_i_64, 3));
-------------------------------------------------------------
-- Combinational Process
--
-- Label: DO_SHIFT_CASE_64
--
-- Process Description:
-- Implements the DRE Control State Calculator
--
-------------------------------------------------------------
DO_SHIFT_CASE_64 : process (dre_src_align ,
sig_dest_align_i,
sig_cntl_state_64)
begin
sig_cntl_state_64 <= dre_src_align & sig_dest_align_i;
case sig_cntl_state_64 is
when "000000" =>
s_case_i_64 <= 0;
when "000001" =>
s_case_i_64 <= 7;
when "000010" =>
s_case_i_64 <= 6;
when "000011" =>
s_case_i_64 <= 5;
when "000100" =>
s_case_i_64 <= 4;
when "000101" =>
s_case_i_64 <= 3;
when "000110" =>
s_case_i_64 <= 2;
when "000111" =>
s_case_i_64 <= 1;
when "001000" =>
s_case_i_64 <= 1;
when "001001" =>
s_case_i_64 <= 0;
when "001010" =>
s_case_i_64 <= 7;
when "001011" =>
s_case_i_64 <= 6;
when "001100" =>
s_case_i_64 <= 5;
when "001101" =>
s_case_i_64 <= 4;
when "001110" =>
s_case_i_64 <= 3;
when "001111" =>
s_case_i_64 <= 2;
when "010000" =>
s_case_i_64 <= 2;
when "010001" =>
s_case_i_64 <= 1;
when "010010" =>
s_case_i_64 <= 0;
when "010011" =>
s_case_i_64 <= 7;
when "010100" =>
s_case_i_64 <= 6;
when "010101" =>
s_case_i_64 <= 5;
when "010110" =>
s_case_i_64 <= 4;
when "010111" =>
s_case_i_64 <= 3;
when "011000" =>
s_case_i_64 <= 3;
when "011001" =>
s_case_i_64 <= 2;
when "011010" =>
s_case_i_64 <= 1;
when "011011" =>
s_case_i_64 <= 0;
when "011100" =>
s_case_i_64 <= 7;
when "011101" =>
s_case_i_64 <= 6;
when "011110" =>
s_case_i_64 <= 5;
when "011111" =>
s_case_i_64 <= 4;
when "100000" =>
s_case_i_64 <= 4;
when "100001" =>
s_case_i_64 <= 3;
when "100010" =>
s_case_i_64 <= 2;
when "100011" =>
s_case_i_64 <= 1;
when "100100" =>
s_case_i_64 <= 0;
when "100101" =>
s_case_i_64 <= 7;
when "100110" =>
s_case_i_64 <= 6;
when "100111" =>
s_case_i_64 <= 5;
when "101000" =>
s_case_i_64 <= 5;
when "101001" =>
s_case_i_64 <= 4;
when "101010" =>
s_case_i_64 <= 3;
when "101011" =>
s_case_i_64 <= 2;
when "101100" =>
s_case_i_64 <= 1;
when "101101" =>
s_case_i_64 <= 0;
when "101110" =>
s_case_i_64 <= 7;
when "101111" =>
s_case_i_64 <= 6;
when "110000" =>
s_case_i_64 <= 6;
when "110001" =>
s_case_i_64 <= 5;
when "110010" =>
s_case_i_64 <= 4;
when "110011" =>
s_case_i_64 <= 3;
when "110100" =>
s_case_i_64 <= 2;
when "110101" =>
s_case_i_64 <= 1;
when "110110" =>
s_case_i_64 <= 0;
when "110111" =>
s_case_i_64 <= 7;
when "111000" =>
s_case_i_64 <= 7;
when "111001" =>
s_case_i_64 <= 6;
when "111010" =>
s_case_i_64 <= 5;
when "111011" =>
s_case_i_64 <= 4;
when "111100" =>
s_case_i_64 <= 3;
when "111101" =>
s_case_i_64 <= 2;
when "111110" =>
s_case_i_64 <= 1;
when "111111" =>
s_case_i_64 <= 0;
when others =>
NULL;
end case;
end process DO_SHIFT_CASE_64;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_SHIFT_CASE
--
-- Process Description:
-- This process registers the Shift Case output from the
-- Shift Case Generator. This will be used to control the
-- select inputs of the Shift Muxes for the duration of the
-- data transfer session. If Pass Through is requested, then
-- Shift Case 0 is forced regardless of source and destination
-- alignment values.
--
-------------------------------------------------------------
REG_SHIFT_CASE : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1') then
sig_shift_case_reg <= (others => '0');
elsif (sig_cntl_accept = '1') then
sig_shift_case_reg <= sig_shift_case_i;
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process REG_SHIFT_CASE;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start PASS Mux Farm Design-------------------------------------------------
-- Pass Mux Byte 0 (wire)
-- This is a wire so.....
sig_pass_mux_bus(0) <= sig_input_data_reg(0);
-- Pass Mux Byte 1 (2-1 x8 Mux)
I_MUX2_1_PASS_B1 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(0),
I0 => sig_input_data_reg(1),
I1 => sig_input_data_reg(0),
Y => sig_pass_mux_bus(1)
);
-- Pass Mux Byte 2 (4-1 x8 Mux)
I_MUX4_1_PASS_B2 : entity axi_datamover_v5_1.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => sig_input_data_reg(2) ,
I1 => ZEROED_SLICE ,
I2 => sig_input_data_reg(0) ,
I3 => sig_input_data_reg(1) ,
Y => sig_pass_mux_bus(2)
);
-- Pass Mux Byte 3 (4-1 x8 Mux)
I_MUX4_1_PASS_B3 : entity axi_datamover_v5_1.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => sig_input_data_reg(3) ,
I1 => sig_input_data_reg(0) ,
I2 => sig_input_data_reg(1) ,
I3 => sig_input_data_reg(2) ,
Y => sig_pass_mux_bus(3)
);
-- Pass Mux Byte 4 (8-1 x8 Mux)
I_MUX8_1_PASS_B4 : entity axi_datamover_v5_1.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0),
I0 => sig_input_data_reg(4) ,
I1 => ZEROED_SLICE ,
I2 => ZEROED_SLICE ,
I3 => ZEROED_SLICE ,
I4 => sig_input_data_reg(0) ,
I5 => sig_input_data_reg(1) ,
I6 => sig_input_data_reg(2) ,
I7 => sig_input_data_reg(3) ,
Y => sig_pass_mux_bus(4)
);
-- Pass Mux Byte 5 (8-1 x8 Mux)
I_MUX8_1_PASS_B5 : entity axi_datamover_v5_1.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0),
I0 => sig_input_data_reg(5) ,
I1 => ZEROED_SLICE ,
I2 => ZEROED_SLICE ,
I3 => sig_input_data_reg(0) ,
I4 => sig_input_data_reg(1) ,
I5 => sig_input_data_reg(2) ,
I6 => sig_input_data_reg(3) ,
I7 => sig_input_data_reg(4) ,
Y => sig_pass_mux_bus(5)
);
-- Pass Mux Byte 6 (8-1 x8 Mux)
I_MUX8_1_PASS_B6 : entity axi_datamover_v5_1.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0),
I0 => sig_input_data_reg(6) ,
I1 => ZEROED_SLICE ,
I2 => sig_input_data_reg(0) ,
I3 => sig_input_data_reg(1) ,
I4 => sig_input_data_reg(2) ,
I5 => sig_input_data_reg(3) ,
I6 => sig_input_data_reg(4) ,
I7 => sig_input_data_reg(5) ,
Y => sig_pass_mux_bus(6)
);
-- Pass Mux Byte 7 (8-1 x8 Mux)
I_MUX8_1_PASS_B7 : entity axi_datamover_v5_1.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0),
I0 => sig_input_data_reg(7) ,
I1 => sig_input_data_reg(0) ,
I2 => sig_input_data_reg(1) ,
I3 => sig_input_data_reg(2) ,
I4 => sig_input_data_reg(3) ,
I5 => sig_input_data_reg(4) ,
I6 => sig_input_data_reg(5) ,
I7 => sig_input_data_reg(6) ,
Y => sig_pass_mux_bus(7)
);
-- End PASS Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start Delay Mux Farm Design-------------------------------------------------
-- Delay Mux Byte 0 (8-1 x8 Mux)
I_MUX8_1_DLY_B0 : entity axi_datamover_v5_1.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0) ,
I0 => ZEROED_SLICE ,
I1 => sig_input_data_reg(1) ,
I2 => sig_input_data_reg(2) ,
I3 => sig_input_data_reg(3) ,
I4 => sig_input_data_reg(4) ,
I5 => sig_input_data_reg(5) ,
I6 => sig_input_data_reg(6) ,
I7 => sig_input_data_reg(7) ,
Y => sig_delay_mux_bus(0)
);
-- Delay Mux Byte 1 (8-1 x8 Mux)
I_MUX8_1_DLY_B1 : entity axi_datamover_v5_1.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0),
I0 => ZEROED_SLICE ,
I1 => sig_input_data_reg(2) ,
I2 => sig_input_data_reg(3) ,
I3 => sig_input_data_reg(4) ,
I4 => sig_input_data_reg(5) ,
I5 => sig_input_data_reg(6) ,
I6 => sig_input_data_reg(7) ,
I7 => ZEROED_SLICE ,
Y => sig_delay_mux_bus(1)
);
-- Delay Mux Byte 2 (8-1 x8 Mux)
I_MUX8_1_DLY_B2 : entity axi_datamover_v5_1.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0),
I0 => ZEROED_SLICE ,
I1 => sig_input_data_reg(3) ,
I2 => sig_input_data_reg(4) ,
I3 => sig_input_data_reg(5) ,
I4 => sig_input_data_reg(6) ,
I5 => sig_input_data_reg(7) ,
I6 => ZEROED_SLICE ,
I7 => ZEROED_SLICE ,
Y => sig_delay_mux_bus(2)
);
-- Delay Mux Byte 3 (4-1 x8 Mux)
I_MUX4_1_DLY_B3 : entity axi_datamover_v5_1.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => sig_input_data_reg(7) ,
I1 => sig_input_data_reg(4) ,
I2 => sig_input_data_reg(5) ,
I3 => sig_input_data_reg(6) ,
Y => sig_delay_mux_bus(3)
);
-- Delay Mux Byte 4 (4-1 x8 Mux)
I_MUX4_1_DLY_B4 : entity axi_datamover_v5_1.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => ZEROED_SLICE ,
I1 => sig_input_data_reg(5) ,
I2 => sig_input_data_reg(6) ,
I3 => sig_input_data_reg(7) ,
Y => sig_delay_mux_bus(4)
);
-- Delay Mux Byte 5 (2-1 x8 Mux)
I_MUX2_1_DLY_B5 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH -- : Integer := 8
)
port map(
Sel => sig_shift_case_reg(0),
I0 => sig_input_data_reg(7),
I1 => sig_input_data_reg(6),
Y => sig_delay_mux_bus(5)
);
-- Delay Mux Byte 6 (Wire)
sig_delay_mux_bus(6) <= sig_input_data_reg(7);
-- Delay Mux Byte 7 (Zeroed)
sig_delay_mux_bus(7) <= ZEROED_SLICE;
-- End Delay Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start Final Mux Farm Design-------------------------------------------------
-- Final Mux Byte 0 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B0_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 0 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B0_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(0) <= '0';
when "001" =>
sig_final_mux_sel(0) <= '1';
when "010" =>
sig_final_mux_sel(0) <= '1';
when "011" =>
sig_final_mux_sel(0) <= '1';
when "100" =>
sig_final_mux_sel(0) <= '1';
when "101" =>
sig_final_mux_sel(0) <= '1';
when "110" =>
sig_final_mux_sel(0) <= '1';
when "111" =>
sig_final_mux_sel(0) <= '1';
when others =>
sig_final_mux_sel(0) <= '0';
end case;
end process MUX2_1_FINAL_B0_CNTL;
I_MUX2_1_FINAL_B0 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(0) ,
I0 => sig_input_data_reg(0),
I1 => sig_delay_data_reg(0),
Y => sig_final_mux_bus(0)
);
-- Final Mux Byte 1 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B1_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 1 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B1_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(1) <= '0';
when "001" =>
sig_final_mux_sel(1) <= '1';
when "010" =>
sig_final_mux_sel(1) <= '1';
when "011" =>
sig_final_mux_sel(1) <= '1';
when "100" =>
sig_final_mux_sel(1) <= '1';
when "101" =>
sig_final_mux_sel(1) <= '1';
when "110" =>
sig_final_mux_sel(1) <= '1';
when "111" =>
sig_final_mux_sel(1) <= '0';
when others =>
sig_final_mux_sel(1) <= '0';
end case;
end process MUX2_1_FINAL_B1_CNTL;
I_MUX2_1_FINAL_B1 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(1) ,
I0 => sig_pass_mux_bus(1) ,
I1 => sig_delay_data_reg(1),
Y => sig_final_mux_bus(1)
);
-- Final Mux Byte 2 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B2_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 2 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B2_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(2) <= '0';
when "001" =>
sig_final_mux_sel(2) <= '1';
when "010" =>
sig_final_mux_sel(2) <= '1';
when "011" =>
sig_final_mux_sel(2) <= '1';
when "100" =>
sig_final_mux_sel(2) <= '1';
when "101" =>
sig_final_mux_sel(2) <= '1';
when "110" =>
sig_final_mux_sel(2) <= '0';
when "111" =>
sig_final_mux_sel(2) <= '0';
when others =>
sig_final_mux_sel(2) <= '0';
end case;
end process MUX2_1_FINAL_B2_CNTL;
I_MUX2_1_FINAL_B2 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(2) ,
I0 => sig_pass_mux_bus(2) ,
I1 => sig_delay_data_reg(2),
Y => sig_final_mux_bus(2)
);
-- Final Mux Byte 3 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B3_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 3 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B3_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(3) <= '0';
when "001" =>
sig_final_mux_sel(3) <= '1';
when "010" =>
sig_final_mux_sel(3) <= '1';
when "011" =>
sig_final_mux_sel(3) <= '1';
when "100" =>
sig_final_mux_sel(3) <= '1';
when "101" =>
sig_final_mux_sel(3) <= '0';
when "110" =>
sig_final_mux_sel(3) <= '0';
when "111" =>
sig_final_mux_sel(3) <= '0';
when others =>
sig_final_mux_sel(3) <= '0';
end case;
end process MUX2_1_FINAL_B3_CNTL;
I_MUX2_1_FINAL_B3 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(3) ,
I0 => sig_pass_mux_bus(3) ,
I1 => sig_delay_data_reg(3),
Y => sig_final_mux_bus(3)
);
-- Final Mux Byte 4 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B4_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 4 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B4_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(4) <= '0';
when "001" =>
sig_final_mux_sel(4) <= '1';
when "010" =>
sig_final_mux_sel(4) <= '1';
when "011" =>
sig_final_mux_sel(4) <= '1';
when "100" =>
sig_final_mux_sel(4) <= '0';
when "101" =>
sig_final_mux_sel(4) <= '0';
when "110" =>
sig_final_mux_sel(4) <= '0';
when "111" =>
sig_final_mux_sel(4) <= '0';
when others =>
sig_final_mux_sel(4) <= '0';
end case;
end process MUX2_1_FINAL_B4_CNTL;
I_MUX2_1_FINAL_B4 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(4) ,
I0 => sig_pass_mux_bus(4) ,
I1 => sig_delay_data_reg(4),
Y => sig_final_mux_bus(4)
);
-- Final Mux Byte 5 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B5_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 5 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B5_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(5) <= '0';
when "001" =>
sig_final_mux_sel(5) <= '1';
when "010" =>
sig_final_mux_sel(5) <= '1';
when "011" =>
sig_final_mux_sel(5) <= '0';
when "100" =>
sig_final_mux_sel(5) <= '0';
when "101" =>
sig_final_mux_sel(5) <= '0';
when "110" =>
sig_final_mux_sel(5) <= '0';
when "111" =>
sig_final_mux_sel(5) <= '0';
when others =>
sig_final_mux_sel(5) <= '0';
end case;
end process MUX2_1_FINAL_B5_CNTL;
I_MUX2_1_FINAL_B5 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(5) ,
I0 => sig_pass_mux_bus(5) ,
I1 => sig_delay_data_reg(5),
Y => sig_final_mux_bus(5)
);
-- Final Mux Byte 6 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B6_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 6 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B6_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(6) <= '0';
when "001" =>
sig_final_mux_sel(6) <= '1';
when "010" =>
sig_final_mux_sel(6) <= '0';
when "011" =>
sig_final_mux_sel(6) <= '0';
when "100" =>
sig_final_mux_sel(6) <= '0';
when "101" =>
sig_final_mux_sel(6) <= '0';
when "110" =>
sig_final_mux_sel(6) <= '0';
when "111" =>
sig_final_mux_sel(6) <= '0';
when others =>
sig_final_mux_sel(6) <= '0';
end case;
end process MUX2_1_FINAL_B6_CNTL;
I_MUX2_1_FINAL_B6 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(6) ,
I0 => sig_pass_mux_bus(6) ,
I1 => sig_delay_data_reg(6),
Y => sig_final_mux_bus(6)
);
-- Final Mux Byte 7 (wire)
sig_final_mux_sel(7) <= '0';
sig_final_mux_bus(7) <= sig_pass_mux_bus(7);
-- End Final Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
end generate GEN_MUXFARM_64;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_MUXFARM_32
--
-- If Generate Description:
-- Support Logic and Mux Farm for 32-bit data path case
--
--
------------------------------------------------------------
GEN_MUXFARM_32 : if (C_DWIDTH = 32) generate
Signal s_case_i_32 : Integer range 0 to 3 := 0;
signal sig_cntl_state_32 : std_logic_vector(3 downto 0) := (others => '0');
Signal sig_shift_case_i : std_logic_vector(1 downto 0) := (others => '0');
Signal sig_shift_case_reg : std_logic_vector(1 downto 0) := (others => '0');
Signal sig_final_mux_sel : std_logic_vector(3 downto 0) := (others => '0');
begin
-------------------------------------------------------------
-- Combinational Process
--
-- Label: FIND_MS_STRB_SET_4
--
-- Process Description:
-- This process finds the most significant asserted strobe
-- position. This position is used to enable the input flop
-- for TLAST that is associated with that byte position. The
-- TLAST can then flow through the DRE pipe with the last
-- valid byte of data.
--
-------------------------------------------------------------
FIND_MS_STRB_SET_4 : process (dre_in_tlast,
dre_in_tstrb,
sig_tlast_strobes)
begin
sig_tlast_strobes <= dre_in_tstrb(3 downto 0); -- makes case choice locally static
if (dre_in_tlast = '0') then
sig_tlast_enables <= "0000";
elsif (sig_tlast_strobes(3) = '1') then
sig_tlast_enables <= "1000";
elsif (sig_tlast_strobes(2) = '1') then
sig_tlast_enables <= "0100";
elsif (sig_tlast_strobes(1) = '1') then
sig_tlast_enables <= "0010";
else
sig_tlast_enables <= "0001";
end if;
end process FIND_MS_STRB_SET_4;
---------------------------------------------------------------------------------
-- Shift Case logic
-- The new auto-destination alignment is based on the last
-- strobe alignment written into the output register.
sig_next_auto_dest <= sig_current_dest_align;
-- Select the destination alignment to use
sig_dest_align_i <= sig_next_auto_dest
When (dre_use_autodest = '1')
Else dre_dest_align;
-- Convert shift case to sld_logic_vector
--sig_shift_case_i <= CONV_STD_LOGIC_VECTOR(s_case_i_32, 2);
sig_shift_case_i <= STD_LOGIC_VECTOR(TO_UNSIGNED(s_case_i_32, 2));
-------------------------------------------------------------
-- Combinational Process
--
-- Label: DO_SHIFT_CASE_32
--
-- Process Description:
-- Implements the DRE Control State Calculator
--
-------------------------------------------------------------
DO_SHIFT_CASE_32 : process (dre_src_align ,
sig_dest_align_i,
sig_cntl_state_32)
begin
sig_cntl_state_32 <= dre_src_align(1 downto 0) & sig_dest_align_i(1 downto 0);
case sig_cntl_state_32 is
when "0000" =>
s_case_i_32 <= 0;
when "0001" =>
s_case_i_32 <= 3;
when "0010" =>
s_case_i_32 <= 2;
when "0011" =>
s_case_i_32 <= 1;
when "0100" =>
s_case_i_32 <= 1;
when "0101" =>
s_case_i_32 <= 0;
when "0110" =>
s_case_i_32 <= 3;
when "0111" =>
s_case_i_32 <= 2;
when "1000" =>
s_case_i_32 <= 2;
when "1001" =>
s_case_i_32 <= 1;
when "1010" =>
s_case_i_32 <= 0;
when "1011" =>
s_case_i_32 <= 3;
when "1100" =>
s_case_i_32 <= 3;
when "1101" =>
s_case_i_32 <= 2;
when "1110" =>
s_case_i_32 <= 1;
when "1111" =>
s_case_i_32 <= 0;
when others =>
NULL;
end case;
end process DO_SHIFT_CASE_32;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_SHIFT_CASE
--
-- Process Description:
-- This process registers the Shift Case output from the
-- Shift Case Generator. This will be used to control the
-- select inputs of the Shift Muxes for the duration of the
-- data transfer session. If Pass Through is requested, then
-- Shift Case 0 is forced regardless of source and destination
-- alignment values.
--
-------------------------------------------------------------
REG_SHIFT_CASE : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1') then
sig_shift_case_reg <= (others => '0');
elsif (sig_cntl_accept = '1') then
sig_shift_case_reg <= sig_shift_case_i;
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process REG_SHIFT_CASE;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start PASS Mux Farm Design-------------------------------------------------
-- Pass Mux Byte 0 (wire)
-- This is a wire so.....
sig_pass_mux_bus(0) <= sig_input_data_reg(0);
-- Pass Mux Byte 1 (2-1 x8 Mux)
I_MUX2_1_PASS_B1 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(0),
I0 => sig_input_data_reg(1),
I1 => sig_input_data_reg(0),
Y => sig_pass_mux_bus(1)
);
-- Pass Mux Byte 2 (4-1 x8 Mux)
I_MUX4_1_PASS_B2 : entity axi_datamover_v5_1.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => sig_input_data_reg(2) ,
I1 => ZEROED_SLICE ,
I2 => sig_input_data_reg(0) ,
I3 => sig_input_data_reg(1) ,
Y => sig_pass_mux_bus(2)
);
-- Pass Mux Byte 3 (4-1 x8 Mux)
I_MUX4_1_PASS_B3 : entity axi_datamover_v5_1.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => sig_input_data_reg(3) ,
I1 => sig_input_data_reg(0) ,
I2 => sig_input_data_reg(1) ,
I3 => sig_input_data_reg(2) ,
Y => sig_pass_mux_bus(3)
);
-- End PASS Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start Delay Mux Farm Design-------------------------------------------------
-- Delay Mux Byte 0 (4-1 x8 Mux)
I_MUX4_1_DLY_B4 : entity axi_datamover_v5_1.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => ZEROED_SLICE ,
I1 => sig_input_data_reg(1) ,
I2 => sig_input_data_reg(2) ,
I3 => sig_input_data_reg(3) ,
Y => sig_delay_mux_bus(0)
);
-- Delay Mux Byte 1 (2-1 x8 Mux)
I_MUX2_1_DLY_B5 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(0),
I0 => sig_input_data_reg(3),
I1 => sig_input_data_reg(2),
Y => sig_delay_mux_bus(1)
);
-- Delay Mux Byte 2 (Wire)
sig_delay_mux_bus(2) <= sig_input_data_reg(3);
-- Delay Mux Byte 3 (Zeroed)
sig_delay_mux_bus(3) <= ZEROED_SLICE;
-- End Delay Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start Final Mux Farm Design-------------------------------------------------
-- Final Mux Slice 0 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B0_CNTL
--
-- Process Description:
-- This process generates the Select Control for Slice 0 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B0_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "00" =>
sig_final_mux_sel(0) <= '0';
when "01" =>
sig_final_mux_sel(0) <= '1';
when "10" =>
sig_final_mux_sel(0) <= '1';
when "11" =>
sig_final_mux_sel(0) <= '1';
when others =>
sig_final_mux_sel(0) <= '0';
end case;
end process MUX2_1_FINAL_B0_CNTL;
I_MUX2_1_FINAL_B0 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(0) ,
I0 => sig_pass_mux_bus(0) ,
I1 => sig_delay_data_reg(0),
Y => sig_final_mux_bus(0)
);
-- Final Mux Slice 1 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B1_CNTL
--
-- Process Description:
-- This process generates the Select Control for slice 1 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B1_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "00" =>
sig_final_mux_sel(1) <= '0';
when "01" =>
sig_final_mux_sel(1) <= '1';
when "10" =>
sig_final_mux_sel(1) <= '1';
when "11" =>
sig_final_mux_sel(1) <= '0';
when others =>
sig_final_mux_sel(1) <= '0';
end case;
end process MUX2_1_FINAL_B1_CNTL;
I_MUX2_1_FINAL_B1 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(1) ,
I0 => sig_pass_mux_bus(1) ,
I1 => sig_delay_data_reg(1),
Y => sig_final_mux_bus(1)
);
-- Final Mux Slice 2 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B2_CNTL
--
-- Process Description:
-- This process generates the Select Control for Slice 2 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B2_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "00" =>
sig_final_mux_sel(2) <= '0';
when "01" =>
sig_final_mux_sel(2) <= '1';
when "10" =>
sig_final_mux_sel(2) <= '0';
when "11" =>
sig_final_mux_sel(2) <= '0';
when others =>
sig_final_mux_sel(2) <= '0';
end case;
end process MUX2_1_FINAL_B2_CNTL;
I_MUX2_1_FINAL_B2 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(2) ,
I0 => sig_pass_mux_bus(2) ,
I1 => sig_delay_data_reg(2),
Y => sig_final_mux_bus(2)
);
-- Final Mux Slice 3 (wire)
sig_final_mux_sel(3) <= '0';
sig_final_mux_bus(3) <= sig_pass_mux_bus(3);
-- End Final Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
end generate GEN_MUXFARM_32;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_MUXFARM_16
--
-- If Generate Description:
-- Support Logic and Mux Farm for 16-bit data path case
--
--
------------------------------------------------------------
GEN_MUXFARM_16 : if (C_DWIDTH = 16) generate
Signal s_case_i_16 : Integer range 0 to 1 := 0;
signal sig_cntl_state_16 : std_logic_vector(1 downto 0) := (others => '0');
Signal sig_shift_case_i : std_logic := '0';
Signal sig_shift_case_reg : std_logic := '0';
Signal sig_final_mux_sel : std_logic_vector(1 downto 0) := (others => '0');
begin
-------------------------------------------------------------
-- Combinational Process
--
-- Label: FIND_MS_STRB_SET_2
--
-- Process Description:
-- This process finds the most significant asserted strobe
-- position. This position is used to enable the input flop
-- for TLAST that is associated with that byte position. The
-- TLAST can then flow through the DRE pipe with the last
-- valid byte of data.
--
-------------------------------------------------------------
FIND_MS_STRB_SET_2 : process (dre_in_tlast,
dre_in_tstrb,
sig_tlast_strobes)
begin
sig_tlast_strobes <= dre_in_tstrb(1 downto 0); -- makes case choice locally static
if (dre_in_tlast = '0') then
sig_tlast_enables <= "00";
elsif (sig_tlast_strobes(1) = '1') then
sig_tlast_enables <= "10";
else
sig_tlast_enables <= "01";
end if;
end process FIND_MS_STRB_SET_2;
---------------------------------------------------------------------------------
-- Shift Case logic
-- The new auto-destination alignment is based on the last
-- strobe alignment written into the output register.
sig_next_auto_dest <= sig_current_dest_align;
-- Select the destination alignment to use
sig_dest_align_i <= sig_next_auto_dest
When (dre_use_autodest = '1')
Else dre_dest_align;
-- Convert shift case to std_logic
sig_shift_case_i <= '1'
When s_case_i_16 = 1
Else '0';
-------------------------------------------------------------
-- Combinational Process
--
-- Label: DO_SHIFT_CASE_16
--
-- Process Description:
-- Implements the DRE Control State Calculator
--
-------------------------------------------------------------
DO_SHIFT_CASE_16 : process (dre_src_align ,
sig_dest_align_i,
sig_cntl_state_16)
begin
sig_cntl_state_16 <= dre_src_align(0) & sig_dest_align_i(0);
case sig_cntl_state_16 is
when "00" =>
s_case_i_16 <= 0;
when "01" =>
s_case_i_16 <= 1;
when "10" =>
s_case_i_16 <= 1;
when "11" =>
s_case_i_16 <= 0;
when others =>
NULL;
end case;
end process DO_SHIFT_CASE_16;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_SHIFT_CASE
--
-- Process Description:
-- This process registers the Shift Case output from the
-- Shift Case Generator. This will be used to control the
-- select inputs of the Shift Muxes for the duration of the
-- data transfer session. If Pass Through is requested, then
-- Shift Case 0 is forced regardless of source and destination
-- alignment values.
--
-------------------------------------------------------------
REG_SHIFT_CASE : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1') then
sig_shift_case_reg <= '0';
elsif (sig_cntl_accept = '1') then
sig_shift_case_reg <= sig_shift_case_i;
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process REG_SHIFT_CASE;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start PASS Mux Farm Design-------------------------------------------------
-- Pass Mux Byte 0 (wire)
-- This is a wire so.....
sig_pass_mux_bus(0) <= sig_input_data_reg(0);
-- Pass Mux Byte 1 (2-1 x8 Mux)
I_MUX2_1_PASS_B1 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg,
I0 => sig_input_data_reg(1),
I1 => sig_input_data_reg(0),
Y => sig_pass_mux_bus(1)
);
-- End PASS Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start Delay Mux Farm Design-------------------------------------------------
-- Delay Mux Slice 0 (Wire)
sig_delay_mux_bus(0) <= sig_input_data_reg(1);
-- Delay Mux Slice 1 (Zeroed)
sig_delay_mux_bus(1) <= ZEROED_SLICE;
-- End Delay Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start Final Mux Farm Design-------------------------------------------------
-- Final Mux Slice 0 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B0_CNTL
--
-- Process Description:
-- This process generates the Select Control for Slice 0 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B0_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when '0' =>
sig_final_mux_sel(0) <= '0';
when others =>
sig_final_mux_sel(0) <= '1';
end case;
end process MUX2_1_FINAL_B0_CNTL;
I_MUX2_1_FINAL_B0 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(0) ,
I0 => sig_pass_mux_bus(0) ,
I1 => sig_delay_data_reg(0),
Y => sig_final_mux_bus(0)
);
-- Final Mux Slice 1 (wire)
sig_final_mux_sel(1) <= '0';
sig_final_mux_bus(1) <= sig_pass_mux_bus(1);
-- End Final Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
end generate GEN_MUXFARM_16;
end implementation;
| bsd-2-clause | 9d0e3329381042cb3ed9e2ab855b55f5 | 0.367962 | 4.619234 | false | false | false | false |
Yarr/Yarr-fw | ip-cores/spartan6/fifo_32x512.vhd | 2 | 7,687 | --------------------------------------------------------------------------------
-- (c) Copyright 1995 - 2010 Xilinx, Inc. All rights reserved. --
-- --
-- This file contains confidential and proprietary information --
-- of Xilinx, Inc. and is protected under U.S. and --
-- international copyright and other intellectual property --
-- laws. --
-- --
-- DISCLAIMER --
-- This disclaimer is not a license and does not grant any --
-- rights to the materials distributed herewith. Except as --
-- otherwise provided in a valid license issued to you by --
-- Xilinx, and to the maximum extent permitted by applicable --
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND --
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES --
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING --
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- --
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and --
-- (2) Xilinx shall not be liable (whether in contract or tort, --
-- including negligence, or under any other theory of --
-- liability) for any loss or damage of any kind or nature --
-- related to, arising under or in connection with these --
-- materials, including for any direct, or any indirect, --
-- special, incidental, or consequential loss or damage --
-- (including loss of data, profits, goodwill, or any type of --
-- loss or damage suffered as a result of any action brought --
-- by a third party) even if such damage or loss was --
-- reasonably foreseeable or Xilinx had been advised of the --
-- possibility of the same. --
-- --
-- CRITICAL APPLICATIONS --
-- Xilinx products are not designed or intended to be fail- --
-- safe, or for use in any application requiring fail-safe --
-- performance, such as life-support or safety devices or --
-- systems, Class III medical devices, nuclear facilities, --
-- applications related to the deployment of airbags, or any --
-- other applications that could lead to death, personal --
-- injury, or severe property or environmental damage --
-- (individually and collectively, "Critical --
-- Applications"). Customer assumes the sole risk and --
-- liability of any use of Xilinx products in Critical --
-- Applications, subject only to applicable laws and --
-- regulations governing limitations on product liability. --
-- --
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS --
-- PART OF THIS FILE AT ALL TIMES. --
--------------------------------------------------------------------------------
-- Generated from component ID: xilinx.com:ip:fifo_generator:6.2
-- You must compile the wrapper file fifo_32x512.vhd when simulating
-- the core, fifo_32x512. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
Library XilinxCoreLib;
-- synthesis translate_on
ENTITY fifo_32x512 IS
port (
rst: in std_logic;
wr_clk: in std_logic;
rd_clk: in std_logic;
din: in std_logic_vector(31 downto 0);
wr_en: in std_logic;
rd_en: in std_logic;
prog_full_thresh_assert: in std_logic_vector(9 downto 0);
prog_full_thresh_negate: in std_logic_vector(9 downto 0);
dout: out std_logic_vector(31 downto 0);
full: out std_logic;
empty: out std_logic;
valid: out std_logic;
prog_full: out std_logic);
END fifo_32x512;
ARCHITECTURE fifo_32x512_a OF fifo_32x512 IS
-- synthesis translate_off
component wrapped_fifo_32x512
port (
rst: in std_logic;
wr_clk: in std_logic;
rd_clk: in std_logic;
din: in std_logic_vector(31 downto 0);
wr_en: in std_logic;
rd_en: in std_logic;
prog_full_thresh_assert: in std_logic_vector(9 downto 0);
prog_full_thresh_negate: in std_logic_vector(9 downto 0);
dout: out std_logic_vector(31 downto 0);
full: out std_logic;
empty: out std_logic;
valid: out std_logic;
prog_full: out std_logic);
end component;
-- Configuration specification
for all : wrapped_fifo_32x512 use entity XilinxCoreLib.fifo_generator_v6_2(behavioral)
generic map(
c_has_int_clk => 0,
c_wr_response_latency => 1,
c_rd_freq => 1,
c_has_srst => 0,
c_enable_rst_sync => 1,
c_has_rd_data_count => 0,
c_din_width => 32,
c_has_wr_data_count => 0,
c_full_flags_rst_val => 1,
c_implementation_type => 2,
c_family => "spartan6",
c_use_embedded_reg => 0,
c_has_wr_rst => 0,
c_wr_freq => 1,
c_use_dout_rst => 1,
c_underflow_low => 0,
c_has_meminit_file => 0,
c_has_overflow => 0,
c_preload_latency => 1,
c_dout_width => 32,
c_msgon_val => 1,
c_rd_depth => 1024,
c_default_value => "BlankString",
c_mif_file_name => "BlankString",
c_error_injection_type => 0,
c_has_underflow => 0,
c_has_rd_rst => 0,
c_has_almost_full => 0,
c_has_rst => 1,
c_data_count_width => 10,
c_has_wr_ack => 0,
c_use_ecc => 0,
c_wr_ack_low => 0,
c_common_clock => 0,
c_rd_pntr_width => 10,
c_use_fwft_data_count => 0,
c_has_almost_empty => 0,
c_rd_data_count_width => 10,
c_enable_rlocs => 0,
c_wr_pntr_width => 10,
c_overflow_low => 0,
c_prog_empty_type => 0,
c_optimization_mode => 0,
c_wr_data_count_width => 10,
c_preload_regs => 0,
c_dout_rst_val => "0",
c_has_data_count => 0,
c_prog_full_thresh_negate_val => 1020,
c_wr_depth => 1024,
c_prog_empty_thresh_negate_val => 3,
c_prog_empty_thresh_assert_val => 2,
c_has_valid => 1,
c_init_wr_pntr_val => 0,
c_prog_full_thresh_assert_val => 1021,
c_use_fifo16_flags => 0,
c_has_backup => 0,
c_valid_low => 0,
c_prim_fifo_type => "1kx36",
c_count_type => 0,
c_prog_full_type => 4,
c_memory_type => 1);
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_fifo_32x512
port map (
rst => rst,
wr_clk => wr_clk,
rd_clk => rd_clk,
din => din,
wr_en => wr_en,
rd_en => rd_en,
prog_full_thresh_assert => prog_full_thresh_assert,
prog_full_thresh_negate => prog_full_thresh_negate,
dout => dout,
full => full,
empty => empty,
valid => valid,
prog_full => prog_full);
-- synthesis translate_on
END fifo_32x512_a;
| gpl-3.0 | 2b200318440d0d8f405919c23ec9b0b0 | 0.546767 | 3.695673 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/element_association/rule_100_test_input.vhd | 1 | 343 |
architecture rtl of fifo is
begin
a <= (others => (others => '0'));
process begin
a <= (others => (others => '0'));
end process;
end architecture;
architecture rtl of fifo is
begin
a <= (others=> (others => '0'));
process begin
a <= (others => (others=> '0'));
end process;
end architecture;
| gpl-3.0 | a3f55692d38f8cf2d7bfadcee511fed6 | 0.542274 | 3.648936 | false | false | false | false |
okaxaki/vm2413 | Controller.vhd | 2 | 14,628 | --
-- Controller.vhd
-- The core controller module of VM2413
--
-- [Description]
--
-- The Controller is the beginning module of the OPLL slot calculation.
-- It manages register accesses from I/O and sends proper voice parameters
-- to the succeding PhaseGenerator and EnvelopeGenerator modules.
-- The one cycle of the Controller consists of 4 stages as follows.
--
-- 1st stage:
-- * Prepare to read the register value for the current slot from RegisterMemory.
-- * Prepare to read the voice parameter for the current slot from VoiceMemory.
-- * Prepare to read the user-voice data from VoiceMemory.
--
-- 2nd stage:
-- * Wait for RegisterMemory and VoiceMemory
--
-- 3rd clock stage:
-- * Update register value if wr='1' and addr points the current OPLL channel.
-- * Update voice parameter if wr='1' and addr points the voice parameter area.
-- * Write register value to RegisterMemory.
-- * Write voice parameter to VoiceMemory.
--
-- 4th stage:
-- * Send voice and register parameters to PhaseGenerator and EnvelopeGenerator.
-- * Increment the number of the current slot.
--
-- Each stage is completed in one clock. Thus the Controller traverses all 18 opll
-- slots in 72 clocks.
--
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use WORK.VM2413.ALL;
entity Controller is port (
clk : in std_logic;
reset : in std_logic;
clkena : in std_logic;
slot : in SLOT_TYPE;
stage : in STAGE_TYPE;
wr : in std_logic;
addr : in std_logic_vector(7 downto 0);
data : in std_logic_vector(7 downto 0);
-- Output Parameters for PhaseGenerator and EnvelopeGenerator
am : out AM_TYPE;
pm : out PM_TYPE;
wf : out WF_TYPE;
ml : out ML_TYPE;
tl : out DB_TYPE;
fb : out FB_TYPE;
ar : out AR_TYPE;
dr : out DR_TYPE;
sl : out SL_TYPE;
rr : out RR_TYPE;
blk : out BLK_TYPE;
fnum : out FNUM_TYPE;
rks : out RKS_TYPE;
key : out std_logic;
rhythm : out std_logic
-- slot_out : out SLOT_ID
);
end Controller;
architecture RTL of Controller is
-- The array which caches instrument number of each channel.
type INST_ARRAY is array (CH_TYPE'range) of integer range 0 to 15;
signal inst_cache : INST_ARRAY;
type KL_ARRAY is array (0 to 15) of std_logic_vector(5 downto 0);
constant kl_table : KL_ARRAY :=
( "000000", "011000", "100000", "100101",
"101000", "101011", "101101", "101111",
"110000", "110010", "110011", "110100",
"110101", "110110", "110111", "111000" ); -- 0.75dB/Step, 6dB/OCT
component RegisterMemory port (
clk : in std_logic;
reset : in std_logic;
addr : in CH_TYPE;
wr : in std_logic;
idata : in REGS_TYPE;
odata : out REGS_TYPE );
end component;
component VoiceMemory port (
clk : in std_logic;
reset : in std_logic;
idata : in VOICE_TYPE;
wr : in std_logic;
rwaddr : in VOICE_ID_TYPE;
roaddr : in VOICE_ID_TYPE;
odata : out VOICE_TYPE;
rodata : out VOICE_TYPE );
end component;
-- Signals for the READ-ONLY access ports of VoiceMemory module.
signal slot_voice_addr : VOICE_ID_TYPE;
signal slot_voice_data : VOICE_TYPE;
-- Signals for the READ-WRITE access ports of VoiceMemory module.
signal user_voice_wr : std_logic;
signal user_voice_addr : VOICE_ID_TYPE;
signal user_voice_rdata : VOICE_TYPE;
signal user_voice_wdata : VOICE_TYPE;
signal extra_mode : std_logic;
-- Signals for the RegisterMemory module.
signal regs_wr : std_logic;
signal regs_addr : CH_TYPE;
signal regs_rdata : REGS_TYPE;
signal regs_wdata : REGS_TYPE;
begin -- RTL
RMEM : RegisterMemory port map (
clk, reset, regs_addr, regs_wr, regs_wdata, regs_rdata
);
VMEM : VoiceMemory port map (
clk, reset, user_voice_wdata, user_voice_wr, user_voice_addr, slot_voice_addr,
user_voice_rdata, slot_voice_data );
process (clk, reset)
variable rflag : std_logic_vector(7 downto 0);
variable kflag : std_logic;
variable tll : std_logic_vector(DB_TYPE'high+1 downto 0);
variable kll : std_logic_vector(DB_TYPE'high+1 downto 0);
variable regs_tmp : REGS_TYPE;
variable user_voice_tmp : VOICE_TYPE;
variable fb_buf : FB_TYPE;
variable wf_buf : WF_TYPE;
variable extra_mode : std_logic;
variable vindex : VOICE_ID_TYPE;
begin -- process
if(reset = '1') then
key <= '0';
rhythm <= '0';
tll := (others=>'0');
kll := (others=>'0');
kflag := '0';
rflag := (others=>'0');
user_voice_wr <= '0';
user_voice_addr <= 0;
slot_voice_addr <= 0;
regs_addr <= 0;
regs_wr <='0';
ar <= (others=>'0');
dr <= (others=>'0');
sl <= (others=>'0');
rr <= (others=>'0');
tl <= (others=>'0');
fb <= (others=>'0');
wf <= '0';
ml <= (others=>'0');
fnum <= (others=>'0');
blk <= (others=>'0');
key <= '0';
rks <= (others=>'0');
rhythm <= '0';
extra_mode := '0';
vindex := 0;
elsif clk'event and clk='1' then if clkena='1' then
case stage is
--------------------------------------------------------------------------
-- 1st stage (setting up a read request for Register and Voice memories.)
--------------------------------------------------------------------------
when 0 =>
regs_addr <= slot/2;
if rflag(5) = '1' and 12 <= slot then
slot_voice_addr <= slot - 12 + 32;
else
slot_voice_addr <= inst_cache(slot/2) * 2 + slot mod 2;
end if;
if extra_mode = '0' then
-- Alternately read modulator or carrior.
vindex := slot mod 2;
else
if vindex = VOICE_ID_TYPE'high then
vindex:= 0;
else
vindex:= vindex + 1;
end if;
end if;
user_voice_addr <= vindex;
regs_wr <= '0';
user_voice_wr <='0';
--------------------------------------------------------------------------
-- 2nd stage (just a wait for Register and Voice memories.)
--------------------------------------------------------------------------
when 1 =>
null;
--------------------------------------------------------------------------
-- 3rd stage (updating a register and voice parameters.)
--------------------------------------------------------------------------
when 2 =>
if wr='1' then
if ( extra_mode = '0' and CONV_INTEGER(addr) < 8 ) or
( extra_mode = '1' and ( CONV_INTEGER(addr) - 64 ) / 8 = vindex / 2 ) then
-- Update user voice parameter.
user_voice_tmp := user_voice_rdata;
case addr(2 downto 1) is
when "00" =>
if CONV_INTEGER(addr(0 downto 0)) = (vindex mod 2) then
user_voice_tmp.AM := data(7);
user_voice_tmp.PM := data(6);
user_voice_tmp.EG := data(5);
user_voice_tmp.KR := data(4);
user_voice_tmp.ML := data(3 downto 0);
user_voice_wr <= '1';
end if;
when "01" =>
if addr(0)='0' and (vindex mod 2 = 0) then
user_voice_tmp.KL := data(7 downto 6);
user_voice_tmp.TL := data(5 downto 0);
user_voice_wr <= '1';
elsif addr(0)='1' and (vindex mod 2 = 0) then
user_voice_tmp.WF := data(3);
user_voice_tmp.FB := data(2 downto 0);
user_voice_wr <= '1';
elsif addr(0)='1' and (vindex mod 2 = 1) then
user_voice_tmp.KL := data(7 downto 6);
user_voice_tmp.WF := data(4);
user_voice_wr <= '1';
end if;
when "10" =>
if CONV_INTEGER(addr(0 downto 0)) = (vindex mod 2) then
user_voice_tmp.AR := data(7 downto 4);
user_voice_tmp.DR := data(3 downto 0);
user_voice_wr <= '1';
end if;
when "11" =>
if CONV_INTEGER(addr(0 downto 0)) = (vindex mod 2) then
user_voice_tmp.SL := data(7 downto 4);
user_voice_tmp.RR := data(3 downto 0);
user_voice_wr <= '1';
end if;
end case;
user_voice_wdata <= user_voice_tmp;
elsif CONV_INTEGER(addr) = 14 then
rflag := data;
elsif CONV_INTEGER(addr) < 16 then
null;
elsif CONV_INTEGER(addr) <= 56 then
if( CONV_INTEGER(addr(3 downto 0) ) = slot / 2 ) then
regs_tmp := regs_rdata;
case addr(5 downto 4) is
when "01" => -- register 0x10 to 0x18 (Lower 8bits of f-number)
regs_tmp.FNUM(7 downto 0) := data;
regs_wr <= '1';
when "10" => -- register 0x20 to 0x28 (Sustine, key and MSB of f-number)
regs_tmp.SUS := data(5);
regs_tmp.KEY := data(4);
regs_tmp.BLK := data(3 downto 1);
regs_tmp.FNUM(8) := data(0);
regs_wr <= '1';
when "11" => -- register 0x30 to 0x38 (Instrument and volume)
regs_tmp.INST := data(7 downto 4);
regs_tmp.VOL := data(3 downto 0);
regs_wr <='1';
when others =>
null;
end case;
regs_wdata <= regs_tmp;
end if;
elsif CONV_INTEGER(addr) = 240 then
if data(7 downto 0) = "10000000" then
extra_mode := '1';
else
extra_mode := '0';
end if;
end if;
end if;
--------------------------------------------------------------------------
-- 4th stage (updating a register and voice parameters.)
--------------------------------------------------------------------------
when 3 =>
-- Output slot number (for explicit synchonization with other units).
-- slot_out <= slot;
-- Updating Insturument Cache
inst_cache(slot/2) <= CONV_INTEGER(regs_rdata.INST);
rhythm <= rflag(5);
-- Updating rhythm status and key flag
if rflag(5) = '1' and 12 <= slot then
case slot is
when 12 | 13 => -- BD
kflag := rflag(4);
when 14 => -- HH
kflag := rflag(0);
when 15 => -- SD
kflag := rflag(3);
when 16 => -- TOM
kflag := rflag(2);
when 17 => -- CYM
kflag := rflag(1);
when others => null;
end case;
else
kflag := '0';
end if;
kflag := kflag or regs_rdata.KEY;
-- Calculate key-scale attenuation amount.
kll := (("0"&kl_table(CONV_INTEGER(regs_rdata.FNUM(8 downto 5))))
- ("0"&("111"-regs_rdata.BLK)&"000")) & '0';
if kll(kll'high) ='1' or slot_voice_data.KL = "00" then
kll := (others=>'0');
else
kll := SHR(kll, "11" - slot_voice_data.KL );
end if;
-- Calculate base total level from volume register value.
if rflag(5) = '1' and (slot = 14 or slot = 16) then -- HH and CYM
tll := ('0' & regs_rdata.INST & "000");
elsif (slot mod 2) = 0 then
tll := ('0' & slot_voice_data.TL & '0'); -- MOD
else
tll := ('0' & regs_rdata.VOL & "000"); -- CAR
end if;
tll := tll + kll;
if tll(tll'high) ='1' then
tl <= (others=>'1');
else
tl <= tll(tl'range);
end if;
-- Output Rks, f-number, block and key-status.
fnum <= regs_rdata.FNUM;
blk <= regs_rdata.BLK;
key <= kflag;
if rflag(5) = '1' and 14 <= slot then
if slot_voice_data.KR = '1' then
rks <= "0101";
else
rks <= "00" & regs_rdata.BLK(2 downto 1);
end if;
else
if slot_voice_data.KR = '1' then
rks <= regs_rdata.BLK & regs_rdata.FNUM(8);
else
rks <= "00" & regs_rdata.BLK(2 downto 1);
end if;
end if;
-- Output voice parameters
-- Note that WF and FB output MUST keep its value
-- at least 3 clocks since the Operator module will fetch
-- the WF and FB 2 clocks later of this stage.
am <= slot_voice_data.AM;
pm <= slot_voice_data.PM;
ml <= slot_voice_data.ML;
wf_buf := slot_voice_data.WF;
fb_buf := slot_voice_data.FB;
wf <= wf_buf;
fb <= fb_buf;
ar <= slot_voice_data.AR;
dr <= slot_voice_data.DR;
sl <= slot_voice_data.SL;
-- Output release rate (depends on the sustine and envelope type).
if( kflag = '1' ) then -- Key on
if slot_voice_data.EG = '1' then
rr <= "0000";
else
rr <= slot_voice_data.RR;
end if;
else -- Key off
if (slot mod 2) = 0 and not ( rflag(5) = '1' and (7 <= slot/2) ) then
rr <= "0000";
elsif regs_rdata.SUS = '1' then
rr <= "0101";
elsif slot_voice_data.EG = '0' then
rr <= "0111";
else
rr <= slot_voice_data.RR;
end if;
end if;
end case;
end if; end if;
end process;
end RTL;
| mit | b0b2d377469e5a6978e721742529fa6e | 0.459871 | 3.972841 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/styles/indent_only/graphicsaccelerator/Bresenhamer.vhd | 1 | 7,160 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.numeric_std.all;
use IEEE.std_logic_unsigned.all;
entity Bresenhamer is
Port ( WriteEnable : out STD_LOGIC;
X : out STD_LOGIC_VECTOR (9 downto 0);
Y : out STD_LOGIC_VECTOR (8 downto 0);
X1 : in STD_LOGIC_VECTOR (9 downto 0);
Y1 : in STD_LOGIC_VECTOR (8 downto 0);
X2 : in STD_LOGIC_VECTOR (9 downto 0);
Y2 : in STD_LOGIC_VECTOR (8 downto 0);
SS : out STD_LOGIC_VECTOR (3 downto 0);
Clk : in STD_LOGIC;
StartDraw : in STD_LOGIC;
dbg : out STD_LOGIC_VECTOR (11 downto 0);
Reset : in STD_LOGIC);
end Bresenhamer;
architecture Behavioral of Bresenhamer is
signal myX1,myX2 : STD_LOGIC_VECTOR (11 downto 0);
signal myY1,myY2 : STD_LOGIC_VECTOR (11 downto 0);
signal p,p0_1,p0_2,p0_3,p0_4,p0_5,p0_6,p0_7,p0_8 : STD_LOGIC_VECTOR (11 downto 0);
signal p_1,p_2,p_3,p_4,p_5,p_6,p_7,p_8 : STD_LOGIC_VECTOR (11 downto 0);
signal ndx,ndy : STD_LOGIC_VECTOR (11 downto 0);
signal dx,dy,t_2dx,t_2dy,neg_dx,neg_dy,t_2neg_dx,t_2neg_dy : STD_LOGIC_VECTOR (11 downto 0);
signal dx_minus_dy : STD_LOGIC_VECTOR (11 downto 0);
signal minus_dx_minus_dy : STD_LOGIC_VECTOR (11 downto 0);
signal minus_dx_plus_dy : STD_LOGIC_VECTOR (11 downto 0);
signal dx_plus_dy : STD_LOGIC_VECTOR (11 downto 0);
signal State : STD_LOGIC_VECTOR(3 downto 0) := "0000";
signal condX1X2,condY1Y2 : STD_LOGIC;
signal ccounter : STD_LOGIC_VECTOR (18 downto 0) := "0000000000000000000";
constant IDLE : STD_LOGIC_VECTOR(3 downto 0) := "0000";
constant INIT : STD_LOGIC_VECTOR(3 downto 0) := "0001";
constant CASE1 : STD_LOGIC_VECTOR(3 downto 0) := "0010";
constant CASE2 : STD_LOGIC_VECTOR(3 downto 0) := "0011";
constant CASE3 : STD_LOGIC_VECTOR(3 downto 0) := "0100";
constant CASE4 : STD_LOGIC_VECTOR(3 downto 0) := "0101";
constant CASE5 : STD_LOGIC_VECTOR(3 downto 0) := "0110";
constant CASE6 : STD_LOGIC_VECTOR(3 downto 0) := "0111";
constant CASE7 : STD_LOGIC_VECTOR(3 downto 0) := "1000";
constant CASE8 : STD_LOGIC_VECTOR(3 downto 0) := "1001";
constant CLEAR : STD_LOGIC_VECTOR(3 downto 0) := "1010";
begin
ndx <= ("00" & X2)-("00" & X1);
ndy <= ("000" & Y2)-("000" & Y1);
neg_dx <= 0-dx;
neg_dy <= 0-dy;
dbg <= p;
dx_minus_dy <= dx+neg_dy;
minus_dx_minus_dy <= neg_dx+neg_dy;
minus_dx_plus_dy <= neg_dx+dy;
dx_plus_dy <= dx+dy;
t_2dy <= dy(10 downto 0) & '0';
t_2dx <= dx(10 downto 0) & '0';
t_2neg_dy <= neg_dy(10 downto 0) & '0';
t_2neg_dx <= neg_dx(10 downto 0) & '0';
p0_1 <= t_2dy+neg_dx;
p0_2 <= t_2dx+neg_dy;
p0_3 <= t_2neg_dx+dy;
p0_4 <= t_2dy+neg_dx;
p0_5 <= t_2neg_dy+dx;
p0_6 <= t_2neg_dx+dy;
p0_7 <= t_2dx+neg_dy;
p0_8 <= t_2neg_dy+dx;
p_1 <= p+t_2dy when p(11)='1' else p+t_2dy+t_2neg_dx;
p_2 <= p+t_2dx when p(11)='1' else p+t_2dx+t_2neg_dy;
p_3 <= p+t_2neg_dx when p(11)='1' else p+t_2neg_dx+t_2neg_dy;
p_4 <= p+t_2dy when p(11)='1' else p+t_2dy+t_2dx;
p_5 <= p+t_2neg_dy when p(11)='1' else p+t_2neg_dy+t_2dx;
p_6 <= p+t_2neg_dx when p(11)='1' else p+t_2neg_dx+t_2dy;
p_7 <= p+t_2dx when p(11)='1' else p+t_2dx+t_2dy;
p_8 <= p+t_2neg_dy when p(11)='1' else p+t_2neg_dy+t_2neg_dx;
X <= ccounter(9 downto 0) when State = CLEAR else myX1(9 downto 0);
Y <= ccounter(18 downto 10) when State = CLEAR else myY1(8 downto 0);
SS <= State;
WriteEnable <= '0' when State = IDLE or State = INIT else '1';
process (Clk) begin
if (rising_edge(Clk)) then
if (State = IDLE) then
if (Reset = '1') then
State <= CLEAR;
ccounter <= (others=>'0');
elsif (StartDraw = '1') then
myX1(9 downto 0) <= X1;
myX1(11 downto 10) <= "00";
myY1(8 downto 0) <= Y1;
myY1(11 downto 9) <= "000";
myX2(9 downto 0) <= X2;
myX2(11 downto 10) <= "00";
myY2(8 downto 0) <= Y2;
myY2(11 downto 9) <= "000";
dx <= ndx;
dy <= ndy;
State <= INIT;
end if;
elsif (State = INIT) then
if (dx(11) = '0' and dy(11) = '0' and dx_minus_dy(11) = '0') then
State <= CASE1;
p <= p0_1;
elsif (dx(11) = '0' and dy(11) = '0' and dx_minus_dy(11) = '1') then
State <= CASE2;
p <= p0_2;
elsif (dx(11) = '1' and dy(11) = '0' and minus_dx_minus_dy(11) = '1') then
State <= CASE3;
p <= p0_3;
elsif (dx(11) = '1' and dy(11) = '0' and minus_dx_minus_dy(11) = '0') then
State <= CASE4;
p <= p0_4;
elsif (dx(11) = '1' and dy(11) = '1' and minus_dx_plus_dy(11) = '0') then
State <= CASE5;
p <= p0_5;
elsif (dx(11) = '1' and dy(11) = '1' and minus_dx_plus_dy(11) = '1') then
State <= CASE6;
p <= p0_6;
elsif (dx(11) = '0' and dy(11) = '1' and dx_plus_dy(11) = '1') then
State <= CASE7;
p <= p0_7;
else
State <= CASE8;
p <= p0_8;
end if;
elsif (State = CASE1) then
if (myX1 = myX2) then
State <= IDLE;
else
myX1 <= myX1 + 1;
p <= p_1;
if (P(11) = '0') then
myY1 <= myY1 + 1;
end if;
end if;
elsif (State = CASE2) then
if (myY1 = myY2) then
State <= IDLE;
else
myY1 <= myY1 + 1;
p <= p_2;
if (P(11) = '0') then
myX1 <= myX1 + 1;
end if;
end if;
elsif (State = CASE3) then
if (myY1 = myY2) then
State <= IDLE;
else
myY1 <= myY1 + 1;
p <= p_3;
if (P(11) = '0') then
myX1 <= myX1 - 1;
end if;
end if;
elsif (State = CASE4) then
if (myX1 = myX2) then
State <= IDLE;
else
myX1 <= myX1 - 1;
p <= p_4;
if (P(11) = '0') then
myY1 <= myY1 + 1;
end if;
end if;
elsif (State = CASE5) then
if (myX1 = myX2) then
State <= IDLE;
else
myX1 <= myX1 - 1;
p <= p_5;
if (P(11) = '0') then
myY1 <= myY1 - 1;
end if;
end if;
elsif (State = CASE6) then
if (myY1 = myY2) then
State <= IDLE;
else
myY1 <= myY1 - 1;
p <= p_6;
if (P(11) = '0') then
myX1 <= myX1 - 1;
end if;
end if;
elsif (State = CASE7) then
if (myY1 = myY2) then
State <= IDLE;
else
myY1 <= myY1 - 1;
p <= p_7;
if (P(11) = '0') then
myX1 <= myX1 + 1;
end if;
end if;
elsif (State = CASE8) then
if (myX1 = myX2) then
State <= IDLE;
else
myX1 <= myX1 + 1;
p <= p_8;
if (P(11) = '0') then
myY1 <= myY1 - 1;
end if;
end if;
elsif (State = CLEAR) then
ccounter <= ccounter + 1;
if (ccounter = "1111111111111111111") then
State <= IDLE;
end if;
end if;
end if;
end process;
end Behavioral;
| gpl-3.0 | 2b23c006fb170a176ecdd3f79c1ea981 | 0.500978 | 2.719332 | false | false | false | false |
siavooshpayandehazad/TTU_CPU_Project | pico_CPU_pipelined/InstMem.vhd | 1 | 3,654 |
library ieee;
use ieee.std_logic_1164.all;
use IEEE.Numeric_Std.all;
use work.pico_cpu.all;
entity InstMem is
generic (BitWidth: integer;
InstructionWidth: integer);
port ( address : in std_logic_vector(BitWidth-1 downto 0);
data : out std_logic_vector(InstructionWidth-1 downto 0) );
end entity InstMem;
architecture behavioral of InstMem is
type mem is array ( 0 to InstMem_depth-1) of std_logic_vector(InstructionWidth-1 downto 0);
constant my_InstMem : mem := (
0 => "10000100000000000000000000000000011000",--Load_R0_Dir R0 = 24
1 => "00111100000000000000000000000000000000",--OR_A_R0 ACC = 24
2 => "00011000000000000000000000000000000000",--IncA ACC = 25
3 => "00001100000000000000000000000000000000",--Sub_A_R0 ACC = 1
4 => "11111000000000000000000000000000000000",--NOP
5 => "01011000000000000000000000000000000111",--JmpC 7 Jump
6 => "00011000000000000000000000000000000000",--IncA should be skipped!
7 => "00110000000000000000000000000000000000",--RRC
8 => "00110100000000000000000000000000000000",--RLC ACC = 1
9 => "11111000000000000000000000000000000000",--NOP
10 => "01101100000000000000000000000000000000",--ClearC
11 => "10000000000000000000000000000000010000",--Store_A_Mem MEM[16] = 1
12 => "11110000000000000000000000000000000000",--PUSH
13 => "01111000000000000000000000000000000000",--SavePC
14 => "11110000000000000000000000000000000000",--PUSH
15 => "01001100000000000000000000000000010101",--Jmp 21
16 => "00011000000000000000000000000000000000",--IncA should be skipped!
17 => "11110100000000000000000000000000000000", --pop
18 => "00100100000000000000000000000000000000", --ShiftArithL
19 => "00011100000000000000000000000000000000",--DecA
20 => "11111100000000000000000000000000000000", --HALT
21 => "01111100000000000000000000000000010000",--Load_A_Mem
22 => "00111000000000000000000000000000000000",--AND
23 => "01010000000000000000000000000000011010",--JMPZ 26
24 => "01101100000000000000000000000000000000",--ClearZ
25 => "00000100000000000000000000000000010000",--Add_A_Mem
26 => "00010000000000000000000000000000010000",--Sub_A_Mem
27 => "00000000000000000000000000000000000000",--ADD_A_B
28 => "00010100000000000000000000000000001100",--SUB_A_DIR C
29 => "01000100000000000000000000000000000000",--FlipA
30 => "01000000000000000000000000000000000000",--XOR_A_B
31 => "01001000000000000000000000000000000000",--NegA
32 => "00100000000000000000000000000000000000",--ShiftArithR
33 => "00101100000000000000000000000000000000",--ShiftA_L
34 => "00101000000000000000000000000000000000",--ShiftA_R
35 => "10011000000000000000000000000000000001",--GPIO_DIR SET GPIO AS OUTPUT
36 => "10100000000000000000000000000000101010",--GPIO_WR SET GPIO AS OUTPUT
37 => "01110000000000000000000000000000000000",--ClearACC
38 => "10011000000000000000000000000000000000",--GPIO_DIR SET GPIO AS in
39 => "11111000000000000000000000000000000000",--NOP
40 => "10011100000000000000000000000000000000",--GPIO_RD SET GPIO AS OUTPUT
41 => "11110100000000000000000000000000000000",--POP
42 => "00001000000000000000000000000000000110",--Add_A_Dir
43 => "01110100000000000000000000000000000000",--LoadPC
others => "00000000000000000000000000000000000000"
);
begin
process(address)begin
if to_integer(unsigned(address)) <= InstMem_depth-1 then
data <= my_InstMem(to_integer(unsigned(address)));
else
data <= (others => '0');
end if;
end process;
end architecture behavioral;
| gpl-2.0 | a4d7579f2a5960654d9080631c499dd6 | 0.732074 | 4.745455 | false | false | false | false |
tdaede/daala_zynq | daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_bram_ctrl_0_0/axi_bram_ctrl_v3_0/hdl/vhdl/ua_narrow.vhd | 1 | 18,160 | -------------------------------------------------------------------------------
-- ua_narrow.vhd
-------------------------------------------------------------------------------
--
--
-- (c) Copyright [2010 - 2011] Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--
-------------------------------------------------------------------------------
-- Filename: ua_narrow.vhd
--
-- Description: Creates a narrow burst count load value when an operation
-- is an unaligned narrow WRAP or INCR burst type. Used by
-- I_NARROW_CNT module.
--
-- VHDL-Standard: VHDL'93
--
-------------------------------------------------------------------------------
-- Structure:
-- axi_bram_ctrl.vhd (v1_03_a)
-- |
-- |-- full_axi.vhd
-- | -- sng_port_arb.vhd
-- | -- lite_ecc_reg.vhd
-- | -- axi_lite_if.vhd
-- | -- wr_chnl.vhd
-- | -- wrap_brst.vhd
-- | -- ua_narrow.vhd
-- | -- checkbit_handler.vhd
-- | -- xor18.vhd
-- | -- parity.vhd
-- | -- checkbit_handler_64.vhd
-- | -- (same helper components as checkbit_handler)
-- | -- parity.vhd
-- | -- correct_one_bit.vhd
-- | -- correct_one_bit_64.vhd
-- | -- ecc_gen.vhd
-- |
-- | -- rd_chnl.vhd
-- | -- wrap_brst.vhd
-- | -- ua_narrow.vhd
-- | -- checkbit_handler.vhd
-- | -- xor18.vhd
-- | -- parity.vhd
-- | -- checkbit_handler_64.vhd
-- | -- (same helper components as checkbit_handler)
-- | -- parity.vhd
-- | -- correct_one_bit.vhd
-- | -- correct_one_bit_64.vhd
-- | -- ecc_gen.vhd
-- |
-- |-- axi_lite.vhd
-- | -- lite_ecc_reg.vhd
-- | -- axi_lite_if.vhd
-- | -- checkbit_handler.vhd
-- | -- xor18.vhd
-- | -- parity.vhd
-- | -- correct_one_bit.vhd
--
--
--
-------------------------------------------------------------------------------
--
-- History:
--
-- ^^^^^^
-- JLJ 2/2/2011 v1.03a
-- ~~~~~~
-- Migrate to v1.03a.
-- Plus minor code cleanup.
-- ^^^^^^
-- JLJ 2/4/2011 v1.03a
-- ~~~~~~
-- Edit for scalability and support of 512 and 1024-bit data widths.
-- ^^^^^^
-- JLJ 2/8/2011 v1.03a
-- ~~~~~~
-- Update bit vector usage of address LSB for calculating ua_narrow_load.
-- Add axi_bram_ctrl_funcs package inclusion.
-- ^^^^^^
-- JLJ 3/1/2011 v1.03a
-- ~~~~~~
-- Fix XST handling for DIV functions. Create seperate process when
-- divisor is not constant and a power of two.
-- ^^^^^^
-- JLJ 3/2/2011 v1.03a
-- ~~~~~~
-- Update range of integer signals.
-- ^^^^^^
-- JLJ 3/4/2011 v1.03a
-- ~~~~~~
-- Remove use of local function, Create_Size_Max.
-- ^^^^^^
-- JLJ 3/11/2011 v1.03a
-- ~~~~~~
-- Remove C_AXI_DATA_WIDTH generate statments.
-- ^^^^^^
-- JLJ 3/14/2011 v1.03a
-- ~~~~~~
-- Update ua_narrow_load signal assignment to pass simulations & XST.
-- ^^^^^^
-- JLJ 3/15/2011 v1.03a
-- ~~~~~~
-- Update multiply function on signal, ua_narrow_wrap_gt_width,
-- for timing path improvements. Replace with left shift operation.
-- ^^^^^^
-- JLJ 3/17/2011 v1.03a
-- ~~~~~~
-- Add comments as noted in Spyglass runs. And general code clean-up.
-- ^^^^^^
--
--
-------------------------------------------------------------------------------
-- Library declarations
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library work;
use work.axi_bram_ctrl_funcs.all;
------------------------------------------------------------------------------
entity ua_narrow is
generic (
C_AXI_DATA_WIDTH : integer := 32;
-- Width of AXI data bus (in bits)
C_BRAM_ADDR_ADJUST_FACTOR : integer := 32;
-- Adjust BRAM address width based on C_AXI_DATA_WIDTH
C_NARROW_BURST_CNT_LEN : integer := 4
-- Size of narrow burst counter
);
port (
curr_wrap_burst : in std_logic;
curr_incr_burst : in std_logic;
bram_addr_ld_en : in std_logic;
curr_axlen : in std_logic_vector (7 downto 0) := (others => '0');
curr_axsize : in std_logic_vector (2 downto 0) := (others => '0');
curr_axaddr_lsb : in std_logic_vector (C_BRAM_ADDR_ADJUST_FACTOR-1 downto 0) := (others => '0');
curr_ua_narrow_wrap : out std_logic;
curr_ua_narrow_incr : out std_logic;
ua_narrow_load : out std_logic_vector (C_NARROW_BURST_CNT_LEN-1 downto 0)
:= (others => '0')
);
end entity ua_narrow;
-------------------------------------------------------------------------------
architecture implementation of ua_narrow is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- All functions defined in axi_bram_ctrl_funcs package.
-------------------------------------------------------------------------------
-- Constants
-------------------------------------------------------------------------------
-- Reset active level (common through core)
constant C_RESET_ACTIVE : std_logic := '0';
-- AXI Size Constants
-- constant C_AXI_SIZE_1BYTE : std_logic_vector (2 downto 0) := "000"; -- 1 byte
-- constant C_AXI_SIZE_2BYTE : std_logic_vector (2 downto 0) := "001"; -- 2 bytes
-- constant C_AXI_SIZE_4BYTE : std_logic_vector (2 downto 0) := "010"; -- 4 bytes = max size for 32-bit BRAM
-- constant C_AXI_SIZE_8BYTE : std_logic_vector (2 downto 0) := "011"; -- 8 bytes = max size for 64-bit BRAM
-- constant C_AXI_SIZE_16BYTE : std_logic_vector (2 downto 0) := "100"; -- 16 bytes = max size for 128-bit BRAM
-- constant C_AXI_SIZE_32BYTE : std_logic_vector (2 downto 0) := "101"; -- 32 bytes = max size for 256-bit BRAM
-- constant C_AXI_SIZE_64BYTE : std_logic_vector (2 downto 0) := "110"; -- 64 bytes = max size for 512-bit BRAM
-- constant C_AXI_SIZE_128BYTE : std_logic_vector (2 downto 0) := "111"; -- 128 bytes = max size for 1024-bit BRAM
-- Determine max value of ARSIZE based on the AXI data width.
-- Use function in axi_bram_ctrl_funcs package.
constant C_AXI_SIZE_MAX : std_logic_vector (2 downto 0) := Create_Size_Max (C_AXI_DATA_WIDTH);
-- Determine the number of bytes based on the AXI data width.
constant C_AXI_DATA_WIDTH_BYTES : integer := C_AXI_DATA_WIDTH/8;
constant C_AXI_DATA_WIDTH_BYTES_LOG2 : integer := log2(C_AXI_DATA_WIDTH_BYTES);
-- Use constant to compare when LSB of ADDR is equal to zero.
constant axaddr_lsb_zero : std_logic_vector (C_BRAM_ADDR_ADJUST_FACTOR-1 downto 0) := (others => '0');
-- 8d = size of AxLEN vector
constant C_MAX_LSHIFT_SIZE : integer := C_AXI_DATA_WIDTH_BYTES_LOG2 + 8;
-- Convert # of data bytes for AXI data bus into an unsigned vector (C_MAX_LSHIFT_SIZE:0).
constant C_AXI_DATA_WIDTH_BYTES_UNSIGNED : unsigned (C_MAX_LSHIFT_SIZE downto 0) :=
to_unsigned (C_AXI_DATA_WIDTH_BYTES, C_MAX_LSHIFT_SIZE+1);
-------------------------------------------------------------------------------
-- Signals
-------------------------------------------------------------------------------
signal ua_narrow_wrap_gt_width : std_logic := '0';
signal curr_axsize_unsigned : unsigned (2 downto 0) := (others => '0');
signal curr_axsize_int : integer := 0;
signal curr_axlen_unsigned : unsigned (7 downto 0) := (others => '0');
signal curr_axlen_unsigned_lshift : unsigned (C_MAX_LSHIFT_SIZE downto 0) := (others => '0'); -- Max = 32768d
signal bytes_per_addr : integer := 1; -- range 1 to 128 := 1;
signal size_plus_lsb : integer := 1; -- range 1 to 256 := 1;
signal narrow_addr_offset : integer := 1;
-------------------------------------------------------------------------------
-- Architecture Body
-------------------------------------------------------------------------------
begin
-- v1.03a
-- Added for narrow INCR bursts with UA addresses
-- Check if burst is a) INCR type,
-- b) a narrow burst (SIZE = full width of bus)
-- c) LSB of address is non zero
curr_ua_narrow_incr <= '1' when (curr_incr_burst = '1') and
(curr_axsize (2 downto 0) /= C_AXI_SIZE_MAX) and
(curr_axaddr_lsb /= axaddr_lsb_zero) and
(bram_addr_ld_en = '1')
else '0';
-- v1.03a
-- Detect narrow WRAP bursts
-- Detect if the operation is a) WRAP type,
-- b) a narrow burst (SIZE = full width of bus)
-- c) LSB of address is non zero
-- d) complete size of WRAP is larger than width of BRAM
curr_ua_narrow_wrap <= '1' when (curr_wrap_burst = '1') and
(curr_axsize (2 downto 0) /= C_AXI_SIZE_MAX) and
(curr_axaddr_lsb /= axaddr_lsb_zero) and
(bram_addr_ld_en = '1') and
(ua_narrow_wrap_gt_width = '1')
else '0';
---------------------------------------------------------------------------
-- v1.03a
-- Check condition if narrow burst wraps within the size of the BRAM width.
-- Check if size * length > BRAM width in bytes.
--
-- When asserted = '1', means that narrow burst counter is not preloaded early,
-- the BRAM burst will be contained within the BRAM data width.
curr_axsize_unsigned <= unsigned (curr_axsize);
curr_axsize_int <= to_integer (curr_axsize_unsigned);
curr_axlen_unsigned <= unsigned (curr_axlen);
-- Original logic with multiply function.
--
-- ua_narrow_wrap_gt_width <= '0' when (((2**(to_integer (curr_axsize_unsigned))) *
-- unsigned (curr_axlen (7 downto 0)))
-- < C_AXI_DATA_WIDTH_BYTES)
-- else '1';
-- Replace with left shift operation of AxLEN.
-- Replace multiply of AxLEN * AxSIZE with a left shift function.
LEN_LSHIFT: process (curr_axlen_unsigned, curr_axsize_int)
begin
for i in C_MAX_LSHIFT_SIZE downto 0 loop
if (i >= curr_axsize_int + 8) then
curr_axlen_unsigned_lshift (i) <= '0';
elsif (i >= curr_axsize_int) then
curr_axlen_unsigned_lshift (i) <= curr_axlen_unsigned (i - curr_axsize_int);
else
curr_axlen_unsigned_lshift (i) <= '0';
end if;
end loop;
end process LEN_LSHIFT;
-- Final result.
ua_narrow_wrap_gt_width <= '0' when (curr_axlen_unsigned_lshift < C_AXI_DATA_WIDTH_BYTES_UNSIGNED)
else '1';
---------------------------------------------------------------------------
-- v1.03a
-- For narrow burst transfer, provides the number of bytes per address
-- XST does not support divisors that are not constants AND powers of two.
-- Create process to create a fixed value for divisor.
-- Replace this statement:
-- bytes_per_addr <= C_AXI_DATA_WIDTH_BYTES / (2**(to_integer (curr_axsize_unsigned)));
-- With this new process:
-- Replace case statement with unsigned signal comparator.
DIV_AXSIZE: process (curr_axsize)
begin
case (curr_axsize) is
when "000" => bytes_per_addr <= C_AXI_DATA_WIDTH_BYTES / 1;
when "001" => bytes_per_addr <= C_AXI_DATA_WIDTH_BYTES / 2;
when "010" => bytes_per_addr <= C_AXI_DATA_WIDTH_BYTES / 4;
when "011" => bytes_per_addr <= C_AXI_DATA_WIDTH_BYTES / 8;
when "100" => bytes_per_addr <= C_AXI_DATA_WIDTH_BYTES / 16;
when "101" => bytes_per_addr <= C_AXI_DATA_WIDTH_BYTES / 32;
when "110" => bytes_per_addr <= C_AXI_DATA_WIDTH_BYTES / 64;
when "111" => bytes_per_addr <= C_AXI_DATA_WIDTH_BYTES / 128; -- Max SIZE for 1024-bit AXI bus
when others => bytes_per_addr <= C_AXI_DATA_WIDTH_BYTES;
end case;
end process DIV_AXSIZE;
-- Original statement.
-- XST does not support divisors that are not constants AND powers of two.
-- Insert process to perform (size_plus_lsb / size_bytes_int) function in generation of ua_narrow_load.
--
-- size_bytes_int <= (2**(to_integer (curr_axsize_unsigned)));
--
-- ua_narrow_load <= std_logic_vector (to_unsigned (bytes_per_addr -
-- (size_plus_lsb / size_bytes_int), C_NARROW_BURST_CNT_LEN));
-- AxSIZE + LSB of address
-- Use all LSB address bit lanes for the narrow transfer based on C_S_AXI_DATA_WIDTH
size_plus_lsb <= (2**(to_integer (curr_axsize_unsigned))) +
to_integer (unsigned (curr_axaddr_lsb (C_AXI_DATA_WIDTH_BYTES_LOG2-1 downto 0)));
-- Process to keep synthesis with divide by constants that are a power of 2.
DIV_SIZE_BYTES: process (size_plus_lsb,
curr_axsize)
begin
-- Use unsigned w/ curr_axsize signal
case (curr_axsize) is
when "000" => narrow_addr_offset <= size_plus_lsb / 1;
when "001" => narrow_addr_offset <= size_plus_lsb / 2;
when "010" => narrow_addr_offset <= size_plus_lsb / 4;
when "011" => narrow_addr_offset <= size_plus_lsb / 8;
when "100" => narrow_addr_offset <= size_plus_lsb / 16;
when "101" => narrow_addr_offset <= size_plus_lsb / 32;
when "110" => narrow_addr_offset <= size_plus_lsb / 64;
when "111" => narrow_addr_offset <= size_plus_lsb / 128; -- Max SIZE for 1024-bit AXI bus
when others => narrow_addr_offset <= size_plus_lsb;
end case;
end process DIV_SIZE_BYTES;
-- Final new statement.
-- Passing in simulation and XST.
ua_narrow_load <= std_logic_vector (to_unsigned (bytes_per_addr -
narrow_addr_offset, C_NARROW_BURST_CNT_LEN))
when (bytes_per_addr >= narrow_addr_offset)
else std_logic_vector (to_unsigned (0, C_NARROW_BURST_CNT_LEN));
---------------------------------------------------------------------------
end architecture implementation;
| bsd-2-clause | c9a7beb301c1cf84468620218a767651 | 0.49554 | 4.173753 | false | false | false | false |
zcold/fft.vhdl | src/fft_top.vhdl | 1 | 8,732 | -- The MIT License (MIT)
-- Copyright (c) 2014 Shuo Li
-- Permission is hereby granted, free of charge, to any person obtaining a copy
-- of this software and associated documentation files (the "Software"), to deal
-- in the Software without restriction, including without limitation the rights
-- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
-- copies of the Software, and to permit persons to whom the Software is
-- furnished to do so, subject to the following conditions:
-- The above copyright notice and this permission notice shall be included in all
-- copies or substantial portions of the Software.
-- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
-- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
-- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
-- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
-- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
-- OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE
-- SOFTWARE.
----------------------
-- N-point FFT control
----------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library ieee_proposed;
use ieee_proposed.fixed_float_types.all;
use ieee_proposed.fixed_pkg.all;
entity fft_top is
generic (
-- data width of the real and imaginary part
data_width : integer := 16;
-- points
number_of_points : integer := 64;
-- stages
-- 2^nos = nop
number_of_stages : integer := 6;
-- number of bufferfly operators
number_of_butterfly_operators : integer := 1
);
port (
-- system clock
clk : in std_logic;
-- system reset
nrst : in std_logic;
-- all operations are done
done : out std_logic;
-- initial data
data_in_re : in std_logic_vector (number_of_points * data_width - 1 downto 0);
data_in_im : in std_logic_vector (number_of_points * data_width - 1 downto 0);
-- output data
data_out_re : out std_logic_vector (number_of_points * data_width - 1 downto 0);
data_out_im : out std_logic_vector (number_of_points * data_width - 1 downto 0)
);
end fft_top;
-- Function Implementation 0
architecture FIMP_0 of fft_top is
-- signals between controller and butterfly operators
signal x0_re : std_logic_vector (number_of_butterfly_operators * data_width - 1 downto 0);
signal x0_im : std_logic_vector (number_of_butterfly_operators * data_width - 1 downto 0);
signal x1_re : std_logic_vector (number_of_butterfly_operators * data_width - 1 downto 0);
signal x1_im : std_logic_vector (number_of_butterfly_operators * data_width - 1 downto 0);
signal y0_re : std_logic_vector (number_of_butterfly_operators * data_width - 1 downto 0);
signal y0_im : std_logic_vector (number_of_butterfly_operators * data_width - 1 downto 0);
signal y1_re : std_logic_vector (number_of_butterfly_operators * data_width - 1 downto 0);
signal y1_im : std_logic_vector (number_of_butterfly_operators * data_width - 1 downto 0);
signal wk_re : std_logic_vector (number_of_butterfly_operators * data_width - 1 downto 0);
signal wk_im : std_logic_vector (number_of_butterfly_operators * data_width - 1 downto 0);
-- twiddle factors
signal wk_in_re : std_logic_vector (number_of_points/2 * data_width - 1 downto 0);
signal wk_in_im : std_logic_vector (number_of_points/2 * data_width - 1 downto 0);
component cbf_slv is
generic (
-- data width of the real and imaginary part
data_width : integer range 0 to 128 := 16
);
port (
-- clock
clk : in std_logic;
nrst : in std_logic;
-- x0, input 0
x0_re : in std_logic_vector (data_width - 1 downto 0);
x0_im : in std_logic_vector (data_width - 1 downto 0);
-- x1, input 1
x1_re : in std_logic_vector (data_width - 1 downto 0);
x1_im : in std_logic_vector (data_width - 1 downto 0);
-- wk, twiddle factor
wk_re : in std_logic_vector (data_width - 1 downto 0);
wk_im : in std_logic_vector (data_width - 1 downto 0);
-- y0, output 0
y0_re : out std_logic_vector (data_width - 1 downto 0);
y0_im : out std_logic_vector (data_width - 1 downto 0);
-- y1, output 1
y1_re : out std_logic_vector (data_width - 1 downto 0);
y1_im : out std_logic_vector (data_width - 1 downto 0)
);
end component;
component radix_2_fft_control is
generic (
-- data width of the real and imaginary part
data_width : integer := 16;
-- points
number_of_points : integer := 64;
-- stages
-- 2^nos = nop
number_of_stages : integer := 6;
-- number of bufferfly operators
number_of_butterfly_operators : integer := 1
);
port (
-- system clock
clk : in std_logic;
-- system reset
nrst : in std_logic;
-- all operations are done
done : out std_logic;
-- x0 for butterfly operators
x0_re : out std_logic_vector(number_of_butterfly_operators * data_width - 1 downto 0);
x0_im : out std_logic_vector(number_of_butterfly_operators * data_width - 1 downto 0);
-- x1 for butterfly operators
x1_re : out std_logic_vector(number_of_butterfly_operators * data_width - 1 downto 0);
x1_im : out std_logic_vector(number_of_butterfly_operators * data_width - 1 downto 0);
-- twiddle factor for butterfly operators
wk_re : out std_logic_vector(number_of_butterfly_operators * data_width - 1 downto 0);
wk_im : out std_logic_vector(number_of_butterfly_operators * data_width - 1 downto 0);
-- y0 for butterfly operators
y0_re : in std_logic_vector(number_of_butterfly_operators * data_width - 1 downto 0);
y0_im : in std_logic_vector(number_of_butterfly_operators * data_width - 1 downto 0);
-- y1 for butterfly operators
y1_re : in std_logic_vector(number_of_butterfly_operators * data_width - 1 downto 0);
y1_im : in std_logic_vector(number_of_butterfly_operators * data_width - 1 downto 0);
-- initial data
data_in_re : in std_logic_vector (number_of_points * data_width - 1 downto 0);
data_in_im : in std_logic_vector (number_of_points * data_width - 1 downto 0);
-- output data
data_out_re : out std_logic_vector (number_of_points * data_width - 1 downto 0);
data_out_im : out std_logic_vector (number_of_points * data_width - 1 downto 0);
-- twiddle factor
wk_in_re : in std_logic_vector (number_of_points/2 * data_width - 1 downto 0);
wk_in_im : in std_logic_vector (number_of_points/2 * data_width - 1 downto 0)
);
end component;
component twiddle_factor is
generic (
-- data width of the real and imaginary part
data_width : integer := 16
);
port (
-- twiddle factor output
wk_out_re : out std_logic_vector (64 / 2 * data_width - 1 downto 0);
wk_out_im : out std_logic_vector (64 / 2 * data_width - 1 downto 0)
);
end component;
begin
GEN_CBF: for i in 0 to number_of_butterfly_operators - 1 generate
cbf_slv_0: cbf_slv
generic map (data_width)
port map ( clk, nrst,
x0_re( (i+1) * data_width - 1 downto i * data_width),
x0_im( (i+1) * data_width - 1 downto i * data_width),
x1_re( (i+1) * data_width - 1 downto i * data_width),
x1_im( (i+1) * data_width - 1 downto i * data_width),
wk_re( (i+1) * data_width - 1 downto i * data_width),
wk_im( (i+1) * data_width - 1 downto i * data_width),
y0_re( (i+1) * data_width - 1 downto i * data_width),
y0_im( (i+1) * data_width - 1 downto i * data_width),
y1_re( (i+1) * data_width - 1 downto i * data_width),
y1_im( (i+1) * data_width - 1 downto i * data_width));
end generate GEN_CBF;
radix_2_fft_control_0: radix_2_fft_control
generic map(data_width, number_of_points, number_of_stages, number_of_butterfly_operators)
port map( clk, nrst, done,
x0_re, x0_im,
x1_re, x1_im,
wk_re, wk_im,
y0_re, y0_im,
y1_re, y1_im,
data_in_re, data_in_im,
data_out_re, data_out_im,
wk_in_re, wk_in_im);
twiddle_factor_0: twiddle_factor
generic map (data_width)
port map(wk_in_re, wk_in_im);
end FIMP_0;
| mit | eba4ebfcc916fb2a096dcfbb976154e6 | 0.614521 | 3.498397 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/instantiation/rule_035_test_input.vhd | 1 | 820 |
architecture ARCH of ENTITY1 is
begin
U_INST1 : entity fifo_dsn.INST1(rtl);
U_INST2 : component INST2
generic map (
G_GEN_1 => 3,
G_GEN_2 => 4,
G_GEN_3 => 5
);
U_INST3 : INST3
generic map (
G_GEN_1 => 3,
G_GEN_2 => 4,
G_GEN_3 => 5
)
port map (
PORT_1 => w_port_1,
PORT_2 => w_port_2,
PORT_3 => w_port_3
);
-- Violation below
U_INST1 : entity fifo_dsn.INST1(rtl)
;
U_INST2 : component INST2
generic map (
G_GEN_1 => 3,
G_GEN_2 => 4,
G_GEN_3 => 5
)
;
U_INST3 : INST3
generic map (
G_GEN_1 => 3,
G_GEN_2 => 4,
G_GEN_3 => 5
)
port map (
PORT_1 => w_port_1,
PORT_2 => w_port_2,
PORT_3 => w_port_3
)
;
end architecture ARCH;
| gpl-3.0 | f540affdeed7d55f41e207b7961b20ed | 0.454878 | 2.760943 | false | false | false | false |
Yarr/Yarr-fw | syn/kintex7/rd53_ohio_16x1_160Mbps/board_pkg.vhd | 1 | 953 | library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
library work;
use work.hw_type_pkg.all;
package board_pkg is
constant c_FW_IDENT : std_logic_vector(31 downto 0) := c_HW_IDENT & x"030212";
constant c_TX_ENCODING : string := "OSERDES";
constant c_TX_CHANNELS : integer := 4;
constant c_RX_CHANNELS : integer := 16;
constant c_FE_TYPE : string := "RD53";
constant c_RX_NUM_LANES : integer := 1;
constant c_RX_SPEED : string := "0160";
constant c_TX_IDLE_WORD : std_logic_vector(31 downto 0) := x"AAAAAAAA";
constant c_TX_SYNC_WORD : std_logic_vector(31 downto 0) := x"817e817e";
constant c_TX_SYNC_INTERVAL : unsigned(7 downto 0) := to_unsigned(16,8);
constant c_TX_AZ_WORD : std_logic_vector(31 downto 0) := x"00000000";
constant c_TX_AZ_INTERVAL : unsigned(15 downto 0) := to_unsigned(500,16);
constant c_TX_40_DIVIDER : unsigned(3 downto 0) := to_unsigned(4,4);
end board_pkg;
| gpl-3.0 | 10ffb5977e6867a7cde49aad9345a53f | 0.665268 | 3.006309 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/port_map/rule_008_test_input.vhd | 1 | 634 |
architecture ARCH of ENTITY1 is
begin
U_INST1 : INST1
generic map (
G_GEN_1 => 3,
G_GEN_2 => 4,
G_GEN_3 => 5
)
port map (
PORT_1 => w_port_1,
PORT_2 => w_port_2,
PORT_3 => w_port_3
);
-- Violations below
U_INST1 : INST1
generic map(
G_GEN_1 => 3,
G_GEN_2 => 4,
G_GEN_3 => 5
)
port map (w_port_1,
PORT_2 => w_port_2,
w_port_3
);
U_INST1 : INST1
generic map (
G_GEN_1 => 3,
G_GEN_2 => 4,
G_GEN_3 => 5
)
port map (PORT_1 => w_port_1,
w_port_2,
w_port_3
);
end architecture ARCH;
| gpl-3.0 | 24134554799e301a1acc73f5e43e6356 | 0.446372 | 2.663866 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/styles/jcl/graphicsaccelerator/Synchronizer.fixed.vhd | 1 | 3,248 |
library IEEE;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_unsigned.all;
entity SYNCHRONIZER is
port (
R : out std_logic;
G : out std_logic;
B : out std_logic;
HS : out std_logic;
VS : out std_logic;
CLK : in std_logic;
DATAIN : in std_logic_vector(2 downto 0);
ADDRESSX : out std_logic_vector(9 downto 0);
ADDRESSY : out std_logic_vector(8 downto 0)
);
end entity SYNCHRONIZER;
architecture BEHAVIORAL of SYNCHRONIZER is
signal x, nx : std_logic_vector(10 downto 0) := (others => '0');
signal y, ny : std_logic_vector(20 downto 0) := (others => '0');
constant tpw : std_logic_vector(1 downto 0) := "00";
constant tbp : std_logic_vector(1 downto 0) := "01";
constant tdp : std_logic_vector(1 downto 0) := "10";
constant tfp : std_logic_vector(1 downto 0) := "11";
signal xstate : std_logic_vector(1 downto 0) := tpw;
signal ystate : std_logic_vector(1 downto 0) := tpw;
signal enabledisplay : std_logic;
signal addressofy, naddressofy : std_logic_vector(8 downto 0);
begin
nx <= x + 1;
ny <= y + 1;
naddressofy <= addressofy + 1;
HS <= '0' when xstate=tpw else
'1';
VS <= '0' when ystate=tpw else
'1';
enabledisplay <= '1' when xstate=tdp and ystate=tdp else
'0';
R <= DATAIN(0) when enabledisplay='1' else
'0';
B <= DATAIN(1) when enabledisplay='1' else
'0';
G <= DATAIN(2) when enabledisplay='1' else
'0';
ADDRESSX <= x(10 downto 1);
ADDRESSY <= addressofy - 30;
process (CLK) is
begin
if (CLK'event and CLK = '1') then
if (xstate=tpw and x(7 downto 1)="1100000") then
x <= (others => '0');
xstate <= tbp;
elsif (xstate=tbp and x(6 downto 1)="110000") then
x <= (others => '0');
xstate <= tdp;
elsif (xstate=tdp and x(10 downto 1)="1010000000") then
x <= (others => '0');
xstate <= tfp;
elsif (xstate=tfp and x(5 downto 1)="10000") then
x <= (others => '0');
xstate <= tpw;
addressofy <= naddressofy;
else
x <= nx;
end if;
if (ystate=tpw and y(12 downto 1)="11001000000") then
y <= (others => '0');
ystate <= tbp;
elsif (ystate=tbp and y(16 downto 1)="101101010100000") then
y <= (others => '0');
ystate <= tdp;
elsif (ystate=tdp and y(20 downto 1)="1011101110000000000") then
y <= (others => '0');
ystate <= tfp;
elsif (ystate=tfp and y(14 downto 1)="1111101000000") then
y <= (others => '0');
x <= (others => '0');
ystate <= tpw;
xstate <= tpw;
addressofy <= (others => '0');
else
y <= ny;
end if;
end if;
end process;
end architecture BEHAVIORAL;
| gpl-3.0 | 22f27174c4e7e6157da4eadf691cbd7d | 0.483682 | 3.584989 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/if_statement/rule_011_test_input.fixed.vhd | 1 | 953 |
architecture RTL of FIFO is
begin
process
begin
if a = '1' then
b <= '0';
elsif c = '1' then
b <= '1';
else
if x = '1' then
z <= '0';
elsif x = '0' then
z <= '1';
else
z <= 'Z';
end if;
end if;
-- Violations below
if a = '1' then
b <= '0';
elsif c = '1' then
b <= '1';
else
if x = '1' then
z <= '0';
elsif x = '0' then
z <= '1';
else
z <= 'Z';
end if;
end if;
-- Check loop statements
if a = '1' then
else
LOOP_LABEL : loop
end loop;
end if;
if a = '1' then
else
loop
end loop;
end if;
if a = '1' then
else
while a = 0
loop
end loop;
end if;
if a = '1' then
else
for i in 0 to 13
loop
end loop;
end if;
end process;
end architecture RTL;
| gpl-3.0 | 0cd373cbc1cb17fd0c8fe214bbd7ca82 | 0.391396 | 3.428058 | false | false | false | false |
cwilkens/ecen4024-microphone-array | microphone-array/microphone-array.srcs/sources_1/ip/lp_FIR/axi_utils_v2_0/hdl/axi_slave_2to1.vhd | 3 | 31,357 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
LmB2OGtD/MUWym8c3/CzUyxlW4ZMVAsupVaBcTyLxyM0/89HmprwA8hlp2Y/rQpE3N9fZNQXSA+X
ZqISdj146w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
fJTMKgKZO1DX/ZwxDYepkSAzQc/Ji1fckJbsf3Qn9Bqnv8T8/AMuzCbgeiGqykzsUTBaCpleYRId
o00tFDLxwL7HJkjx9wAkTm7GlIpJVjLslO8m1WDzcl3H7wdseZq3qTLozgzKgue+KWCaiheflRmK
2J8PZiKt/GTI16FwNFI=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
mZ+AnlWS3FyJxZdureUnDnfd56BkUNwjlAI0XTynR5nK9/YlkVYJ7kt6YI52BQ0Z8ztdU0pXg//4
96thbCxng/bweB1Hf43brE3z5GuxFK+jbCmvTLbnBHJ7dgVzmVnutz4XLXkslsdUupBoF0Sys5b7
Ctj0qIvXQaXgb3A8mYSLqLwqHDvfLAzni5c7ggajTL1+bwZYHux4QRE+SYuf7OQ32TqNPlF+ddrv
FeZ4Ueih1kBCc2qxcoIkoilV0GWDyZEtN65WP+9ZepJXkUac8M7tBXdu8sZrb/0MYk0yxTsrAPT+
IRys6bOMr7Vumk3QD2EUgSnTkSZ9NUeX69Znnw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
JwnYEXPTxcDkLoHHE/78wu1JEPKpjJQLmE7VXM+1TYP9ndqmNaiA08oTCBk4oQ0GLfY9uHB2KreV
Arrit4USgj4LNv4TCDkhrSdOfZ54GXv9HUuWz9wa2FtcaVJAkRC2eNlFyPJHNC9dQe5YNa/3koSO
VKsohczepyYGWujZ5+U=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
G4cP/XUhUPq9NLosZVvrPuWpuK3BcTHfhnrJwXdfutwIk8EoZAfYn7hCTJQ469Pq9kIVbio/59UK
owR2ie/zhM4snoQ7m3XW9L1H3ODJLf/h+IYZKTVMCrJWxhSJaK034kF3cEIl917iZF7fHMTN85CX
xAmAWYxPd5YC3QUX99jy3mMv4rayHaHgoZ6G/sj2G21/Ew7oy1Eq+P6r7wvkS3C3Cmo6TKZ91D6m
Qu96UUNwZ0H9K9yXXQ6g0VLPmiK2IQynCorwR8hqWu1ymDLkzvfPRW68AsRmZp7nLD7mEowlQtwr
Vvequa/gYbuqj7gUatyOk2ciuUUeCCh69Pr/ZQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 21472)
`protect data_block
5+1ZKimMAew1xv7i2GVfynFTRIEsCPPAEb4gjegKh+y//Li4N0lWFLp6yTnh5XQiSDyRZLJ+u0h1
DibZ+nbDcSDWJpzYI+x631I6PjhbAMInpfs4ZtxEY5Q8dm8eka88/1Kpd7NMB/YCVk5jQwKZWm92
9m3h7/JLuMEtku5E1EHg0wr2o6EBv0mNubx7e8uE/62jPRRVovZB6pIPUXwokakp5xwvF6ONaiWf
TygPHogzRM1w8kOq8rECIIDH0We6/DuMQk6hcRCpT4U1ATkOGb0wSd2CoNYq3z60FtZy3NpXHBjA
OX1m2vHeSXddUzgsk38dqT45qXM1K/TlFiSgD6i3AC/HFr0nWbzqsgqSkoHJQKKy4OvvmKjFA2n4
x8NNEZ5twpO5dJzQ31LaA8cjUUxRTK7mD4c4gkygKxJQLLNw/vHEeJyuznhA69TZ4zJpvh4Z9b9Q
OpNhngYSBO0NtF3rx5NtlzGWMtEU1Jb8lfQ4ZbepDc4SXisK9miKVU/1GDyo+uwfTQeCAiDDtYpk
NQa8H7IlZc1H7a8lynilDNsg802keBy5eysHFtdyCzSmcEt+tx78dd2qWJH4MW2EqF/DtClMXAxR
IPP/aOV6A3zzQE55Bh0ceudYk3iN1UGCxrBcoO45BmtjaF7BdwjDmCZvjaSbUAWC+ySwYGdR8+nY
QiPiEluW+ga4kXLm1kYVMFC3KiEungBjLyDOoom3Ylxq64XixixSCwSm9ygIKnfIbevZf2lTam4U
1/2HGSJbyR+jxaaIFIqTbmTGJ/ZjkL02K/Md6tn0QR4IAqTXqeWUPSDn5ZpeqtAKKfldlOb9R590
VLGtbk5WkqDMNPlYFjc7VvnqE/XYRi/qZ50pO3pZFORdlXbvJ53JtFiZFGdtfU5RimV0dLHPSxeX
7/4hxWrdOHo1NYq61pQYoBnnwoDwAymTxDilUEXLD3qHG5SdWjn/5fSAAyF16d0nbjmFv+JNZEEp
CtUpt1cvgAN/vP8aDsJv96QGdDVutq8kEliC/7v12XdBQqCMQYWrvtpTNRj7nfq/ITPubQVaNOST
8PnoD586UmOj6p11yYWbNUXCvpHJTLgCmF0fhB8iUSaIUzfJnpQpFkkXb/bk23fv+izRyXVL0Vo7
oqzRWWHMR4HTjBJZ3M5uwEQGXFYymYpJC4R+r5iwb/Xz1Xr/0JqjuwZyuJTFE3mdK/rRYi+Luuad
b902ghfTCx67YF/IOASoqPbgRFNWirkRCCCXw4tuE7duUcbypJ9uCelDr7Zjv/P9ZBYyeFzOXCYY
j+nF5+WkMpApk7krL0fYkx0f777HRvBmNQeA/PJd+JaNQ1CegckSM/5n3Kq7qd0rIU+Xoo3FIctq
k53PIl+1qa9GnHZK+fQ/6b7Yi8xDJTxKFKL0uCu3fzHQAMx+cZMbP7pBZr/oUVxi5Wngb/hEgN1L
P6rSpcspDRmS3wbm0DPCHpw11tWrbs2bysDVvoQh5th+JvqBqc7yN9xpUr+MlTbRN+uMkTZfl8Vl
R9x8Ymd2gQGIVD9ahRqtSWbto3l7NuvIBZev39ep4OLLWM+RBGS7qodXfREoyIa7vvZJZlSHfNFW
w+dH0WpVjsWKN/S4IsPsoHmYqg4xeCuNE9BhhhCJ3nurd/8p1IGCbPcSqTkBqGzLYQ4w3q+wfGZQ
lha0KBfiaDqKTudMMq4oJ9SGsw/W0pwwekLtx28bnD0/ejb4K3/EIgeafrE+GIP0Mlv8cXFRzm6F
Cs55vgmZCg/1vDzarucX/DHwjyc9vUUNpev9QN/ssB1cmsdAKMzPT7naZFIW7ofysyxw9xNSyklx
ik0fL+X43QM8o9umW6CrB6biu7CxvxtFBfNRtJYkGf3ml7ufCEsuYb/dphkwP2oBM8VQ9Dpeb7j5
G56a252pxyC8wMNw2/oXdXoKoK+AAFiPNY8TO1o5Aaiwv4kB7KhJCAolh1rnNAiSGeKR2AYlJIpH
gFgAw7xXgx8AjXfCNHjExXyG9rNE1vWPaX+F9joo7ugPaV7djF9mJjDM3YVtW2s37Z/6YpPWI5Hg
DZyzh2AqTJ7GD4BDz4YAz8d46WkHfsgEFvNQZeaIUEYrdM2yPGNVS5QzvVT7xbMLHz8TkMMMkK2S
KJ59HXkyd3tZJQDjpu6dwepNfBmeqA3VNCG3Yh7YPkxcqi5osI9acOF/5kzWl0Qy9BfH9CE77xIR
pZ2rG1luF+UGijncY7FQ8JdiAofN0X85uBO+BHxmi+6yeFtYpV7YyNpkPHr9Z7ZCKLOOzT4JhFGC
uPy7MqFqTnwbjumhouB/DISANgzmt35mtLdnts8TCep0AllZ+3EnGbhwvqjbWaQICfkLRvC1YfbK
A3zYVLUoO1WA/FjHzNBn9qb7Og/mBPcPd+SD2jSE93T9A9kEAKPWKcjXgaT3qbqlY/olSdgsg1K/
TRwAtZi6nGR8SqttXdNtEcZK482+QI7hjhecEC+PqkmVPG+9I+RgeFyv2cRYdia/sX83uJFEWsDD
uH67OE6gIBM9YWuJ9MUlhRWnywjav7WN9qOKCVqyjCSYP//Mbrx8CHETedxi/Xh2BQERPFoo7MxT
JQwx70ckESSUfAGrZs/mlTzXp+KgCYERBPsqIYkLL6TU4xkUTL+QXpiOqn4RzwSREbs8l6hbcpvz
GOKZinxTPoD9hmGslIE1qABdupaT0OC8mlktlnHgIFBlZ5IPrmiGyJPwHzUyh3oQk0CLQHLELCSZ
zj8tf+oSprRndm4mIyj1pAsXHupjXgaUYi1vRyNlXhwzurHwITw8wyoSql1cDZloAyqF1el57VQd
nPEXxdkCZJoJX1pshLtIpqW22LRj42kBovg7ZEjNF8FbDTbEPqUSIVnQiTHZNoT1XffFokhf2ZmA
snbdBu80qEjXM0/deJ8lEvpJBs5p5Fc3mRpIUKGr1CipoY9movF9/pyeNImAYSWRNcxLuBVjvqzy
pKywrFvH388Ig0Vm5oJVOJx+qj6VsXiZScThrDOrqTXESW04QDAg8EeJRMs2dBgZ4NXxFGfVzLXW
VvESyhjjSCIbdVhmi0/ICovJeNutxWNzVLLwXOuClslqYktckkDL9smyEKRLgCcLxugzarZaSkt+
dqL7KEZDkv8iCCdeZ+n0jkMYZ9is6fh5Yln9WiUZIohPUmAwnPjk70HhYxrk8LVEcCdBd361U9SG
koYBKGqQaHAT3CcEyRYckoN/VLs9m07ESFwHM1PkxCQ2i/w4CPEM3dQRrkYob5nHo4RpizjWW9GS
pfL+hh5nnZUz3gvgivwxyOEgJa1n/7tMSHIXnc5yOCmu8C8VJ9UpGNtVTvp4v4V1Qzcgtzy5ylDM
ewmnZvP/H6sZ2/jE4jwY+VpTruWEdV641/wBl53hlyKp3Ts6uvFOATqX59j7T299P3sKxOXYNPqb
IWcId79j7fhBRZ4IxfEsI9wAWzkSmL3lrDe+74bwqIpPO/kKrc6+0gOsOdCFk/GJLVwgvts9wXJy
KWUP0yPd0/HLeRLAQ79U+iIoSglVuyYzIvhA0++kxonhJAAHFwzNUWeB9bFgKbESXn7DGQKV/nUW
Bh0FMmUQAr4t9uk9RzHtErLLw3zROz8UFiJPnGZ7+aC3+nKMk1SMWd7VtsVJEyvc7yzsIKmNP5Ns
A2oA1zqSvFyvGu9u6OO9LmRf9Vf6BfhNugOeDo1VY5IFOFcpuTF7WJsi+guSPwCIGyJHi6EcibCA
lJdC+bVYI5YgQ9QVO8HlldKrTMkhJtpyS4UCvYwnBkM1LwGpOIeNM0Wq9zVe+i80dH71DL8kgW5f
LEiSIMrIhvCbA7Ka5LBqGdQW9Apd2IMbAHRQKmHkn7V9WlhKpHP5pxkM9CYdJnKG1lC/o4ZrBXuh
DFvZ98KTQpMUsdZ835xK65Iq91PGGIclNhRDRFdlz4bcANUpW7om2wPuKZypDu9G1lN4kakWmMd1
qpihhxsXhZsd3VIxA/a0D4cvMdxDP/MThk9KGVT1xaR14kf1b3Rn4EzYLPxlKXit+ywnAHgGq2JA
t0vSkTMiKzfhfAADodFlNm5DIQfEQkgUf1UxSoSe7jjqPrtyup2QiJg9VoRTy5lMv7VEbpbVQkJ2
mT8UG1kY0v+wfiXc8h7NcxZzT/gAPTq4Rc0xEqR6BEQ2n1eCohaX1toEAOcCAai83/fvN5gzu2hU
O3kmajRBGgH/1F0j/DsWObhi3rQKfQa1WCb7hwF1EWiDDtb3G41cgGNnuuba+Oyoff4WPf8eN6ga
y0L20+MBhkXta5fuXBNeE7phCwDhzjS7e7X38Zys5FypuzGKeXMXH7JO3cc9t1M2jJjyLwHfnBva
lt37SLXx0PXiJnkHFCw2W+F5V8z0UO/mRb8RQZhSEZyf6zRx8TJG9T9HrWA2M0wBBORlx5U19lhL
g9hfRvG3SBL89MLuMnuykGYP75NXvcKP93/jJ5a/QeaueNPmxPOO6K3x5E7jVBnwBIZ2vvr0mWdN
4/xc+FGM2Txeb4JZ27SlIQaw7amau79dwPrOTFJU1qHGB0vEiO0pxxf/bBZzOh6DCSOVIrmZj84g
G2c/WTIEg7RWVbnZcqwzf8ROCgN6M0xrDeYCcl6EZfet2U93JJ63uoSfana8EMCm3mhrSv6+kU61
bbPctvkhr5F3YPz2HVoLFcqFLRjipEmF4YsO0yiVnZm9La+KzvL0FUqsTqlJumFzn9W3SghJ2/qv
28z5K7YCfOKWJScuxe2e5L84aFJ7fTbqFLKjAhYao8oZNmOLRwnEqRzsb46fAPMXZJI7J41ak7QU
gpHW2aL31pEKppF0wAobgUF+sSK3MTtnPSclkef2ElVKz/h5xvYHCljDZiEfg4d+6VGIRMoftjim
L6IP3xxx0h6PZ36nKw1HTLpZcNez8r/iC2T0wihSDJkiNR3sflhDA1ntpbqoB6CRlV/sB9xihVqs
1RYZtihtgcPDIxERA3e2SbHzFLm6l1bvO8Lxf06i9UMin5koLOBHZss1Vdacw45gErKABYR98adj
jPQGCkf2pYMdwZUsHlOxgHGHH7Rkc8lZkxzMDTDZwlWsStI9xhIXDBRkpJvWfNKfnbX+jYZUwJ2K
/Hi0vijXCF81SedTbWuUDGaZFYyvdkFc9Fe6yM4CH18vDusqIdlkdmo3YKFjn3BF2CSfm577sfcn
1OV0jzhPiljqDoN6xRQ+WMQOmqQh5JV4gLOdUBwDZ1XDVoSM6ZxOo69pi0QQ7h0OeV5ssl4a87S+
BjyFNUku5a/NLhsmEA+fitvrfu2qfmekAukWHAqpQyPttl1VRbsi5yt+XaH3PHaUlgAVGG+idg7k
D+32JbDgBNxHxTT1uRK/d+cjgaxW7WZNUsdFIiblY6R87fYB9rUUR9uJIwmIS7pL9BuaZLJnCwAY
YUQwE6ebuyoNzPC5XDwUqE2taPLhBt454TyNeqZ/3q1/vnysw8ML7Xg4PWbihktp6OOiXURvDwHf
IkyrRMxecpcY5FYgk+JZnhyWJ4Pi4jD2gGUYtwl16C1A1qHg7HmhfP3hbTGd3iE+EkE4QUURT8zW
d8nXD7wUpYAEmJPeBEkoPls+4B08GyVsh7f99LWX4BsN3y0tn6ep2y6w/SGLOHZLpYr8LXyJeGmw
OoRt721+FVXFoFVpe5JvjahSJHehHtFITQ2WZORr7heuPvX8LmI3sngABAX1Zl+BUz1mR67ysx7v
31O1B07TsE1T97VL1yjUWpn+e/p81/C4LNSZQAVaiwIVaL6ZEHBlzCEy4C8XaJWvzd/9OqrEUR0j
A2p7Vq8L6Yttt5befrGkv6cB+pwXAZv7Vk4PBqb3gJCNXexV+xAA2nBgygsGT6y9Js7+fShh87+i
IxCAFGl28f4m7wAiV9SIqv5vRkY18mAtiiChWYDy0fFbLV2Xw1QyDh0E+3bMPXweHgj4Q6WxnJn9
GPJhSBE2MpX76peYoyk6KGPnIbZNc6U7W76Il/FzrqypAYd2hiQ2dq+kA9KZoVWcsDSIQTSOl93p
2u3Z9gBKmr15ZsV8EbZk7IKLVRyhg0p204n3JH7tVzma1KWdR4I4KrApAF5boyTqXZ4mlBBFYdQ9
tYTvabvEwckct69wWz4xiCE4biRkwpeieXIpjcQvLK/pmKrHxhoR0+mQBB+1hG/fLVK7MW7Ig3C9
eoYYZN0qboFd/Jva/oxNjW55dJlbP7/xzA0NixD0M9bG/HFv4nH4kpbwTf+GK3mXPxIfxAkHnka/
q26BuUXSKkhem/6BAd1PtOJx2bFoQHvlQNOXOUhU6lh55GAAbuzcXqPUz+Voe5O7U7Qo7pMh49s5
eM0Oy9SuvJTv2IQjYsffOt6tKkqftXtest8q/gO5832B2I77YxLviskgwMUEAsfwyVW2wH6ABU8H
GPI8YlYIx2zIRO0ZnncMpKtVvft5sPwF5rfOanO6VtR9wtSbCOzSjrTpLPYtxleYXeIZTDW/ZEk0
THrm0zMq087Cx0/DhxqkPEh1OUJeug3nYOgsFODdT/4DoTwUqo/D1CWy/YT7z82JeKIUvk3XIC61
vahpesquRAKNk3+8TllAb34YXjSF0enCNHyylmQlP8lq9kQQQLEJJlibvGYT0kjF2iAyxSkIS20v
wYjT6xhdlavY5eFK6elMsJcT0ZjwX9/WWjHsOuHMOB6V2IhFaXZhyP+MxBLiLYqHwruUU2Le4Gia
KbVL4/bdjanjpe5DtuxOJkToeYQS5jDhexydyVRfdTcRRnVyS+bVUP5Bz8dKB74z6jPJg86j7VCU
KLoNfseloHZ4eXcCidnn4ftpeizUwuFVcwNGu2EimA4iZoR0BDPSYdcOgnwCm8iuYPGs1lFS4KjH
LwLMarAIStFYbGExrBUPrzu6ZCl1kn6TWfIn5DkY/wCsgnQo2UT7EskNevBDNMoVTfxuBEbhXU2B
roMQjqh7RRvRYtcaAKQdrmpZRJMC0jCFL42CuIf4rXBTDE+ziC2wtHdJc5ufYKFadSToFU7tJ5md
ZQgq4d8dzRJP3iZdAknqW6m5L8o6WtXivAywoKzLVop2Qw8yx0mD1Ck1/2JEzciI2gBvmj7y8m2d
81iTdLf5cb577i9O0xwUBJlX42ola/1JHt+Eal3IEZsseV2u3E6Bmatdsp522PrnbCMrFu+UOj+k
ob3FwB686G+KRrs/7NlXROxeVrd0/DU7aQ0PuvGmjZ/zGgHbvUzAkk2KMk0Z9zlwOraPUC/pv8II
7IFuK6ArMAzL5ruvjeyieh64Hi/Exycq/cvibJEKnR+eOA/Gu8MDta1CFYx63EsFdvUxCPRjOXQY
Nt/Td9n8a5QFjiZGOyDJKlj8REbGiEDiuvwRaPYUnxPPIIUsyUa1exRR7eqROMT7nbjh0bx+uegt
PQIJv8pab5awhiWFyPsMt6d46baT7wRxF0ZXQxj18lfx1TtrP8Hcd1U5vR00HZZ45YyyKN2PvipK
55DZ8Tt5qMzc4oSfkEUECAa24d520fiWA84q1n8T93x1vBVoe1MRYZWzd1QzgtD5loG47hSYqRII
kxs0jVmlhcpdXOng3RBLAQXI/eWHG1mxXereNO+gI+qusjWPNs6gSZ4KzI/tUI7TKacwNm4M7Qav
7lHUZDwhVN2DuiGBAEFSa6G5tXSaRoHiKqap621E35JV4uqe6QcBvkxhsiepby5v6F2BX9w7Ozr5
A8zFH0dqnLEJ2d0fnEAbSF4yn2E9jUO8QBYEaVMNmJFLjQr7uBkDheTyiGHqZ9MVjotnaX7R4LSy
Q9cslwKy9uaZkF59Lw7TRB2e+diX/bYiukSCmhs7s1/vAf63rVNA1v7VspYrkqvzWQKng60sIyNU
nLN2BwyyDyoIb3LHg6axivUlXeOFlW8+mCy4pocBMDss1jI4DxUb3Z2xXC9gJOz5E0sO+3NWTX6m
5DtSHzrIv23LTlsdzhb4vMM15AAxoIV9Lwp6pWshf+8JZX+WALg8HO7J/9VsdojGr2K8ve1apT6t
qCAsO0EdRocwR9Q7jmhO4Kwi2KGGhDiIkXsLMLP+YoYInfbhtpeDBo2dtEPZb8nSm1zBRvgkF3nN
72P11RN0Ul0ad2IV9MBGxxnOdaqJKNjTatV/1kLAe8ZVjKARt9zX9SuOugfNov7FJh9ub6q/OuN7
nHUWeqlS+6lrxctrnRbYtUqtUmtm+bKQcjapU9knHIbZ/4c8/dj426lkSSGI9sTT1GaQNfeRfBoi
tR7bigUL4CWrf6bPAPad/mjg4jvcIwW/2gJjoqqQXZXwkji+Ytek4QGlPdpw/9Bd5Erc5Ar6YqGW
NZxMPuGrbGq0LkaVIzVPGqnmqf8+ge5HpptILkN2lXkxeIJ1RDdggR3JZxZU4lPVPQWuO9v0k4oK
CNFR2fGCSkpPlGFDb+i2eLEJde3WHafPBaxfX0q4rhzcyBXszKYfdyRp9jAliXfT74sOcXnmC3ip
SwWUgH0g9lGIbb66URrKihl0/SsnBwDX7Qdv+BUdURQL828E/xrdwis5YJePasYBYKjPuMzO7x50
ThWCD465QzWHKkSKf2/X0IvpLgKW5Xp2TbkSFZSMtQ5+07/ZOXkmugYl0kK10mU33czVJGt4bzZS
Dqkit4Q7T1AZST0Of4HTyADz4Jd1ktD/pI88mFxKtFxyOLTt/gsFz6ja5DkK4Y10BfxrPi+gFWbP
4M8ASVeO5O3aiVZn2E35ztMAkmfHRRI22N3uxuF8lCBgWlqtkaNx49jtnunJ+qQSkZ7qeRLLNcpx
Ge98TkuIT7PuDqZaSQ9sbLzO/eLBGD8biDcMQPqISZdeBPIJhT8zZNrd/qAbvMJHVL5EmL2rcNRF
f7Xdduj3kUbozTWT9/Q2e0VK699Ug7IH3tt2OIKK9DSZXGyxbHJmvR45B50b+iHM5e85nnT8OyY+
xb5RtvXB01Yp5AHX6bYET31Hk0KXROmyfUhcb5rh7Ce4EeDdCIt8z7j03L5TcjjOyJfF0VIZoBAN
mJWZsExFdwkz4wApsC/CG8vHaVmsAdiWjxdbWNuInuJvohm01Du3iBUK2jIq8Li+MWaH1dFcZ84i
N9Ppv7cqRBE2qy/4Gj/Pr9bl5ZKTfiV+LjscIhZVkWK9VOl7h1QsragH5+CgaOWFBECGLFKwixeE
Zieo++TdKIHT6eIWIafVkGj+oqchG9x559t38VDzv+Q3n1zOGYQSFhfzkvy9ZDjD+XNZeUZ1KEUW
uV/LhQJ6Fxbg26zGP3Zzlh4IMZJIm0S4J3i5c8p29OMWy4xIaYCB8+7/fgHVxABjAqGxbMCycWI8
wrO8JXA4xR7LlNNmtFfTt530kQokmE9ijRvtQQqK4XmJdwx44I2OuGoTdRK4wTmlppzAQhUtILJU
V/HYRQDk/ygaUhCWPWS6WGCtPThGnrD++IHdrQScYP6gGm7vbUdG+agJGdgIvNmkpZspM/hz67qG
mw8ADBIKXmaAQBsyeM2M/c88CQdw4cwHz5XRrB9/Z5JVtNB4uob1VPXnpGhj9iMuG0oQ+YakzZcI
rtwM89ZwNFa/QRvVkS6rRb9okQ1kinGnW9+ubhiYhdl2AKJO9p2l8HOlzWRw6jr3AVtwjYTFt0M0
hqPhmcvqdHaINOENknmLRJfLInZped8da6Rs/XDxNNhz/hNJ+4rlFeGAs5CqCCRxWN+374R5vFtg
wWBzJegsMYxlIJs3Fh5WenTBN566J9ATB2kfjIQt6TT1qtvH7fpvmBn3shJy57JctTaJjLj6RVE0
A29AeOuoLktBWefogOAPKCenu9chRQjDc6REMJazhVozVG1IeBM1SNYD4qhhgIr0fUPNPNuwP4gK
9g4cfIJSS0FAxjdapp/oUDA/QDcNBImXfY222nsFp7RayGWNPiZgNC/y/WF0eZhjMxkPKtIMXep/
BFaFDXKWTlGiw9POW48uVFm/qqG4wWL/hcAHG/6M5adwJzv8ZhQmxSi77voOB6CgUxqOa/h3PKRD
LgGHWzv87Fh1n7K4dWnqF4zx28iE/bdnWKlXAkZhbDCp2qIkLy9K2IhgKGEywBmlHeDDjNYNmeIK
hco3IDUSWFLTAyN0HIQQ03IV7wr9dJ1zMIVZidFCmr94S3e6DgcaGCa5np3GhvQVRRH7npmfQ4Ic
iAVgrvSHOpPpPT5kFylAyVbZuo4fhEfuUPI0OtbtB8mg0ODvshBvXptmjHjHIBKLe8fL/RQt7jd0
v4b1TY/bPWOgHTjjL3q6iR0yINg9wYYmvuOxMgkDeIR14iPjGpwo2V78QpaJpYMDpxX9XZVOFOl6
vy0g78DDLJCSNQpnyzs8Y6z+4Rzrl+1SF++NQG8YJgti+K5jEuICiH8u16KSFCCzMa7+R0dR4Efe
npsS0AmmjFcpq0y7IdAPTkoNZdegMSQJ3xy7EzXvEtsUYmc8pj8qHwlqPGJ48/iu++taQu5B55G+
9wp4Wq2XVai8z4fG/HJGuAFgEWW9zhTdVRCNVfPIBDpTu1CVvDPiWXd3WJ15dwbKqIO3pGffZUkb
m5RuPPuhQGWBmeArUGHJN0qCAxX6aEPfrvnPFJ9nmUqqgP4btrCHXgW+67lVcoj/sjzDfStxjalK
Is25LbKGSv9/w1waYBWjjIWEC1s1FoFxP+ZqBWAWDJGQbtfggSBzwFVXz0jJdCxq8GzsFQatSEas
F8KmM30jxvWcLagfW2h8yrUvgkKUc+nQOeJTmd4AKtSoRrOoKgYphC8KGp27uiUTKUWyIKSOh0rn
zyqW0v064X4Fd12spfCbN5+Ze2htxIxQLzhiAGHgIerPTrVZniUhiNXMFji6CvIKoUz1ssrCwDWe
ceQ6lUQWhHcjZ5wm63SYqeWZJ/NYtCds7ik0bbcteu1+sJcGt8sNZVlP9Wgp/hy5F8lbS4DTPhnz
Sts58l2ov+gVzd/iN9x2tzgNCYsdCM74QRuCUiPgdAXSoAl//tD9/CfsnLZHqPavUKVTuF+P9ahV
IbS8JqkqbzmyvZvnVWxNJR5NMomvN+M/Vf72aiVqkLHlp34atptntvZ7CWGk6miuNwhr6oaz3z5d
YnR+GpifHqC3H+aWMCU9+VJrJWuL87iZhJBzQi3dt0MJNagcumL6FVaiQBj5lBBkV83H4kP6qqBb
+g+Wz8HgfLlF+sZuwwKqi1ZV/NrsYiAh7auRCGx9otaN6zBi8vomNcXVS3BP9c102TwhFJJHdWb0
sbotKF9QP8yMP+AWf9YfeGczkOWtMw2gBCKpMAYTfji/5n/ceD8k3meblrwfdDJTajmfUCfcZf5V
guT7hEQcww6V7yp69wh2+FeMo5nxLiyqdhNztYWUsKWpxEGvKcnZ4MZ0xZ0tqcAyLOXkgt1w/YAh
oCPjV6533cdfUxHfVuBEIcsgfqkFqNPX64LJcbZAuyf2WEUUVYoLTEpFVhmejjpCRTcvuaEVc5Z7
kVKASc0xtrRCDj/W65kRAFsV5h1a3HHCrq49seZ7PQggrShz9QoyMihkU6O5544oJNjB0xsAQt3y
UlIP42I4mELyhCkjl1et+gPKKVZxz9EQj25XZJcjsKFdY97IBQ2/SlpysUKOn2KW7cbPZc7xPIct
elp0MLkQ6283O7s4YOg607hga0LiDmIilNwLCg00pIYagdmHipk6s7LN5T1W6xIevRFizAuXcrlb
KiKJKsXCIKglD5kjF83kP4k00cucXtq6qrIKg76wvvgsKvvBz183vGpB63Cs6zzxHeAIBKH04PxS
N4WV6D1u8de3RAoNMbxdgY6557u6qqUB62wREhQ4eDHxwGn2jL4YXVEgkFYUO0Jq1qE9jRSkykVZ
KkUrS6XsYQHK7qaA+0lvu4JQac6aL01VjU5/0M+J2xZ8oq56OsB/UzNt46IPQIg044tETLMcThQY
yeOahxeLyXXpMcyxHgZBEDjBCBUSQckQt/mv9osfFrYMUsz6/3o003uKGlVc2U+TZYYPDvcvdPNz
+kJag5vt/lnMQe1PCV4wuWT95stRSCZl/QKm0vQIgQIxh4RQb810a1BOhD7Vsn5MfnozSNi2U+na
I6uDLiq6E8sJzGPGTyqlZx37Z5NQ0JwjknudbFALDyEaFefiKLIb3qJf2KMqP1r/qSdNq17gs1Qy
yjt0npCItrkDh/RtYYYT7AZT4mDileqSl/rc1YKSjKTgOyt3lqIra4G7CbVyThXHvpzt7LqrxnHe
2e5IBAAJRzopnQeUpWAeiynMXpessRzICfVXh/b9uDJcVWPudBb9PkVa9+LTiin959rlB2846nQK
0fZcfP/WlzaozGRRA0twgcObrEkquyMQiw9dBR+uoagVvIioNZJCm59ghgRIyzIF2TsntzczBbVA
lznx+OBYtD2OG+uK5VPdoIYYPBTkLx0gbSqe+s/UK2fR7ehAMTZbvACfXVcwGzE2r86jP0Ode0Mb
LqKZCvPaqCAQjBMaG6wRsAE1l3I01JhFhF5SA3S41RFT3SG4AjneMJOEHYQ/q1sP+Mi5v8SBcXfF
AfC9DQm1v7JEA5lPVSTerVI/nbRW0DveCGqTV4c7iIBfD2LuuVawpPam8jkjsdNBu55/MTuJNog4
XjvDdTJfWy1RdrdwBpa8QbKjtNoBrdoVkKGvknMQ0i3CjuHbhbU4/FeUim4474IW+ohXoBNnKn42
BEtaYjxqccQNyhEbASI1v1PGJRC/aunqToljfe9xMp2ajYc9GTcQKtVsuTuGBgjj+fS0uGTrlcf/
Q3fJebV/OAxRx9Mxsg5o+uwdI0NkruHax736+EpKldheSuu/DHdJDIwKehLBnXbPr+TEvMXXUW2u
As8wI16rSIku/yBXJdXy2lUiiBbjZv/wE7tmFwamRaqPLGphDIs4LJOVZYS8jAjcg0s+FI1fNFF/
DPZehKfq+XjV6T5Gie+grWqutrwjX3dZJtySRUsJoJe9Q7N12dJvLS2Kt24ru+XjAUyjd0NQtsiU
mUG945v4lfhtB8TBMti3jSmPDWlg37rfwHNux9ytWL4kGUdo0n2uw3/vuiF6gwjNx/u54vNbc6HS
7oHRVNUqogPbQUjKX+p5li3vP2RxiMkex772t8xcA/3mVpwnKz80/z7m+TZHfUQ6Q6hIBJkpJe8Z
yR/pNHxtL06o60TBfF9LL2NEC1aFwAbp5BNmt2o3WdwTU/jhdjtgv1GbsPR4/5orIa/KWePVg8Ft
HuRN9yVYpas/ouUElbdnF8LszaY2daiNQd04qmzRrnhfVi77FN2e+gUiRQze19IF2nwyDLwuRLDa
C9pMZhBE1sFiDMX+6nDi/jjjDXCxjlrVc3o015XxRkHEKzwu9rv2whIka08UPuYBUAogewg5JkBz
TAPEJ9+98wvQ9F+/8Z8+/WG23tSH1Bd4kJ/x47IHLWxQJtMW6TRLwuRKffveTTzu0wz/zNFZaIEr
28qwPbCIzg1TC3i3ywN/jKmFuh9b8vU04TSAjsMtUtpgfEUKx+KhtUU3BLR+ihESeQPID/8Tqa1x
DcLqJP0DaoIbr9rSqkElNcZ4hpGOyu1/iYuhfeyHg/g8mBygOfSOF8xSdp3w/dDkTVXFMefqw3wW
1bn7ECSAGiT4JkR26y0uVzED6h5WDPmssWoL32GJ56T6WnhudnPhtLsrOCTwZZt492cb44viTuNT
usqGVhCWue/JBwzpB69fS55/9BZ3JvPdhvthWlYC4D/pK3VXFd119bdlQEth+7XT7XaADh13oS/W
M1G2BwCUJI6cKg12CGGHRW9o2hkjlixmbRs+XtklB5UIlRI7eAdkOTPFWatg/IDseaeqvJb2Kbtf
CCeWceTgcZQ2ATZWnNvAIQpcO73N3FRZI7Fur3F6r6j/138jk+x3LbnGsmJZleOKSnir9Jb6tuyi
bqNTbYoh/7epawhqiwcgT0QbvGkQf2+m3zMj3s6wexWrwcSwLCdHFofDK/+qqPR4QuET2VbF9vKQ
aELeCca/tgBEbcXLag2ugyOzqXy8VpGDv6MErTboFRfuLP0QBw9BF2OCLYBWQIJfhipfYsKZjef3
O4MKmKmqra5SJgeqBx2SbWxS2tSPtnYjFWFFeqpgbNIMkqLuS2fsGi7WM/KljpFB59aMvOJVqY/x
8SRMTFcttNVGJpn1U18paAg++Ekk0tfOsyOQ95n64bzHm/4HpFrF951H3m9jRgsfaAHU/KZ4/F+1
mSRjUuBL2YrUsQ2nhL9BKAY/qbRJbzoudAoES7Sz7GIDAnu1GWxbQ8EjKUiPqGBEKHy4m/2ZrYQi
pyXwViRHanP/SZPbbC3bTnIESHS3gpM0veYblOtPAhsmDsbZ6Tj6bLlx5lqrMF9WOAP8pmPiCzr3
j6PyAwPZL8bitW6n1tZIXN5oZw9LmqvcVafIE/ukEDNtIlVhnjyj4ztuStM756pGt3vNGb1vKMS4
CowmHYNnLtljkJgxaDZUr8N/uZpwLP5RirwJ37lYRPmGSAOvksWXavSRl1RDTQeCEBCFxEkclLmS
xX3bVWc2pjNinqHDQY2oqSeSG7VkHC25x7TUBeb6coNK4R0ohR1laH4FGhlRYnPUaDlKBns4R9Td
C0gquRqvSLsOj9vCkAp19cSuE6foQnUZiFAV1vJj2lP4vttZ14MLDrOWU1rS3xi0c8LyRp1zRBKI
n2l2zJTBirRVQgaPdjuuGBjh6e1XBS+GCWWG3ABxhrpSz9Ss3j0JguLI7NC3ogRhrVmwIU/VFxUi
INZZCUlOIJhos+Qf6vxEuCNlswoD99DL8BaAH5nOYCUijnHErJGRwB+t5zUvO90j2gXIyYMsaWUt
P9gtp9hweA+fQiebgtbtZTQvZgTmzfNSBuFyIcAA8c4AdYZH3hDJjKoZBqBUdNVpaGgkJr73XN+7
n0yQTxortmbUGVcfEUeTxN6KsbQI0Zn1hnHRinSlp8nPNYcfgY51+sJNjGMtLH9IuBxQ5h5kyPhl
kUS+GuE1l5dL9JOqM8ur1w2j0vmPu9ePjJL7lN6sk1UNxh02u6pdTslop8qNHkUwKRTb+o3yqM5b
kLqTn7nqiuwAlZHD4i3RqPRKCZAm1Ratsw9mQlzieymtoGTli10P1X7OmpAE/GniM+VFFjS/wevm
U8UjQZTzB7uqWVDpNHItuR+BQMq0LpOxu8FJ6Ceikd8PVlgwqgCvVzmtLjWD6LIEUnczBZKjcs8t
tNw05KfG297zzLdRlTpIYDNJfIzQrNHT4kqjSpf/1+nbP8w/fU/OGy3QaEIlEDdvzxh47pYx/e7o
XrKqM9i1B2LjcA7wdbudAQ8VJtbh2ux5l76GL5RK+Zi4QAS1x0f/WvfTLR138qGIN35ubaG9QZTv
V1QRTyZKRbQZ/zyvDglGe2lzBmWCWGeqijPNLTa6fE7BtmAw34Xu0yWPfkXTWSQgO9my7/Dvl2Fe
BXdlWJPYtOl6wQZNednv55Tzn1qoJk4g72O9F/HZJiBQ+H6/8VditcYzdyDGmNx8xnHYz1amtr4O
S/7Uw839Gz7GSJDWrPokC01Y0ZTlBgIyqgdTh5my8vTNfmp8rkMX/GaPdBDEZ82VfQT2fRGHWe9Q
1YA24L02WxdD/YcOlcFlHn8xgnVMF61wD9THe+DPyy8d4SYxTGH86ZdkmQ3ShukYPZ+QMa4PUnOd
WD4/BLJvxhDnMhpxrCcL8INA4czp7s2GrzAWEuRnUuV+E4ZazjpxWzXlqJLSeKuPTP2/gIWhdjhP
9Xd87uvX9ClsplSj5XKbcqAPt6LS2VX8rUyz0RbRT8d2NwD42Ku0BVWAtSyk1W2DXxsSGrIXurjJ
QeDyGQK6N48+2WAe9u7QBlOOmMcVQoYAm+4nqfXdqKEra2hR20kdZdwAl9MGeylpqzym+yLOODAv
WIUh2MM1ahC61hpIHXUOzWLfAfC3jzMxw4UlogJSqTFSkpRFHS1gtxAhV7BUJh3m3jpYlBHSlKFG
i5/A4B22iSx1W/1P52et5Ng9SbyJsl8Wr9727quBeS+mm3JAvuiVdNTnVOWhHgHSE2Mh5UQg1Xnj
iIh3hb41UI0BhuT1zmInH/Dc4N/aYzodx9sRczs8lC2Xrh25VCbYeqHmqjD5K5K3unE5G5T8VZq2
Zci4hu/wf+zkTPoicNvgE3yEPCkpQoKQB8sgUue9Zx5tYxvdIfrAxCs78C8Ye+yhIPKRo1YjC2s/
g7Ni+sYqmrhnxHr0AgAdbAV5GZqc769GJg1XxueBDha3sgzoe1FBkn/OjvDQotZf7Cf8ThprfdX+
isa8CiftmmzT1NJZvrJQsbnrMiMBl6TLSgf95Sa49oquu8UpQSXoIR0KLjdlG1JchtRoIEvGpdkX
C/BQBBJJyQI744AbDj7pTeRPrUqpFG4kRCL5czJeBxpen8EXaHaORfFkR50OI2L3+hzGTCt02GR2
gZL6TWSSQ+Y5Rn1jfa2gPqfUAuPPRdJ1sJYjd5v+uFRruc6ljwjGbuSn52CIawr4ybmvwccDjO0W
lhFrVz2V6WKHEflKe9sxE7QKYnPw23nfENaC9CjFGwZeOxiF2v5L/+Ad2HN8SkoBlS9ENKQ5z9zn
ZRXBNsKhlsRemJvsnWFx4fxBVd3KUnu8LHYilBooT7ytqenslpkJ1x+aSr7fg/4nSuJ0iGO9HcFc
cdV2zT8z9DgmgCxbjvKmCa6NdFgA74jlxYiF52Yu4wJpbwrNFrKZUVJwUOgWMZfDWhd/ASHclUnY
64ldy4mBB/wLnlL2Ft436qJFs4sk0eb0BuR1MxLjTcRPXvvbaaj1I9mxw7jmt7zJCpIfhDQ/HPo3
OrJexgqQHovFqYe4yAycScaVij8ZQYBjDwq1Z8CYGAydrpyOJLwYTs+J2Sdxu0C4JxyKHMcFw4wW
AvBS0chf1ISeYsNAmAwiSDORMo1GzLLgHjVDaJziRLpqFdi+BEfdyempZBrQqJA6SbBgYvgdSuy5
rR5asNS2y9DxKKxF/uZDZD3HBHOhyR8x/svDggw7H7+AUrdh4wHRkRky2SNtpd2hyGfhp/MWBC3j
LFmB55EtyhaVlnYUERAKtmjIEWUgCbBzSbuK/1+rhDpBG0/rAGL8se7yHp+JE6ewqP+151Nsr40A
4pfeZ82eZqNDxfJAHSYmJq2SUYMY8aK/koZmbSSkJip58DGao68HnDKAeurTyKdxoudCaytESBps
P/yZfldINE1A/wqNbEbJY0IsY3kfH8cE8bWOHjrw1xMd5PBMRKPamdIGAohFO3YSmTZqq7E2jx8S
6CYK8dPF0CqAuhnXNkDMk6L7YD+KEUnEqIkwYr0LpoKvPpS7svQRRMB8wTooPzR+LZumCSI0xzdW
qzrphMTRQW8VBEHaL9YWMQpQyFQlAbL4D9Td6bkwKhbVK71JZIfrzuwhyrg0yLg4FRk0bo/HpttG
h5vPUiZxEUgh+nWek8kBgKSMVqe1+x266rKRFTC7ByIC+eKnxNWe1IHDS2lsmsG0X3zxe+Fmu8nY
rMhzGT60AyvxkFgByTW2WPFxt+oQV74tVbC21y6q9ydTR6NIhchPXrqF3Y2e5SNL250eeZXHuqcE
uZq9LS0o2/CtPkE+Y4qNU9eSfSSnrdD4R0rd5xWqkPuzpqNUj2WTZ/v6ESKb/ocuvGjydR/+O1ud
2+3/DqQNVbqUiy8jHmKRY4bJPakyPFFV2v+Z2S35GgJho1ikvfQNZVaZ3izbYGU9ggclJj2ujraO
GikUiZs3eB3EkHhmkxyjcoHL3JjncZodpaUUjQNJ8fpk2eL4QXNnajUhouAyngtxcoP5E7stYuBR
+iGrm/BTduCPxodHMnRS3Yo68c/C8jo4UQkJ8RIlqNODbuj3f+bi9WblNa/Y19HGrNgTpWyuN0jZ
RGM3sxhJ33v3AEBgv15/Yr+2RNrcbly0UZpMFHP8QTWyxMhB67b0b/+pKasVOPytuewg8DMUzDps
evFZzeS7T++bNmlg7spj6cnSklfcQQU4wpUMU4ri+QLZ5yvgDTThrHGKzsFi+Qkr2uKkz7kfcqYq
2Dpv80L8L8Ayu7/6Y4Bq1OZxJHloCLqgJ82/YTFNSuYaCDW25lpRs/K4sOtMnivvbYK//hvGKQYv
ywuFKUD3rYR7beaxwVFmQy0KxEFwmiYrRq5vBTLu+hZvpRqWMm2hj1ze6mI4PphAsi8L3dLCkiOL
DMfkePYjpaAfSfvHFVSVlKJ4bgItQulIFJzCvYSuQagV9+mv8ju2G7W+JCUDlWwNHTmwCkYKKc50
8VlU5FnEioI/rOtlYQSbStdJDsgACAZZtz/ipXKVstSU1vaBc7oZ2fNKwd2R2RwhaZ9gby43YmfO
DO2HMR01f6kBxT4oqNmG8fn2gHJcic20g8YUXB3z7Cgc2a9jjSWg+F/T3E0zx1/Pdh1qCS766/kG
11UIWzwQ6L8hMfvzGjKUyeLn2DIXc5gWAK/wy6a6gKfYm6pk2YF3Im0bhxIO4fAapK3XBlkedbAM
j/nwbjLvjXvwPNE/hs4J0ecDhAql8LItcwYjRfyeTxmvaRPvwYvO5Ex4VrolcY6Mn9W+cLVemTOM
QPaE8ESEFq5kZeC6codJdzk93CpQuLOXtmGYXZ1tnKmZXueoEPIKwXWzb/s6/hbwnbb7Am31t3nN
JA2OJQ2DCXd2QVxmpD6tswKrqd6RfV+6XoY6yIUgP05ycD/CYp41efBfi2OHpMSBvtV7kU/OUgjV
RfdZm1BY+ra180/9yaa9wbRYzUAq3HFyCN13LJkHkv317HAExbqG6Pv8sMuoknJ6B8infDMX/2mQ
vmewPi3qtV+qUgmgW3nLBDebyyBHnrHA6AhHTx4+UI9fTAmPgQECwlflroCpXl3KjHmlCVs6cxQk
pYCoi5DDQznl5/MMWdc+6/S/TVjT/inW/E4oflzx/c9pgTVsxgIVc7vBSg2gs9xxf+mA8WeCNzsX
KchhzYd6FVAd5x309eTXXth0sLKX4RfWgcDZT9rjqdAgwRyt8g41xITruQ8fMngxbYDjB9Bt0GSv
RQRaNRViHKdepO963bAWrCwXftpuK827o2R2HKu6zjm+umDZb1HrYPaG1jvPSGoAqn8HzpSPvLFF
6EFbeG8C5BtfsgpkcCTshH6m9nvm/aWcDaRmV3MKzUabvUc5j4Y9FHljQLMKt70zxrj9PXTtc0w3
vBMHTBsRhTUVlnGzvUAP0sxqYK8VCBdW3oE1pdOCBhiR4ddNavttFg4GJlujn0kXVSlvREyjFiS/
fWs7HJNd2BLRVtwfTFS8DB9gLkXUHqRgM/fIkmtg6vh23+znmydHixAmu+PTBuVhhyFye5WimTKv
5mRb45o/WpXP++lOqzhGYL+X+1Ka4hiCAOkJJ78BH9xeg1vFELtLuyuWZD11DvoDWFrsl1CJAzfm
+0GaB8ePYqZYstG5X25Bk9XfBpmJMhNmhq9zrvCCiaY1YOIFN8rM90z6c73NHGpgveytTIuF6P+w
BSiSdomEmmXUsW7llQ3Sjg8JEQMhhS9k65MWr8cSTjO6OZdT0vo9wuhHd8hOO95cnNkGgOJY2VPO
MMqbCmk/3ESOJe4sHBkTVd7PP3f7vNG/GPGdBJ95htwSBqm4JuJG5RjNV0G7QXJJInADdAZzJKqr
RDpv8VW/x0RHhmtoB0kQLykQUFzg7q7de1dMVvtPG3JyjFACs6F5gToDYNTXCIXvTDwjqIhJhLvY
B4At4Y9H8ZNOk2lNXo4gaA1S1nM4sCiwxbVAb6dWCJKv/n2uYGgV3FhTQebs63ZHAPPq9BLSCi5L
aRiCIC4KraDSDq8t0ynH95xL1FeYzejmtXp47+gforgVcjy6lCUqsqndp+z0y75ZUC/TF2WoNrtp
c35Ah+wdvfa+dZVXEndfRgmHahGvjzU3w7P82eeAO3paIEoHn67oMC2lC6WLtyysFlm5NZv8cfZg
6B4yqZ4qgyx3/d6S+gYFfOhfcx0sQSirJgrU2zNtCXBlJb09BeGH6anSZ3fhdtIO/y26qTL8qJRV
d11/Dr/tg/mNdZvUrPIWUKjYJc/Ga+f84qPCqg+EUfGYc0cNp5nlwND9UcLzA2uCljl6DRL2tbCy
hxNrIhITKvQ3r3DGQa3zCnewq3buXlU72S1gs2SoKQ9HWCpF8RsJg3gIonwaQb77srhWdGJvGvqv
qR9nyHIMIMM+ynO3RCbDwBbvW+HE1PtFJeC994UByfqEV1H+SJjIGVIx17j7tkY376nYa4Fuv+Yw
s8wmJNm+n2FHkEZTuVh211K9dycNbPVhKxbDO0xVpkbqs0x9KUQVeILek+UUeDUhls3UqfD+C40P
Yv6MvmB2TAPddrhgKb3q0SwsU5FoGEb9ph5QdWiuwPsF3X2MU1I3aEF2z43arJh0XUPJbxdpNWws
oydcFu29b9n4KqYjEEx8NRqpSCLX2oT8gV0aKJjdhkJpDUPPN6jsbK1zbz4vWEkkFtPI7n1m0G9g
c2xoeA3Jq+BUe1yvr0k2Qqt0AAZHceAsuo9enpalKd7w4YuTUBuZfin/AHuVZ06ReIBE3CFO9ZeS
FyKtL/dS/A1z9Ll03LGRDT0ZkEHKoweh8KbsqM0Uonrpri/FGgX1yGP7e7rRyz2/z/CdYJ0kupu/
TKob8hHz+4qOhw+uWEVfyAEJdj8nOY/skguomgQGGzzK5qSuQmmRZzOddc+7zJt8UD75TKb6Qxqx
X+18Wi1h/3csq4onnY2nrk3bo1qko124dCuYhq18vEl/7NWVVzKXlF9EsXmr8b0Nex7KeuHaZLQI
v0n5mm7l3R6RJiUnc4FATeckTm4i2ynmwv3tOQhcYtqsfxvj5JDVvUllmK1ArjhtAXk9c1M0DeRd
TRDQvy+j1bC1XZbyA0wEluF7js28VterytfMXSskSqz1X2L0dTf1nHrMMqHMF5+Ivlw5hjVQmWD6
YKLHNUNdoAYQHlZQ26jaRCcV82y9fJf+JoKv3Vj7bNK76ahGaQT2J1qwMHgew6QdSunCmwToLhTy
+3YMEmbwvT7RmO8SJoQ+RQ4PGjeW0jGIbY0wDiqxnCjYmGd0lFgYzYOmOJ5N/RzsLkC7NS63bHsw
n1wPMXQz41eta+s36stOPl3Bp6a0u4mJvaTWC0pDmyhamyx29IoxH4oiA1udQrrCBst9pzCEhzWW
I4waXFCqkfjUhtEjVy/g3P/Nxi/YzWk138+Vh+zEtU9ZZltiuzS16GWl64IdULuunu9fXsR4SabY
uHnTpaAGDUylD2T2YRlvHVy/eK23woFxua3FU3xsDZrlLQbE+kA1pemU6/APT/owVl7qfXF/Mdwc
fZh+7EfaFMq1bcGrsB4DdmwJpi/FtsAcZ05yshRRkfOdaYpimgi3GfW2zNAGQHs3zlV+NqhTip+L
YdQfV1RL0yowSHz21RzaUvdxbJgugcpq9HwWnoSJhf9LzScKys78zPVJL8nI+qToWHTaf4dwAw+F
Cal9CFSRkBA6wXZHI8H03YPx/Q2+agNHJbSyttTqDUGmchk9rEdZvD5KD4V9tv1kCl4kFFnypvmO
kBjjeNaNpdVow5FAqqHrcI0bElBdCJQKJLC54IPG9cLHEnjuifpFuzWb4RdaCXOTw1OovjYmXJKj
TTSYgA4SSp7zGabm4ZCItnkiSzCOCTswXcpHg73hXMSLsl4zdidns8q+b4nFGDmRmx22PIBclOFq
54oaV+CrzKjj8aeoMoxKmwjlHBjoQgGMku2DYgvIcb//TEIDdF2f1jlIjMhJ6gi4Q7mLt4b4OVCv
nm8lzEhzOc6fudlUMylfdLLa5PaAjFN/kEtCpVtLrUFzb8DCglcbcErUIfT8lEirdAWJguwrr+xq
5YtY0Y/c94C0o66ARHlyuUzdDynB0MaZfWPABSblefuToVeRy3BeUGIa05XHmvnyo9qIqFne3oKW
kCBJWwPWLB5Jx3xzpQInAbMHTo4W0GSxWKYvPZ3g7QxmcsB+Gow7cqHQyMakcuvGMmorRVSF8HrR
UHe/bdgteF6iR110U+oFsNVGKTc/XtNT84i+o3pW30+XF7isw+0dvYIUlEQBDC1hPMdbhRe26uWy
VBnIvMy3i0pvx/2vSBCmHnRzpTew9SoikgJPtZWQpSg0yafLKLn89vvYUAWyQRsg0giTFenmJlpC
TGgY8/4V3DJFPYfeADZCtn1wBk3cR5UA9CgfI1zg5yDSFs90qv4t25cdwblxeUbwRXDIqesKj3Z2
fMs6Fhpgfq9F9weGA9lg5xilmSTy5h79dv+Xx/JvSadcE1St7c8Q7gy5VSmOaG23VVUjaB9oBBrt
DAQIxhRVcdrNGmhltLQ6fGzf8nEB49XCfQSOr2JjRP1+EB+kwNlNkoh06Zrsd1ZCsb0vAvhSRBd+
8TEw0rVLte3JCbORNM2t96IobxX6uZWFoyw28xxsA614u/TDKWx3fajILyxcI6nSGnWkg8h8RPe+
tW65FwE0kYTq5bPQR4XjpHJdZeLPOYoalPyHlgLgE3CM3o7YKovEz2X4uFsOsREPIysWIIMVdTjJ
gEVK2h58gmhPzOBYhbfASN9oBygmV4QIqy1WWn9kmfXzVyk/ZMOWtk8kXH6Jtp7hDY5F1yQ6thF5
LZex5esOMH6rn23mJbBOppanGwDJa6Vn1WoGnGuZohDQmXcxl2vanEqBaUjxbXLYR2F4a0B5NYA1
vEG+bMEkHoeipVsxrd/YoIFydaMGLrCSDdTwD/zeMPSLeBwHdbgCDrZZVioBUPlqXzjrcNRWx2f5
LxjArE6pLOQSdDyU+4AC0AwLPqoI2nAtKvsItyqF6LeotSjho7MhmqDBP9mzsiznQd4AglgQKx8h
Hmjyu+dBSipBRWhb7SE+qW3aQ++alyNoX+D9rbHwHYcFoTCV0ufU3LCX1aVZQHOqsk9jgMyB0DuH
iFDNuIfdbtFjlq9W+6NoMg4iUt5hAFrrKp0iF2kI9ea2vEjykw99E9mrY2y1dtV3CSu23B8uim4f
BlC0CnWUTS7AFMnqKMJBy78kDk3VuVeXL5JFirV4DlLJlyDTGG3/9LyTjHZA8py+Cfj9omizBEXK
oEq7zWPfhA6D7B85b6ZggnaAmxbTyEpUbLlmLZOf+/BafaBjrgpGjlboLeQwO2/fd2HRiYBkX2au
TGexRYgATCIbqltCRh2KvxumeET1a8rCE56czYHVgOBPR5AZe6FwdtS/G4LsGrjJBtp1wTZaZFmE
pOQJhFGhBvu4fM0yZ3OhyYTztQEC6G2GZiDfOFQ5X05iRH1t+4rvg5Se0dFkYhA87aGe884K6gLE
1fxkOQTFJzxxWgGlRxUZM5eqmEsQrPod6zR8jsq1OdxZkgx3rL9HRBb/c4tElP/w2jFtLMGmiIcu
DL1WpcoW+8xVYistwAefO87mH5fA7rDAduIfv9mi3rYaXXgYu5BBd+zJSrJ5Wgd4jFkvY7RsshSB
QW8omg8lTa9j4K9tb3JeYvlINopNeTyEWo4SrSft83FMVcjNqSY41YU6Wl0+3/anDAxiMVyaA8ih
AjB22gTs2eUEzChRXX9Jt/mIFphSl513rPVsLv14nqbPdv3UEwMAxErt+cpMqDmYxHnYa5XRjsGs
MgnPRQMdA9/4Dj+fHlccLX82i2WZKbGqV/MG3HJoscQ3Ge6Wp4nv32cvDA/+X3WHCNIauOg3rDmd
ZBAO5gSIfXoRefxbg63u3EAVD2qPKlCPygnr65lLGVZXpT8WjqiaDcQywIIQPzdNrtxqCo8Hl12n
b+/kQxfPYjolf3SrrsKwkTw8Lfn1rCFZl6RDoXxGPLUMqzS/NoRcH3+Ktbue0tjncqc148PPkpVc
msxLsssjV8BX0FLzd/M5HIpN7lm8QpcyENSU1p6vv2zaCVORuLlSkE/G+BVarNtP9jGiGm85xYMM
FEglqYnEHxy/KwHVM4OG565JLuWjqhjomVASJsaQUEEGKWwncBW9ncNNBNLxIrRlRZenuTKsSTK4
ICtce7YMRTbggybHCYES4WtkffLX5RchjM96MTz6rbDticO8N4M0E0hBJ2aealWYQty6OhIhGUBS
5UG04w72/AqMDSqiszYwmrkUt+PzCrtA6ZX+DF/XsuPLsbfwJvfxMqsCGPc9s3Cx5CzJr35/TyuH
2diGaeFC1/VPTGjjpo/lZ24+jRQYN2yE9FSYDAk8sx8BQHQfCTQj4wZjCPNA4xmXAThmNZ9A+aeZ
x0sLZhqGYazlMtfZeukGbTCIWhrqIedbkNkWdTIWOcfaR+ISFA8ygtSTT5noJzuYN+senOR4IPmV
j/byEzF3CPiLm0FjTCQv6I3Sn8BzvxzC4vNg5iRO/XmRIw9rTviojglNwR2CIjloaZdzLMDaGqZN
sw45TJbaVZ+ySDvXWzL0FShdduklTbsgJ0dzpyiSU6K0mW7v/2PjP55Z/gXtoiP/Boe9KqxWBYlA
GwGMUB7xjBZpQUpLpGGaVBzz5E5bkrb4ymAtQgV4hEo7fTrPEvzV7O5Pae4xOadClERj6BlwHl2r
S/djPmdSOrg0g2qYA31clrhDQAhVKuG/tgQG8+YGMp5FQP0KIvtHMlxvcVVHyaCBIA+3VC81EzIG
3dPHwSQnMgdcb/QNY1jFaJZtxSWqs/mZsZb9/mg35FrJvzwTkbxDgKjWKxEWx70mjf4Ima7gJ20K
rnC8PX/IysQx6XEwd0cFzN0vZznD9MLtYa7xMaxxaLlmskL19jQcytv6oDs6/sNtRsGjMfFKOKv/
P6wXslfokiksOAtY+bArrcbqmMBfsfl/eiCK5Vbf5NUJqrSUmzlnYK3542nfErP4GrlJwvJq0kH/
byedIVTFgyqnue2Bwg00rpSVMmvA00OR85//EqoU5DQbuJx0R5XHrCj3yyrI86L1pp6oNNtV5Y9O
iD0zBJhWQOwCugSIJvMs6vZ+49sytcEPXVYw3n2u8IhmL0Mo02UKUE99sqbUbvMCmLddZPYRjy9+
iVWbrD4PdRacrvNvQBzSnQyaYNOejAQylJOn3TJpBeDSqvvaniEu1+XPYFWvPj9xlA+lz7Qf+dl6
M646q8kd7u1kD4dqwFpPiQ6mOgVSDU/09hhj7XdW/hOHIizUsaixUBEW0428Ylv20/sQZskwblBt
1Oi6GXnW2I8/i6i2N8v8NlHtDwj7x/H7+3tjrq/xTHXaM3ARgV6fAeCI0gPYuF9qNWgff2daZkLT
B6tBiehks3dJgy6DrkNbSr2bwVpLqBAN9C8BT6oqkjk4nrXwg3a6E2Ry3MOetB0hGRouAat7sEPk
GIKaVd7rgYaEzkcKyuSgHbGw371fJvgnwdp5j9AfjDBQHdczM55HcVpdRFavv9sVINZ9JghqIZVb
aXKJSEdQVE0cSGG9oj509PsNVDu3mu/hg80Ai0pkKtnmX71Tnx4kceXKD8UzCsM6BeVlPG2dSeD6
ALyWZ4WefTw3YHE9Q02B7paEClgVfW+WM/zDhkxghOiwX+SEOJlS/JHqYD6TUtLxnkX4zRSWQDN8
8ubLttHLmFEK25AFoOuqRBsS8xPZI+f6PwpZnECSEHbJTLFmPpWg7Zwze2tAIMrkx1eQVMb4i+o3
pTCONOnELD2r1umG4cgz8rXTiY7tVcENf9nSBrI4oNKYuc7UHd+PJ5udzKp2VhmvKGuhtVRwUkjW
pj2mRPNWuEBFVyZm6ykyfK+cr0RyfyGuUFC+EGeAT7wL/Bl4tzrflwMaP2PmiGfJJWpI23UfHYaO
cgw6eUqFqhpGZH7Dfnek+HYKcQ9exUPnSB48tkdPx6FDcDzC0DsRiE3rqr48xxBW8Nc/TRLFkFHq
JqeeL63s8vFZo0+/I+P8KBQ4r1sbUoB5h3Q037sqSevvvsjQK+gPhl0hbwsy5+MX41dS+q5eUnjf
H2vUrJ3ID8GqwBtnh/+PQCUYIwviFq/hYdxg8RLeXpqa4IUOphvahca1WWnzZ34A50xK0e0+NMZj
TP5oP2hb+UgvePziEOF0DKekazcnFR20047RLxREtq3IWvbiLUyzoDEy8h4RvXCe2IZqoLhQxMmv
q2yv8VPPDFcBZYD+NA56hYv1H6d3K9jJd7OUKrk3D6XBFVnr15yTYUpWfUCPXnxM3hyotUrxWD+b
T1tPqqyHIlG5TAZMHCUZL+68oCxhh1KXQL1hNFOmBtHVxkmO06i5BI8DssjfuvmXL3A8J1kPJb6/
lLioemCoVCiQXfyIDyRiZVI0fix2D/OEspHvW+xhVxP10Z1o57YqMvANzqHgMQANftdnj0IftSdu
dDTGEE57Jv8PBcpTNjUKm8sQ0XOYMSFcBhDwIKr9s3Wi7hvGY8MwRFtpQ+cZszdWUrJeImLkAr66
c6XiV78e5uBAblnxN1qRFsk2E77ThdBpfPMDEUySPNaHP1QZsR24FCQiKuJBPPEDjsW3xnQOTPJf
rNgKeHtTXRDOR4AyD99ri+ns9laUxhtvpP0keT28o1IoIbKi/KNhpJTTha2Lz21o0lw76lZL0s7i
3nrrRcF+srpwfgzfnbUEWBlQxvRIG3idD5djGr+vNfsvtFudqOEM0Ec0J4RtRrMPHcISaa7MWxug
oaoMpxku3QqQUT740NWVz9g01NgrGcA3NDbnONAd3KfXweqzBTvi4fuPDGQ8+Jv8+HYP+jZtC4x1
m3g2Gt/+RUisHoikXKt5F2bHH6iBUXCk1hjrQn0pLMwfGcU5S8Od12PmO2TmW9PqnYATNgKuAO+e
+X7ZAnb3nSu4O1TApjU32b2y4EsN1HbUp+OySsadIh3PSQujrfDLx0NuW2FPdU7Ij1Ettd/EFMUG
qqpt8Vo5TC6YvGHfbgfcYLCuOnwOvEAM9lhcJ0Ds6FQX+Gp5+ZXKC3pFbOtE2ixMsmgnDd4Wl6zX
lEBEZZCHijwsvMXH6hc8QUZFYeDp5SOWGJVSc+GjeUQp/Pql4FKYbAVkVjO/r6N5KVI9D1/v6ozK
7zo/790rR5oa/gh4zGvD1ANmtT+KMskA3ENLljeu+6J9LIkZQIQnBZT6ODR8TSZul8Qw6inEzFHu
ZWKfOZh0dpIFmkO6lZWY4VSKpKc9lLshHz9SdCFe0+G9K+3E8ZZ3UqpVO+sJFlVVZij0dbU4QSbY
+fht/pXD6WBUx09zLsfSka7ugwokuTHqy7baL/9wdmeZCFijCGsEjM9YDVli2If4a/MXbfwDPfku
2lNgtWsnc27oGCdVNuCPYaP+Jd/IXsv0rAje/nKEIwW8MtlKTt2DNFxPIngA7SvSRIt7ZwUAOG3H
2e3QJ7J/kpTWzL1uRSc9NOIjWERc0gttm5RKGsmojTMSF72eIsWRWXjHVrlWkNMbKcS49tQnGFco
fVkqIvhcd+a3FY7m0/GnxyqZmYab2VI0orQziwDj3JiIftYaHFeZojbVOi3PHgsy7ETkuofPLUIN
IpwgrWDZk5q49e2ydNfTipbESXChitI2sxdRH5K/7kYwsO5DV8duArS0uz5/0752eRJ5dO/ZSy0z
ta0fRf4j1Tt5JF9gNfpeRV55249PJOVB8NiDMhaffRVNfJa2QhTrSaw3NVoRLWkbOxcgWWNcamp0
jGNQGBiiJzS3EjOB2E+dUndLny6ZJKGIa98jshESu69I6XDHx+1Mg+ElmCdC4OI3wETVMSF4qPxx
xuD3bgIGHprBd2TSSCPtQf2z4Ojkku92msvO8aF3+1ruTS/7GAfy5xiE+cYHSXaQxV54+s33CStK
IjCntj8sxTHLK/Ib3zGT3B/jrNJV0nKN2eVtyovSnYuDo/6E2/O5aieE0xCe+Fx+h8FIXeMODAwP
cs9GWZTxgpud/jxMVTLvmbHDSC6DqbEOHQ3HRVZBErmga3nKwlc8jSdXVlI2kebCxyB1IcZ6LS8G
RAYF3rZDXDXoWc2zCE1XAU2TjTLsWR+9vPgYaeaSRDBbVTQCw0fepc6wGpUVxPcqrNeJhPjZhBgf
qBNVRTACgMGD7xBvm6VhXiJuIRSjrFp4ZmQQ9h6aHYXeq8WpOuQSuAQ1rkrcUKMOSCJ/tB5gOwuu
37ytbP1o9htu5H0v9WXAvUzCAWlLOMe4Wv00r04nvXUBZYB06nIQ8uizZxfOEv7gUeXcVsWA2bKg
HHv9v7DrcWRJeJnCevWxL8vHT0yQxLdjwbKM/ZW6D7LS/rhgYo8KqvnPPHFyEhAGy9ygXcapie0K
b/iN0um0iyXZUqYg5D85HZqIZCUxB5ZK8T7aq6Ts8fd31GSJc3rD3XGa/sx0sGanWi46kJEY0cUM
E328tzGf6z2zxZvW0bQvbCaOesU07aZtdqsLW59HM2h93MXLK0DeNVNNDSdOYlf48jnqwzgPYFN4
PVG4KoiNVeSbHG5QurAH0/vFPxFbIlzbmuiyWG5/DAOwd04kCoDe3NHOisrY8IeQX6PvEtVv0POk
7FRcE5GB00ahV5ssV35NElX5sUPQETh3mCL4m3tZVz3JGzbd2l1PJD+huEP+poN6RhGr9yTTLUYI
j4W3e5NLCKKCMDC5NB3MbGN4lPyIS1+rns5AM9hMi7R1tF2wL9XyjYJwFa4QHFU0nMV29zWd7kaP
Dnl+n7bsj9t8K8jAsN+LiN/4IPnnbcuyJiSu2iNbvbRXnVXZHoTNTAip6XUPjJH0ODuRoZh4Cx+x
L/fxEUk2EhfzTtNvxeUmv7TeYHmi4aLmzYAaWBkof1piG1C4+mzsIqltny4WP3yAL+UlsVAxgZE5
KjFGfow2/0zD/Y8hRtki9EX9++yrUqTyygQMl9bKkm5nqUXnfGAWlw==
`protect end_protected
| mit | 1ed6edc95187bd26f34dd76a0687bccc | 0.946615 | 1.83966 | false | false | false | false |
spzSource/MPFSM.RegFile.Sort | MPFSM_RegFile_Sort/MPFSM_RegFile_Sort_Design/src/MicroProcessor.vhd | 1 | 6,163 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity MicroProcessor is
port(
clk : in std_logic;
rst : in std_logic;
start : in std_logic;
stop : out std_logic
);
end MicroProcessor;
architecture MicroProcessor_Behavioural of MicroProcessor is
component MicroROM is
port(
read_enable : in std_logic;
address : in std_logic_vector(7 downto 0);
data_output : out std_logic_vector(27 downto 0)
);
end component;
component MRAM is
port(
clk : in std_logic;
read_write : in std_logic;
read_address_1 : in std_logic_vector(7 downto 0);
read_address_2 : in std_logic_vector(7 downto 0);
write_address : in std_logic_vector(7 downto 0);
read_data_port_1 : out std_logic_vector(7 downto 0);
read_data_port_2 : out std_logic_vector(7 downto 0);
write_data_port : in std_logic_vector(7 downto 0)
);
end component;
component Datapath is
port(
enabled : in std_logic;
operation_code : in std_logic_vector(3 downto 0);
operand_1 : in std_logic_vector(7 downto 0);
operand_2 : in std_logic_vector(7 downto 0);
result : out std_logic_vector(7 downto 0);
zero_flag : out std_logic;
significant_bit_flag : out std_logic
);
end component;
component Controller is
port(
clk : in std_logic;
rst : in std_logic;
start : in std_logic;
stop : out std_logic;
rom_enabled : out std_logic;
rom_address : out std_logic_vector(7 downto 0);
rom_data_output : in std_logic_vector(27 downto 0);
ram_read_write : out std_logic;
ram_write_data_port : out std_logic_vector(7 downto 0);
ram_write_address : out std_logic_vector(7 downto 0);
ram_read_data_port_1 : in std_logic_vector(7 downto 0);
ram_read_data_port_2 : in std_logic_vector(7 downto 0);
ram_read_address_1 : out std_logic_vector(7 downto 0);
ram_read_address_2 : out std_logic_vector(7 downto 0);
datapath_enabled : out std_logic;
datapath_operation_code : out std_logic_vector(3 downto 0);
datapath_operand_1 : out std_logic_vector(7 downto 0);
datapath_operand_2 : out std_logic_vector(7 downto 0);
datapath_result : in std_logic_vector(7 downto 0);
datapath_zero_flag : in std_logic;
datapath_significant_bit_flag : in std_logic
);
end component;
signal mp_ram_read_write : std_logic;
signal mp_ram_read_address_1 : std_logic_vector(7 downto 0);
signal mp_ram_read_address_2 : std_logic_vector(7 downto 0);
signal mp_ram_write_address : std_logic_vector(7 downto 0);
signal mp_ram_read_data_port_1 : std_logic_vector(7 downto 0);
signal mp_ram_read_data_port_2 : std_logic_vector(7 downto 0);
signal mp_ram_write_data_port : std_logic_vector(7 downto 0);
signal mp_rom_read_enable : std_logic;
signal mp_rom_address : std_logic_vector(7 downto 0);
signal mp_rom_data_output : std_logic_vector(27 downto 0);
signal mp_datapath_enabled : std_logic;
signal mp_datapath_operation_code : std_logic_vector(3 downto 0);
signal mp_datapath_operand_1 : std_logic_vector(7 downto 0);
signal mp_datapath_operand_2 : std_logic_vector(7 downto 0);
signal mp_datapath_result : std_logic_vector(7 downto 0);
signal mp_datapath_zero_flag : std_logic;
signal mp_datapath_significant_bit_flag : std_logic;
begin
U_RAM : entity MRAM port map(
clk => clk,
write_data_port => mp_ram_write_data_port,
write_address => mp_ram_write_address,
read_data_port_1 => mp_ram_read_data_port_1,
read_data_port_2 => mp_ram_read_data_port_2,
read_address_1 => mp_ram_read_address_1,
read_address_2 => mp_ram_read_address_2,
read_write => mp_ram_read_write
);
U_ROM : entity MicroROM port map(
read_enable => mp_rom_read_enable,
address => mp_rom_address,
data_output => mp_rom_data_output
);
U_DATAPATH : Datapath port map(
enabled => mp_datapath_enabled,
operation_code => mp_datapath_operation_code,
operand_1 => mp_datapath_operand_1,
operand_2 => mp_datapath_operand_2,
result => mp_datapath_result,
zero_flag => mp_datapath_zero_flag,
significant_bit_flag => mp_datapath_significant_bit_flag
);
U_CONTROLLER : Controller port map(
clk => clk,
rst => rst,
start => start,
stop => stop,
rom_enabled => mp_rom_read_enable,
rom_address => mp_rom_address,
rom_data_output => mp_rom_data_output,
ram_read_write => mp_ram_read_write,
ram_write_data_port => mp_ram_write_data_port,
ram_write_address => mp_ram_write_address,
ram_read_data_port_1 => mp_ram_read_data_port_1,
ram_read_data_port_2 => mp_ram_read_data_port_2,
ram_read_address_1 => mp_ram_read_address_1,
ram_read_address_2 => mp_ram_read_address_2,
datapath_enabled => mp_datapath_enabled,
datapath_operation_code => mp_datapath_operation_code,
datapath_operand_1 => mp_datapath_operand_1,
datapath_operand_2 => mp_datapath_operand_2,
datapath_result => mp_datapath_result,
datapath_zero_flag => mp_datapath_zero_flag,
datapath_significant_bit_flag => mp_datapath_significant_bit_flag
);
end MicroProcessor_Behavioural;
| mit | cc6fea4ddf36c28a917bcf871269bffa | 0.56969 | 3.248814 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/variable_assignment/rule_006_test_input.fixed.vhd | 1 | 681 |
architecture RTL of FIFO is
begin
process
begin
SIMPLE_LABEL : x := z;
a := b;
CONDITIONAL_LABEL : x := z when b = 0 else y;
x := z when b = 0 else y;
SELECTED_LABEL : with some_expression select a := b when z = 1;
with some_expression select a := b when z = 1;
end process;
end architecture;
-- Violations below
architecture RTL of FIFO is
begin
process
begin
a := b or c -- comment
d and z
w or x; -- This should stay
x := z when b = 0 else -- check for something
y;
with some_expression
--comment
select a := b
--comment
when z = 1;
end process;
end architecture;
| gpl-3.0 | 1b01d573b88abb053b0a34e156fcacb8 | 0.572687 | 3.681081 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/vhdlFile/concurrent_procedure_call_statement/classification_test_input.vhd | 1 | 445 |
architecture RTL of FIFO is
begin
Proc1 (Clock, A, Sig1, Sig2, Var1, Var2, Period);
READ (L => BufLine, VALUE => Q);
LABEL1: Proc1 (Clock);
LABEL2 : READ (L => BufLine,
VALUE => Q);
LABEL1: postponed Proc1 (Clock);
LABEL2 : postponed READ (L => BufLine,
VALUE => Q);
postponed Proc1 (Clock);
postponed READ (L => BufLine,
VALUE => Q);
end architecture RTL;
| gpl-3.0 | 8f5ae105ac6805a11fdd2cfd01fd13ab | 0.541573 | 3.531746 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/instantiation/rule_003_test_input.fixed.vhd | 2 | 525 |
architecture ARCH of ENTITY1 is
begin
U_INST1 : INST1
generic map (
G_GEN_1 => 3,
G_GEN_2 => 4,
G_GEN_3 => 5
)
port map (
PORT_1 => w_port_1,
PORT_2 => w_port_2,
PORT_3 => w_port_3
);
-- Violations below
U_INST1 : INST1
port map (
PORT_1 => w_port_1,
PORT_2 => w_port_2,
PORT_3 => w_port_3
);
U_INST1 : INST1
port map (
PORT_1 => w_port_1,
PORT_2 => w_port_2,
PORT_3 => w_port_3
);
end architecture ARCH;
| gpl-3.0 | 76f098258b4771817fdf83928c33be60 | 0.470476 | 2.734375 | false | false | false | false |
tdaede/daala_zynq | daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/fifo_generator_v11_0/common/shft_wrapper.vhd | 2 | 13,889 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
RbiGcm0yrxRQu7rNBZzYwAIUwlQhpWrMClewUhBMg3y390bEZ6BtDLwEGu5BQJBEKDp99o/RBdkQ
KK3MCTpWQw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ga2xoOC5U/gHObVqWlDqO7dGB1QJjFQFf9pfXrken1eZqR8bk37JDzHnDEgrfTaOwkubPet7IZs7
bumGlfQj3jHUfoVMs6L5ZaRmD7yfDWuGLGrpA3mTQVwcZei/++yO59RP1MIDi5hMzcp4OoZtRam5
plV4XdV/ByNlZtwb2Q4=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
KR0RQBxHBqadvW6TviMq9Yy3pg+OjWXRWIJHHrDAT7GPdIWe3rE6MXRb6Zo9OSiTc+4Duk/R1Ygp
0hhxi+OgMl1WyCHFinsXB93eNGPgwVt0ppvtYCIrt4oTs69CEad72OeWek7GKbWshSrUmC5dpqGq
2/7fjfqG3cf2JAPr2JuvM7c1jyM24irp1VD2BKnVk05EH/UOETcOAKHHWkhBOg+qw4XJLO3WyOw8
eIb3wFU2o9+wCRjBOH46TVyAt0XgZhyOI6GWUEOn2R4qkFJfwEbtm2d0f09TtM3F7rFl4Sxj0qjG
QCPoXVyvOUry5yJlkfm9UQTmHfCiKS1s8sG8FA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
d+9pc49SVYS4WTzKbSTNjOXaTDtRqhvv6PVsS7cDa4vOFo5Pim1XoehMVUCpstC7CBSPo6Spf+6R
LgbNCeIpZx1hSZmU8fksQvLWK8o8Ot14dGo82D/kJhNltg8Ma6kFJl3WfH0aobvYN7yA81IGlQ2L
XHnzWyKiQQfxZoOvZGY=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
m05oOR31nnyphp7V5BQj0+HmBwAI2f23LS5HLRKlo1F+5pWG9zKKJXa1PKffRBLN/0p9FPmqQCtE
dsNZJAMa7KgUB/Qmh8DhmUhHEICiOkLgTgQK3M/BBcpa2zkLjUZ9Mrgz/EKLlpEKlQf96XHTHxzs
LxHNSpsgQQzHJX3fevKlrUTbKBW4Okmw5OENVyqIdyBEMLL49W2yhBCrYbpmfOS30LCKX8Ds/uGw
RmrvRAqJev5gXz9pKhsX+Sp6pmezQ7/nBNWTdupKCMHGRlzVIKg8Zz/EC3u0oxO1YdoXgsn0uaaW
iFTO2HUSVmmB3peM2JtvvxABwRPsHNOxGCqdLg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8544)
`protect data_block
oe9stEUxfCJl5xlJ3d3fzoo8l/4/6Za/NmZ79c/+DgtuDzKiShstkd4GXeMlVFuvrKdYk0/ajpdx
eecT4u78Q+4cTIeHshOjDuD0uZ/i5Kapqb7Q2ilExZfxMcjEyTsHkkVHLzd2/JGX/g0GS08bmV9N
NNzi/PtK2gUkYM+LjJQ3kPuVc4AYrXZDnA/7Z0sL4K1UKLx+T9P0f3qvB5QszbSeqWb75KLZqkGY
F57GAvuuSN9m6SzsraHI4ZivgO+49gdOURfP9B++DLlHM6VXJy6lfJZZCthLmE4SC8X65OCHMbRi
yqygif2z0vrxy6pVE+XnM/QDNcW278n3KO+WQnOlHcqgJJUie97TEqoHS/xG1f+IBLMFzCzuAvdp
T4SdvhWD5qIVvcwt9wuKGjiXaW/WIhlTqRbMetIl77AItnW9q6RKL1yuX0ptwbYihU8BVzpC2bRB
+UV+0/RsLvJk4Xd1N6akm98Qo6z7scGV25AM3YNSJ309ID2IRrkSNzHnEDHaGmfgiy/ArfBWGfWE
sfCG8dINKJObjBU1oRl0KURww1vdEtOkn+sQIBUi7uIHMcc4Y8gzXkmB5JgpqiwRIHIeha0z4Eid
1kZ1nCy22lgHWoRmuDbyzpkILV/EMyoZLmsNtr5jYZjkEwO6JKvY06y0ulMpzT8Wzf/q7Ic3rqZD
avP4778ftQ4pqx2OZkGnV16TTpiRl+A8cxJXQ2tF/eVgnXl5lrHkHiU0F5qOX4bSRbEGX2KEq/2T
oZpTL8DYhByRoNYmET0itehyquoouCTuzTqI+QQaC80dfPgnYrLujXSRfNmaSa+zyoLwzDyAeTQT
HNba0OJ5vV6Lbcxayhl/mGyRhBuEi1bRE9QWXLQEOfYeoJgLgQE6kJ6N2OaoArA+/lJx05Rdy6kY
OWSlsAQlwaQpxt6VfYJb7poh2rvrHxszV5BCTuEGk+blKJJa2gXz/yuamvzJw+cGZZYME2WxUGso
zpDqN5UqKyYGKf+M4okqVWGWjfLvZk+lfz/0OM4coOXqkB+BkDLW079OMQSMebvjo3xdO+MvQB77
rQtm90xwXJYABgFZJgIHGRdLEix/BBNai/1FpeKLzq31ex0DrWZZglLdPOzDiSbz/g4LKEC0GwGx
EtOI53Z9n5A9JPPfmbG0ni5rLTU6jsDbkpzJXF69NTQadWaqKkISSGvMGU9G9tJjvLVwV56SqqsT
3TBm7XQMACmAknzl22W9wVslHfkWQLBZq4Eu3njtuoYJ9MEDLz1NuvPghr85Efgx9m2OEfXeMKFx
18VHbwxxPheRUPo/R4WYMrArxb5Adhx8s4b1ftacgAL54IOEM7cpv12EVoB41GclSJd5DOCGWFou
OufVEN/lXeSOtOQClywCzvMGpX4vv8NavisXDf69NqEUqWWe9tOnZCyEXzcCd6b4tAzQteSHVyu0
xesLhOZxBmxTPPdOcriI0oOoZeXpJ30T/PHy1xoTjO2CFXhUUjKSjxcqUnOmg+LKcewwDELp0tJU
MJ3/hQxF1NCB3CWfvrwCKOimMooE4qwr5Zi2qixgaB63lo+4TprwW85u+xrquPY4F7OOZ+xQ3lMn
Zoce2t3Fc+TrtbXt/+qP2JZg8lRUlFzFBBKhsL7fmsJANy9+mwTrEWb8CO/RI8NoIDYibhzcOt0N
RUyCJq1ThZV0XHbLq7IejuTWUQFO9CjpoubsXYYHf2Zo0slDIEShzmmG9UkFvMSgUNOg3+JMAwOe
AKwbca0sPB9eeOVL8s6pMArP1CJsA8Z71vYtfHjfzjJmZQ85QagqzClqwFZJ6a03zFjEcdGFdxO1
9Z9lRE+buJkIuURQ3aFXLer1nrImP9eDyION7t636R63mvFsiNkk/9arQcuowHnh8ndaLFL//Qtr
fCx4Co+W5IC7M+LuWWPesAwwkodsvIrBRRmtqtIGQbZd+r0L9w5hhuck+RKLd/ACR3QXsg5RXbp9
wXhtY3lNf7wTZfkhGE2kakw5KyoUD0P8ZdIHjxFSkw07hVWtiBnUHwNyinAdIV1PGbgHSiVrqC3t
ly3I4UBY8iG6JCouQXJBVytBTLqLJUIHWjSX0tbZ6V1AEsAjWKwblN5s7sEwEWUtK0v/5PU1OIUF
HhPxaF7JqAcKfqb9Rz4fMHVaJmyFiVxmS0TonreXQby4wVrvBuuk1jkjivuM8s+iBvaeS+KhAWu/
6wfiVDjuT+qy/fF6pgiMt3YBa9RCYoHdXaBkhYSCf8n6ZTw43hxuNLbAU3xJ15Tn2QBNu7L2iVT4
6ShZGrSRsnT6rbgWHHwADlLtdc7KjTN5jaLFloQWVq8rjfH24cXRnbOuLg0TD8NI04Ms5mHa0das
8EhwgkSaYjLesKAurS/Z4LRJinDEUOhjx38NuRx1Njx7mIyWaDSRvBFNvmGGSIbXCtxDUuhWAhEQ
pX/F61vIgSsVNvZ+OnbBDjlzF1ai0ZkFwQX6BCIxOUsDDIg6txSCmr7An/Rq0NczEK22d6uM8and
r75Su1Gb9BkL4q8frm2cI1EXZNSKJQT71MsAJHQolZsIgazietT+yj/bo8L/d5I3vrnW1vJPgbGE
FV9xEFltATx36vNmCqX50HN6lsQ3c9T9ia8TDzAAV0CWxCjgt9iaq5D3XAK0F8Mfg/CNq6CIEqGz
FnGulVM3GxdBx0y6zdQmVjTLYWuwJSpjYur/CuZI5KrlC8nd8og5Ahkp75SPHnjTAw7dWPLURWS1
sDZ1wta2s4UadBECUgmom2I0vooqVspZM0KoTp2F4LK/qX1IwO61DBn3cq9zQEFibwSOFwLKlOdh
uE6qjLJJ94qRcIMYTht77m1IOPan5mgc+DbS6A0P5G6tjwj8yErcPLcwOROz6GWe8sucpADu+GQF
+brdbjiFThpwA4Ngysc/AKUflDEoa+Iv1Y+Q+lyrttjM0t302ZfQykn++2pc0qONDevSyHaIKw+2
swzAmOGa9z8Jck8Bhji0YN5/BbrDs6537E8Whidd9VVS++U4/FTVZlBfX6rqVctlLUmh1y51XWp0
07mm7in58+2ecLmh2Z0phmqJObubjcB1Atf+WA6uu/cJukWR4LGjXpHI9MqvCDHAuwvQOmsJXi7J
2fkMYZT1bbE3pxtaV3GoYhkT6D9ohZua5VfidWJOokMcbPxMUyGPU4VholeFkVkmt0JrqaCC7x2U
2/7dti4nltm/iWpkRlc4cBy6GIv2tuEB9g5/dSVB8zBDIIAAnlg2zU1pBfXSzacmOOMBnzaZT7Is
ExPIwvg/SdedmtzJtrM17+TQbUTWt62f2AP8+f07se9jcjdSoLT050IUz+/nifQZ8z3d3KvMIeKB
344JzTRwVZxN1MmoVgSyI/bSvrEr3SbP/NBW0af2x/UuPg/Zj92vcU0LR/6JfcfH7LDfPuxeWmMK
TdcN0EE1wJUBtU5Fborid1DReW/Ds5C/IDpGZB1peQEF+msXiBsjjivZnPSnwazPHELJOZz8rU6o
WtOvxB+Q/cwkGMIRHRskjzLQWT17fLOQ7MuZUouOD+cazXLutpoQRe7pN+FiAYlxyb6DEW61rfU1
NClDA//D57spO55Fp6zx1hennoRhoIIcNyJJUm2B7F5dvxWEtFmS0pled/XZFup3FKrWdLRrrp/1
wmLRRGNGN0yMyucG8BoEXmJo+O3UrCDQdaL5V/fAoO7XIlPEFNHirI9M3TtwFe0pjlhGeFhvQ/s9
ykhAYd+xA5YJ6BrwdphRAucvmUoLy4FO5IGwWOwPZJmX6wJqUvKdTaZyNr8pm9UFHAw0hVYp8ylm
LsZL+wd3B+SP489jHqtK3+MnaEIO7xImMFImxjmuXa4qmTWunkWJURxyWj3SsnkSk4R5Cm7T/dAA
xsWkdUBdTjHuPPiZavBSEZNeJhnVYXSX8+THwaj1Ljf9GGqdD3AJPYgeZyT0UJ1Lrpk/UrFWQlAz
vt8ZmSFQia8p/mogrsjtHYDxoFHxMfALQCZTt89bIxbb1OeMucd+Nspv6RRJK3S7Jl/BO9V03iOj
KNASOL3JzV6tavav11hHwSmONjC7iS/BfXEMoT40mgNfnCEXFD8qrfCkHkLU4ByW2d6hugjRnMP2
3CaOfD3o0eKi5Qt5wUEXbm8urEwEXfnmbbWxrIk+JKsL483VpxMPv4Jv+wPCPsIqCjszy8VGJjTX
ix5fx4A2jPj9/ryy/0YepS8oThpbC6DRhfWFrRVvDB8NBxXjwXoKN3tXAR5YAhhJOqNO5rrvCTDH
o82Ra7UGypql37t4Fwp92W+0G4zUo5phcI3rVRwSPrLBUrzQooiTyhy2F2eRjw65RY8yeDKai5T1
pcuHgh84qNnW6u8Gahi9vLIMbCz3XoJvQKtPY/sWxcaz8facaZvGiQ2V9oW+xD9oUmMJppme1NpW
4+4PkC1Ujhoto1U00nPqcOfVNPEiHJf88jQKAKQ9Wd8EBmI+5PzScCa2cnsJmdnwZKVduttZwqdp
wqU1HUscHvlnKlaErPYVsgHRTH+F9juIfzy2wfliFeWIM2tExHf94yNjQd4SBwrlVYOQsrirCh+3
i/cINthfuzEFCkt5XIZqGhlvfRGmXuyG62jMmVY3bXmI57D/s6w3oVdQ4MscbOBZa6QZ800HKZTr
5dvdMSm4x0tEB72IDxZDJrbSyuelYnbjwfD1xXlvwPbPApxkxOBnooVv2b66O8hx7eE/mvi4tFip
hEKVB+SQEq6sgMmJsm548blxzZIQYQkCOgF8UWRqRoN/aPgQ/Z2seyXdoNt4Dz92LgzSxGnej2Vw
z236L0lQlHC/n1V3j6lOEi+vXrM20GQklNuCIbUAjFySDywdKlJNZ9I4z6KgImFoxaS1bMPVcJbb
QNWHDmNt/UMG4HVBz/O9gpxcBsATNki/DGlggOCTOuoHMkYtIalJ2NsxPcGHyyVCxeWfayd3iDxD
6L7m++6DecJVNF9pZw17qkMrTIirZXc8hZxGboDsM2IfpxMASBQDDwbI6R9/Lh8cpPdrBQCmkdCD
pmqaPhwHKBIEA+/2zy25VpvFqp0jLVlQovkVkPLhLEsIw6SpDtZejj5MSuHFM22Y03UHlEzMVs0g
6G9QDRf7dAJAesJd7+mK5xDOXpFnRwiVLA/3lTVYLZFybCPqft94GsJMHpwFPncmOVJhqenK7OKb
JIxLRXriFPtzN4TBQ3hjUZbMVcI4SvbBYu4lB8VTfhx7EgG5p3lZ+Mnt9HTMGaFpfEDmWIe33uUn
rg/W7+zPwWbJqrg4KtO1I5hKu+6zupZyw/gI3tNZM17NnnBPNTWrdlWp0SvVa4SDPp88BhLO/CIP
f4zutGX/djdk4tBssVYV3ot+raNc7i0jAgsv57vtiVHvz+0/JCgzO/vudGWb9dRkGApany1oeUPo
7FE08vFq0FWxN6i4SzeFGtwIL8wz7J4Hk1zIlz/IdcbgcanPK5PNefSH4T3vzAVP//A84mwPxtK0
jaMsmftZbM+rU0KmW2x44/dujSiUyUGbYqx/6l0kkqUbUm8zVD1/FRRj8O7gNaw6tFw5M4G2UC9Q
f0EbjV+7nUrCaeR29lNLnQT01oYLLErLfM8bWfJls3E1IBwLhAcUXoXf1SoYXTmW4gUHQnFgxj1g
kinPi/TMc2ys8mJeCTfyfcxKaUvFyrfKiqsPfafmto/x/kh1dVikpyov/3gtkOaeSrSxRuC1JtKo
Lky77f0bBz1PvyI22BQGOl19Z75MbK98dASOhTtKxZ59nUBCbam/mgzAUihZWBiLtX0LKH4BWzZe
LlRuRXEDfNPI7v2g15BRV8I/LMtdR/OKW3uyOMYETLsk/no2PyQ9sEt+kszQtuq3G3YcZJU4uMYq
lCCjPznQurlZM3shflSRtamyPDG+YSSKa7ZL7Po0u9THNp/hTDog3iRhcRfcu0rHDQ2GfmJPV+v7
zJah+L/RIu79ibXNxoThvQ+uC+dDeWS3Cmwyoc3gi3CQieL2Kp+GnY4EnbhtKEYLtSN5cstYbNZ2
pjjRh9LAYoiifzUUU6EVydhcs3nnAsD3e4a/4TQFjCxGCMfCN+8VIV/X7up/cYhT32T1+0FHZpbe
Avu/nTjHZfLzipPWcnsGPRdOiRAfMyTdu3tE8KzExbIRgXZWQ6h/e9SxHOHY+gkp0Aa6CDCNmGUs
XhR8JhmpIMKKLv0FerItMzXPvutZs6273zxbHDFnkaDmFyNB9J3K2X1nYQy0enwC5NUShSgFkICc
ZOHBS6gqZLZq1avJVdgBQdjJdC63pTh6KoymOcnuB6Vn/1cwk8ELA2PbJdTAOodA/uqlkSOlnxY9
wgXkQ5/DqHXEBctlEjBrmrXe0I5hZNwRB9mZ8DUTlJ+auOJmbGtCBdf9YZwStWKphPFGnGRdYE6D
wmrLLLwhNeM40LQy9GVIA9B4jai43KHKw/gmltL74E/viFjiaS+QIQx750vD0o9IZNMw8XSt+V1M
YON6FxuArC9Ftqlde19xqriCPd1DDJvXYWUMA72irsFUfQEdaBG0ALlWpdpICxAqkj2Zl4A0TdD5
jL9oAIWDWMjlLkGme0y673Se6U4OKcipOCoN718CcchYNTiqinwKJ25ucJz+clAhrdikAiTUh8X2
YprUTT8CltL77pkH2W6NoSa6yvIxKWPVtAudTeEm16/nb3+IJEfKNOHLgsBDK8boyfSR4ZvlHoFu
ypklEUo+zxQnojvH14ghxAW5/nTpoUrjS7RMfLcoeqQ9RpMXx6bD3UEtfZ/QQsGvVje6ADLavgpH
zPOrEG9SBhP/Y7Kk4PMQ2VGeKIlMXXSeeLwgCHuHu01FCudzHdZI1v5YMxChC6+vkRyOY7gahUqs
0yeBUrZ/qttx+IxUJiC0D2UnWxMW9rMi8pqkRGKu4JHo4dZNlUyYXqIhuq3vaGY2lWW7X6Uaj/JJ
fgRcmgvn1C1yXPxRdSZe1tOfehzNfEUykMo/3w+uLw/A1kVq+OJn2oNQj0oBOaLf+C/sbmX/Qk07
aDYVl+m9SVFIToy+GfllQZR/Fw9HKtnN15afEw3+qf12xzVYh4ZEalIThJzZEDvU9d1RhhgzpJ1c
EAkS/EpXe9sxHvVoVSqNNhoSSVFBcIZTpLYq2Rff5YV4wfMBgXpFxO2OWbxlajKvEm+eikCJDgKp
HfSnR2J56d35ScH9aDPQnCVob56cE4BWADtSqh6QHEkgSDbepM+t/Fwwz1JWOwFqBZZdgHUsvQuh
gVI8f7m74BbORReeoe8JVOMa9o3Cf73WR/7XOQphCbAOAGC0IgMvm9P4vfi787nzq8vAlwY0EL5N
qRvJHde6fi086WlQE0m1PDX4pZzTEV6BOwD981+6azPOUr6IUUcUM4OpXfdY8st5M1Pl+AywiABx
8AivlOdqlm15mcRf3B2bOTB7oIOykftkiJeYezzOUUqTUKDV+ivmdhMuK6yh2AZsyfImPjHycrym
Nlx2KTo34+MNq7vOi5g77/3U1aIdewF4jYjnwxuNRyFpitDkO7fa/uBJ8EbANHRG8Z83nECGlRby
s4BLyfXGVBH/JDBWG+y/ZNMz5OY4H5dfyiQNyWwrftXa/RitSK+Et5n9pSrVRnyFtJqIPX8LUrJB
fxjwsRmZISkhW9OmUxbGso2/8q6+FsxmDsx7uTL9JwmejpqR5boJaLip4LitU1qERjWxWGKt4K5/
NTP60Fey7Ru/jM5TBFyTfU1K/gXdYUvt6aJuLvbYhn4tgjAuax+lNdsVvGvn5nKXvUmEDE9rKwFM
fE5zvfDbxLa6Hir4UyZiWyUKfw29HeA2wGo4xp0M49YkaJ7MSvctY0eC9cI1zlU5q3W9Mus1DnpH
PWeJPq3N8560VEhIonITvBUchImDoPHveyeRzWXMSdKAy/SofmiBrupnqzQI8k0C5ONTutFVoIg8
RGReXoPQT5d8CXuepJPxY8qskDHjyKNoOgzIhSqxvE07rrLnhEb/KvieIoSC5VZM1tHuedMkjaBQ
oymBKGvyaHzLsdn1uPOrD8Ce+jbZPu+i4ZQcGPJE6m1IaknUMXxrDM8qIaG86/Ru1N/UP/xYiXIy
OSrGKL+ePJmvmuAM9IvNKzXTo7WFNCtKrQBwtb+KBlem1Vk8nsxwqlZetQlB8+MDAs+PqYGPX4g2
GJ+CCWV4JKJWy32dRRqbR1Urm4SupsLEzRY8sGEzcXIukYerrvhDwCh1RtxdiZBTwHDw1P4oH7Ze
or6N7xHjYk4fy+hbn/pYDFjj5BFrtL6f1hnYfHL5geKX+xvHL9ZN0lnaw0iV6FEsYcn/ZoTdFmwH
UjggE1zfy+TcZuaiPBzYPPBJJiIa2gdFjYbiREPYQXcJ2M2i3radDHJvh7YZ7Gg0DA0MhtdEV/yu
WOY9ISxH8FupNnDQ0x39ffVjnIRml2/jNpQ8N+L0X9YyszVGYzPUSCQsvn7pfbtSgGvrpWQ5ssAe
0Hm+JmIgKNdDwaNyljRpz44F/HEhC5FFo6hpiTOqe1BANf4QFJjBHq7RPXFvTmodHRwGfYKKLIj3
3G27FK1/aFXLEgQWlA0UZzFvjVbuX3L50z8CIGS3uYHdqWckJCZ0hT97BK/craavmQ1bY+TltDjZ
0y3zzeGLyxWa7LECpCaMnQe3piLP/BceSzvIM9UX8k7rwnZKFcuEX2rVdYbGWBQrIcZRJqQNhbtl
IRbjgp9KfR8euRQs4t0pEkPsTqHRj+ROQ7xKFZR8Zgy2YlMNx2AupHjEr51Txg/VlwesaR1Q8cFJ
z41E3KOyCNb+0lR1zDga92iVjX/VbSoOayNBfXDTIOCSbRz2IECNv3wbt+Jw+i2UJGHSikBP16p9
U+51zo0lrLDI7DpJeALTvl9O1sdskf1SDnuicKAkUbDSxyxATvwlFcdxbT0S8UW8p5q6Y+9BPlMR
DE5YahOruj6opYFA2lUTD+cEbpOXmeuJ0P72lo/DgJBX20x7d6Lj+e7w1VfUybWwZgwm7wzGrwjM
GoVHlWXkMnIzJkdMgi1labi2BUPnaqIdLw6sFq/vBRg6wrqUlPrYm1h2nqYRkijiwIyP3ZxeTCnt
D4z3sryfRNfvGU6go9hRIfHGCsz8XTkx/s1pnLJ/J2awAePRuPXH0mA2TdI+lfflO6oXNzHiX9RR
czHbxIq5taYWlCyt0RRYvDZ+Xyail2qtswsrx0V83s1Ezy3D8H3JURxLmLTtX1w7sWIDk0IVVG0s
V0EAWcVKNekIlD/+Bpt0lqsWt5ELiwTDKHIaXptyE8TzYc1I5plJPebL1yxzEAF2lOPBzoEAlnic
oDgUxtxHjPkfXUyAlguNQRqw0zqbQpBzpgEXZRdToGLSPk9S5u4Sa36n5mu9MQGqzZESt8r+Ux5/
zpWm/B9C+TjIDyEk0Ue+1Kz73A/gwpMH9LAXevVGNe6zdkNHw33cRWrQGdLsPvB7+EUeqqQZDJx5
AkZvSiYa6DbEF07NUyWjce2gU6soWvrl/tT/yCv9sgzxFTY0phwsx6bCYR2FxF4T4SBZjTJ6NMZ4
m1TRTscHwHmIFLtfivzDFlOvDkMG2LmwjqgHupg/CWmXbF1mwdDX2nz9LuMq9f7SpnCqLjMX4bhE
dPneBC/DalplTrQFdmmQTzRzLThIMx27XSxBxdi/OzjTJCMTfW1GgMhmXTE1NaPjitIrSYeoBbWR
Ov3Hb9mjO7j+wrjCgRTKm2k8nwkshQF3a3WTMq4vs4NMVpvegsC0m1yb67+ROjYZ3owgOR5Gjq8p
bY5msWX6LIRtszX60Ug9lx2jg0uWy1WL1dlUar+ZXg9r8uhgT3b84MEA8Z6QcQST5/+NEIuK6JKm
ekwoKwLTBwEXjSvAR0k77u1pWc3Boh/k9qNDijNlxTSUHFl/wy41cDGMnWJz5CwPOqciValToJnm
Z0NYGnBLSCdoClZJItrrVTwcbR3RKH3MCW0YlN2sEnLU0CsQeQLGoE9gaapCGrxGmTjVSNd9/Mqb
6r7SrX9ZzVctEZfhbysPHmoPqVyUgW54JPreV/7fa51FqtZF38hpgI5T3j9Phv7zTL/GEGzS+1yB
DgrhOOllgSNPpwcaxknAb722Y2VdFvV2tNzOPr2lxmvkBr6Bc+Jp10K+Za3fmhYDkhTHSBgGoO8z
QN47EIXhx0XMmWl/BIoYw3GXk6xfz+u0x4a9XTNzJVumxQIQDm6aMGST7HC3uTEk8+l6sDtl0SyM
OtTRcnJ5OTZ4+pxnT3pJJXR+qOLGcjNpmge6JTxPUWcaSVNILRNBSyxK3lTpPLn6NeHgI091Szou
7xByJYea3dbIK1mDceA8QmRnUrurn+sNQiYgezc6HAUHgCQ5WZk7pcBO4C8IsEARu/tXOqJl3jgI
vOiQp/97dPrzs/jAG/YQ4UnsJonjh0268bf4GwKvz/e3Thd4FsK8sOHOP48f5EnzmwtbQiqafbE6
n7+FRTdFuExofa6whsS2sz/FmNUBO7fO7cIWmMpUMpvehNmnaU0iBS2UWWAtz3wsQ+dvh/BSCsmE
gmY1Y9iC9kJFMr763ca+hTXhb3MT6dPI8i3hRQ0+wtHblI92KPyIpdhWAvULQuZVACB+dMruiOmT
0gC+1euIScIpmF68aX/I+011rygM4wHuYZif5ZNmmYlx6nNKh2DVdUo0iQl2Qs8vGvNy1tM0hKXh
By3sJ/xg4QipkckHDXiroDaNq6K4PymHY5l8Uz9rChdG5a0ifEtl2TJdgJ6xQRqdgu7NNw7vy06F
MWU78sLHPl4h0T4IPhsdeQfbkrRwAezjVncyJkRRp49B+hFt7nTZAbkYcDNKz3ItcU+FmM2aOsT2
OhpIWPA//yn6vbY343pX7J7AdEB5eTCQE2BnbjTUJuBG/MagbLT2tlZLmp+aNH9TmAXb3NpAc3ZA
m52V1oGArLKJgyuorGUjGCt/KhP6+aRknvX0zYj2hRrBGquWrlEzDOdCvzssr0ZPR6XUFyM0fiUs
yPqB5FcVmVU8orQ2QH70/z/4u4XuRWUFlZj35vSC/MrjTE6p6encBXcbhm2h2oytvE2ZNSL3YGrO
xySas3IxfQBzVP21xTL5BDWb71AQnvsV/ALiukpAGpYq9R5z09CKLYB8U00wdb6um0Cs72eB1/UR
SGZYFC/zDNLpLOkiRnVNhfo2aniqoov/l9rHs/o9stxk/vzHEPXxIdSWi5II5ckLJHHNEUwhHkUP
Jj6w5H/ZpIfQm0abw+y5v1kcmCNdvHxch9vJSIYDcSYrcDqVVKw7VvonOWpg/ikKDX1A6im7dOqj
++rd6OIb8OdOLcGn8xEhjBQV50LrcvOunpt18uWIdej/oqV7UO9I0BiqZ7wKAH4KdQwGSdG+Ee2G
10FnOTReyrtig5Et6FPnaQVmBsSpyT3ldB68mjNnGByZyoGtGpxMCBeYpGeafCyZJZJf
`protect end_protected
| bsd-2-clause | 8e1cc103ebd0dc859334176243a4a69c | 0.932177 | 1.88351 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/ieee/rule_500_test_input.fixed_upper.vhd | 1 | 2,637 |
entity FIFO is
generic (
G_GEN1 : STD_LOGIC,
G_GEN2 : STD_LOGIC_VECTOR(3 downto 0),
G_GEN3 : INTEGER,
G_GEN4 : SIGNED(15 downto 0),
G_GEN5 : UNSIGNED(7 downto 0)
);
port (
I_PORT1 : in INTEGER;
I_PORT2 : in STD_LOGIC;
I_PORTA : in t_user2;
I_PORT3 : in STD_LOGIC_VECTOR(3 downto 0);
I_PORT4 : in SIGNED(15 downto 0);
I_PORT5 : in UNSIGNED(7 downto 0);
I_PORT6 : in STD_ULOGIC;
I_PORT7 : in t_user1
);
end entity FIFO;
architecture rtl of fifo is
signal my_sig : STD_LOGIC;
constant my_con : STD_LOGIC_VECTOR(3 downto 0);
procedure my_proc (
init : in STD_LOGIC
) is
variable my_sig : STD_LOGIC;
constant my_con : STD_LOGIC_VECTOR(3 downto 0);
begin
end procedure;
component MY_COMP is
generic (
G_GEN1 : STD_LOGIC,
G_GEN2 : STD_LOGIC_VECTOR(3 downto 0),
G_GEN3 : INTEGER,
G_GEN4 : SIGNED(15 downto 0),
G_GEN5 : UNSIGNED(7 downto 0)
);
port (
I_PORT1 : in INTEGER;
I_PORT2 : in STD_LOGIC;
I_PORTA : in t_user2;
I_PORT3 : in STD_LOGIC_VECTOR(3 downto 0);
I_PORT4 : in SIGNED(15 downto 0);
I_PORT5 : in UNSIGNED(7 downto 0);
I_PORT6 : in STD_ULOGIC;
I_PORT7 : in t_user1
);
end component;
begin
end architecture rtl;
--====== UPPERCASE before
entity FIFO is
generic (
G_GEN1 : STD_LOGIC,
G_GEN2 : STD_LOGIC_VECTOR(3 downto 0),
G_GEN3 : INTEGER,
G_GEN4 : SIGNED(15 downto 0),
G_GEN5 : UNSIGNED(7 downto 0)
);
port (
I_PORT1 : in INTEGER;
I_PORT2 : in STD_LOGIC;
I_PORTA : in t_user2;
I_PORT3 : in STD_LOGIC_VECTOR(3 downto 0);
I_PORT4 : in SIGNED(15 downto 0);
I_PORT5 : in UNSIGNED(7 downto 0);
I_PORT6 : in STD_ULOGIC;
I_PORT7 : in t_user1
);
end entity FIFO;
architecture rtl of fifo is
signal my_sig : STD_LOGIC;
constant my_con : STD_LOGIC_VECTOR(3 downto 0);
procedure my_proc (
init : in STD_LOGIC
) is
variable my_sig : STD_LOGIC;
constant my_con : STD_LOGIC_VECTOR(3 downto 0);
begin
end procedure;
component MY_COMP is
generic (
G_GEN1 : STD_LOGIC,
G_GEN2 : STD_LOGIC_VECTOR(3 downto 0),
G_GEN3 : INTEGER,
G_GEN4 : SIGNED(15 downto 0),
G_GEN5 : UNSIGNED(7 downto 0)
);
port (
I_PORT1 : in INTEGER;
I_PORT2 : in STD_LOGIC;
I_PORTA : in t_user2;
I_PORT3 : in STD_LOGIC_VECTOR(3 downto 0);
I_PORT4 : in SIGNED(15 downto 0);
I_PORT5 : in UNSIGNED(7 downto 0);
I_PORT6 : in STD_ULOGIC;
I_PORT7 : in t_user1
);
end component;
begin
end architecture rtl;
| gpl-3.0 | ccd441539046db0ef014d0e19735dfab | 0.591202 | 2.979661 | false | false | false | false |
spzSource/MPFSM.RegFile.Sort | MPFSM_RegFile_Sort/MPFSM_RegFile_Sort_Design/src/MRAM.vhd | 1 | 2,265 | library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_UNSIGNED.all;
entity MRAM is
port(
read_write : in std_logic;
clk : in std_logic;
read_address_1 : in std_logic_vector(7 downto 0);
read_address_2 : in std_logic_vector(7 downto 0);
write_address : in std_logic_vector(7 downto 0);
read_data_port_1 : out std_logic_vector(7 downto 0);
read_data_port_2 : out std_logic_vector(7 downto 0);
write_data_port : in std_logic_vector(7 downto 0)
);
end MRAM;
architecture Beh_GPR of MRAM is
subtype byte is std_logic_vector(7 downto 0);
type RAM_t is array (0 to 255) of byte;
--
-- Initial state for memory
--
signal RAM : RAM_t := (
"00000101", -- 5 a[0]
"00000011", -- 3 a[1]
"00000001", -- 1 a[2]
"00000100", -- 4 a[3]
"00000000", -- 0 a[4] [-]
"00000011", -- 3 a[5] outer loop: max index value
"00000100", -- 4 a[6] inner loop: max index value
"00000000", -- 0 a[7] outer loop: current index
"00000000", -- 0 a[8] inner loop: current index
"00000001", -- 1 a[9] constant one = 1
"00000000", -- 0 a[10] constant zero = 0
"00000000", -- 0 a[11] reserved cell (temp 1)
"00000000", -- 0 a[12] reserved cell (temp 2)
"00000000", -- 0 a[13] reserved cell (temp 3)
others => "00000000"
);
signal data_win : byte;
signal data_1out : byte;
signal data_2out : byte;
Begin
data_win <= write_data_port;
WRITE : process(clk)
begin
if (rising_edge(clk)) then
if (read_write = '0') then
RAM(conv_integer(write_address)) <= data_win;
end if;
end if;
end process;
data_1out <= RAM(conv_integer(read_address_1));
data_2out <= RAM(conv_integer(read_address_2));
READ : process(clk)
begin
if (rising_edge(clk)) then
if (read_write = '1') then
read_data_port_1 <= data_1out;
read_data_port_2 <= data_2out;
else
read_data_port_1 <= (others => 'Z');
read_data_port_2 <= (others => 'Z');
end if;
end if;
end process;
End Beh_GPR; | mit | 25fcbe9259e88638f22a78ef6b549ff0 | 0.535982 | 2.918814 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/generate/rule_400_test_input.vhd | 1 | 1,681 |
architecture RTL of FIFO is
begin
FOR_LABEL : for i in 0 to 7 generate
signal sig1 : std_logic;
constant con1 : std_logic;
shared variable var1 : std_logic;
alias a is name;
alias a : subtype_indication is name;
begin
end generate;
IF_LABEL : if a = '1' generate
signal sig1 : std_logic;
constant con1 : std_logic;
shared variable var1 : std_logic;
alias a is name;
alias a : subtype_indication is name;
begin
end generate;
CASE_LABEL : case data generate
when a = 1 =>
signal sig1 : std_logic;
constant con1 : std_logic;
shared variable var1 : std_logic;
alias a is name;
alias a : subtype_indication is name;
begin
end generate;
-- Violations below
FOR_LABEL : for i in 0 to 7 generate
signal sig1 : std_logic;
constant con1 : std_logic;
shared variable var1 : std_logic;
alias a is name;
alias a : subtype_indication is name;
begin
end generate;
IF_LABEL : if a = '1' generate
signal sig1 : std_logic;
constant con1 : std_logic;
shared variable var1 : std_logic;
alias a is name;
alias a : subtype_indication is name;
begin
end generate;
CASE_LABEL : case data generate
when a = 1 =>
signal sig1 : std_logic;
constant con1 : std_logic;
shared variable var1 : std_logic;
alias a is name;
alias a : subtype_indication is name;
begin
end generate;
end;
| gpl-3.0 | bbbd5722d61533acf54d5c2fddb3685d | 0.549673 | 3.891204 | false | false | false | false |
tdaede/daala_zynq | daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/axi_sg_v4_1/hdl/src/vhdl/axi_sg_sfifo_autord.vhd | 1 | 20,297 | -- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_sg_sfifo_autord.vhd
-- Version: initial
-- Description:
-- This file contains the logic to generate a CoreGen call to create a
-- synchronous FIFO as part of the synthesis process of XST. This eliminates
-- the need for multiple fixed netlists for various sizes and widths of FIFOs.
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- -- axi_sg_sfifo_autord.vhd
-- |
-- |--- sync_fifo_fg (FIFO Generator wrapper)
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library proc_common_v4_0;
use proc_common_v4_0.sync_fifo_fg;
-------------------------------------------------------------------------------
entity axi_sg_sfifo_autord is
generic (
C_DWIDTH : integer := 32;
-- Sets the width of the FIFO Data
C_DEPTH : integer := 128;
-- Sets the depth of the FIFO
C_DATA_CNT_WIDTH : integer := 8;
-- Sets the width of the FIFO Data Count output
C_NEED_ALMOST_EMPTY : Integer range 0 to 1 := 0;
-- Indicates the need for an almost empty flag from the internal FIFO
C_NEED_ALMOST_FULL : Integer range 0 to 1 := 0;
-- Indicates the need for an almost full flag from the internal FIFO
C_USE_BLKMEM : Integer range 0 to 1 := 1;
-- Sets the type of memory to use for the FIFO
-- 0 = Distributed Logic
-- 1 = Block Ram
C_FAMILY : String := "virtex7"
-- Specifies the target FPGA Family
);
port (
-- FIFO Inputs ------------------------------------------------------------------
SFIFO_Sinit : In std_logic; --
SFIFO_Clk : In std_logic; --
SFIFO_Wr_en : In std_logic; --
SFIFO_Din : In std_logic_vector(C_DWIDTH-1 downto 0); --
SFIFO_Rd_en : In std_logic; --
SFIFO_Clr_Rd_Data_Valid : In std_logic; --
--------------------------------------------------------------------------------
-- FIFO Outputs -----------------------------------------------------------------
SFIFO_DValid : Out std_logic; --
SFIFO_Dout : Out std_logic_vector(C_DWIDTH-1 downto 0); --
SFIFO_Full : Out std_logic; --
SFIFO_Empty : Out std_logic; --
SFIFO_Almost_full : Out std_logic; --
SFIFO_Almost_empty : Out std_logic; --
SFIFO_Rd_count : Out std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0); --
SFIFO_Rd_count_minus1 : Out std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0); --
SFIFO_Wr_count : Out std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0); --
SFIFO_Rd_ack : Out std_logic --
--------------------------------------------------------------------------------
);
end entity axi_sg_sfifo_autord;
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
architecture imp of axi_sg_sfifo_autord is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes";
-- Constant declarations
-- none
-- Signal declarations
signal write_data_lil_end : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0');
signal read_data_lil_end : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0');
signal raw_data_cnt_lil_end : std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0) := (others => '0');
signal raw_data_count_int : natural := 0;
signal raw_data_count_corr : std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0) := (others => '0');
signal raw_data_count_corr_minus1 : std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0) := (others => '0');
Signal corrected_empty : std_logic := '0';
Signal corrected_almost_empty : std_logic := '0';
Signal sig_SFIFO_empty : std_logic := '0';
-- backend fifo read ack sample and hold
Signal sig_rddata_valid : std_logic := '0';
Signal hold_ff_q : std_logic := '0';
Signal ored_ack_ff_reset : std_logic := '0';
Signal autoread : std_logic := '0';
Signal sig_sfifo_rdack : std_logic := '0';
Signal fifo_read_enable : std_logic := '0';
begin
-- Bit ordering translations
write_data_lil_end <= SFIFO_Din; -- translate from Big Endian to little
-- endian.
SFIFO_Dout <= read_data_lil_end; -- translate from Little Endian to
-- Big endian.
-- Other port usages and assignments
SFIFO_Rd_ack <= sig_sfifo_rdack;
SFIFO_Almost_empty <= corrected_almost_empty;
SFIFO_Empty <= corrected_empty;
SFIFO_Wr_count <= raw_data_cnt_lil_end;
SFIFO_Rd_count <= raw_data_count_corr;
SFIFO_Rd_count_minus1 <= raw_data_count_corr_minus1;
SFIFO_DValid <= sig_rddata_valid; -- Output data valid indicator
fifo_read_enable <= SFIFO_Rd_en; -- or autoread;
------------------------------------------------------------
-- Instance: I_SYNC_FIFOGEN_FIFO
--
-- Description:
-- Instance for the synchronous fifo from proc common.
--
------------------------------------------------------------
I_SYNC_FIFOGEN_FIFO : entity proc_common_v4_0.sync_fifo_fg
generic map(
C_FAMILY => C_FAMILY, -- requred for FIFO Gen
C_DCOUNT_WIDTH => C_DATA_CNT_WIDTH,
C_ENABLE_RLOCS => 0,
C_HAS_DCOUNT => 1,
C_HAS_RD_ACK => 1,
C_HAS_RD_ERR => 0,
C_HAS_WR_ACK => 1,
C_HAS_WR_ERR => 0,
C_MEMORY_TYPE => C_USE_BLKMEM,
C_PORTS_DIFFER => 0,
C_RD_ACK_LOW => 0,
C_READ_DATA_WIDTH => C_DWIDTH,
C_READ_DEPTH => C_DEPTH,
C_RD_ERR_LOW => 0,
C_WR_ACK_LOW => 0,
C_WR_ERR_LOW => 0,
C_WRITE_DATA_WIDTH => C_DWIDTH,
C_WRITE_DEPTH => C_DEPTH,
C_PRELOAD_REGS => 1, -- 1 = first word fall through
C_PRELOAD_LATENCY => 0, -- 0 = first word fall through
C_USE_EMBEDDED_REG => 1 -- 0 ;
)
port map(
Clk => SFIFO_Clk,
Sinit => SFIFO_Sinit,
Din => write_data_lil_end,
Wr_en => SFIFO_Wr_en,
Rd_en => fifo_read_enable,
Dout => read_data_lil_end,
Almost_full => open,
Full => SFIFO_Full,
Empty => sig_SFIFO_empty,
Rd_ack => sig_sfifo_rdack,
Wr_ack => open,
Rd_err => open,
Wr_err => open,
Data_count => raw_data_cnt_lil_end
);
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Read Ack assert & hold logic Needed because....
-------------------------------------------------------------------------------
-- 1) The CoreGen Sync FIFO has to be read once to get valid
-- data to the read data port.
-- 2) The Read ack from the fifo is only asserted for 1 clock.
-- 3) A signal is needed that indicates valid data is at the read
-- port of the FIFO and has not yet been used. This signal needs
-- to be held until the next read operation occurs or a clear
-- signal is received.
ored_ack_ff_reset <= fifo_read_enable or
SFIFO_Sinit or
SFIFO_Clr_Rd_Data_Valid;
sig_rddata_valid <= hold_ff_q or
sig_sfifo_rdack;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_ACK_HOLD_FLOP
--
-- Process Description:
-- Flop for registering the hold flag
--
-------------------------------------------------------------
IMP_ACK_HOLD_FLOP : process (SFIFO_Clk)
begin
if (SFIFO_Clk'event and SFIFO_Clk = '1') then
if (ored_ack_ff_reset = '1') then
hold_ff_q <= '0';
else
hold_ff_q <= sig_rddata_valid;
end if;
end if;
end process IMP_ACK_HOLD_FLOP;
-- generate auto-read enable. This keeps fresh data at the output
-- of the FIFO whenever it is available.
autoread <= '1' -- create a read strobe when the
when (sig_rddata_valid = '0' and -- output data is NOT valid
sig_SFIFO_empty = '0') -- and the FIFO is not empty
Else '0';
raw_data_count_int <= CONV_INTEGER(raw_data_cnt_lil_end);
------------------------------------------------------------
-- If Generate
--
-- Label: INCLUDE_ALMOST_EMPTY
--
-- If Generate Description:
-- This IFGen corrects the FIFO Read Count output for the
-- auto read function and includes the generation of the
-- Almost_Empty flag.
--
------------------------------------------------------------
INCLUDE_ALMOST_EMPTY : if (C_NEED_ALMOST_EMPTY = 1) generate
-- local signals
Signal raw_data_count_int_corr : integer := 0;
Signal raw_data_count_int_corr_minus1 : integer := 0;
begin
-------------------------------------------------------------
-- Combinational Process
--
-- Label: CORRECT_RD_CNT_IAE
--
-- Process Description:
-- This process corrects the FIFO Read Count output for the
-- auto read function and includes the generation of the
-- Almost_Empty flag.
--
-------------------------------------------------------------
CORRECT_RD_CNT_IAE : process (sig_rddata_valid,
sig_SFIFO_empty,
raw_data_count_int)
begin
if (sig_rddata_valid = '0') then
raw_data_count_int_corr <= 0;
raw_data_count_int_corr_minus1 <= 0;
corrected_empty <= '1';
corrected_almost_empty <= '0';
elsif (sig_SFIFO_empty = '1') then -- rddata valid and fifo empty
raw_data_count_int_corr <= 1;
raw_data_count_int_corr_minus1 <= 0;
corrected_empty <= '0';
corrected_almost_empty <= '1';
Elsif (raw_data_count_int = 1) Then -- rddata valid and fifo almost empty
raw_data_count_int_corr <= 2;
raw_data_count_int_corr_minus1 <= 1;
corrected_empty <= '0';
corrected_almost_empty <= '0';
else -- rddata valid and modify rd count from FIFO
raw_data_count_int_corr <= raw_data_count_int+1;
raw_data_count_int_corr_minus1 <= raw_data_count_int;
corrected_empty <= '0';
corrected_almost_empty <= '0';
end if;
end process CORRECT_RD_CNT_IAE;
raw_data_count_corr <= CONV_STD_LOGIC_VECTOR(raw_data_count_int_corr,
C_DATA_CNT_WIDTH);
raw_data_count_corr_minus1 <= CONV_STD_LOGIC_VECTOR(raw_data_count_int_corr_minus1,
C_DATA_CNT_WIDTH);
end generate INCLUDE_ALMOST_EMPTY;
------------------------------------------------------------
-- If Generate
--
-- Label: OMIT_ALMOST_EMPTY
--
-- If Generate Description:
-- This process corrects the FIFO Read Count output for the
-- auto read function and omits the generation of the
-- Almost_Empty flag.
--
------------------------------------------------------------
OMIT_ALMOST_EMPTY : if (C_NEED_ALMOST_EMPTY = 0) generate
-- local signals
Signal raw_data_count_int_corr : integer := 0;
begin
corrected_almost_empty <= '0'; -- always low
-------------------------------------------------------------
-- Combinational Process
--
-- Label: CORRECT_RD_CNT
--
-- Process Description:
-- This process corrects the FIFO Read Count output for the
-- auto read function and omits the generation of the
-- Almost_Empty flag.
--
-------------------------------------------------------------
CORRECT_RD_CNT : process (sig_rddata_valid,
sig_SFIFO_empty,
raw_data_count_int)
begin
if (sig_rddata_valid = '0') then
raw_data_count_int_corr <= 0;
corrected_empty <= '1';
elsif (sig_SFIFO_empty = '1') then -- rddata valid and fifo empty
raw_data_count_int_corr <= 1;
corrected_empty <= '0';
Elsif (raw_data_count_int = 1) Then -- rddata valid and fifo almost empty
raw_data_count_int_corr <= 2;
corrected_empty <= '0';
else -- rddata valid and modify rd count from FIFO
raw_data_count_int_corr <= raw_data_count_int+1;
corrected_empty <= '0';
end if;
end process CORRECT_RD_CNT;
raw_data_count_corr <= CONV_STD_LOGIC_VECTOR(raw_data_count_int_corr,
C_DATA_CNT_WIDTH);
end generate OMIT_ALMOST_EMPTY;
------------------------------------------------------------
-- If Generate
--
-- Label: INCLUDE_ALMOST_FULL
--
-- If Generate Description:
-- This IfGen Includes the generation of the Amost_Full flag.
--
--
------------------------------------------------------------
INCLUDE_ALMOST_FULL : if (C_NEED_ALMOST_FULL = 1) generate
-- Local Constants
Constant ALMOST_FULL_VALUE : integer := 2**(C_DATA_CNT_WIDTH-1)-1;
begin
SFIFO_Almost_full <= '1'
When raw_data_count_int = ALMOST_FULL_VALUE
Else '0';
end generate INCLUDE_ALMOST_FULL;
------------------------------------------------------------
-- If Generate
--
-- Label: OMIT_ALMOST_FULL
--
-- If Generate Description:
-- This IfGen Omits the generation of the Amost_Full flag.
--
--
------------------------------------------------------------
OMIT_ALMOST_FULL : if (C_NEED_ALMOST_FULL = 0) generate
begin
SFIFO_Almost_full <= '0'; -- always low
end generate OMIT_ALMOST_FULL;
end imp;
| bsd-2-clause | 36402bb90dae37c8a5cb1a192eebb62c | 0.426368 | 4.96745 | false | false | false | false |
cwilkens/ecen4024-microphone-array | microphone-array/microphone-array.srcs/sources_1/ip/cascaded_integrator_comb/synth/cascaded_integrator_comb.vhd | 1 | 8,417 | -- (c) Copyright 1995-2014 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:cic_compiler:4.0
-- IP Revision: 4
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY cic_compiler_v4_0;
USE cic_compiler_v4_0.cic_compiler_v4_0;
ENTITY cascaded_integrator_comb IS
PORT (
aclk : IN STD_LOGIC;
s_axis_data_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_data_tvalid : IN STD_LOGIC;
s_axis_data_tready : OUT STD_LOGIC;
m_axis_data_tdata : OUT STD_LOGIC_VECTOR(23 DOWNTO 0);
m_axis_data_tvalid : OUT STD_LOGIC
);
END cascaded_integrator_comb;
ARCHITECTURE cascaded_integrator_comb_arch OF cascaded_integrator_comb IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF cascaded_integrator_comb_arch: ARCHITECTURE IS "yes";
COMPONENT cic_compiler_v4_0 IS
GENERIC (
C_COMPONENT_NAME : STRING;
C_FILTER_TYPE : INTEGER;
C_NUM_STAGES : INTEGER;
C_DIFF_DELAY : INTEGER;
C_RATE : INTEGER;
C_INPUT_WIDTH : INTEGER;
C_OUTPUT_WIDTH : INTEGER;
C_USE_DSP : INTEGER;
C_HAS_ROUNDING : INTEGER;
C_NUM_CHANNELS : INTEGER;
C_RATE_TYPE : INTEGER;
C_MIN_RATE : INTEGER;
C_MAX_RATE : INTEGER;
C_SAMPLE_FREQ : INTEGER;
C_CLK_FREQ : INTEGER;
C_USE_STREAMING_INTERFACE : INTEGER;
C_FAMILY : STRING;
C_XDEVICEFAMILY : STRING;
C_C1 : INTEGER;
C_C2 : INTEGER;
C_C3 : INTEGER;
C_C4 : INTEGER;
C_C5 : INTEGER;
C_C6 : INTEGER;
C_I1 : INTEGER;
C_I2 : INTEGER;
C_I3 : INTEGER;
C_I4 : INTEGER;
C_I5 : INTEGER;
C_I6 : INTEGER;
C_S_AXIS_CONFIG_TDATA_WIDTH : INTEGER;
C_S_AXIS_DATA_TDATA_WIDTH : INTEGER;
C_M_AXIS_DATA_TDATA_WIDTH : INTEGER;
C_M_AXIS_DATA_TUSER_WIDTH : INTEGER;
C_HAS_DOUT_TREADY : INTEGER;
C_HAS_ACLKEN : INTEGER;
C_HAS_ARESETN : INTEGER
);
PORT (
aclk : IN STD_LOGIC;
aclken : IN STD_LOGIC;
aresetn : IN STD_LOGIC;
s_axis_config_tdata : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_config_tvalid : IN STD_LOGIC;
s_axis_config_tready : OUT STD_LOGIC;
s_axis_data_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_data_tvalid : IN STD_LOGIC;
s_axis_data_tready : OUT STD_LOGIC;
s_axis_data_tlast : IN STD_LOGIC;
m_axis_data_tdata : OUT STD_LOGIC_VECTOR(23 DOWNTO 0);
m_axis_data_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_data_tvalid : OUT STD_LOGIC;
m_axis_data_tready : IN STD_LOGIC;
m_axis_data_tlast : OUT STD_LOGIC;
event_tlast_unexpected : OUT STD_LOGIC;
event_tlast_missing : OUT STD_LOGIC;
event_halted : OUT STD_LOGIC
);
END COMPONENT cic_compiler_v4_0;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF cascaded_integrator_comb_arch: ARCHITECTURE IS "cic_compiler_v4_0,Vivado 2014.2";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF cascaded_integrator_comb_arch : ARCHITECTURE IS "cascaded_integrator_comb,cic_compiler_v4_0,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF cascaded_integrator_comb_arch: ARCHITECTURE IS "cascaded_integrator_comb,cic_compiler_v4_0,{x_ipProduct=Vivado 2014.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=cic_compiler,x_ipVersion=4.0,x_ipCoreRevision=4,x_ipLanguage=VERILOG,C_COMPONENT_NAME=cascaded_integrator_comb,C_FILTER_TYPE=1,C_NUM_STAGES=5,C_DIFF_DELAY=1,C_RATE=16,C_INPUT_WIDTH=2,C_OUTPUT_WIDTH=22,C_USE_DSP=1,C_HAS_ROUNDING=0,C_NUM_CHANNELS=1,C_RATE_TYPE=0,C_MIN_RATE=16,C_MAX_RATE=16,C_SAMPLE_FREQ=1,C_CLK_FREQ=1,C_USE_STREAMING_INTERFACE=1,C_FAMILY=artix7,C_XDEVICEFAMILY=artix7,C_C1=22,C_C2=22,C_C3=22,C_C4=22,C_C5=22,C_C6=0,C_I1=22,C_I2=22,C_I3=22,C_I4=22,C_I5=22,C_I6=0,C_S_AXIS_CONFIG_TDATA_WIDTH=1,C_S_AXIS_DATA_TDATA_WIDTH=8,C_M_AXIS_DATA_TDATA_WIDTH=24,C_M_AXIS_DATA_TUSER_WIDTH=1,C_HAS_DOUT_TREADY=0,C_HAS_ACLKEN=0,C_HAS_ARESETN=0}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TREADY";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TDATA";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TVALID";
BEGIN
U0 : cic_compiler_v4_0
GENERIC MAP (
C_COMPONENT_NAME => "cascaded_integrator_comb",
C_FILTER_TYPE => 1,
C_NUM_STAGES => 5,
C_DIFF_DELAY => 1,
C_RATE => 16,
C_INPUT_WIDTH => 2,
C_OUTPUT_WIDTH => 22,
C_USE_DSP => 1,
C_HAS_ROUNDING => 0,
C_NUM_CHANNELS => 1,
C_RATE_TYPE => 0,
C_MIN_RATE => 16,
C_MAX_RATE => 16,
C_SAMPLE_FREQ => 1,
C_CLK_FREQ => 1,
C_USE_STREAMING_INTERFACE => 1,
C_FAMILY => "artix7",
C_XDEVICEFAMILY => "artix7",
C_C1 => 22,
C_C2 => 22,
C_C3 => 22,
C_C4 => 22,
C_C5 => 22,
C_C6 => 0,
C_I1 => 22,
C_I2 => 22,
C_I3 => 22,
C_I4 => 22,
C_I5 => 22,
C_I6 => 0,
C_S_AXIS_CONFIG_TDATA_WIDTH => 1,
C_S_AXIS_DATA_TDATA_WIDTH => 8,
C_M_AXIS_DATA_TDATA_WIDTH => 24,
C_M_AXIS_DATA_TUSER_WIDTH => 1,
C_HAS_DOUT_TREADY => 0,
C_HAS_ACLKEN => 0,
C_HAS_ARESETN => 0
)
PORT MAP (
aclk => aclk,
aclken => '1',
aresetn => '1',
s_axis_config_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_config_tvalid => '0',
s_axis_data_tdata => s_axis_data_tdata,
s_axis_data_tvalid => s_axis_data_tvalid,
s_axis_data_tready => s_axis_data_tready,
s_axis_data_tlast => '0',
m_axis_data_tdata => m_axis_data_tdata,
m_axis_data_tvalid => m_axis_data_tvalid,
m_axis_data_tready => '0'
);
END cascaded_integrator_comb_arch;
| mit | ca37d02b6c3c4058282e1fb1c159b19c | 0.669597 | 3.216278 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/instantiation/rule_004_test_input.vhd | 1 | 535 |
architecture ARCH of ENTITY1 is
begin
U_INST1 : INST1
generic map (
G_GEN_1 => 3,
G_GEN_2 => 4,
G_GEN_3 => 5
)
port map (
PORT_1 => w_port_1,
PORT_2 => w_port_2,
PORT_3 => w_port_3
);
-- Violations below
U_INST1: INST1
port map (
PORT_1 => w_port_1,
PORT_2 => w_port_2,
PORT_3 => w_port_3
);
a <= b;
U_INST1 : INST1
port map (
PORT_1 => w_port_1,
PORT_2 => w_port_2,
PORT_3 => w_port_3
);
end architecture ARCH;
| gpl-3.0 | 72a3c09ee4158e8e02ac3f0672441103 | 0.465421 | 2.70202 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/concurrent/rule_010_test_input.vhd | 1 | 447 |
architecture RTL of FIFO is
begin
-- These are passing
a <= b or
d;
a <= '0' when c = '0' else
'1' when d = '1' else
'Z';
with z select
a <= b when z = "000",
c when z = "001";
-- Failing variations
a <= b or
d;
a <= '0' when c = '0' else
'1' when d = '1' else
'Z';
with z select
a <= b when z = "000",
c when z = "001";
end architecture RTL;
| gpl-3.0 | cf0ccb905129d75ae3a56f7046223c15 | 0.438479 | 3.170213 | false | false | false | false |
Yarr/Yarr-fw | rtl/kintex7/rx-core/xapp1017/delay_controller_wrap.vhd | 1 | 18,736 | ------------------------------------------------------------------------------
-- Copyright (c) 2012 Xilinx, Inc.
-- This design is confidential and proprietary of Xilinx, All Rights Reserved.
------------------------------------------------------------------------------
-- ____ ____
-- / /\/ /
-- /___/ \ / Vendor: Xilinx
-- \ \ \/ Version: 1.0
-- \ \ Filename: delay_controller_wrap.vhd
-- / / Date Last Modified: Mar 30, 2016
-- /___/ /\ Date Created: Jan 8, 2013
-- \ \ / \
-- \___\/\___\
--
--Device: 7 Series
--Purpose: Controls delays on a per-bit basis
-- Number of bits from each seres set via an attribute
--
--Reference: XAPP585.pdf
--
--Revision History:
-- Rev 1.0 - First created (nicks)
--
------------------------------------------------------------------------------
--
-- Disclaimer:
--
-- This disclaimer is not a license and does not grant any rights to the materials
-- distributed herewith. Except as otherwise provided in a valid license issued to you
-- by Xilinx, and to the maximum extent permitted by applicable law:
-- (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND WITH ALL FAULTS,
-- AND XILINX HEREBY DISCLAIMS ALL WARRANTIES AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY,
-- INCLUDING BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-INFRINGEMENT, OR
-- FITNESS FOR ANY PARTICULAR PURPOSE; and (2) Xilinx shall not be liable (whether in contract
-- or tort, including negligence, or under any other theory of liability) for any loss or damage
-- of any kind or nature related to, arising under or in connection with these materials,
-- including for any direct, or any indirect, special, incidental, or consequential loss
-- or damage (including loss of data, profits, goodwill, or any type of loss or damage suffered
-- as a result of any action brought by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the possibility of the same.
--
-- Critical Applications:
--
-- Xilinx products are not designed or intended to be fail-safe, or for use in any application
-- requiring fail-safe performance, such as life-support or safety devices or systems,
-- Class III medical devices, nuclear facilities, applications related to the deployment of airbags,
-- or any other applications that could lead to death, personal injury, or severe property or
-- environmental damage (individually and collectively, "Critical Applications"). Customer assumes
-- the sole risk and liability of any use of Xilinx products in Critical Applications, subject only
-- to applicable laws and regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS PART OF THIS FILE AT ALL TIMES.
--
------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all ;
library unisim ;
use unisim.vcomponents.all ;
entity delay_controller_wrap is generic (
S : integer := 4) ; -- Set the number of bits
port (
m_datain : in std_logic_vector(S-1 downto 0) ; -- Inputs from master serdes
s_datain : in std_logic_vector(S-1 downto 0) ; -- Inputs from slave serdes
enable_phase_detector : in std_logic ; -- Enables the phase detector logic when high
enable_monitor : in std_logic ; -- Enables the eye monitoring logic when high
reset : in std_logic ; -- Reset line synchronous to clk
clk : in std_logic ; -- Global/Regional clock
c_delay_in : in std_logic_vector(4 downto 0) ; -- delay value found on clock line
m_delay_out : out std_logic_vector(4 downto 0) ; -- Master delay control value
s_delay_out : out std_logic_vector(4 downto 0) ; -- Master delay control value
data_out : out std_logic_vector(S-1 downto 0) ; -- Output data
results : out std_logic_vector(31 downto 0) ; -- eye monitor result data
m_delay_1hot : out std_logic_vector(31 downto 0) ; -- Master delay control value as a one-hot vector
debug : out std_logic_vector(1 downto 0) ; -- debug data
del_mech : in std_logic ; -- changes delay mechanism slightly at higher bit rates
bt_val : in std_logic_vector(4 downto 0)) ; -- Calculated bit time value for slave devices
end delay_controller_wrap ;
architecture arch_delay_controller_wrap of delay_controller_wrap is
signal mdataouta : std_logic_vector(S-1 downto 0) ;
signal mdataoutb : std_logic ;
signal mdataoutc : std_logic_vector(S-1 downto 0) ;
signal sdataouta : std_logic_vector(S-1 downto 0) ;
signal sdataoutb : std_logic ;
signal sdataoutc : std_logic_vector(S-1 downto 0) ;
signal s_ovflw : std_logic ;
signal m_delay_mux : std_logic_vector(1 downto 0) ;
signal s_delay_mux : std_logic_vector(1 downto 0) ;
signal data_mux : std_logic ;
signal dec_run : std_logic ;
signal inc_run : std_logic ;
signal eye_run : std_logic ;
signal s_state : std_logic_vector(4 downto 0) ;
signal pdcount : std_logic_vector(5 downto 0) ;
signal m_delay_val_int : std_logic_vector(4 downto 0) ;
signal s_delay_val_int : std_logic_vector(4 downto 0) ;
signal s_delay_val_eye : std_logic_vector(4 downto 0) ;
signal meq_max : std_logic ;
signal meq_min : std_logic ;
signal pd_max : std_logic ;
signal pd_min : std_logic ;
signal delay_change : std_logic ;
signal msxoria : std_logic_vector(7 downto 0) ;
signal msxorda : std_logic_vector(7 downto 0) ;
signal action : std_logic_vector(1 downto 0) ;
signal msxor_cti : std_logic_vector(1 downto 0) ;
signal msxor_ctd : std_logic_vector(1 downto 0) ;
signal msxor_ctix : std_logic_vector(1 downto 0) ;
signal msxor_ctdx : std_logic_vector(1 downto 0) ;
signal msxor_ctiy : std_logic_vector(2 downto 0) ;
signal msxor_ctdy : std_logic_vector(2 downto 0) ;
signal match : std_logic_vector(7 downto 0) ;
signal shifter : std_logic_vector(31 downto 0) := (0=>'1', others => '0') ;
signal pd_hold : std_logic_vector(7 downto 0) ;
signal res_int : std_logic_vector(31 downto 0) := (others => '0') ;
signal bt_val_d2 : std_logic_vector(4 downto 0) ;
begin
m_delay_out <= m_delay_val_int ;
s_delay_out <= s_delay_val_int ;
results <= res_int ;
debug <= action ;
bt_val_d2 <= '0' & bt_val(4 downto 1) ;
loop2 : if S /= 8 generate -- phase detector filter, works on changes in data only
loop3 : for i in S to 7 generate
msxoria(i) <= '0' ; -- unused early bits
msxorda(i) <= '0' ; -- unused late bits
end generate ;
end generate ;
loop0 : for i in 0 to S-2 generate
msxoria(i+1) <= ((not s_ovflw and ((mdataouta(i) and not mdataouta(i+1) and not sdataouta(i)) or (not mdataouta(i) and mdataouta(i+1) and sdataouta(i)))) or
( s_ovflw and ((mdataouta(i) and not mdataouta(i+1) and not sdataouta(i+1)) or (not mdataouta(i) and mdataouta(i+1) and sdataouta(i+1))))) ; -- early bits
msxorda(i+1) <= ((not s_ovflw and ((mdataouta(i) and not mdataouta(i+1) and sdataouta(i)) or (not mdataouta(i) and mdataouta(i+1) and not sdataouta(i))))) or
( s_ovflw and ((mdataouta(i) and not mdataouta(i+1) and sdataouta(i+1)) or (not mdataouta(i) and mdataouta(i+1) and not sdataouta(i+1)))) ; -- late bits
end generate ;
msxoria(0) <= ((not s_ovflw and ((mdataoutb and not mdataouta(0) and not sdataoutb) or (not mdataoutb and mdataouta(0) and sdataoutb))) or -- first early bit
( s_ovflw and ((mdataoutb and not mdataouta(0) and not sdataouta(0)) or (not mdataoutb and mdataouta(0) and sdataouta(0))))) ;
msxorda(0) <= ((not s_ovflw and ((mdataoutb and not mdataouta(0) and sdataoutb) or (not mdataoutb and mdataouta(0) and not sdataoutb)))) or -- first late bit
( s_ovflw and ((mdataoutb and not mdataouta(0) and sdataouta(0)) or (not mdataoutb and mdataouta(0) and not sdataouta(0)))) ;
process (clk) begin
if clk'event and clk = '1' then -- generate number of incs or decs for low 4 bits
case (msxoria(3 downto 0)) is
when X"0" => msxor_cti <= "00" ;
when X"1" => msxor_cti <= "01" ;
when X"2" => msxor_cti <= "01" ;
when X"3" => msxor_cti <= "10" ;
when X"4" => msxor_cti <= "01" ;
when X"5" => msxor_cti <= "10" ;
when X"6" => msxor_cti <= "10" ;
when X"8" => msxor_cti <= "01" ;
when X"9" => msxor_cti <= "10" ;
when X"A" => msxor_cti <= "10" ;
when X"C" => msxor_cti <= "10" ;
when others => msxor_cti <= "11" ;
end case ;
case (msxorda(3 downto 0)) is
when X"0" => msxor_ctd <= "00" ;
when X"1" => msxor_ctd <= "01" ;
when X"2" => msxor_ctd <= "01" ;
when X"3" => msxor_ctd <= "10" ;
when X"4" => msxor_ctd <= "01" ;
when X"5" => msxor_ctd <= "10" ;
when X"6" => msxor_ctd <= "10" ;
when X"8" => msxor_ctd <= "01" ;
when X"9" => msxor_ctd <= "10" ;
when X"A" => msxor_ctd <= "10" ;
when X"C" => msxor_ctd <= "10" ;
when others => msxor_ctd <= "11" ;
end case ;
case (msxoria(7 downto 4)) is -- generate number of incs or decs for high n bits, max 4
when X"0" => msxor_ctix <= "00" ;
when X"1" => msxor_ctix <= "01" ;
when X"2" => msxor_ctix <= "01" ;
when X"3" => msxor_ctix <= "10" ;
when X"4" => msxor_ctix <= "01" ;
when X"5" => msxor_ctix <= "10" ;
when X"6" => msxor_ctix <= "10" ;
when X"8" => msxor_ctix <= "01" ;
when X"9" => msxor_ctix <= "10" ;
when X"A" => msxor_ctix <= "10" ;
when X"C" => msxor_ctix <= "10" ;
when others => msxor_ctix <= "11" ;
end case ;
case (msxorda(7 downto 4)) is
when X"0" => msxor_ctdx <= "00" ;
when X"1" => msxor_ctdx <= "01" ;
when X"2" => msxor_ctdx <= "01" ;
when X"3" => msxor_ctdx <= "10" ;
when X"4" => msxor_ctdx <= "01" ;
when X"5" => msxor_ctdx <= "10" ;
when X"6" => msxor_ctdx <= "10" ;
when X"8" => msxor_ctdx <= "01" ;
when X"9" => msxor_ctdx <= "10" ;
when X"A" => msxor_ctdx <= "10" ;
when X"C" => msxor_ctdx <= "10" ;
when others => msxor_ctdx <= "11" ;
end case ;
end if ;
end process ;
msxor_ctiy <= ('0' & msxor_cti) + ('0' & msxor_ctix) ;
msxor_ctdy <= ('0' & msxor_ctd) + ('0' & msxor_ctdx) ;
process (clk) begin
if clk'event and clk = '1' then
if msxor_ctiy = msxor_ctdy then
action <= "00" ;
elsif msxor_ctiy > msxor_ctdy then
action <= "01" ;
else
action <= "10" ;
end if ;
end if ;
end process ;
process (clk) begin
if clk'event and clk = '1' then
mdataouta <= m_datain ;
mdataoutb <= mdataouta(S-1) ;
sdataouta <= s_datain ;
sdataoutb <= sdataouta(S-1) ;
end if ;
end process ;
process (clk) begin
if clk'event and clk = '1' then -- per bit delay shift state machine
if reset = '1' then
s_ovflw <= '0' ;
pdcount <= "100000" ;
m_delay_val_int <= c_delay_in ; -- initial master delay
s_delay_val_int <= "00000" ; -- initial slave delay
data_mux <= '0' ;
m_delay_mux <= "01" ;
s_delay_mux <= "01" ;
s_state <= "00000" ;
inc_run <= '0' ;
dec_run <= '0' ;
eye_run <= '0' ;
pd_hold <= "00000000" ;
s_delay_val_eye <= "00000" ;
else
case (m_delay_mux) is
when "00" => mdataoutc <= mdataouta(S-2 downto 0) & mdataoutb ;
when "10" => mdataoutc <= m_datain(0) & mdataouta(S-1 downto 1) ;
when others => mdataoutc <= mdataouta ;
end case ;
case (s_delay_mux) is
when "00" => sdataoutc <= sdataouta(S-2 downto 0) & sdataoutb ;
when "10" => sdataoutc <= s_datain(0) & sdataouta(S-1 downto 1) ;
when others => sdataoutc <= sdataouta ;
end case ;
if m_delay_val_int = bt_val then
meq_max <= '1' ;
else
meq_max <= '0' ;
end if ;
if m_delay_val_int = "00000" then
meq_min <= '1' ;
else
meq_min <= '0' ;
end if ;
if pdcount = "111111" and pd_max = '0' and delay_change = '0' then
pd_max <= '1' ;
else
pd_max <= '0' ;
end if ;
if pdcount = "000000" and pd_min = '0' and delay_change = '0' then
pd_min <= '1' ;
else
pd_min <= '0' ;
end if ;
if delay_change = '1' or inc_run = '1' or dec_run = '1' or eye_run = '1' then
pd_hold <= "11111111" ;
pdcount <= "100000" ;
elsif pd_hold(7) = '1' then
pdcount <= "100000" ;
pd_hold <= pd_hold(6 downto 0) & '0' ;
elsif action(0) = '1' and pdcount /= "111111" then -- increment filter count
pdcount <= pdcount + 1 ;
elsif action(1) = '1' and pdcount /= "000000" then -- decrement filter count
pdcount <= pdcount - 1 ;
end if ;
if ((enable_phase_detector = '1' and pd_max = '1' and delay_change = '0') or inc_run = '1') then -- increment delays, check for master delay = max
delay_change <= '1' ;
if meq_max = '0' and inc_run = '0' then
m_delay_val_int <= m_delay_val_int + 1 ;
else -- master is max
s_state(3 downto 0) <= s_state(3 downto 0) + 1 ;
case (s_state(3 downto 0)) is
when "0000" => inc_run <= '1' ; s_delay_val_int <= bt_val ; -- indicate state machine running and set slave delay to bit time
when "0110" => data_mux <= '1' ; m_delay_val_int <= "00000" ; -- change data mux over to forward slave data and set master delay to zero
when "1001" => m_delay_mux <= m_delay_mux - 1 ; -- change master delay mux over to forward with a 1-bit less advance
when "1110" => data_mux <= '0' ; -- change data mux over to forward master data
when "1111" => s_delay_mux <= m_delay_mux ; inc_run <= '0' ; -- change slave delay mux over to forward with a 1-bit less advance
when others => inc_run <= '1' ;
end case ;
end if ;
elsif ((enable_phase_detector = '1' and pd_min = '1' and delay_change = '0') or dec_run = '1') then -- decrement delays, check for master delay = 0
delay_change <= '1' ;
if meq_min = '0' and dec_run = '0' then
m_delay_val_int <= m_delay_val_int - 1 ;
else -- master is zero
s_state(3 downto 0) <= s_state(3 downto 0) + 1 ;
case (s_state(3 downto 0)) is
when "0000" => dec_run <= '1' ; s_delay_val_int <= "00000" ; -- indicate state machine running and set slave delay to zero
when "0110" => data_mux <= '1' ; m_delay_val_int <= bt_val ; -- change data mux over to forward slave data and set master delay to bit time
when "1001" => m_delay_mux <= m_delay_mux + 1 ; -- change master delay mux over to forward with a 1-bit more advance
when "1110" => data_mux <= '0' ; -- change data mux over to forward master data
when "1111" => s_delay_mux <= m_delay_mux ; dec_run <= '0' ; -- change slave delay mux over to forward with a 1-bit less advance
when others => dec_run <= '1' ;
end case ;
end if ;
elsif enable_monitor = '1' and (eye_run = '1' or delay_change = '1') then
delay_change <= '0' ;
s_state <= s_state + 1 ;
case (s_state) is
when "00000" => eye_run <= '1' ; s_delay_val_int <= s_delay_val_eye ; -- indicate state machine running and set slave delay to monitor value
when "10110" => if match = "11111111" then res_int <= res_int or shifter ; -- set or clear result bit
else res_int <= res_int and not shifter ; end if ;
if s_delay_val_eye = bt_val then -- only monitor active taps, ie as far as btval
shifter <= (0=>'1',others=>'0') ; s_delay_val_eye <= "00000" ;
else shifter <= shifter(30 downto 0) & shifter(31) ;
s_delay_val_eye <= s_delay_val_eye + 1 ; end if ;
eye_run <= '0' ; s_state <= "00000" ;
when others => eye_run <= '1' ;
end case ;
else
delay_change <= '0' ;
if (m_delay_val_int >= bt_val_d2) and del_mech = '0' then -- set slave delay to 1/2 bit period beyond or behind the master delay
s_delay_val_int <= m_delay_val_int - bt_val_d2 ;
s_ovflw <= '0' ;
else
s_delay_val_int <= m_delay_val_int + bt_val_d2 ; -- slave always ahead when del_mech is '1'
s_ovflw <= '1' ;
end if ;
end if ;
if enable_phase_detector = '0' and delay_change = '0' then
delay_change <= '1' ;
end if ;
end if ;
if enable_phase_detector = '1' then
if data_mux = '0' then
data_out <= mdataoutc ;
else
data_out <= sdataoutc ;
end if ;
else
data_out <= m_datain ;
end if ;
end if ;
end process ;
process (clk) begin
if clk'event and clk = '1' then
if mdataouta = sdataouta then
match <= match(6 downto 0) & '1' ;
else
match <= match(6 downto 0) & '0' ;
end if ;
end if ;
end process ;
m_delay_1hot <= X"00000001" when m_delay_val_int = "00000" else
X"00000002" when m_delay_val_int = "00001" else
X"00000004" when m_delay_val_int = "00010" else
X"00000008" when m_delay_val_int = "00011" else
X"00000010" when m_delay_val_int = "00100" else
X"00000020" when m_delay_val_int = "00101" else
X"00000040" when m_delay_val_int = "00110" else
X"00000080" when m_delay_val_int = "00111" else
X"00000100" when m_delay_val_int = "01000" else
X"00000200" when m_delay_val_int = "01001" else
X"00000400" when m_delay_val_int = "01010" else
X"00000800" when m_delay_val_int = "01011" else
X"00001000" when m_delay_val_int = "01100" else
X"00002000" when m_delay_val_int = "01101" else
X"00004000" when m_delay_val_int = "01110" else
X"00008000" when m_delay_val_int = "01111" else
X"00010000" when m_delay_val_int = "10000" else
X"00020000" when m_delay_val_int = "10001" else
X"00040000" when m_delay_val_int = "10010" else
X"00080000" when m_delay_val_int = "10011" else
X"00100000" when m_delay_val_int = "10100" else
X"00200000" when m_delay_val_int = "10101" else
X"00400000" when m_delay_val_int = "10110" else
X"00800000" when m_delay_val_int = "10111" else
X"01000000" when m_delay_val_int = "11000" else
X"02000000" when m_delay_val_int = "11001" else
X"04000000" when m_delay_val_int = "11010" else
X"08000000" when m_delay_val_int = "11011" else
X"10000000" when m_delay_val_int = "11100" else
X"20000000" when m_delay_val_int = "11101" else
X"40000000" when m_delay_val_int = "11110" else
X"80000000" ;
end arch_delay_controller_wrap ;
| gpl-3.0 | 90875b5aaaf911d87e1f503f7e80bcfb | 0.58433 | 2.889574 | false | false | false | false |
Yarr/Yarr-fw | rtl/spartan6/ddr3-core/ip_cores/ddr3_ctrl_spec_bank3_64b_32b/user_design/rtl/mcb_soft_calibration.vhd | 10 | 90,302 | --*****************************************************************************
-- (c) Copyright 2009 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--*****************************************************************************
-- ____ ____
-- / /\/ /
-- /___/ \ / Vendor: Xilinx
-- \ \ \/ Version: %version
-- \ \ Application: MIG
-- / / Filename: mcb_soft_calibration.vhd
-- /___/ /\ Date Last Modified: $Date: 2011/06/02 07:17:26 $
-- \ \ / \ Date Created: Mon Feb 9 2009
-- \___\/\___\
--
--Device: Spartan6
--Design Name: DDR/DDR2/DDR3/LPDDR
--Purpose: Xilinx reference design for MCB Soft
-- Calibration
--Reference:
--
-- Revision: Date: Comment
-- 1.0: 2/06/09: Initial version for MIG wrapper.
-- 1.1: 2/09/09: moved Max_Value_Previous assignments to be completely inside CASE statement for next-state logic (needed to get it working
-- correctly)
-- 1.2: 2/12/09: Many other changes.
-- 1.3: 2/26/09: Removed section with Max_Value_pre and DQS_COUNT_PREVIOUS_pre, and instead added PREVIOUS_STATE reg and moved assignment to within
-- STATE
-- 1.4: 3/02/09: Removed comments out of sensitivity list of always block to mux SDI, SDO, CS, and ADD.Also added reg declaration for PREVIOUS_STATE
-- 1.5: 3/16/09: Added pll_lock port, and using it to gate reset. Changing RST (except input port) to RST_reg and gating it with pll_lock.
-- 1.6: 6/05/09: Added START_DYN_CAL_PRE with pulse on SYSRST; removed MCB_UIDQCOUNT.
-- 1.7: 6/24/09: Gave RZQ and ZIO each their own unique ADD and SDI nets
-- 2.6: 12/15/09: Changed STATE from 7-bit to 6-bit. Dropped (* FSM_ENCODING="BINARY" *) for STATE. Moved MCB_UICMDEN = 0 from OFF_RZQ_PTERM to
-- RST_DELAY.
-- Changed the "reset" always block so that RST_reg is always set to 1 when the PLL loses lock, and is now held in reset for at least
-- 16 clocks. Added PNSKEW option.
-- 2.7: 12/23/09: Added new states "SKEW" and "MULTIPLY_DIVIDE" to help with timing.
-- 2.8: 01/14/10: Added functionality to allow for SUSPEND. Changed MCB_SYSRST port from wire to reg.
-- 2.9: 02/01/10: More changes to SUSPEND and Reset logic to handle SUSPEND properly. Also - eliminated 2's comp DQS_COUNT_VIRTUAL, and replaced
-- with 8bit TARGET_DQS_DELAY which
-- will track most recnet Max_Value. Eliminated DQS_COUNT_PREVIOUS. Combined DQS_COUNT_INITIAL and DQS_DELAY into DQS_DELAY_INITIAL.
-- Changed DQS_COUNT* to DQS_DELAY*.
-- Changed MCB_SYSRST port back to wire (from reg).
-- 3.0: 02/10/10: Added count_inc and count_dec to add few (4) UI_CLK cycles latency to the INC and DEC signals(to deal with latency on UOREFRSHFLAG)
-- 3.1: 02/23/10: Registered the DONE_SOFTANDHARD_CAL for timing.
-- 3.2: 02/28/10: Corrected the WAIT_SELFREFRESH_EXIT_DQS_CAL logic;
-- 3.3: 03/02/10: Changed PNSKEW to default on (1'b1)
-- 3.4: 03/04/10: Recoded the RST_Reg logic.
-- 3.5: 03/05/10: Changed Result register to be 16-bits. Changed DQS_NUMERATOR/DENOMINATOR values to 3/8 (from 6/16)
-- 3.6 03/10/10: Improvements to Reset logic.
-- 3.7: 04/26/10: Added DDR2 Initialization fix to meet 400 ns wait as outlined in step d) of JEDEC DDR2 spec .
-- 3.8: 05/05/10: Added fixes for the CR# 559092 (updated Mult_Divide function) and 555416 (added IOB attribute to DONE_SOFTANDHARD_CAL).
-- 3.9: 05/24/10: Added 200us Wait logic to control CKE_Train. The 200us Wait counter assumes UI_CLK freq not higher than 100 MHz.
-- 3.10 10/22/10: Fixed PERFORM_START_DYN_CAL_AFTER_SELFREFRESH logic.
-- 3.11 2/14/11: Apply a different skkew for the P and N inputs for the differential LDQS and UDQS signals to provide more noise immunity.
-- End Revision
--**********************************************************************************
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
USE ieee.numeric_std.all;
entity mcb_soft_calibration is
generic (
C_MEM_TZQINIT_MAXCNT : std_logic_vector(9 downto 0) := "1000000000"; -- DDR3 Minimum delay between resets
SKIP_IN_TERM_CAL : integer := 0; -- provides option to skip the input termination calibration
SKIP_DYNAMIC_CAL : integer := 0; -- provides option to skip the dynamic delay calibration
SKIP_DYN_IN_TERM : integer := 1; -- provides option to skip the input termination calibration
C_MC_CALIBRATION_MODE : string := "CALIBRATION"; -- if set to CALIBRATION will reset DQS IDELAY to DQS_NUMERATOR/DQS_DENOMINATOR local_param value
-- if set to NOCALIBRATION then defaults to hard cal blocks setting of C_MC_CALBRATION_DELAY
-- (Quarter, etc)
C_SIMULATION : string := "FALSE"; -- Tells us whether the design is being simulated or implemented
C_MEM_TYPE : string := "DDR"
);
port (
UI_CLK : in std_logic; -- main clock input for logic and IODRP CLK pins. At top level, this should also connect to IODRP2_MCB
-- CLK pins
RST : in std_logic; -- main system reset for both the Soft Calibration block - also will act as a passthrough to MCB's SYSRST
DONE_SOFTANDHARD_CAL : out std_logic; -- active high flag signals soft calibration of input delays is complete and MCB_UODONECAL is high (MCB
-- hard calib complete)
PLL_LOCK : in std_logic; -- Lock signal from PLL
SELFREFRESH_REQ : in std_logic;
SELFREFRESH_MCB_MODE : in std_logic;
SELFREFRESH_MCB_REQ : out std_logic;
SELFREFRESH_MODE : out std_logic;
IODRP_ADD : out std_logic; -- IODRP ADD port
IODRP_SDI : out std_logic; -- IODRP SDI port
RZQ_IN : in std_logic; -- RZQ pin from board - expected to have a 2*R resistor to ground
RZQ_IODRP_SDO : in std_logic; -- RZQ IODRP's SDO port
RZQ_IODRP_CS : out std_logic := '0'; -- RZQ IODRP's CS port
ZIO_IN : in std_logic; -- Z-stated IO pin - garanteed not to be driven externally
ZIO_IODRP_SDO : in std_logic; -- ZIO IODRP's SDO port
ZIO_IODRP_CS : out std_logic := '0'; -- ZIO IODRP's CS port
MCB_UIADD : out std_logic; -- to MCB's UIADD port
MCB_UISDI : out std_logic; -- to MCB's UISDI port
MCB_UOSDO : in std_logic; -- from MCB's UOSDO port (User output SDO)
MCB_UODONECAL : in std_logic; -- indicates when MCB hard calibration process is complete
MCB_UOREFRSHFLAG : in std_logic; -- high during refresh cycle and time when MCB is innactive
MCB_UICS : out std_logic; -- to MCB's UICS port (User Input CS)
MCB_UIDRPUPDATE : out std_logic := '1'; -- MCB's UIDRPUPDATE port (gets passed to IODRP2_MCB's MEMUPDATE port: this controls shadow latch used
-- during IODRP2_MCB writes). Currently just trasnparent
MCB_UIBROADCAST : out std_logic; -- only to MCB's UIBROADCAST port (User Input BROADCAST - gets passed to IODRP2_MCB's BKST port)
MCB_UIADDR : out std_logic_vector(4 downto 0) := "00000"; -- to MCB's UIADDR port (gets passed to IODRP2_MCB's AUXADDR port
MCB_UICMDEN : out std_logic := '1'; -- set to 1 to take control of UI interface - removes control from internal calib block
MCB_UIDONECAL : out std_logic := '0'; -- set to 0 to "tell" controller that it's still in a calibrate state
MCB_UIDQLOWERDEC : out std_logic ;
MCB_UIDQLOWERINC : out std_logic ;
MCB_UIDQUPPERDEC : out std_logic ;
MCB_UIDQUPPERINC : out std_logic ;
MCB_UILDQSDEC : out std_logic := '0';
MCB_UILDQSINC : out std_logic := '0';
MCB_UIREAD : out std_logic; -- enables read w/o writing by turning on a SDO->SDI loopback inside the IODRP2_MCBs (doesn't exist in
-- regular IODRP2). IODRPCTRLR_R_WB becomes don't-care.
MCB_UIUDQSDEC : out std_logic := '0';
MCB_UIUDQSINC : out std_logic := '0';
MCB_RECAL : out std_logic ; -- future hook to drive MCB's RECAL pin - initiates a hard re-calibration sequence when high
MCB_UICMD : out std_logic;
MCB_UICMDIN : out std_logic;
MCB_UIDQCOUNT : out std_logic_vector(3 downto 0);
MCB_UODATA : in std_logic_vector(7 downto 0);
MCB_UODATAVALID : in std_logic;
MCB_UOCMDREADY : in std_logic;
MCB_UO_CAL_START : in std_logic;
MCB_SYSRST : out std_logic; -- drives the MCB's SYSRST pin - the main reset for MCB
Max_Value : out std_logic_vector(7 downto 0);
CKE_Train : out std_logic
);
end entity mcb_soft_calibration;
architecture trans of mcb_soft_calibration is
constant IOI_DQ0 : std_logic_vector(4 downto 0) := ("0000" & '1');
constant IOI_DQ1 : std_logic_vector(4 downto 0) := ("0000" & '0');
constant IOI_DQ2 : std_logic_vector(4 downto 0) := ("0001" & '1');
constant IOI_DQ3 : std_logic_vector(4 downto 0) := ("0001" & '0');
constant IOI_DQ4 : std_logic_vector(4 downto 0) := ("0010" & '1');
constant IOI_DQ5 : std_logic_vector(4 downto 0) := ("0010" & '0');
constant IOI_DQ6 : std_logic_vector(4 downto 0) := ("0011" & '1');
constant IOI_DQ7 : std_logic_vector(4 downto 0) := ("0011" & '0');
constant IOI_DQ8 : std_logic_vector(4 downto 0) := ("0100" & '1');
constant IOI_DQ9 : std_logic_vector(4 downto 0) := ("0100" & '0');
constant IOI_DQ10 : std_logic_vector(4 downto 0) := ("0101" & '1');
constant IOI_DQ11 : std_logic_vector(4 downto 0) := ("0101" & '0');
constant IOI_DQ12 : std_logic_vector(4 downto 0) := ("0110" & '1');
constant IOI_DQ13 : std_logic_vector(4 downto 0) := ("0110" & '0');
constant IOI_DQ14 : std_logic_vector(4 downto 0) := ("0111" & '1');
constant IOI_DQ15 : std_logic_vector(4 downto 0) := ("0111" & '0');
constant IOI_UDM : std_logic_vector(4 downto 0) := ("1000" & '1');
constant IOI_LDM : std_logic_vector(4 downto 0) := ("1000" & '0');
constant IOI_CK_P : std_logic_vector(4 downto 0) := ("1001" & '1');
constant IOI_CK_N : std_logic_vector(4 downto 0) := ("1001" & '0');
constant IOI_RESET : std_logic_vector(4 downto 0) := ("1010" & '1');
constant IOI_A11 : std_logic_vector(4 downto 0) := ("1010" & '0');
constant IOI_WE : std_logic_vector(4 downto 0) := ("1011" & '1');
constant IOI_BA2 : std_logic_vector(4 downto 0) := ("1011" & '0');
constant IOI_BA0 : std_logic_vector(4 downto 0) := ("1100" & '1');
constant IOI_BA1 : std_logic_vector(4 downto 0) := ("1100" & '0');
constant IOI_RASN : std_logic_vector(4 downto 0) := ("1101" & '1');
constant IOI_CASN : std_logic_vector(4 downto 0) := ("1101" & '0');
constant IOI_UDQS_CLK : std_logic_vector(4 downto 0) := ("1110" & '1');
constant IOI_UDQS_PIN : std_logic_vector(4 downto 0) := ("1110" & '0');
constant IOI_LDQS_CLK : std_logic_vector(4 downto 0) := ("1111" & '1');
constant IOI_LDQS_PIN : std_logic_vector(4 downto 0) := ("1111" & '0');
constant START : std_logic_vector(5 downto 0) := "000000";
constant LOAD_RZQ_NTERM : std_logic_vector(5 downto 0) := "000001";
constant WAIT1 : std_logic_vector(5 downto 0) := "000010";
constant LOAD_RZQ_PTERM : std_logic_vector(5 downto 0) := "000011";
constant WAIT2 : std_logic_vector(5 downto 0) := "000100";
constant INC_PTERM : std_logic_vector(5 downto 0) := "000101";
constant MULTIPLY_DIVIDE : std_logic_vector(5 downto 0) := "000110";
constant LOAD_ZIO_PTERM : std_logic_vector(5 downto 0) := "000111";
constant WAIT3 : std_logic_vector(5 downto 0) := "001000";
constant LOAD_ZIO_NTERM : std_logic_vector(5 downto 0) := "001001";
constant WAIT4 : std_logic_vector(5 downto 0) := "001010";
constant INC_NTERM : std_logic_vector(5 downto 0) := "001011";
constant SKEW : std_logic_vector(5 downto 0) := "001100";
constant WAIT_FOR_START_BROADCAST : std_logic_vector(5 downto 0) := "001101";
constant BROADCAST_PTERM : std_logic_vector(5 downto 0) := "001110";
constant WAIT5 : std_logic_vector(5 downto 0) := "001111";
constant BROADCAST_NTERM : std_logic_vector(5 downto 0) := "010000";
constant WAIT6 : std_logic_vector(5 downto 0) := "010001";
constant LDQS_CLK_WRITE_P_TERM : std_logic_vector(5 downto 0) := "010010";
constant LDQS_CLK_P_TERM_WAIT : std_logic_vector(5 downto 0) := "010011";
constant LDQS_CLK_WRITE_N_TERM : std_logic_vector(5 downto 0) := "010100";
constant LDQS_CLK_N_TERM_WAIT : std_logic_vector(5 downto 0) := "010101";
constant LDQS_PIN_WRITE_P_TERM : std_logic_vector(5 downto 0) := "010110";
constant LDQS_PIN_P_TERM_WAIT : std_logic_vector(5 downto 0) := "010111";
constant LDQS_PIN_WRITE_N_TERM : std_logic_vector(5 downto 0) := "011000";
constant LDQS_PIN_N_TERM_WAIT : std_logic_vector(5 downto 0) := "011001";
constant UDQS_CLK_WRITE_P_TERM : std_logic_vector(5 downto 0) := "011010";
constant UDQS_CLK_P_TERM_WAIT : std_logic_vector(5 downto 0) := "011011";
constant UDQS_CLK_WRITE_N_TERM : std_logic_vector(5 downto 0) := "011100";
constant UDQS_CLK_N_TERM_WAIT : std_logic_vector(5 downto 0) := "011101";
constant UDQS_PIN_WRITE_P_TERM : std_logic_vector(5 downto 0) := "011110";
constant UDQS_PIN_P_TERM_WAIT : std_logic_vector(5 downto 0) := "011111";
constant UDQS_PIN_WRITE_N_TERM : std_logic_vector(5 downto 0) := "100000";
constant UDQS_PIN_N_TERM_WAIT : std_logic_vector(5 downto 0) := "100001";
constant OFF_RZQ_PTERM : std_logic_vector(5 downto 0) := "100010";
constant WAIT7 : std_logic_vector(5 downto 0) := "100011";
constant OFF_ZIO_NTERM : std_logic_vector(5 downto 0) := "100100";
constant WAIT8 : std_logic_vector(5 downto 0) := "100101";
constant RST_DELAY : std_logic_vector(5 downto 0) := "100110";
constant START_DYN_CAL_PRE : std_logic_vector(5 downto 0) := "100111";
constant WAIT_FOR_UODONE : std_logic_vector(5 downto 0) := "101000";
constant LDQS_WRITE_POS_INDELAY : std_logic_vector(5 downto 0) := "101001";
constant LDQS_WAIT1 : std_logic_vector(5 downto 0) := "101010";
constant LDQS_WRITE_NEG_INDELAY : std_logic_vector(5 downto 0) := "101011";
constant LDQS_WAIT2 : std_logic_vector(5 downto 0) := "101100";
constant UDQS_WRITE_POS_INDELAY : std_logic_vector(5 downto 0) := "101101";
constant UDQS_WAIT1 : std_logic_vector(5 downto 0) := "101110";
constant UDQS_WRITE_NEG_INDELAY : std_logic_vector(5 downto 0) := "101111";
constant UDQS_WAIT2 : std_logic_vector(5 downto 0) := "110000";
constant START_DYN_CAL : std_logic_vector(5 downto 0) := "110001";
constant WRITE_CALIBRATE : std_logic_vector(5 downto 0) := "110010";
constant WAIT9 : std_logic_vector(5 downto 0) := "110011";
constant READ_MAX_VALUE : std_logic_vector(5 downto 0) := "110100";
constant WAIT10 : std_logic_vector(5 downto 0) := "110101";
constant ANALYZE_MAX_VALUE : std_logic_vector(5 downto 0) := "110110";
constant FIRST_DYN_CAL : std_logic_vector(5 downto 0) := "110111";
constant INCREMENT : std_logic_vector(5 downto 0) := "111000";
constant DECREMENT : std_logic_vector(5 downto 0) := "111001";
constant DONE : std_logic_vector(5 downto 0) := "111010";
--constant INCREMENT_TA : std_logic_vector(5 downto 0) := "111011";
constant RZQ : std_logic_vector(1 downto 0) := "00";
constant ZIO : std_logic_vector(1 downto 0) := "01";
constant MCB_PORT : std_logic_vector(1 downto 0) := "11";
constant WRITE_MODE : std_logic := '0';
constant READ_MODE : std_logic := '1';
-- IOI Registers
constant NoOp : std_logic_vector(7 downto 0) := "00000000";
constant DelayControl : std_logic_vector(7 downto 0) := "00000001";
constant PosEdgeInDly : std_logic_vector(7 downto 0) := "00000010";
constant NegEdgeInDly : std_logic_vector(7 downto 0) := "00000011";
constant PosEdgeOutDly : std_logic_vector(7 downto 0) := "00000100";
constant NegEdgeOutDly : std_logic_vector(7 downto 0) := "00000101";
constant MiscCtl1 : std_logic_vector(7 downto 0) := "00000110";
constant MiscCtl2 : std_logic_vector(7 downto 0) := "00000111";
constant MaxValue : std_logic_vector(7 downto 0) := "00001000";
-- IOB Registers
constant PDrive : std_logic_vector(7 downto 0) := "10000000";
constant PTerm : std_logic_vector(7 downto 0) := "10000001";
constant NDrive : std_logic_vector(7 downto 0) := "10000010";
constant NTerm : std_logic_vector(7 downto 0) := "10000011";
constant SlewRateCtl : std_logic_vector(7 downto 0) := "10000100";
constant LVDSControl : std_logic_vector(7 downto 0) := "10000101";
constant MiscControl : std_logic_vector(7 downto 0) := "10000110";
constant InputControl : std_logic_vector(7 downto 0) := "10000111";
constant TestReadback : std_logic_vector(7 downto 0) := "10001000";
-- No multi/divide is required when a 55 ohm resister is used on RZQ
-- localparam MULT = 1;
-- localparam DIV = 1;
-- use 7/4 scaling factor when the 100 ohm RZQ is used
constant MULT : integer := 7;
constant DIV : integer := 4;
constant PNSKEW : std_logic := '1'; -- Default is 1'b1. Change to 1'b0 if PSKEW and NSKEW are not required
constant PNSKEWDQS : std_logic := '1';
constant MULT_S : integer := 9;
constant DIV_S : integer := 8;
constant MULT_W : integer := 7;
constant DIV_W : integer := 8;
constant DQS_NUMERATOR : integer := 3;
constant DQS_DENOMINATOR : integer := 8;
constant INCDEC_THRESHOLD : std_logic_vector(7 downto 0) := X"03";
-- parameter for the threshold which triggers an inc/dec to occur. 2 for half, 4 for quarter,
-- 3 for three eighths
constant RST_CNT : std_logic_vector(9 downto 0) := "0000010000";
constant TZQINIT_MAXCNT : std_logic_vector(9 downto 0) := C_MEM_TZQINIT_MAXCNT + RST_CNT;
constant IN_TERM_PASS : std_logic := '0';
constant DYN_CAL_PASS : std_logic := '1';
component iodrp_mcb_controller is
port (
memcell_address : in std_logic_vector(7 downto 0);
write_data : in std_logic_vector(7 downto 0);
read_data : out std_logic_vector(7 downto 0);
rd_not_write : in std_logic;
cmd_valid : in std_logic;
rdy_busy_n : out std_logic;
use_broadcast : in std_logic;
drp_ioi_addr : in std_logic_vector(4 downto 0);
sync_rst : in std_logic;
DRP_CLK : in std_logic;
DRP_CS : out std_logic;
DRP_SDI : out std_logic;
DRP_ADD : out std_logic;
DRP_BKST : out std_logic;
DRP_SDO : in std_logic;
MCB_UIREAD : out std_logic
);
end component;
component iodrp_controller is
port (
memcell_address : in std_logic_vector(7 downto 0);
write_data : in std_logic_vector(7 downto 0);
read_data : out std_logic_vector(7 downto 0);
rd_not_write : in std_logic;
cmd_valid : in std_logic;
rdy_busy_n : out std_logic;
use_broadcast : in std_logic;
sync_rst : in std_logic;
DRP_CLK : in std_logic;
DRP_CS : out std_logic;
DRP_SDI : out std_logic;
DRP_ADD : out std_logic;
DRP_BKST : out std_logic;
DRP_SDO : in std_logic
);
end component;
signal P_Term : std_logic_vector(5 downto 0) := "000000";
signal N_Term : std_logic_vector(6 downto 0) := "0000000";
signal P_Term_s : std_logic_vector(5 downto 0) := "000000";
signal N_Term_s : std_logic_vector(6 downto 0) := "0000000";
signal P_Term_w : std_logic_vector(5 downto 0) := "000000";
signal N_Term_w : std_logic_vector(6 downto 0) := "0000000";
signal P_Term_Prev : std_logic_vector(5 downto 0) := "000000";
signal N_Term_Prev : std_logic_vector(6 downto 0) := "0000000";
signal STATE : std_logic_vector(5 downto 0);
signal IODRPCTRLR_MEMCELL_ADDR : std_logic_vector(7 downto 0);
signal IODRPCTRLR_WRITE_DATA : std_logic_vector(7 downto 0);
signal Active_IODRP : std_logic_vector(1 downto 0);
signal IODRPCTRLR_R_WB : std_logic := '0';
signal IODRPCTRLR_CMD_VALID : std_logic := '0';
signal IODRPCTRLR_USE_BKST : std_logic := '0';
signal MCB_CMD_VALID : std_logic := '0';
signal MCB_USE_BKST : std_logic := '0';
signal Pre_SYSRST : std_logic := '1'; -- internally generated reset which will OR with RST input to drive MCB's
-- SYSRST pin (MCB_SYSRST)
signal IODRP_SDO : std_logic;
signal Max_Value_Previous : std_logic_vector(7 downto 0) := "00000000";
signal count : std_logic_vector(5 downto 0) := "000000"; -- counter for adding 18 extra clock cycles after setting Calibrate bit
signal counter_en : std_logic := '0'; -- counter enable for "count"
signal First_Dyn_Cal_Done : std_logic := '0'; -- flag - high after the very first dynamic calibration is done
signal START_BROADCAST : std_logic ; -- Trigger to start Broadcast to IODRP2_MCBs to set Input Impedance -
-- state machine will wait for this to be high
signal DQS_DELAY_INITIAL : std_logic_vector(7 downto 0) := "00000000";
signal DQS_DELAY : std_logic_vector(7 downto 0); -- contains the latest values written to LDQS and UDQS Input Delays
signal TARGET_DQS_DELAY : std_logic_vector(7 downto 0); -- used to track the target for DQS input delays - only gets updated if
-- the Max Value changes by more than the threshold
signal counter_inc : std_logic_vector(7 downto 0); -- used to delay Inc signal by several ui_clk cycles (to deal with
-- latency on UOREFRSHFLAG)
signal counter_dec : std_logic_vector(7 downto 0); -- used to delay Dec signal by several ui_clk cycles (to deal with
-- latency on UOREFRSHFLAG)
signal IODRPCTRLR_READ_DATA : std_logic_vector(7 downto 0);
signal IODRPCTRLR_RDY_BUSY_N : std_logic;
signal IODRP_CS : std_logic;
signal MCB_READ_DATA : std_logic_vector(7 downto 0);
signal RST_reg : std_logic;
signal Block_Reset : std_logic;
signal MCB_UODATAVALID_U : std_logic;
signal Inc_Dec_REFRSH_Flag : std_logic_vector(2 downto 0); -- 3-bit flag to show:Inc is needed, Dec needed, refresh cycle taking place
signal Max_Value_Delta_Up : std_logic_vector(7 downto 0); -- tracks amount latest Max Value has gone up from previous Max Value read
signal Half_MV_DU : std_logic_vector(7 downto 0); -- half of Max_Value_Delta_Up
signal Max_Value_Delta_Dn : std_logic_vector(7 downto 0); -- tracks amount latest Max Value has gone down from previous Max Value read
signal Half_MV_DD : std_logic_vector(7 downto 0); -- half of Max_Value_Delta_Dn
signal RstCounter : std_logic_vector(9 downto 0) := (others => '0');
signal rst_tmp : std_logic;
signal LastPass_DynCal : std_logic;
signal First_In_Term_Done : std_logic;
signal Inc_Flag : std_logic; -- flag to increment Dynamic Delay
signal Dec_Flag : std_logic; -- flag to decrement Dynamic Delay
signal CALMODE_EQ_CALIBRATION : std_logic; -- will calculate and set the DQS input delays if C_MC_CALIBRATION_MODE
-- parameter = "CALIBRATION"
signal DQS_DELAY_LOWER_LIMIT : std_logic_vector(7 downto 0); -- Lower limit for DQS input delays
signal DQS_DELAY_UPPER_LIMIT : std_logic_vector(7 downto 0); -- Upper limit for DQS input delays
signal SKIP_DYN_IN_TERMINATION : std_logic; -- wire to allow skipping dynamic input termination if either the
-- one-time or dynamic parameters are 1
signal SKIP_DYNAMIC_DQS_CAL : std_logic; -- wire allowing skipping dynamic DQS delay calibration if either
-- SKIP_DYNIMIC_CAL=1, or if C_MC_CALIBRATION_MODE=NOCALIBRATION
signal Quarter_Max_Value : std_logic_vector(7 downto 0);
signal Half_Max_Value : std_logic_vector(7 downto 0);
signal PLL_LOCK_R1 : std_logic;
signal PLL_LOCK_R2 : std_logic;
signal MCB_RDY_BUSY_N : std_logic;
signal SELFREFRESH_REQ_R1 : std_logic;
signal SELFREFRESH_REQ_R2 : std_logic;
signal SELFREFRESH_REQ_R3 : std_logic;
signal SELFREFRESH_MCB_MODE_R1 : std_logic;
signal SELFREFRESH_MCB_MODE_R2 : std_logic;
signal SELFREFRESH_MCB_MODE_R3 : std_logic;
signal WAIT_SELFREFRESH_EXIT_DQS_CAL : std_logic;
signal PERFORM_START_DYN_CAL_AFTER_SELFREFRESH : std_logic;
signal START_DYN_CAL_STATE_R1 : std_logic;
signal PERFORM_START_DYN_CAL_AFTER_SELFREFRESH_R1 : std_logic;
-- Declare intermediate signals for referenced outputs
signal IODRP_ADD_xilinx0 : std_logic;
signal IODRP_SDI_xilinx1 : std_logic;
signal MCB_UIADD_xilinx2 : std_logic;
signal MCB_UISDI_xilinx11 : std_logic;
signal MCB_UICS_xilinx6 : std_logic;
signal MCB_UIBROADCAST_xilinx4 : std_logic;
signal MCB_UIADDR_int : std_logic_vector(4 downto 0);
signal MCB_UIDONECAL_xilinx7 : std_logic;
signal MCB_UIREAD_xilinx10 : std_logic;
signal SELFREFRESH_MODE_xilinx11 : std_logic;
signal Max_Value_int : std_logic_vector(7 downto 0);
signal Rst_condition1 : std_logic;
--signal Rst_condition2 : std_logic;
signal non_violating_rst : std_logic;
signal WAIT_200us_COUNTER : std_logic_vector(15 downto 0);
signal WaitTimer : std_logic_vector(7 downto 0);
signal WarmEnough : std_logic;
signal WaitCountEnable : std_logic;
signal State_Start_DynCal_R1 : std_logic;
signal State_Start_DynCal : std_logic;
-- This function multiplies by a constant MULT and then divides by the DIV constant
function Mult_Divide (Input : std_logic_vector(7 downto 0); MULT : integer ; DIV : integer ) return std_logic_vector is
variable Result : integer := 0;
variable temp : std_logic_vector(14 downto 0) := "000000000000000";
begin
for count in 0 to (MULT-1) loop
temp := temp + ("0000000" & Input);
end loop;
Result := (to_integer(unsigned(temp))) / (DIV);
temp := std_logic_vector(to_unsigned(Result,15));
return temp(7 downto 0);
end function Mult_Divide;
attribute syn_preserve : boolean;
attribute syn_preserve of P_Term : signal is TRUE;
attribute syn_preserve of N_Term : signal is TRUE;
attribute syn_preserve of P_Term_s : signal is TRUE;
attribute syn_preserve of N_Term_s : signal is TRUE;
attribute syn_preserve of P_Term_w : signal is TRUE;
attribute syn_preserve of N_Term_w : signal is TRUE;
attribute syn_preserve of P_Term_Prev : signal is TRUE;
attribute syn_preserve of N_Term_Prev : signal is TRUE;
attribute syn_preserve of IODRPCTRLR_MEMCELL_ADDR : signal is TRUE;
attribute syn_preserve of IODRPCTRLR_WRITE_DATA : signal is TRUE;
attribute syn_preserve of Max_Value_Previous : signal is TRUE;
attribute syn_preserve of DQS_DELAY_INITIAL : signal is TRUE;
attribute iob : string;
attribute iob of DONE_SOFTANDHARD_CAL : signal is "FALSE";
begin
-- move the default assignment here to make FORMALITY happy.
START_BROADCAST <= '1';
MCB_RECAL <= '0';
MCB_UIDQLOWERDEC <= '0';
MCB_UIADDR <= MCB_UIADDR_int;
MCB_UIDQLOWERINC <= '0';
MCB_UIDQUPPERDEC <= '0';
MCB_UIDQUPPERINC <= '0';
Max_Value <= Max_Value_int;
-- Drive referenced outputs
IODRP_ADD <= IODRP_ADD_xilinx0;
IODRP_SDI <= IODRP_SDI_xilinx1;
MCB_UIADD <= MCB_UIADD_xilinx2;
MCB_UISDI <= MCB_UISDI_xilinx11;
MCB_UICS <= MCB_UICS_xilinx6;
MCB_UIBROADCAST <= MCB_UIBROADCAST_xilinx4;
MCB_UIDONECAL <= MCB_UIDONECAL_xilinx7;
MCB_UIREAD <= MCB_UIREAD_xilinx10;
SELFREFRESH_MODE <= SELFREFRESH_MODE_xilinx11;
Inc_Dec_REFRSH_Flag <= (Inc_Flag & Dec_Flag & MCB_UOREFRSHFLAG);
Max_Value_Delta_Up <= Max_Value_int - Max_Value_Previous;
Half_MV_DU <= ('0' & Max_Value_Delta_Up(7 downto 1));
Max_Value_Delta_Dn <= Max_Value_Previous - Max_Value_int;
Half_MV_DD <= ('0' & Max_Value_Delta_Dn(7 downto 1));
CALMODE_EQ_CALIBRATION <= '1' when (C_MC_CALIBRATION_MODE = "CALIBRATION") else '0'; -- will calculate and set the DQS input delays if = 1'b1
Half_Max_Value <= ('0' & Max_Value_int(7 downto 1));
Quarter_Max_Value <= ("00" & Max_Value_int(7 downto 2));
DQS_DELAY_LOWER_LIMIT <= Quarter_Max_Value; -- limit for DQS_DELAY for decrements; could optionally be assigned to any 8-bit hex value here
DQS_DELAY_UPPER_LIMIT <= Half_Max_Value; -- limit for DQS_DELAY for increments; could optionally be assigned to any 8-bit hex value here
SKIP_DYN_IN_TERMINATION <= '1' when ((SKIP_DYN_IN_TERM = 1) or (SKIP_IN_TERM_CAL = 1)) else '0';
-- skip dynamic input termination if either the one-time or dynamic parameters are 1
SKIP_DYNAMIC_DQS_CAL <= '1' when ((CALMODE_EQ_CALIBRATION = '0') or (SKIP_DYNAMIC_CAL = 1)) else '0';
-- skip dynamic DQS delay calibration if either SKIP_DYNAMIC_CAL=1, or if C_MC_CALIBRATION_MODE=NOCALIBRATION
process (UI_CLK)
begin
if (UI_CLK'event and UI_CLK = '1') then
if ((DQS_DELAY_INITIAL /= X"00") or (STATE = DONE)) then
DONE_SOFTANDHARD_CAL <= MCB_UODONECAL; -- high when either DQS input delays initialized, or STATE=DONE and UODONECAL high
else
DONE_SOFTANDHARD_CAL <= '0';
end if;
end if;
end process;
iodrp_controller_inst : iodrp_controller
port map (
memcell_address => IODRPCTRLR_MEMCELL_ADDR,
write_data => IODRPCTRLR_WRITE_DATA,
read_data => IODRPCTRLR_READ_DATA,
rd_not_write => IODRPCTRLR_R_WB,
cmd_valid => IODRPCTRLR_CMD_VALID,
rdy_busy_n => IODRPCTRLR_RDY_BUSY_N,
use_broadcast => '0',
sync_rst => RST_reg,
DRP_CLK => UI_CLK,
DRP_CS => IODRP_CS,
DRP_SDI => IODRP_SDI_xilinx1,
DRP_ADD => IODRP_ADD_xilinx0,
DRP_SDO => IODRP_SDO,
DRP_BKST => open
);
iodrp_mcb_controller_inst : iodrp_mcb_controller
port map (
memcell_address => IODRPCTRLR_MEMCELL_ADDR,
write_data => IODRPCTRLR_WRITE_DATA,
read_data => MCB_READ_DATA,
rd_not_write => IODRPCTRLR_R_WB,
cmd_valid => MCB_CMD_VALID,
rdy_busy_n => MCB_RDY_BUSY_N,
use_broadcast => MCB_USE_BKST,
drp_ioi_addr => MCB_UIADDR_int,
sync_rst => RST_reg,
DRP_CLK => UI_CLK,
DRP_CS => MCB_UICS_xilinx6,
DRP_SDI => MCB_UISDI_xilinx11,
DRP_ADD => MCB_UIADD_xilinx2,
DRP_BKST => MCB_UIBROADCAST_xilinx4,
DRP_SDO => MCB_UOSDO,
MCB_UIREAD => MCB_UIREAD_xilinx10
);
process (UI_CLK, RST) begin
if (RST = '1') then
if (C_SIMULATION = "TRUE") then
WAIT_200us_COUNTER <= X"7FF0";
else
WAIT_200us_COUNTER <= (others => '0');
end if;
elsif (UI_CLK'event and UI_CLK = '1') then
if (WAIT_200us_COUNTER(15) = '1') then
WAIT_200us_COUNTER <= WAIT_200us_COUNTER;
else
WAIT_200us_COUNTER <= WAIT_200us_COUNTER + '1';
end if;
end if;
end process;
-- init_sequence_skip: if (C_SIMULATION = "TRUE") generate
-- WAIT_200us_COUNTER <= X"FFFF";
-- process
-- begin
-- report "The 200 us wait period required before CKE goes active has been skipped in Simulation";
-- wait;
-- end process;
-- end generate;
gen_CKE_Train_a: if (C_MEM_TYPE = "DDR2") generate
process (UI_CLK, RST) begin
if (RST = '1') then
CKE_Train <= '0';
elsif (UI_CLK'event and UI_CLK = '1') then
if (STATE = WAIT_FOR_UODONE and MCB_UODONECAL = '1') then
CKE_Train <= '0';
elsif (WAIT_200us_COUNTER(15) = '1' and MCB_UODONECAL = '0') then
CKE_Train <= '1';
else
CKE_Train <= '0';
end if;
end if;
end process;
end generate ;
gen_CKE_Train_b: if (not(C_MEM_TYPE = "DDR2")) generate
process (UI_CLK) begin
if (UI_CLK'event and UI_CLK = '1') then
CKE_Train <= '0';
end if;
end process;
end generate ;
--********************************************
-- PLL_LOCK and RST signals
--********************************************
--MCB_SYSRST <= Pre_SYSRST or RST_reg; -- Pre_SYSRST is generated from the STATE state machine, and is OR'd with RST_reg input to drive MCB's
-- SYSRST pin (MCB_SYSRST)
rst_tmp <= not(SELFREFRESH_MODE_xilinx11) and not(PLL_LOCK_R2); -- rst_tmp becomes 1 if you lose Lock and the device is not in SUSPEND
process (UI_CLK, RST) begin
if (RST = '1') then
--Block_Reset <= '0';
--RstCounter <= (others => '0');
--elsif (UI_CLK'event and UI_CLK = '1') then
-- if (rst_tmp = '1') then -- this is to deal with not allowing the user-reset "RST" to violate TZQINIT_MAXCNT (min time between resets to DDR3)
Block_Reset <= '0';
RstCounter <= (others => '0');
elsif (UI_CLK'event and UI_CLK = '1') then
Block_Reset <= '0'; -- default to allow STATE to move out of RST_DELAY state
if (Pre_SYSRST = '1') then
RstCounter <= RST_CNT; -- whenever STATE wants to reset the MCB, set RstCounter to h10
else
if (RstCounter < TZQINIT_MAXCNT) then -- if RstCounter is less than d512 than this will execute
Block_Reset <= '1'; -- STATE won't exit RST_DELAY state
RstCounter <= RstCounter + "1"; -- and Rst_Counter increments
end if;
end if;
end if;
--end if;
end process;
-- Rst_contidtion1 is to make sure RESET will not happen again within TZQINIT_MAXCNT
non_violating_rst <= RST and Rst_condition1;
MCB_SYSRST <= Pre_SYSRST;
process (UI_CLK) begin
if (UI_CLK'event and UI_CLK = '1') then
if (RstCounter >= TZQINIT_MAXCNT) then
Rst_condition1 <= '1';
else
Rst_condition1 <= '0';
end if;
end if;
end process;
-- -- non_violating_rst asserts whenever (system-level reset) RST is asserted but must be after TZQINIT_MAXCNT is reached (min-time between resets for DDR3)
-- -- After power stablizes, we will hold MCB in reset state for at least 200us before beginning initialization process.
-- -- If the PLL loses lock during normal operation, no ui_clk will be present because mcb_drp_clk is from a BUFGCE which
-- is gated by pll's lock signal. When the PLL locks again, the RST_reg stays asserted for at least 200 us which
-- will cause MCB to reset and reinitialize the memory afterwards.
-- -- During SUSPEND operation, the PLL will lose lock but non_violating_rst remains low (de-asserted) and WAIT_200us_COUNTER stays at
-- its terminal count. The PLL_LOCK input does not come direct from PLL, rather it is driven by gated_pll_lock from mcb_raw_wrapper module
-- The gated_pll_lock in the mcb_raw_wrapper does not de-assert during SUSPEND operation, hence PLL_LOCK will not de-assert, and the soft calibration
-- state machine will not reset during SUSPEND.
-- -- RST_reg is the control signal that resets the mcb_soft_calibration's State Machine. The MCB_SYSRST is now equal to
-- Pre_SYSRST. When State Machine is performing "INPUT Termination Calibration", it holds the MCB in reset by assertign MCB_SYSRST.
-- It will deassert the MCB_SYSRST so that it can grab the bus to broadcast the P and N term value to all of the DQ pins. Once the calibrated INPUT
-- termination is set, the State Machine will issue another short MCB_SYSRST so that MCB will use the tuned input termination during DQS preamble calibration.
--process (UI_CLK) begin
-- if (UI_CLK'event and UI_CLK = '1') then
--
-- if (RstCounter < RST_CNT) then
-- Rst_condition2 <= '1';
-- else
-- Rst_condition2 <= '0';
-- end if;
-- end if;
--end process;
process (UI_CLK, non_violating_rst) begin
if (non_violating_rst = '1') then
RST_reg <= '1'; -- STATE and MCB_SYSRST will both be reset if you lose lock when the device is not in SUSPEND
elsif (UI_CLK'event and UI_CLK = '1') then
if (WAIT_200us_COUNTER(15) = '0') then
RST_reg <= '1';
else
--RST_reg <= Rst_condition2 or rst_tmp; -- insures RST_reg is at least h10 pulses long
RST_reg <= rst_tmp; -- insures RST_reg is at least h10 pulses long
end if;
end if;
end process;
--********************************************
-- SUSPEND Logic
--********************************************
process (UI_CLK,RST)
begin
if (RST = '1') then
SELFREFRESH_MCB_MODE_R1 <= '0';
SELFREFRESH_MCB_MODE_R2 <= '0';
SELFREFRESH_MCB_MODE_R3 <= '0';
SELFREFRESH_REQ_R1 <= '0';
SELFREFRESH_REQ_R2 <= '0';
SELFREFRESH_REQ_R3 <= '0';
PLL_LOCK_R1 <= '0';
PLL_LOCK_R2 <= '0';
elsif (UI_CLK'event and UI_CLK = '1') then
-- SELFREFRESH_MCB_MODE is clocked by sysclk_2x_180
SELFREFRESH_MCB_MODE_R1 <= SELFREFRESH_MCB_MODE;
SELFREFRESH_MCB_MODE_R2 <= SELFREFRESH_MCB_MODE_R1;
SELFREFRESH_MCB_MODE_R3 <= SELFREFRESH_MCB_MODE_R2;
-- SELFREFRESH_REQ is clocked by user's application clock
SELFREFRESH_REQ_R1 <= SELFREFRESH_REQ;
SELFREFRESH_REQ_R2 <= SELFREFRESH_REQ_R1;
SELFREFRESH_REQ_R3 <= SELFREFRESH_REQ_R2;
PLL_LOCK_R1 <= PLL_LOCK;
PLL_LOCK_R2 <= PLL_LOCK_R1;
end if;
end process;
-- SELFREFRESH should only be deasserted after PLL_LOCK is asserted.
-- This is to make sure MCB get a locked sys_2x_clk before exiting
-- SELFREFRESH mode.
process (UI_CLK)
begin
if (UI_CLK'event and UI_CLK = '1') then
if (RST = '1') then
SELFREFRESH_MCB_REQ <= '0';
elsif ((PLL_LOCK_R2 = '1') and (SELFREFRESH_REQ_R3 = '0') and (STATE = START_DYN_CAL)) then
SELFREFRESH_MCB_REQ <= '0';
elsif ((STATE = START_DYN_CAL) and (SELFREFRESH_REQ_R3 = '1')) then
SELFREFRESH_MCB_REQ <= '1';
end if;
end if;
end process;
process (UI_CLK)
begin
if (UI_CLK'event and UI_CLK = '1') then
if (RST = '1') then
WAIT_SELFREFRESH_EXIT_DQS_CAL <= '0';
elsif ((SELFREFRESH_MCB_MODE_R2 = '1') and (SELFREFRESH_MCB_MODE_R3 = '0')) then
WAIT_SELFREFRESH_EXIT_DQS_CAL <= '1';
elsif ((WAIT_SELFREFRESH_EXIT_DQS_CAL = '1') and (SELFREFRESH_REQ_R3 = '0') and (PERFORM_START_DYN_CAL_AFTER_SELFREFRESH = '1')) then
-- START_DYN_CAL is next state
WAIT_SELFREFRESH_EXIT_DQS_CAL <= '0';
end if;
end if;
end process;
-- Need to detect when SM entering START_DYN_CAL
process (UI_CLK)
begin
if (UI_CLK'event and UI_CLK = '1') then
if (RST = '1') then
PERFORM_START_DYN_CAL_AFTER_SELFREFRESH <= '0';
START_DYN_CAL_STATE_R1 <= '0';
else
-- register PERFORM_START_DYN_CAL_AFTER_SELFREFRESH to detect end of cycle
PERFORM_START_DYN_CAL_AFTER_SELFREFRESH_R1 <= PERFORM_START_DYN_CAL_AFTER_SELFREFRESH;
if (STATE = START_DYN_CAL) then
START_DYN_CAL_STATE_R1 <= '1';
else
START_DYN_CAL_STATE_R1 <= '0';
end if;
if ((WAIT_SELFREFRESH_EXIT_DQS_CAL = '1') and (STATE /= START_DYN_CAL) and (START_DYN_CAL_STATE_R1 = '1')) then
PERFORM_START_DYN_CAL_AFTER_SELFREFRESH <= '1';
elsif ((STATE = START_DYN_CAL) and (SELFREFRESH_MCB_MODE_R3 = '0')) then
PERFORM_START_DYN_CAL_AFTER_SELFREFRESH <= '0';
end if;
end if;
end if;
end process;
-- SELFREFRESH_MCB_MODE deasserted status is hold off
-- until Soft_Calib has at least done one loop of DQS update.
-- New logic WarmeEnough is added to make sure PLL_Lock is lockec and all IOs stable before
-- deassert the status of MCB's SELFREFRESH_MODE. This is to ensure all IOs are stable before
-- user logic sending new commands to MCB.
process (UI_CLK)
begin
if (UI_CLK'event and UI_CLK = '1') then
if (RST = '1') then
SELFREFRESH_MODE_xilinx11 <= '0';
elsif (SELFREFRESH_MCB_MODE_R2 = '1') then
SELFREFRESH_MODE_xilinx11 <= '1';
elsif (WarmEnough = '1') then
SELFREFRESH_MODE_xilinx11 <= '0';
end if;
end if;
end process;
process (UI_CLK)
begin
if (UI_CLK'event and UI_CLK = '1') then
if (RST = '1') then
WaitCountEnable <= '0';
elsif (SELFREFRESH_REQ_R2 = '0' and SELFREFRESH_REQ_R1 = '1') then
WaitCountEnable <= '0';
elsif ((PERFORM_START_DYN_CAL_AFTER_SELFREFRESH = '0') and (PERFORM_START_DYN_CAL_AFTER_SELFREFRESH_R1 = '1')) then
WaitCountEnable <= '1';
else
WaitCountEnable <= WaitCountEnable;
end if;
end if;
end process;
process (UI_CLK)
begin
if (UI_CLK'event and UI_CLK = '1') then
if (RST = '1') then
State_Start_DynCal <= '0';
elsif (STATE = START_DYN_CAL) then
State_Start_DynCal <= '1';
else
State_Start_DynCal <= '0';
end if;
end if;
end process;
process (UI_CLK)
begin
if (UI_CLK'event and UI_CLK = '1') then
if (RST = '1') then
State_Start_DynCal_R1 <= '0';
else
State_Start_DynCal_R1 <= State_Start_DynCal;
end if;
end if;
end process;
process (UI_CLK)
begin
if (UI_CLK'event and UI_CLK = '1') then
if (RST = '1') then
WaitTimer <= (others => '0');
WarmEnough <= '1';
elsif ((SELFREFRESH_REQ_R2 = '0') and (SELFREFRESH_REQ_R1 = '1')) then
WaitTimer <= (others => '0');
WarmEnough <= '0';
elsif (WaitTimer = X"04") then
WaitTimer <= WaitTimer ;
WarmEnough <= '1';
elsif (WaitCountEnable = '1') then
WaitTimer <= WaitTimer + '1';
else
WaitTimer <= WaitTimer ;
end if;
end if;
end process;
--********************************************
--Comparitor for Dynamic Calibration circuit
--********************************************
Dec_Flag <= '1' when (TARGET_DQS_DELAY < DQS_DELAY) else '0';
Inc_Flag <= '1' when (TARGET_DQS_DELAY > DQS_DELAY) else '0';
--*********************************************************************************************
--Counter for extra clock cycles injected after setting Calibrate bit in IODRP2 for Dynamic Cal
--*********************************************************************************************
process (UI_CLK)
begin
if (UI_CLK'event and UI_CLK = '1') then
if (RST_reg = '1') then
count <= "000000";
elsif (counter_en = '1') then
count <= count + "000001";
else
count <= "000000";
end if;
end if;
end process;
--*********************************************************************************************
-- Capture narrow MCB_UODATAVALID pulse - only one sysclk90 cycle wide
--*********************************************************************************************
process (UI_CLK, MCB_UODATAVALID)
begin
if(MCB_UODATAVALID = '1') then
MCB_UODATAVALID_U <= '1';
elsif(UI_CLK'event and UI_CLK = '1') then
MCB_UODATAVALID_U <= MCB_UODATAVALID;
end if;
end process;
--**************************************************************************************************************
--Always block to mux SDI, SDO, CS, and ADD depending on which IODRP is active: RZQ, ZIO or MCB's UI port (to IODRP2_MCBs)
--**************************************************************************************************************
process (Active_IODRP, IODRP_CS, RZQ_IODRP_SDO, ZIO_IODRP_SDO)
begin
case Active_IODRP is
when RZQ =>
RZQ_IODRP_CS <= IODRP_CS;
ZIO_IODRP_CS <= '0';
IODRP_SDO <= RZQ_IODRP_SDO;
when ZIO =>
RZQ_IODRP_CS <= '0';
ZIO_IODRP_CS <= IODRP_CS;
IODRP_SDO <= ZIO_IODRP_SDO;
when MCB_PORT =>
RZQ_IODRP_CS <= '0';
ZIO_IODRP_CS <= '0';
IODRP_SDO <= '0';
when others =>
RZQ_IODRP_CS <= '0';
ZIO_IODRP_CS <= '0';
IODRP_SDO <= '0';
end case;
end process;
--******************************************************************
--State Machine's Always block / Case statement for Next State Logic
--
--The WAIT1,2,etc states were required after every state where the
--DRP controller was used to do a write to the IODRPs - this is because
--there's a clock cycle latency on IODRPCTRLR_RDY_BUSY_N whenever the DRP controller
--sees IODRPCTRLR_CMD_VALID go high. OFF_RZQ_PTERM and OFF_ZIO_NTERM were added
--soley for the purpose of reducing power, particularly on RZQ as
--that pin is expected to have a permanent external resistor to gnd.
--******************************************************************
NEXT_STATE_LOGIC: process (UI_CLK)
begin
if (UI_CLK'event and UI_CLK = '1') then
if (RST_reg = '1') then -- Synchronous reset
MCB_CMD_VALID <= '0';
MCB_UIADDR_int <= "00000"; -- take control of UI/UO port
MCB_UICMDEN <= '1'; -- tells MCB that it is in Soft Cal.
MCB_UIDONECAL_xilinx7 <= '0';
MCB_USE_BKST <= '0';
MCB_UIDRPUPDATE <= '1';
Pre_SYSRST <= '1'; -- keeps MCB in reset
IODRPCTRLR_CMD_VALID <= '0';
IODRPCTRLR_MEMCELL_ADDR <= NoOp;
IODRPCTRLR_WRITE_DATA <= "00000000";
IODRPCTRLR_R_WB <= WRITE_MODE;
IODRPCTRLR_USE_BKST <= '0';
P_Term <= "000000";
N_Term <= "0000000";
P_Term_s <= "000000";
N_Term_w <= "0000000";
P_Term_w <= "000000";
N_Term_s <= "0000000";
P_Term_Prev <= "000000";
N_Term_Prev <= "0000000";
Active_IODRP <= RZQ;
MCB_UILDQSINC <= '0'; --no inc or dec
MCB_UIUDQSINC <= '0'; --no inc or dec
MCB_UILDQSDEC <= '0'; --no inc or dec
MCB_UIUDQSDEC <= '0';
counter_en <= '0'; --flag that the First Dynamic Calibration completed
First_Dyn_Cal_Done <= '0';
Max_Value_int <= "00000000";
Max_Value_Previous <= "00000000";
STATE <= START;
DQS_DELAY <= "00000000";
DQS_DELAY_INITIAL <= "00000000";
TARGET_DQS_DELAY <= "00000000";
LastPass_DynCal <= IN_TERM_PASS;
First_In_Term_Done <= '0';
MCB_UICMD <= '0';
MCB_UICMDIN <= '0';
MCB_UIDQCOUNT <= "0000";
counter_inc <= "00000000";
counter_dec <= "00000000";
else
counter_en <= '0';
IODRPCTRLR_CMD_VALID <= '0';
IODRPCTRLR_MEMCELL_ADDR <= NoOp;
IODRPCTRLR_R_WB <= READ_MODE;
IODRPCTRLR_USE_BKST <= '0';
MCB_CMD_VALID <= '0'; --no inc or dec
MCB_UILDQSINC <= '0'; --no inc or dec
MCB_UIUDQSINC <= '0'; --no inc or dec
MCB_UILDQSDEC <= '0'; --no inc or dec
MCB_UIUDQSDEC <= '0';
MCB_USE_BKST <= '0';
MCB_UICMDIN <= '0';
DQS_DELAY <= DQS_DELAY;
TARGET_DQS_DELAY <= TARGET_DQS_DELAY;
case STATE is
when START => --h00
MCB_UICMDEN <= '1'; -- take control of UI/UO port
MCB_UIDONECAL_xilinx7 <= '0'; -- tells MCB that it is in Soft Cal.
P_Term <= "000000";
N_Term <= "0000000";
Pre_SYSRST <= '1'; -- keeps MCB in reset
LastPass_DynCal <= IN_TERM_PASS;
if (SKIP_IN_TERM_CAL = 1) then
STATE <= WRITE_CALIBRATE;
elsif (IODRPCTRLR_RDY_BUSY_N = '1') then
STATE <= LOAD_RZQ_NTERM;
else
STATE <= START;
end if;
--***************************
-- IOB INPUT TERMINATION CAL
--***************************
when LOAD_RZQ_NTERM => --h01
Active_IODRP <= RZQ;
IODRPCTRLR_CMD_VALID <= '1';
IODRPCTRLR_MEMCELL_ADDR <= NTerm;
IODRPCTRLR_WRITE_DATA <= ('0' & N_Term);
IODRPCTRLR_R_WB <= WRITE_MODE;
if (IODRPCTRLR_RDY_BUSY_N = '1') then
STATE <= LOAD_RZQ_NTERM;
else
STATE <= WAIT1;
end if;
when WAIT1 => --h02
if (IODRPCTRLR_RDY_BUSY_N = '0') then
STATE <= WAIT1;
else
STATE <= LOAD_RZQ_PTERM;
end if;
when LOAD_RZQ_PTERM => --h03
IODRPCTRLR_CMD_VALID <= '1';
IODRPCTRLR_MEMCELL_ADDR <= PTerm;
IODRPCTRLR_WRITE_DATA <= ("00" & P_Term);
IODRPCTRLR_R_WB <= WRITE_MODE;
if (IODRPCTRLR_RDY_BUSY_N = '1') then
STATE <= LOAD_RZQ_PTERM;
else
STATE <= WAIT2;
end if;
when WAIT2 => --h04
if (IODRPCTRLR_RDY_BUSY_N = '0') then
STATE <= WAIT2;
elsif ((RZQ_IN = '1') or (P_Term = "111111")) then
STATE <= MULTIPLY_DIVIDE; -- LOAD_ZIO_PTERM
else
STATE <= INC_PTERM;
end if;
when INC_PTERM => --h05
P_Term <= P_Term + "000001";
STATE <= LOAD_RZQ_PTERM;
when MULTIPLY_DIVIDE => -- h06
-- 13/4/2011 compensate the added sync FF
P_Term <= Mult_Divide(("00" & (P_Term - '1')),MULT,DIV)(5 downto 0);
STATE <= LOAD_ZIO_PTERM;
when LOAD_ZIO_PTERM => --h07
Active_IODRP <= ZIO;
IODRPCTRLR_CMD_VALID <= '1';
IODRPCTRLR_MEMCELL_ADDR <= PTerm;
IODRPCTRLR_WRITE_DATA <= ("00" & P_Term);
IODRPCTRLR_R_WB <= WRITE_MODE;
if (IODRPCTRLR_RDY_BUSY_N = '1') then
STATE <= LOAD_ZIO_PTERM;
else
STATE <= WAIT3;
end if;
when WAIT3 => --h08
if ((not(IODRPCTRLR_RDY_BUSY_N)) = '1') then
STATE <= WAIT3;
else
STATE <= LOAD_ZIO_NTERM;
end if;
when LOAD_ZIO_NTERM => --h09
Active_IODRP <= ZIO;
IODRPCTRLR_CMD_VALID <= '1';
IODRPCTRLR_MEMCELL_ADDR <= NTerm;
IODRPCTRLR_WRITE_DATA <= ('0' & N_Term);
IODRPCTRLR_R_WB <= WRITE_MODE;
if (IODRPCTRLR_RDY_BUSY_N = '1') then
STATE <= LOAD_ZIO_NTERM;
else
STATE <= WAIT4;
end if;
when WAIT4 => --h0A
if ((not(IODRPCTRLR_RDY_BUSY_N)) = '1') then
STATE <= WAIT4;
elsif (((not(ZIO_IN))) = '1' or (N_Term = "1111111")) then
if (PNSKEW = '1') then
STATE <= SKEW;
else
STATE <= WAIT_FOR_START_BROADCAST;
end if;
else
STATE <= INC_NTERM;
end if;
when INC_NTERM => --h0B
N_Term <= N_Term + "0000001";
STATE <= LOAD_ZIO_NTERM;
when SKEW => -- h0C
P_Term_s <= Mult_Divide(("00" & P_Term), MULT_S, DIV_S)(5 downto 0);
N_Term_w <= Mult_Divide(('0' & (N_Term-'1')), MULT_W, DIV_W)(6 downto 0);
P_Term_w <= Mult_Divide(("00" & P_Term), MULT_W, DIV_W)(5 downto 0);
N_Term_s <= Mult_Divide(('0' & (N_Term-'1')), MULT_S, DIV_S)(6 downto 0);
P_Term <= Mult_Divide(("00" & P_Term), MULT_S, DIV_S)(5 downto 0);
N_Term <= Mult_Divide(('0' & (N_Term-'1')), MULT_W, DIV_W)(6 downto 0);
STATE <= WAIT_FOR_START_BROADCAST;
when WAIT_FOR_START_BROADCAST => --h0D
Pre_SYSRST <= '0'; -- release SYSRST, but keep UICMDEN=1 and UIDONECAL=0. This is needed to do Broadcast through UI interface, while
-- keeping the MCB in calibration mode
Active_IODRP <= MCB_PORT;
if ((START_BROADCAST and IODRPCTRLR_RDY_BUSY_N) = '1') then
if (P_Term /= P_Term_Prev) then
STATE <= BROADCAST_PTERM;
P_Term_Prev <= P_Term;
elsif (N_Term /= N_Term_Prev) then
N_Term_Prev <= N_Term;
STATE <= BROADCAST_NTERM;
else
STATE <= OFF_RZQ_PTERM;
end if;
else
STATE <= WAIT_FOR_START_BROADCAST;
end if;
when BROADCAST_PTERM => --h0E
IODRPCTRLR_MEMCELL_ADDR <= PTerm;
IODRPCTRLR_WRITE_DATA <= ("00" & P_Term);
IODRPCTRLR_R_WB <= WRITE_MODE;
MCB_CMD_VALID <= '1';
MCB_UIDRPUPDATE <= not First_In_Term_Done; -- Set the update flag if this is the first time through
MCB_USE_BKST <= '1';
if (MCB_RDY_BUSY_N = '1') then
STATE <= BROADCAST_PTERM;
else
STATE <= WAIT5;
end if;
when WAIT5 => --h0F
if ((not(MCB_RDY_BUSY_N)) = '1') then
STATE <= WAIT5;
elsif (First_In_Term_Done = '1') then -- If first time through is already set, then this must be dynamic in term
if (MCB_UOREFRSHFLAG = '1')then
MCB_UIDRPUPDATE <= '1';
if (N_Term /= N_Term_Prev) then
N_Term_Prev <= N_Term;
STATE <= BROADCAST_NTERM;
else
STATE <= OFF_RZQ_PTERM;
end if;
else
STATE <= WAIT5; -- wait for a Refresh cycle
end if;
else
N_Term_Prev <= N_Term;
STATE <= BROADCAST_NTERM;
end if;
when BROADCAST_NTERM => -- h10
IODRPCTRLR_MEMCELL_ADDR <= NTerm;
IODRPCTRLR_WRITE_DATA <= ("0" & N_Term);
IODRPCTRLR_R_WB <= WRITE_MODE;
MCB_CMD_VALID <= '1';
MCB_USE_BKST <= '1';
MCB_UIDRPUPDATE <= not(First_In_Term_Done); -- Set the update flag if this is the first time through
if (MCB_RDY_BUSY_N = '1') then
STATE <= BROADCAST_NTERM;
else
STATE <= WAIT6;
end if;
when WAIT6 => -- h11
if (MCB_RDY_BUSY_N = '0') then
STATE <= WAIT6;
elsif (First_In_Term_Done = '1') then -- If first time through is already set, then this must be dynamic in term
if (MCB_UOREFRSHFLAG = '1')then
MCB_UIDRPUPDATE <= '1';
STATE <= OFF_RZQ_PTERM;
else
STATE <= WAIT6; -- wait for a Refresh cycle
end if;
else
-- if (PNSKEWDQS = '1') then
STATE <= LDQS_CLK_WRITE_P_TERM;
-- else
-- STATE <= OFF_RZQ_PTERM;
-- end if;
end if;
-- *********************
when LDQS_CLK_WRITE_P_TERM => -- h12
IODRPCTRLR_MEMCELL_ADDR <= PTerm;
IODRPCTRLR_R_WB <= WRITE_MODE;
IODRPCTRLR_WRITE_DATA <= "00" & P_Term_w;
MCB_UIADDR_int <= IOI_LDQS_CLK;
MCB_CMD_VALID <= '1';
if (MCB_RDY_BUSY_N = '1') then
STATE <= LDQS_CLK_WRITE_P_TERM;
else
STATE <= LDQS_CLK_P_TERM_WAIT;
end if;
when LDQS_CLK_P_TERM_WAIT => --7'h13
if (MCB_RDY_BUSY_N = '0') then
STATE <= LDQS_CLK_P_TERM_WAIT;
else
STATE <= LDQS_CLK_WRITE_N_TERM;
end if;
when LDQS_CLK_WRITE_N_TERM => --7'h14
IODRPCTRLR_MEMCELL_ADDR <= NTerm;
IODRPCTRLR_R_WB <= WRITE_MODE;
IODRPCTRLR_WRITE_DATA <= '0' & N_Term_s;
MCB_UIADDR_int <= IOI_LDQS_CLK;
MCB_CMD_VALID <= '1';
if (MCB_RDY_BUSY_N = '1') then
STATE <= LDQS_CLK_WRITE_N_TERM;
else
STATE <= LDQS_CLK_N_TERM_WAIT;
end if;
--**
when LDQS_CLK_N_TERM_WAIT => --7'h15
if (MCB_RDY_BUSY_N = '0') then
STATE <= LDQS_CLK_N_TERM_WAIT;
else
STATE <= LDQS_PIN_WRITE_P_TERM;
end if;
when LDQS_PIN_WRITE_P_TERM => --7'h16
IODRPCTRLR_MEMCELL_ADDR <= PTerm;
IODRPCTRLR_R_WB <= WRITE_MODE;
IODRPCTRLR_WRITE_DATA <= "00" & P_Term_s;
MCB_UIADDR_int <= IOI_LDQS_PIN;
MCB_CMD_VALID <= '1';
if (MCB_RDY_BUSY_N = '1') then
STATE <= LDQS_PIN_WRITE_P_TERM;
else
STATE <= LDQS_PIN_P_TERM_WAIT;
end if;
when LDQS_PIN_P_TERM_WAIT => --7'h17
if (MCB_RDY_BUSY_N = '0') then
STATE <= LDQS_PIN_P_TERM_WAIT;
else
STATE <= LDQS_PIN_WRITE_N_TERM;
end if;
when LDQS_PIN_WRITE_N_TERM => --7'h18
IODRPCTRLR_MEMCELL_ADDR <= NTerm;
IODRPCTRLR_R_WB <= WRITE_MODE;
IODRPCTRLR_WRITE_DATA <= '0' & N_Term_w;
MCB_UIADDR_int <= IOI_LDQS_PIN;
MCB_CMD_VALID <= '1';
if (MCB_RDY_BUSY_N = '1') then
STATE <= LDQS_PIN_WRITE_N_TERM;
else
STATE <= LDQS_PIN_N_TERM_WAIT;
end if;
when LDQS_PIN_N_TERM_WAIT => --7'h19
if (MCB_RDY_BUSY_N = '0') then
STATE <= LDQS_PIN_N_TERM_WAIT;
else
STATE <= UDQS_CLK_WRITE_P_TERM;
end if;
when UDQS_CLK_WRITE_P_TERM => --7'h1A
IODRPCTRLR_MEMCELL_ADDR <= PTerm;
IODRPCTRLR_R_WB <= WRITE_MODE;
IODRPCTRLR_WRITE_DATA <= "00" & P_Term_w;
MCB_UIADDR_int <= IOI_UDQS_CLK;
MCB_CMD_VALID <= '1';
if (MCB_RDY_BUSY_N = '1') then
STATE <= UDQS_CLK_WRITE_P_TERM;
else
STATE <= UDQS_CLK_P_TERM_WAIT;
end if;
when UDQS_CLK_P_TERM_WAIT => --7'h1B
if (MCB_RDY_BUSY_N = '0') then
STATE <= UDQS_CLK_P_TERM_WAIT;
else
STATE <= UDQS_CLK_WRITE_N_TERM;
end if;
when UDQS_CLK_WRITE_N_TERM => --7'h1C
IODRPCTRLR_MEMCELL_ADDR <= NTerm;
IODRPCTRLR_R_WB <= WRITE_MODE;
IODRPCTRLR_WRITE_DATA <= '0' & N_Term_s;
MCB_UIADDR_int <= IOI_UDQS_CLK;
MCB_CMD_VALID <= '1';
if (MCB_RDY_BUSY_N = '1') then
STATE <= UDQS_CLK_WRITE_N_TERM;
else
STATE <= UDQS_CLK_N_TERM_WAIT;
end if;
when UDQS_CLK_N_TERM_WAIT => --7'h1D
if (MCB_RDY_BUSY_N = '0') then
STATE <= UDQS_CLK_N_TERM_WAIT;
else
STATE <= UDQS_PIN_WRITE_P_TERM;
end if;
when UDQS_PIN_WRITE_P_TERM => --7'h1E
IODRPCTRLR_MEMCELL_ADDR <= PTerm;
IODRPCTRLR_R_WB <= WRITE_MODE;
IODRPCTRLR_WRITE_DATA <= "00" & P_Term_s;
MCB_UIADDR_int <= IOI_UDQS_PIN;
MCB_CMD_VALID <= '1';
if (MCB_RDY_BUSY_N = '1') then
STATE <= UDQS_PIN_WRITE_P_TERM;
else
STATE <= UDQS_PIN_P_TERM_WAIT;
end if;
when UDQS_PIN_P_TERM_WAIT => --7'h1F
if (MCB_RDY_BUSY_N = '0') then
STATE <= UDQS_PIN_P_TERM_WAIT;
else
STATE <= UDQS_PIN_WRITE_N_TERM;
end if;
when UDQS_PIN_WRITE_N_TERM => --7'h20
IODRPCTRLR_MEMCELL_ADDR <= NTerm;
IODRPCTRLR_R_WB <= WRITE_MODE;
IODRPCTRLR_WRITE_DATA <= '0' & N_Term_w;
MCB_UIADDR_int <= IOI_UDQS_PIN;
MCB_CMD_VALID <= '1';
if (MCB_RDY_BUSY_N = '1') then
STATE <= UDQS_PIN_WRITE_N_TERM;
else
STATE <= UDQS_PIN_N_TERM_WAIT;
end if;
when UDQS_PIN_N_TERM_WAIT => --7'h21
if (MCB_RDY_BUSY_N = '0') then
STATE <= UDQS_PIN_N_TERM_WAIT;
else
STATE <= OFF_RZQ_PTERM;
end if;
-- *********************
when OFF_RZQ_PTERM => -- h22
Active_IODRP <= RZQ;
IODRPCTRLR_CMD_VALID <= '1';
IODRPCTRLR_MEMCELL_ADDR <= PTerm;
IODRPCTRLR_WRITE_DATA <= "00000000";
IODRPCTRLR_R_WB <= WRITE_MODE;
P_Term <= "000000";
N_Term <= "0000000";
MCB_UIDRPUPDATE <= not(First_In_Term_Done); -- Set the update flag if this is the first time through
if (IODRPCTRLR_RDY_BUSY_N = '1') then
STATE <= OFF_RZQ_PTERM;
else
STATE <= WAIT7;
end if;
when WAIT7 => -- h23
if ((not(IODRPCTRLR_RDY_BUSY_N)) = '1') then
STATE <= WAIT7;
else
STATE <= OFF_ZIO_NTERM;
end if;
when OFF_ZIO_NTERM => -- h24
Active_IODRP <= ZIO;
IODRPCTRLR_CMD_VALID <= '1';
IODRPCTRLR_MEMCELL_ADDR <= NTerm;
IODRPCTRLR_WRITE_DATA <= "00000000";
IODRPCTRLR_R_WB <= WRITE_MODE;
if (IODRPCTRLR_RDY_BUSY_N = '1') then
STATE <= OFF_ZIO_NTERM;
else
STATE <= WAIT8;
end if;
when WAIT8 => -- h25
if (IODRPCTRLR_RDY_BUSY_N = '0') then
STATE <= WAIT8;
else
if (First_In_Term_Done = '1') then
STATE <= START_DYN_CAL; -- No need to reset the MCB if we are in InTerm tuning
else
STATE <= WRITE_CALIBRATE; -- go read the first Max_Value_int from RZQ
end if;
end if;
when RST_DELAY => -- h26
MCB_UICMDEN <= '0'; -- release control of UI/UO port
if (Block_Reset = '1') then -- this ensures that more than 512 clock cycles occur since the last reset after MCB_WRITE_CALIBRATE ???
STATE <= RST_DELAY;
else
STATE <= START_DYN_CAL_PRE;
end if;
--***************************
--DYNAMIC CALIBRATION PORTION
--***************************
when START_DYN_CAL_PRE => -- h27
LastPass_DynCal <= IN_TERM_PASS;
MCB_UICMDEN <= '0'; -- release UICMDEN
MCB_UIDONECAL_xilinx7 <= '1'; -- release UIDONECAL - MCB will now initialize.
Pre_SYSRST <= '1'; -- SYSRST pulse
if (CALMODE_EQ_CALIBRATION = '0') then -- if C_MC_CALIBRATION_MODE is set to NOCALIBRATION
STATE <= START_DYN_CAL; -- we'll skip setting the DQS delays manually
else
STATE <= WAIT_FOR_UODONE;
end if;
when WAIT_FOR_UODONE => -- h28
Pre_SYSRST <= '0'; -- SYSRST pulse
if ((IODRPCTRLR_RDY_BUSY_N and MCB_UODONECAL) = '1')then --IODRP Controller needs to be ready, & MCB needs to be done with hard calibration
MCB_UICMDEN <= '1'; -- grab UICMDEN
DQS_DELAY_INITIAL <= Mult_Divide(Max_Value_int, DQS_NUMERATOR, DQS_DENOMINATOR);
STATE <= LDQS_WRITE_POS_INDELAY;
else
STATE <= WAIT_FOR_UODONE;
end if;
when LDQS_WRITE_POS_INDELAY => -- h29
IODRPCTRLR_MEMCELL_ADDR <= PosEdgeInDly;
IODRPCTRLR_R_WB <= WRITE_MODE;
IODRPCTRLR_WRITE_DATA <= DQS_DELAY_INITIAL;
MCB_UIADDR_int <= IOI_LDQS_CLK;
MCB_CMD_VALID <= '1';
if (MCB_RDY_BUSY_N = '1') then
STATE <= LDQS_WRITE_POS_INDELAY;
else
STATE <= LDQS_WAIT1;
end if;
when LDQS_WAIT1 => -- h2A
if (MCB_RDY_BUSY_N = '0')then
STATE <= LDQS_WAIT1;
else
STATE <= LDQS_WRITE_NEG_INDELAY;
end if;
when LDQS_WRITE_NEG_INDELAY => -- h2B
IODRPCTRLR_MEMCELL_ADDR <= NegEdgeInDly;
IODRPCTRLR_R_WB <= WRITE_MODE;
IODRPCTRLR_WRITE_DATA <= DQS_DELAY_INITIAL;
MCB_UIADDR_int <= IOI_LDQS_CLK;
MCB_CMD_VALID <= '1';
if (MCB_RDY_BUSY_N = '1')then
STATE <= LDQS_WRITE_NEG_INDELAY;
else
STATE <= LDQS_WAIT2;
end if;
when LDQS_WAIT2 => -- 7'h2C
if(MCB_RDY_BUSY_N = '0')then
STATE <= LDQS_WAIT2;
else
STATE <= UDQS_WRITE_POS_INDELAY;
end if;
when UDQS_WRITE_POS_INDELAY => -- 7'h2D
IODRPCTRLR_MEMCELL_ADDR <= PosEdgeInDly;
IODRPCTRLR_R_WB <= WRITE_MODE;
IODRPCTRLR_WRITE_DATA <= DQS_DELAY_INITIAL;
MCB_UIADDR_int <= IOI_UDQS_CLK;
MCB_CMD_VALID <= '1';
if (MCB_RDY_BUSY_N = '1')then
STATE <= UDQS_WRITE_POS_INDELAY;
else
STATE <= UDQS_WAIT1;
end if;
when UDQS_WAIT1 => -- 7'h2E
if (MCB_RDY_BUSY_N = '0')then
STATE <= UDQS_WAIT1;
else
STATE <= UDQS_WRITE_NEG_INDELAY;
end if;
when UDQS_WRITE_NEG_INDELAY => -- 7'h2F
IODRPCTRLR_MEMCELL_ADDR <= NegEdgeInDly;
IODRPCTRLR_R_WB <= WRITE_MODE;
IODRPCTRLR_WRITE_DATA <= DQS_DELAY_INITIAL;
MCB_UIADDR_int <= IOI_UDQS_CLK;
MCB_CMD_VALID <= '1';
if (MCB_RDY_BUSY_N = '1')then
STATE <= UDQS_WRITE_NEG_INDELAY;
else
STATE <= UDQS_WAIT2;
end if;
when UDQS_WAIT2 => -- 7'h30
if (MCB_RDY_BUSY_N = '0')then
STATE <= UDQS_WAIT2;
else
DQS_DELAY <= DQS_DELAY_INITIAL;
TARGET_DQS_DELAY <= DQS_DELAY_INITIAL;
STATE <= START_DYN_CAL;
end if;
when START_DYN_CAL => -- h31
Pre_SYSRST <= '0'; -- SYSRST not driven
counter_inc <= (others => '0');
counter_dec <= (others => '0');
if (SKIP_DYNAMIC_DQS_CAL = '1' and SKIP_DYN_IN_TERMINATION = '1')then
STATE <= DONE; --if we're skipping both dynamic algorythms, go directly to DONE
elsif ((IODRPCTRLR_RDY_BUSY_N = '1') and (MCB_UODONECAL = '1') and (SELFREFRESH_REQ_R1 = '0')) then
--IODRP Controller needs to be ready, & MCB needs to be done with hard calibration
-- Alternate between Dynamic Input Termination and Dynamic Tuning routines
if ((SKIP_DYN_IN_TERMINATION = '0') and (LastPass_DynCal = DYN_CAL_PASS)) then
LastPass_DynCal <= IN_TERM_PASS;
STATE <= LOAD_RZQ_NTERM;
else
LastPass_DynCal <= DYN_CAL_PASS;
STATE <= WRITE_CALIBRATE;
end if;
else
STATE <= START_DYN_CAL;
end if;
when WRITE_CALIBRATE => -- h32
Pre_SYSRST <= '0';
IODRPCTRLR_CMD_VALID <= '1';
IODRPCTRLR_MEMCELL_ADDR <= DelayControl;
IODRPCTRLR_WRITE_DATA <= "00100000";
IODRPCTRLR_R_WB <= WRITE_MODE;
Active_IODRP <= RZQ;
if (IODRPCTRLR_RDY_BUSY_N = '1') then
STATE <= WRITE_CALIBRATE;
else
STATE <= WAIT9;
end if;
when WAIT9 => -- h33
counter_en <= '1';
if (count < "100110") then -- this adds approximately 22 extra clock cycles after WRITE_CALIBRATE
STATE <= WAIT9;
else
STATE <= READ_MAX_VALUE;
end if;
when READ_MAX_VALUE => -- h34
IODRPCTRLR_CMD_VALID <= '1';
IODRPCTRLR_MEMCELL_ADDR <= MaxValue;
IODRPCTRLR_R_WB <= READ_MODE;
Max_Value_Previous <= Max_Value_int;
if (IODRPCTRLR_RDY_BUSY_N = '1') then
STATE <= READ_MAX_VALUE;
else
STATE <= WAIT10;
end if;
when WAIT10 => -- h35
if (IODRPCTRLR_RDY_BUSY_N = '0') then
STATE <= WAIT10;
else
Max_Value_int <= IODRPCTRLR_READ_DATA; --record the Max_Value_int from the IODRP controller
if (First_In_Term_Done = '0') then
STATE <= RST_DELAY;
First_In_Term_Done <= '1';
else
STATE <= ANALYZE_MAX_VALUE;
end if;
end if;
when ANALYZE_MAX_VALUE => -- h36 only do a Inc or Dec during a REFRESH cycle.
if (First_Dyn_Cal_Done = '0')then
STATE <= FIRST_DYN_CAL;
elsif ((Max_Value_int < Max_Value_Previous) and (Max_Value_Delta_Dn >= INCDEC_THRESHOLD)) then
STATE <= DECREMENT; -- May need to Decrement
TARGET_DQS_DELAY <= Mult_Divide(Max_Value_int, DQS_NUMERATOR, DQS_DENOMINATOR);
-- DQS_COUNT_VIRTUAL updated (could be negative value)
elsif ((Max_Value_int > Max_Value_Previous) and (Max_Value_Delta_Up >= INCDEC_THRESHOLD)) then
STATE <= INCREMENT; -- May need to Increment
TARGET_DQS_DELAY <= Mult_Divide(Max_Value_int, DQS_NUMERATOR, DQS_DENOMINATOR);
else
Max_Value_int <= Max_Value_Previous;
STATE <= START_DYN_CAL;
end if;
when FIRST_DYN_CAL => -- h37
First_Dyn_Cal_Done <= '1'; -- set flag that the First Dynamic Calibration has been completed
STATE <= START_DYN_CAL;
when INCREMENT => -- h38
STATE <= START_DYN_CAL; -- Default case: Inc is not high or no longer in REFRSH
MCB_UILDQSINC <= '0'; -- Default case: no inc or dec
MCB_UIUDQSINC <= '0'; -- Default case: no inc or dec
MCB_UILDQSDEC <= '0'; -- Default case: no inc or dec
MCB_UIUDQSDEC <= '0'; -- Default case: no inc or dec
case Inc_Dec_REFRSH_Flag is -- {Increment_Flag,Decrement_Flag,MCB_UOREFRSHFLAG},
when "101" =>
counter_inc <= counter_inc + '1';
STATE <= INCREMENT; -- Increment is still high, still in REFRSH cycle
if ((DQS_DELAY < DQS_DELAY_UPPER_LIMIT) and (counter_inc >= X"04")) then
-- if not at the upper limit yet, and you've waited 4 clks, increment
MCB_UILDQSINC <= '1';
MCB_UIUDQSINC <= '1';
DQS_DELAY <= DQS_DELAY + '1';
end if;
when "100" =>
if (DQS_DELAY < DQS_DELAY_UPPER_LIMIT) then
STATE <= INCREMENT; -- Increment is still high, REFRESH ended - wait for next REFRESH
end if;
when others =>
STATE <= START_DYN_CAL;
end case;
when DECREMENT => -- h39
STATE <= START_DYN_CAL; -- Default case: Dec is not high or no longer in REFRSH
MCB_UILDQSINC <= '0'; -- Default case: no inc or dec
MCB_UIUDQSINC <= '0'; -- Default case: no inc or dec
MCB_UILDQSDEC <= '0'; -- Default case: no inc or dec
MCB_UIUDQSDEC <= '0'; -- Default case: no inc or dec
if (DQS_DELAY /= "00000000") then
case Inc_Dec_REFRSH_Flag is -- {Increment_Flag,Decrement_Flag,MCB_UOREFRSHFLAG},
when "011" =>
counter_dec <= counter_dec + '1';
STATE <= DECREMENT; -- Decrement is still high, still in REFRSH cycle
if ((DQS_DELAY > DQS_DELAY_LOWER_LIMIT) and (counter_dec >= X"04")) then
-- if not at the lower limit, and you've waited 4 clks, decrement
MCB_UILDQSDEC <= '1'; -- decrement
MCB_UIUDQSDEC <= '1'; -- decrement
DQS_DELAY <= DQS_DELAY - '1'; -- SBS
end if;
when "010" =>
if (DQS_DELAY > DQS_DELAY_LOWER_LIMIT) then --if not at the lower limit, decrement
STATE <= DECREMENT; --Decrement is still high, REFRESH ended - wait for next REFRESH
end if;
when others =>
STATE <= START_DYN_CAL;
end case;
end if;
when DONE => -- h3A
Pre_SYSRST <= '0'; -- SYSRST cleared
MCB_UICMDEN <= '0'; -- release UICMDEN
STATE <= DONE;
when others =>
MCB_UICMDEN <= '0'; -- release UICMDEN
MCB_UIDONECAL_xilinx7 <= '1'; -- release UIDONECAL - MCB will now initialize.
Pre_SYSRST <= '0'; -- SYSRST not driven
IODRPCTRLR_CMD_VALID <= '0';
IODRPCTRLR_MEMCELL_ADDR <= "00000000";
IODRPCTRLR_WRITE_DATA <= "00000000";
IODRPCTRLR_R_WB <= '0';
IODRPCTRLR_USE_BKST <= '0';
P_Term <= "000000";
N_Term <= "0000000";
Active_IODRP <= ZIO;
Max_Value_Previous <= "00000000";
MCB_UILDQSINC <= '0'; -- no inc or dec
MCB_UIUDQSINC <= '0'; -- no inc or dec
MCB_UILDQSDEC <= '0'; -- no inc or dec
MCB_UIUDQSDEC <= '0'; -- no inc or dec
counter_en <= '0';
First_Dyn_Cal_Done <= '0'; -- flag that the First Dynamic Calibration completed
Max_Value_int <= Max_Value_int;
STATE <= START;
end case;
end if;
end if;
end process;
end architecture trans;
| gpl-3.0 | e50824f3c8f8149ec091a6efb534f966 | 0.473002 | 4.251707 | false | false | false | false |
NicoLedwith/Dr.AluOpysel | RAT_MCU/prog_rom(test_all).vhd | 1 | 19,877 | -----------------------------------------------------------------------------
-- Definition of a single port ROM for RATASM defined by prog_rom.psm
--
-- Generated by RATASM Assembler
--
-- Standard IEEE libraries
--
-----------------------------------------------------------------------------
-----------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
library unisim;
use unisim.vcomponents.all;
-----------------------------------------------------------------------------
entity prog_rom is
port ( ADDRESS : in std_logic_vector(9 downto 0);
INSTRUCTION : out std_logic_vector(17 downto 0);
CLK : in std_logic);
end prog_rom;
architecture low_level_definition of prog_rom is
-----------------------------------------------------------------------------
-- Attributes to define ROM contents during implementation synthesis.
-- The information is repeated in the generic map for functional simulation.
-----------------------------------------------------------------------------
attribute INIT_00 : string;
attribute INIT_01 : string;
attribute INIT_02 : string;
attribute INIT_03 : string;
attribute INIT_04 : string;
attribute INIT_05 : string;
attribute INIT_06 : string;
attribute INIT_07 : string;
attribute INIT_08 : string;
attribute INIT_09 : string;
attribute INIT_0A : string;
attribute INIT_0B : string;
attribute INIT_0C : string;
attribute INIT_0D : string;
attribute INIT_0E : string;
attribute INIT_0F : string;
attribute INIT_10 : string;
attribute INIT_11 : string;
attribute INIT_12 : string;
attribute INIT_13 : string;
attribute INIT_14 : string;
attribute INIT_15 : string;
attribute INIT_16 : string;
attribute INIT_17 : string;
attribute INIT_18 : string;
attribute INIT_19 : string;
attribute INIT_1A : string;
attribute INIT_1B : string;
attribute INIT_1C : string;
attribute INIT_1D : string;
attribute INIT_1E : string;
attribute INIT_1F : string;
attribute INIT_20 : string;
attribute INIT_21 : string;
attribute INIT_22 : string;
attribute INIT_23 : string;
attribute INIT_24 : string;
attribute INIT_25 : string;
attribute INIT_26 : string;
attribute INIT_27 : string;
attribute INIT_28 : string;
attribute INIT_29 : string;
attribute INIT_2A : string;
attribute INIT_2B : string;
attribute INIT_2C : string;
attribute INIT_2D : string;
attribute INIT_2E : string;
attribute INIT_2F : string;
attribute INIT_30 : string;
attribute INIT_31 : string;
attribute INIT_32 : string;
attribute INIT_33 : string;
attribute INIT_34 : string;
attribute INIT_35 : string;
attribute INIT_36 : string;
attribute INIT_37 : string;
attribute INIT_38 : string;
attribute INIT_39 : string;
attribute INIT_3A : string;
attribute INIT_3B : string;
attribute INIT_3C : string;
attribute INIT_3D : string;
attribute INIT_3E : string;
attribute INIT_3F : string;
attribute INITP_00 : string;
attribute INITP_01 : string;
attribute INITP_02 : string;
attribute INITP_03 : string;
attribute INITP_04 : string;
attribute INITP_05 : string;
attribute INITP_06 : string;
attribute INITP_07 : string;
----------------------------------------------------------------------
-- Attributes to define ROM contents during implementation synthesis.
----------------------------------------------------------------------
attribute INIT_00 of ram_1024_x_18 : label is "A007601FA0066041A0056049A0046099A003600DA0026025A001609FA0006003";
attribute INIT_01 of ram_1024_x_18 : label is "000000000000000000000000000000000000000000008100A0096009A0086001";
attribute INIT_02 of ram_1024_x_18 : label is "4B834E824E12818A020050407001A0006F006E006D006B0B6A07630062006100";
attribute INIT_03 of ram_1024_x_18 : label is "810181F0A00343698D0A81B88201A1D8CD0A4D09620081584A834E824E1A8229";
attribute INIT_04 of ram_1024_x_18 : label is "82333D0082433C008253DB017B0FDC017C0FDD017DFF81A86100822301324140";
attribute INIT_05 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000008002";
attribute INIT_06 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_07 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_08 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_09 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_0A of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_0B of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_0C of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_0D of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_0E of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_0F of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_10 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_11 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_12 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_13 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_14 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_15 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_16 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_17 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_18 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_19 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_1A of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_1B of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_1C of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_1D of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_1E of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_1F of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_20 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_21 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_22 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_23 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_24 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_25 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_26 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_27 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_28 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_29 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_2A of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_2B of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_2C of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_2D of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_2E of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_2F of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_30 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_31 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_32 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_33 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_34 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_35 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_36 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_37 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_38 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_39 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_3A of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_3B of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_3C of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_3D of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_3E of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_3F of ram_1024_x_18 : label is "81F8000000000000000000000000000000000000000000000000000000000000";
attribute INITP_00 of ram_1024_x_18 : label is "000000000000000000000001222EECCF84888CF0F0FDFFFF000000FFFFFFFFFF";
attribute INITP_01 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INITP_02 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INITP_03 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INITP_04 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INITP_05 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INITP_06 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INITP_07 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
begin
----------------------------------------------------------------------
--Instantiate the Xilinx primitive for a block RAM
--INIT values repeated to define contents for functional simulation
----------------------------------------------------------------------
ram_1024_x_18: RAMB16_S18
--synthesitranslate_off
--INIT values repeated to define contents for functional simulation
generic map (
INIT_00 => X"A007601FA0066041A0056049A0046099A003600DA0026025A001609FA0006003",
INIT_01 => X"000000000000000000000000000000000000000000008100A0096009A0086001",
INIT_02 => X"4B834E824E12818A020050407001A0006F006E006D006B0B6A07630062006100",
INIT_03 => X"810181F0A00343698D0A81B88201A1D8CD0A4D09620081584A834E824E1A8229",
INIT_04 => X"82333D0082433C008253DB017B0FDC017C0FDD017DFF81A86100822301324140",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000008002",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"81F8000000000000000000000000000000000000000000000000000000000000",
INITP_00 => X"000000000000000000000001222EECCF84888CF0F0FDFFFF000000FFFFFFFFFF",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000")
--synthesis translate_on
port map( DI => "0000000000000000",
DIP => "00",
EN => '1',
WE => '0',
SSR => '0',
CLK => clk,
ADDR => address,
DO => INSTRUCTION(15 downto 0),
DOP => INSTRUCTION(17 downto 16));
--
end low_level_definition;
--
----------------------------------------------------------------------
-- END OF FILE prog_rom.vhd
----------------------------------------------------------------------
| mit | d04f61655a109a257b37268635a93b3a | 0.735725 | 6.00151 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/concurrent/rule_003_test_input.vhd | 1 | 1,507 |
architecture RTL of ENT is
begin
-- Align left = no align paren = no
n_foo <= resize(unsigned(I_FOO) +
unsigned(I_BAR), q_foo'length);
n_foo <=
resize(unsigned(I_FOO) +
unsigned(I_BAR), q_foo'length);
n_bar <= a or b and c
xor z and x or
w and z;
n_bar <=
a or b and c
xor z and x or
w and z;
-- Align left = no align paren = yes
n_foo <= resize(unsigned(I_FOO) +
unsigned(I_BAR), q_foo'length);
n_foo <=
resize(unsigned(I_FOO) +
unsigned(I_BAR), q_foo'length);
n_bar <= a or b and c
xor z and x or
w and z;
n_bar <=
a or b and c
xor z and x or
w and z;
-- Align left = yes and align paren = no
n_foo <= resize(unsigned(I_FOO) +
unsigned(I_BAR), q_foo'length);
n_foo <=
resize(unsigned(I_FOO) +
unsigned(I_BAR), q_foo'length);
n_bar <= a or b and c
xor z and x or
w and z;
n_bar <=
a or b and c
xor z and x or
w and z;
-- Align left = yes and align paren = yes
n_foo <= resize(unsigned(I_FOO) +
unsigned(I_BAR), q_foo'length);
n_foo <=
resize(unsigned(I_FOO) +
unsigned(I_BAR), q_foo'length);
n_bar <= a or b and c
xor z and x or
w and z;
n_bar <=
a or b and c
xor z and x or
w and z;
end architecture RTL;
| gpl-3.0 | a487d6f120d73246050106fead2327a7 | 0.474453 | 3.334071 | false | false | false | false |
cwilkens/ecen4024-microphone-array | microphone-array/microphone-array.srcs/sources_1/ip/cascaded_integrator_comb/cic_compiler_v4_0/hdl/shifter.vhd | 1 | 7,924 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
F294UtnczQg9Zj2wd6OoO+QB0bL3zju3+4eIB5AKeuXfBorpruHj8FL/v1za1GRp3QrPSyLdOe+v
f7XFdYOxdQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
gQT8fr8iilj4NozdoyiI5pvRtr7ercqEod1bxG4ZecoEtQZLa2D9jlX+S/tvmzQa5qfdGDxQ7Nxr
zczycbDns9Gz3bnI0XF84sL9OfEQwdf6MFxWQ+Om/sDS/8fyIbV3mkijKIsEQabTICvChhWN09jX
GNSzR05ib/TWK3crMYc=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
dE6PZgS/B7nY2OEdyLUNhswoecZ+Cwl5/vBYeQLIq2HqZw1RtzZsb6Rx+x5FddLNkpPK7LlX+nCe
cUDCPBLuh6NAbo5Lpjk1yyf2Nm5ahHa3zA0FPLHpvYoMkW8OcMKYPksdeB+Z+5oxVs06tOtPm+FY
tVDLtJwjZETMs0ka2ZmGgabGX1kjS0Ak3rZSbbgWgmwb4d5dLB2+xH0HwWhicATj9MUzMkyTkc8A
4I2foKPw6r9FJIELZCgWHCLL7J3kLbKcLx43gIV6PN1ulB3RsIr6q7kpcjiXonZi4TCMlCl/OgdU
xQv9Lt8kDDzvz8tkHhPx/XHAaXqalKJSFhPu6A==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
A8w09W4p3q4aBEb9IDj0AizOaTYv7FeruxNgP4LYK66xUv299Xe/eDXIrYVryP4Kz6PUlGHC6PjE
A94RVaWjydGYxMNRWi03Y2hTK4m7YxTTASEu2VUiw6UIrWwRtfwAwMQDmuKEXXYg9+R8qf/FX3V1
zTi7iedLhEYTldZbBLA=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
q2eIv8kmvmQkKwWZVPISQgHc9bA3qBQxroqw+7Jj+ongB1tHV+0b9y0o3zXYOwqfVwUjHx6Dsoqx
UqbC78FenxWeVCszJEwmNHZAT1E94VxTB55I87IqS/6jzZHsDKpren/orf/ysANh5/yYgnE8JX3d
5NyI35pUBYW3ShNjW/QqVblO94CCVbpEAnALximXy9E7rcE8yXNcNT8tILaic5JAJwyRw/PcvCNB
KA0KFcTX6OLl5pO/qVg0F90iFMumfRyo7CdOV7MKczLRmjOdQLBv2IT2v1dpqco/5PEONAWSOVXO
+631bADQsKAs3ksl5+jZRCLHd75AvzQ3e1qTww==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4128)
`protect data_block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`protect end_protected
| mit | 2ea8970e6abfe86b912b8ed515d7e51c | 0.917718 | 1.923301 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/vhdlFile/case_generate_statement/classification_test_input.vhd | 1 | 1,052 |
architecture RTL of FIFO is
begin
LABEL0 : case a & b & c generate
when "000" =>
when "001" =>
end generate LABEL0;
-- Test nested case generates
LABEL0 : case a & b & c generate
when "000" =>
LABEL1 : case a & b & c generate
when "000" =>
when "001" =>
end generate LABEL1;
when "001" =>
end generate LABEL0;
-- Test deeply nested case generates
LABEL0 : case a & b & c generate
when "000" =>
LABEL1A : case a & b & c generate
when "000" =>
LABEL2A : case a & b & c generate
when "000" =>
when "001" =>
LABEL2A : case a & b & c generate
when "000" =>
when "001" =>
end generate LABEL2A;
end generate LABEL2A;
when "001" =>
end generate LABEL1A;
when "001" =>
LABEL1B : case a & b & c generate
when "000" =>
when "001" =>
end generate LABEL1B;
end generate LABEL0;
end architecture RTL;
| gpl-3.0 | 2b2aad83d813e32846376bda4e222f81 | 0.496198 | 3.881919 | false | false | false | false |
okaxaki/vm2413 | Opll.vhd | 2 | 3,506 | --
-- Opll.vhd
--
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use WORK.VM2413.ALL;
entity opll is
port(
XIN : in std_logic;
XOUT : out std_logic;
XENA : in std_logic;
D : in std_logic_vector(7 downto 0);
A : in std_logic;
CS_n : in std_logic;
WE_n : in std_logic;
IC_n : in std_logic;
MO : out std_logic_vector(9 downto 0);
RO : out std_logic_vector(9 downto 0)
);
end opll;
architecture RTL of opll is
signal reset : std_logic;
signal opllptr : std_logic_vector(7 downto 0);
signal oplldat : std_logic_vector(7 downto 0);
signal opllwr : std_logic;
signal am : AM_TYPE;
signal pm : PM_TYPE;
signal wf : WF_TYPE;
signal tl : DB_TYPE;
signal fb : FB_TYPE;
signal ar : AR_TYPE;
signal dr : DR_TYPE;
signal sl : SL_TYPE;
signal rr : RR_TYPE;
signal ml : ML_TYPE;
signal fnum: FNUM_TYPE;
signal blk : BLK_TYPE;
signal rks : RKS_TYPE;
signal key : std_logic;
signal rhythm : std_logic;
signal noise : std_logic;
signal pgout : PGOUT_TYPE;
signal egout : DB_TYPE;
signal opout : SIGNED_DB_TYPE;
signal faddr : CH_TYPE;
signal maddr : SLOT_TYPE;
signal fdata, mdata : SIGNED_LI_TYPE;
signal slot, slot2, slot5, slot8 : SLOT_TYPE;
signal stage, stage2, stage5, stage8 : STAGE_TYPE;
begin
XOUT <= XIN;
reset <= not IC_n;
process( XIN, reset )
begin
if reset ='1' then
opllwr <= '0';
opllptr <= (others =>'0');
-- D <= (others =>'Z');
elsif XIN'event and XIN = '1' then
if XENA = '1' then
if CS_n = '0' and WE_n = '0' and A ='0' then
opllptr <= D(7 downto 0);
opllwr <= '0';
elsif CS_n = '0' and WE_n = '0' and A = '1' then
oplldat <= D;
opllwr <= '1';
-- elsif CS_n ='0' and WE_n ='1' and A = '0' then
-- D <= "11111111";
-- opllwr <= '0';
-- else
-- D <= (others =>'Z');
-- opllwr <= '0';
end if;
end if; -- XENA
end if;
end process;
S0: SlotCounter generic map (0) port map(XIN,reset,XENA,slot,stage);
S2: SlotCounter generic map (2) port map(XIN,reset,XENA,slot2,stage2);
S5: SlotCounter generic map (5) port map(XIN,reset,XENA,slot5,stage5);
S8: SlotCounter generic map (8) port map(XIN,reset,XENA,slot8,stage8);
-- no delay
CT: Controller port map (
XIN,reset,XENA, slot, stage, opllwr,opllptr,oplldat,
am,pm,wf,ml,tl,fb,ar,dr,sl,rr,blk,fnum,rks,key,rhythm);
-- 2 stages delay
EG: EnvelopeGenerator port map (
XIN,reset,XENA,
slot2, stage2, rhythm,
am, tl, ar, dr, sl, rr, rks, key,
egout
);
PG: PhaseGenerator port map (
XIN,reset,XENA,
slot2, stage2, rhythm,
pm, ml, blk, fnum, key,
noise, pgout
);
-- 5 stages delay
OP: Operator port map (
XIN,reset,XENA,
slot5, stage5, rhythm,
wf, fb, noise, pgout, egout, faddr, fdata, opout
);
-- 8 stages delay
OG: OutputGenerator port map (
XIN, reset, XENA, slot8, stage8, rhythm,
opout, faddr, fdata, maddr, mdata
);
-- independent from delay
TM: TemporalMixer port map (
XIN, reset, XENA,
slot, stage, rhythm,
maddr, mdata,
MO, RO
);
end RTL;
| mit | b72d712ceec9ce17e8a8cc147fa38efa | 0.541643 | 3.144395 | false | false | false | false |
Yarr/Yarr-fw | rtl/spartan6/gn4124-core/p2l_decode32.vhd | 2 | 14,798 | --------------------------------------------------------------------------------
-- --
-- CERN BE-CO-HT GN4124 core for PCIe FMC carrier --
-- http://www.ohwr.org/projects/gn4124-core --
--------------------------------------------------------------------------------
--
-- unit name: P2L 32-bit datapath decoder (p2l_decode32.vhd)
--
-- authors: Simon Deprez ([email protected])
-- Matthieu Cattin ([email protected])
--
-- date: 31-08-2010
--
-- version: 1.0
--
-- description: PCIe to local bus packet decoder - For 32-bit data path design.
--
--
-- dependencies:
--
--------------------------------------------------------------------------------
-- GNU LESSER GENERAL PUBLIC LICENSE
--------------------------------------------------------------------------------
-- This source file is free software; you can redistribute it and/or modify it
-- under the terms of the GNU Lesser General Public License as published by the
-- Free Software Foundation; either version 2.1 of the License, or (at your
-- option) any later version. This source is distributed in the hope that it
-- will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty
-- of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.
-- See the GNU Lesser General Public License for more details. You should have
-- received a copy of the GNU Lesser General Public License along with this
-- source; if not, download it from http://www.gnu.org/licenses/lgpl-2.1.html
--------------------------------------------------------------------------------
-- last changes: 27-09-2010 (mcattin) Rewrite a part of the decoder logic
--------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
use work.gn4124_core_pkg.all;
entity p2l_decode32 is
port
(
---------------------------------------------------------
-- GN4124 core clock and reset
clk_i : in std_logic;
rst_n_i : in std_logic;
---------------------------------------------------------
-- Input from the deserializer
des_p2l_valid_i : in std_logic;
des_p2l_dframe_i : in std_logic;
des_p2l_data_i : in std_logic_vector(31 downto 0);
---------------------------------------------------------
-- Decoder outputs
--
-- Header
p2l_hdr_start_o : out std_logic; -- Header strobe
p2l_hdr_length_o : out std_logic_vector(9 downto 0); -- Packet length in 32-bit words multiples
p2l_hdr_cid_o : out std_logic_vector(1 downto 0); -- Completion ID
p2l_hdr_last_o : out std_logic; -- Indicates Last packet in a completion
p2l_hdr_stat_o : out std_logic_vector(1 downto 0); -- Completion Status
-- "00" = Successful completion
-- "01" = Unsupported request
-- "10" = Completer abort
-- "11" = Completion time-out
-- Packet type (for routing)
p2l_target_mrd_o : out std_logic; -- Target memory read (to wbmaster32)
p2l_target_mwr_o : out std_logic; -- Target memory write (to wbmaster32)
p2l_master_cpld_o : out std_logic; -- Master completion with data (to p2l_dma_master)
p2l_master_cpln_o : out std_logic; -- Master completion without data (to p2l_dma_master)
-- Address
p2l_addr_start_o : out std_logic; -- Address strobe
p2l_addr_o : out std_logic_vector(31 downto 0); -- Target address (in byte) that will increment with data
-- increment = 4 bytes
-- Data
p2l_d_valid_o : out std_logic; -- Indicates Data is valid
p2l_d_last_o : out std_logic; -- Indicates end of the packet
p2l_d_o : out std_logic_vector(31 downto 0); -- Data
p2l_be_o : out std_logic_vector(3 downto 0) -- Byte Enable for data
);
end p2l_decode32;
architecture rtl of p2l_decode32 is
-----------------------------------------------------------------------------
-- to_mvl Function
-----------------------------------------------------------------------------
function f_to_mvl (b : in boolean) return std_logic is
begin
if (b = true) then
return('1');
else
return('0');
end if;
end f_to_mvl;
-----------------------------------------------------------------------------
-- Signals declaration
-----------------------------------------------------------------------------
signal des_p2l_valid_d : std_logic;
signal des_p2l_dframe_d : std_logic;
signal p2l_packet_start : std_logic;
signal p2l_packet_start_d : std_logic;
signal p2l_packet_end : std_logic;
signal p2l_addr_cycle : std_logic;
signal p2l_data_cycle : std_logic;
signal p2l_hdr_strobe : std_logic; -- Indicates Header start cycle
signal p2l_hdr_length : std_logic_vector(9 downto 0); -- Latched LENGTH value from header
signal p2l_hdr_cid : std_logic_vector(1 downto 0); -- Completion ID
signal p2l_hdr_last : std_logic; -- Indicates Last packet in a completion
signal p2l_hdr_stat : std_logic_vector(1 downto 0); -- Completion Status
signal p2l_addr_start : std_logic;
signal p2l_addr : unsigned(31 downto 0); -- Registered and counting Address
signal p2l_d_valid : std_logic; -- Indicates Address/Data is valid
signal p2l_d_first : std_logic;
signal p2l_d_last : std_logic; -- Indicates end of the packet
signal p2l_d : std_logic_vector(31 downto 0); -- Address/Data
signal p2l_be : std_logic_vector(3 downto 0); -- Byte Enable for data
signal p2l_hdr_fbe : std_logic_vector(3 downto 0); -- First Byte Enable
signal p2l_hdr_lbe : std_logic_vector(3 downto 0); -- Last Byte Enable
signal target_mrd : std_logic;
signal target_mwr : std_logic;
signal master_cpld : std_logic;
signal master_cpln : std_logic;
begin
-----------------------------------------------------------------------------
-- 1 tick delay version of des_p2l_valid_i and des_p2l_dframe_i,
-- for start and end frame detection
-----------------------------------------------------------------------------
process (clk_i, rst_n_i)
begin
if rst_n_i = c_RST_ACTIVE then
des_p2l_dframe_d <= '0';
des_p2l_valid_d <= '0';
elsif rising_edge(clk_i) then
des_p2l_dframe_d <= des_p2l_dframe_i;
des_p2l_valid_d <= des_p2l_valid_i;
end if;
end process;
------------------------------------------------------------------------------
-- Start and end packet detection
------------------------------------------------------------------------------
p2l_packet_start <= des_p2l_dframe_i and not(des_p2l_dframe_d) and des_p2l_valid_i;
p2l_packet_end <= des_p2l_valid_d and not(des_p2l_dframe_d);
-----------------------------------------------------------------------------
-- Decode packet type
-----------------------------------------------------------------------------
p_type_decode : process (clk_i, rst_n_i)
begin
if rst_n_i = c_RST_ACTIVE then
target_mrd <= '0';
target_mwr <= '0';
master_cpld <= '0';
master_cpln <= '0';
elsif rising_edge(clk_i) then
-- New packet starts, check type for routing
if (p2l_packet_start = '1') then
-- Target read request
target_mrd <= f_to_mvl(des_p2l_data_i(27 downto 24) = "0000");
-- Target write
target_mwr <= f_to_mvl(des_p2l_data_i(27 downto 24) = "0010");
-- Master read completion with data
master_cpld <= f_to_mvl(des_p2l_data_i(27 downto 24) = "0101");
-- Master read completion without data
master_cpln <= f_to_mvl(des_p2l_data_i(27 downto 24) = "0100");
elsif (p2l_packet_end = '1') then
target_mrd <= '0';
target_mwr <= '0';
master_cpld <= '0';
master_cpln <= '0';
end if;
end if;
end process p_type_decode;
-----------------------------------------------------------------------------
-- Packet header decoding
-----------------------------------------------------------------------------
p_header_decode : process (clk_i, rst_n_i)
begin
if rst_n_i = c_RST_ACTIVE then
p2l_hdr_strobe <= '0';
p2l_hdr_length <= (others => '0');
p2l_hdr_cid <= (others => '0');
p2l_hdr_last <= '0';
p2l_hdr_stat <= (others => '0');
p2l_hdr_fbe <= (others => '0');
p2l_hdr_lbe <= (others => '0');
elsif rising_edge(clk_i) then
if (p2l_packet_start = '1') then
p2l_hdr_strobe <= '1';
p2l_hdr_length <= des_p2l_data_i(9 downto 0);
p2l_hdr_cid <= des_p2l_data_i(11 downto 10);
p2l_hdr_last <= des_p2l_data_i(15);
if (des_p2l_data_i(26) = '1') then
-- packet type = read completion
p2l_hdr_stat <= des_p2l_data_i(17 downto 16); -- Completion status
else
-- Target read or write
p2l_hdr_fbe <= des_p2l_data_i(19 downto 16); -- First Byte Enable
p2l_hdr_lbe <= des_p2l_data_i(23 downto 20); -- Last Byte Enable
end if;
else
p2l_hdr_strobe <= '0';
end if;
end if;
end process p_header_decode;
-----------------------------------------------------------------------------
-- Packet address decoding
-----------------------------------------------------------------------------
p_addr_decode : process (clk_i, rst_n_i)
begin
if rst_n_i = c_RST_ACTIVE then
p2l_addr_cycle <= '0';
p2l_addr <= (others => '0');
p2l_addr_start <= '0';
elsif rising_edge(clk_i) then
-- Indicate address cycle(s)
-- Address cycle comes just after the header.
-- Read completion packet doesn't have an address field, then addr_cycle is not asserted.
if (p2l_packet_start = '1' and des_p2l_data_i(26) = '0') then
p2l_addr_cycle <= '1';
elsif (p2l_addr_cycle = '1' and des_p2l_valid_i = '1') then
p2l_addr_cycle <= '0';
end if;
-- Generates address strobe
-- No address strobe for read completion packets
if ((target_mwr or target_mrd) = '1') then
p2l_addr_start <= p2l_addr_cycle and des_p2l_valid_i;
else
p2l_addr_start <= '0';
end if;
-- Put address on a dedicated bus
-- Bits 1-0 are coding the BAR for target read/write
-- "00" = BAR 0
-- "01" = BAR 2
-- "10" = Expansion ROM
-- "11" = Reserved
if (p2l_addr_cycle = '1' and des_p2l_valid_i = '1' and (target_mwr or target_mrd) = '1') then
-- Latch target address
p2l_addr <= unsigned(des_p2l_data_i);
elsif (p2l_d_valid = '1' and (target_mwr or target_mrd) = '1') then
-- Increment address with data (32-bit data word => increment = +4 bytes)
p2l_addr(31 downto 2) <= p2l_addr(31 downto 2) + 1;
end if;
end if;
end process p_addr_decode;
-----------------------------------------------------------------------------
-- Packet data decoding (data strobe)
-----------------------------------------------------------------------------
p_data_decode : process (clk_i, rst_n_i)
begin
if rst_n_i = c_RST_ACTIVE then
p2l_data_cycle <= '0';
p2l_d_valid <= '0';
p2l_d_last <= '0';
p2l_d <= (others => '0');
elsif rising_edge(clk_i) then
-- Indicates data cycle(s)
-- Data cycle comes after an address cycle, exept for read completion packet
-- in this case it comes just after the header.
if ((p2l_addr_cycle = '1' or (p2l_packet_start = '1' and des_p2l_data_i(26) = '1'))
and des_p2l_valid_i = '1' and des_p2l_dframe_i = '1') then
p2l_data_cycle <= '1';
elsif (des_p2l_dframe_i = '0') then
p2l_data_cycle <= '0';
end if;
-- Generates data strobe
-- For read completion, data are valid just after the header (no address)
--if (master_cpld = '1') then
-- p2l_d_valid <= des_p2l_valid_i;
--else
p2l_d_valid <= p2l_data_cycle and des_p2l_valid_i;
--end if;
-- Generates last data signal
p2l_d_last <= p2l_data_cycle and not(des_p2l_dframe_i);
-- Latch data on the bus
if(des_p2l_valid_i = '1') then
p2l_d <= des_p2l_data_i;
end if;
end if;
end process p_data_decode;
-----------------------------------------------------------------------------
-- Byte enable
-----------------------------------------------------------------------------
p_be_decode : process (clk_i, rst_n_i)
begin
if rst_n_i = c_RST_ACTIVE then
p2l_be <= (others => '0');
elsif rising_edge(clk_i) then
if (p2l_addr_start = '1') then
p2l_be <= p2l_hdr_fbe; -- First Byte Enable
elsif ((p2l_data_cycle and not(des_p2l_dframe_i)) = '1') then
p2l_be <= p2l_hdr_lbe; -- Last Byte Enable
elsif(p2l_data_cycle = '1') then
p2l_be <= (others => '1'); -- Intermediate Byte Enables
end if;
end if;
end process p_be_decode;
-----------------------------------------------------------------------------
-- Assigns signals to output ports
-----------------------------------------------------------------------------
p2l_hdr_start_o <= p2l_hdr_strobe;
p2l_hdr_length_o <= p2l_hdr_length;
p2l_hdr_cid_o <= p2l_hdr_cid;
p2l_hdr_last_o <= p2l_hdr_last;
p2l_hdr_stat_o <= p2l_hdr_stat;
p2l_addr_start_o <= p2l_addr_start;
p2l_addr_o <= std_logic_vector(p2l_addr);
p2l_d_valid_o <= p2l_d_valid;
p2l_d_last_o <= p2l_d_last;
p2l_d_o <= p2l_d;
p2l_be_o <= p2l_be;
p2l_target_mrd_o <= target_mrd;
p2l_target_mwr_o <= target_mwr;
p2l_master_cpld_o <= master_cpld;
p2l_master_cpln_o <= master_cpln;
end rtl;
| gpl-3.0 | 156121eb2b53d29c70f50db86649f781 | 0.465739 | 3.716223 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/whitespace/rule_004_test_input.fixed.vhd | 1 | 379 |
architecture RTL of FIFO is
signal sig1, sig2 : std_logic_vector(3 downto 0);
constant c_cons1, c_const2 : integer := 200;
constant c_cons2 : integer := 200;
begin
U_RAM : RAM_ARRAY
generic map (
G_WIDTH => 512,
G_DEPTH => 2048,
G_SIZE => 32
)
port map (
I_DATA_A => data_a,
I_DATA_B => data_b
);
end architecture RTL;
| gpl-3.0 | 37f78ee2b988ef8b52c0993a5016832a | 0.564644 | 3.106557 | false | false | false | false |
tdaede/daala_zynq | daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/fifo_generator_v11_0/ramfifo/async_fifo.vhd | 2 | 33,343 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
gLoZgoznptNhh3B4Ze/hEqJq5C0y6PPn6uqSHTZL59V8sH24v+tmSQ+dHMiLpMeq2aqaJkLfWAdk
BS11AFWIjA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
TbT4gvp3y17vLv01n/qCRXw06QuQUIIvevf3HUG6ah8BOTDAhvs1rJdzjxCZyYS8jGtWHD0FCOrg
mzIQDNXSEAXXZtADMkYLWVhouc3LZhL5vVupQehMbpH4nes3g4uOubztS+6dqigTgVnVggTYt458
YEb614LktEfCeDDQFEQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
pCVqeB0mATYSN2DSkLC5PBBf41YAQGokYc2RBAZjA7vi43RtaW1FmFjILLghmEDy/5AGdXbGD2h9
sqTJdH/jAJANHdYX3BDt7UcsxHAEY4JkSS0zdjRSAx7/98VWrMYU4jmKYpkQ0wfQex5eOo5WEkIf
1CS2Pqq/JN9hALH23DSV9S/NpsizKj8AF7UrfBsAurJYH4vvArfp3WvbTyUuUOO6ljGos6u34RkL
zz0WFVNosPPrqL4MW+kGkFbMxkl+e4OcyXF9mmQxhgut0JCUPokMyMK5g+RAzbJV/Avh5RYR7OlD
fB6dRCW5mOBJs6U2r8QcQ5qdKNie6F6siyT8Cg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
BbWoB41jSAznbu1Jt7Pur1z8xgpJMVpPxGaKS/ENaee9jBew1LY1caSQ1P4s9xwGHK3BjThjylpN
8YjTGgepddS5M3rNS5ZxyyzyTTFTcEPsUjkXe/CEOXN0YllCpjW32cKenKHJq9acRfJ4q8b2zrRG
2iK7/bU2sZ3qxOvzDjY=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
qHq/tXEzyaUZDR89uOT7r0jvoJWP+HcbVKJUv7kgiAuk1QQ6Gm1a/7Y5JGZpw0pc/QUcENDwJjKN
oqnUX95oXbRxHw/5K6pEoRqUhWc/V1Sf8kkByN+FyChjpgYzd76ZLV0t2HuLa0FIkZCI0MnUB+8B
6O5dsjj5LKLDtiCFzTp+2qStEsRuZlM9Ky28GWMVoZbyiq8ATQLyJvdoGjZiKYTiSf1XK9ViaM05
qfD+kamNC8oY9QbQTZGmpywy9wE7oF6sAfAkbFKu+4p93T2CjQkdkZnvUp+Gt7oOHO0XKpJS0bkq
5v5r89xelMKL6wwe6qs5nKs4PzHEdaP+RpL9Pw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22944)
`protect data_block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`protect end_protected
| bsd-2-clause | f4aa0684fb74f07483242ca6169c62d6 | 0.946196 | 1.834957 | false | false | false | false |
cwilkens/ecen4024-microphone-array | microphone-array/microphone-array.srcs/sources_1/ip/lp_FIR/fir_compiler_v7_1/hdl/add_accum.vhd | 2 | 14,821 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
ZNmw6JcQh+7ES9JDH4PS35vFRI6VGPzLWYExLK6NlVc647XOFn6g03NpXl+rZxtTP+jCdjpfShjq
H6mY9EIPxg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
VKlflDUEFtnaGPMllmFP191PcDZ/sZNDRmq23y6NKRhOU9wc4CJvlyRRSzJLxxx05j6Z4tb637LJ
2itmettJ6ftHN4atDfTP93bZdmob0/hivQB9qMSgqBe2ejmbio0NQ3T7jweyMVaoHVzpJghcMzRv
5PVHh2iS6NTI17tCle4=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
VlCG124Pk4J0nREmaeWfZdGIEyKtC24I3aXhwwfFuAcCx4bAngmW6uKYAp2mllAATwlVhfcsDcPA
cstENqRGEw/07K14X9CwO7T56Dg6y4bbQGpkNZaPtrSwF24xAE1qtZOiS30eeVM1PcjXpHDVTQOK
YOKOLGKSwtuqz7a0U1idoPvknS9Q1mp+860tKfAMfsb3WYG9oJaVbY+dh5BED8pUGcGP/hEC8vaE
jq5WRYPe2wENNf4j7c1sEnWI6o6iIG8qxghlxNMcKQjczNvF33JdcVWS5gO0VtRkYy606a/wMj8g
3XPsnX2CKFIBNMNI9Ux/U7RPRah3jYthXAv9Rg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
KcBrCFu4AbhR8newv7QmyRSCz+pin+bD1MIPrxdw8aZnC2xaVKW+0VlN4vBGuarduDLnfp1d4036
EdgleOllxFgvEDwkHlhF2R2w7YL3dbwLu7CNhkMLO/W+V++fRSTHq5C5gw206kLGWjZPPGepkxN9
+Y3p/EnMh2kGoM5NIMo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Mo84Kvlq34anPe8GL4YS0jn/2mhRZO+a9DO2v++XrSmydkogrSjN71WDyo6EqhokJ1u3DdZPxK26
b50yHZF8hHq0/RCiH+QvHiWBfObaTi2IU7fOQRc6lmXmFtJ0YoFCUFU8S9jBdwYfWt0r5+5AlJ8M
hO95oKCgr9lxWeI5EPXHXg4x8wZeIqKMfkuplO2K4bMKriSg5kdGtxOKGTX3z8jAENOkrCUreVPe
f/uh7d/DSvwLgAG8WdONRPmJWmp6+DoSaXtXBHmsaXPtfE5Dzy4Iang+lrlyKrQAXSTpcvJjUKsX
oL/ye/OYCVKt6YDGoJpm8xQIcdm0/Ax5YtDtjQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9232)
`protect data_block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==
`protect end_protected
| mit | f0886ea2162b1e20c7fc9c0733eabc3e | 0.935969 | 1.874178 | false | false | false | false |
tdaede/daala_zynq | daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/fifo_generator_v11_0/ramfifo/wr_status_flags_sshft.vhd | 2 | 23,122 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Li1nakuRH4tkoLmS8Rh5hucv1kxlSl23GczirkekUKy9En0G0l1k2LCoHp1wLyfkYjHyqgMpjetK
9Dl8pdIelQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
hA0UFok69/ZhdyHbE4FpV3c9i+Yv+oGt/mDB97hHLcaMBrMjYPkbpgcUIQ1qVGPKi3k2bKTWH/UQ
Ozvbo6zsB8iFfq/iA3Z++rlFCZ9Mcr7lrnDzhOtDrLQHGJTH+agcmoLnf4GE7vBMVMScKNjBfRJ2
rse/8oneePf9g3R1yow=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
vheujGettpE+gi5ibV91VcHwpEhXUViDKPUV2eyf4ticicH1XKXMI2cCn91A/UVmJ8iaLiISCGXg
WkEBHtebAOV+UobNNA1ZTobsnb7sR6+Fv3xxKpBOGROuBSGpy4OMWp0GWzL3p2IwfRWwnHqXLi7k
xNCh0ACsPXwA8OdjY7WG+V6yIgZ7bIqIcJSAi+cun8HLJ8UjcVxbmT3nEc+zlN6DgdzWPk8YglIT
+DsCWaBDFEGfAB00msFRLJGMPivrWYYOovmqSdf3s8yFXxpaWxxQMFgyQPm5M7SswAY4KSd7VeXN
Ie8P6J8gzB8m6pESc0auECeWDc+7gq92Q02JzA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
mM/pb6Quz8oR3S09XTfKpMVkmTo5lfELE+JRYpnqANy3ech589dKraB/SfZ98EmwlASNZ2lGhzqW
XbyQGOcrgzbNEYcIMGONAhFJbClkmdsBIRtaSB1rqWgQ39Zgtlwabdpib/My0Pi7kW5qkeXQIwBv
T9uJC/vs0KNRmNN91wg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rhEZgwTugv9ZvaUMFD/BLQBvTDYEmZoYW4ZuqdD2YSU20C1jV/WqSy5FZ+pJHe2iVoY0L8T3r6eT
kji8+eJqaRfJRepMwB5Syg/Qsr4D+8zX84vVcrKC9BC56dXFG3IENwXV97Jg83Il4/y+ooMi58Iw
pUvyatbLKz2KTjMp2sk8asjBcZWFykcIac53apkMB8iRJwEaGvUvOmeueyoJlyKoLq5vw0oPQBOm
OezJcpceKg+U9drt33VaKDbFOVg1r/oXli+2/czdShARFjZtm4ON2nxdvfz4DiZXyuw/E0HbWsjs
ikNGByuWLJaL9SK9j2qaXq5M2cm64J8x4IgYww==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 15376)
`protect data_block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`protect end_protected
| bsd-2-clause | ea16bd527ba5bb0d3a093dbcb355fc3d | 0.94222 | 1.855252 | false | false | false | false |
Yarr/Yarr-fw | rtl/spartan6/rx-core/decode_8b10b/decode_8b10b_rtl.vhd | 2 | 22,735 | ---------------------------------------------------------------------------
--
-- Module : decode_8b10b_rtl.vhd
--
-- Version : 1.1
--
-- Last Update : 2008-10-31
--
-- Project : 8b/10b Decoder Reference Design
--
-- Description : Top-level, synthesizable 8b/10b decoder core file
--
-- Company : Xilinx, Inc.
--
-- DISCLAIMER OF LIABILITY
--
-- This file contains proprietary and confidential information of
-- Xilinx, Inc. ("Xilinx"), that is distributed under a license
-- from Xilinx, and may be used, copied and/or disclosed only
-- pursuant to the terms of a valid license agreement with Xilinx.
--
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION
-- ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER
-- EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT
-- LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT,
-- MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx
-- does not warrant that functions included in the Materials will
-- meet the requirements of Licensee, or that the operation of the
-- Materials will be uninterrupted or error-free, or that defects
-- in the Materials will be corrected. Furthermore, Xilinx does
-- not warrant or make any representations regarding use, or the
-- results of the use, of the Materials in terms of correctness,
-- accuracy, reliability or otherwise.
--
-- Xilinx products are not designed or intended to be fail-safe,
-- or for use in any application requiring fail-safe performance,
-- such as life-support or safety devices or systems, Class III
-- medical devices, nuclear facilities, applications related to
-- the deployment of airbags, or any other applications that could
-- lead to death, personal injury or severe property or
-- environmental damage (individually and collectively, "critical
-- applications"). Customer assumes the sole risk and liability
-- of any use of Xilinx products in critical applications,
-- subject only to applicable laws and regulations governing
-- limitations on product liability.
--
-- Copyright 2000, 2001, 2002, 2003, 2004, 2005, 2008 Xilinx, Inc.
-- All rights reserved.
--
-- This disclaimer and copyright notice must be retained as part
-- of this file at all times.
--
-------------------------------------------------------------------------------
--
-- History
--
-- Date Version Description
--
-- 10/31/2008 1.1 Initial release
--
-------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.std_logic_1164.ALL;
USE IEEE.std_logic_arith.ALL;
library work;
USE work.decode_8b10b_pkg.ALL;
-----------------------------------------------------------------------------
-- Entity Declaration
-----------------------------------------------------------------------------
ENTITY decode_8b10b_rtl IS
GENERIC (
C_DECODE_TYPE : INTEGER := 0;
C_ELABORATION_DIR : STRING := "./../../src/";
C_HAS_BPORTS : INTEGER := 0;
C_HAS_CE : INTEGER := 0;
C_HAS_CE_B : INTEGER := 0;
C_HAS_CODE_ERR : INTEGER := 0;
C_HAS_CODE_ERR_B : INTEGER := 0;
C_HAS_DISP_ERR : INTEGER := 0;
C_HAS_DISP_ERR_B : INTEGER := 0;
C_HAS_DISP_IN : INTEGER := 0;
C_HAS_DISP_IN_B : INTEGER := 0;
C_HAS_ND : INTEGER := 0;
C_HAS_ND_B : INTEGER := 0;
C_HAS_RUN_DISP : INTEGER := 0;
C_HAS_RUN_DISP_B : INTEGER := 0;
C_HAS_SINIT : INTEGER := 0;
C_HAS_SINIT_B : INTEGER := 0;
C_HAS_SYM_DISP : INTEGER := 0;
C_HAS_SYM_DISP_B : INTEGER := 0;
C_SINIT_DOUT : STRING := "00000000";
C_SINIT_DOUT_B : STRING := "00000000";
C_SINIT_KOUT : INTEGER := 0;
C_SINIT_KOUT_B : INTEGER := 0;
C_SINIT_RUN_DISP : INTEGER := 0;
C_SINIT_RUN_DISP_B : INTEGER := 0
);
PORT (
CLK : IN STD_LOGIC := '0';
DIN : IN STD_LOGIC_VECTOR(9 DOWNTO 0) := (OTHERS => '0');
DOUT : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ;
KOUT : OUT STD_LOGIC ;
CE : IN STD_LOGIC := '0';
CE_B : IN STD_LOGIC := '0';
CLK_B : IN STD_LOGIC := '0';
DIN_B : IN STD_LOGIC_VECTOR(9 DOWNTO 0) := (OTHERS => '0');
DISP_IN : IN STD_LOGIC := '0';
DISP_IN_B : IN STD_LOGIC := '0';
SINIT : IN STD_LOGIC := '0';
SINIT_B : IN STD_LOGIC := '0';
CODE_ERR : OUT STD_LOGIC := '0';
CODE_ERR_B : OUT STD_LOGIC := '0';
DISP_ERR : OUT STD_LOGIC := '0';
DISP_ERR_B : OUT STD_LOGIC := '0';
DOUT_B : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ;
KOUT_B : OUT STD_LOGIC ;
ND : OUT STD_LOGIC := '0';
ND_B : OUT STD_LOGIC := '0';
RUN_DISP : OUT STD_LOGIC ;
RUN_DISP_B : OUT STD_LOGIC ;
SYM_DISP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0) ;
SYM_DISP_B : OUT STD_LOGIC_VECTOR(1 DOWNTO 0)
);
END decode_8b10b_rtl;
--------------------------------------------------------------------------------
-- Generic Definitions:
--------------------------------------------------------------------------------
-- C_DECODE_TYPE : Implementation: 0=Slice based, 1=BlockRam
-- C_ELABORATION_DIR : Directory path for mif file
-- C_HAS_BPORTS : 1 indicates second decoder should be generated
-- C_HAS_CE : 1 indicates ce port is present
-- C_HAS_CE_B : 1 indicates ce_b port is present (if c_has_bports=1)
-- C_HAS_CODE_ERR : 1 indicates code_err port is present
-- C_HAS_CODE_ERR_B : 1 indicates code_err_b port is present
-- (if c_has_bports=1)
-- C_HAS_DISP_ERR : 1 indicates disp_err port is present
-- C_HAS_DISP_ERR_B : 1 indicates disp_err_b port is present
-- (if c_has_bports=1)
-- C_HAS_DISP_IN : 1 indicates disp_in port is present
-- C_HAS_DISP_IN_B : 1 indicates disp_in_b port is present
-- (if c_has_bports=1)
-- C_HAS_ND : 1 indicates nd port is present
-- C_HAS_ND_B : 1 indicates nd_b port is present (if c_has_bports=1)
-- C_HAS_RUN_DISP : 1 indicates run_disp port is present
-- C_HAS_RUN_DISP_B : 1 indicates run_disp_b port is present
-- (if c_has_bports=1)
-- C_HAS_SINIT : 1 indicates sinit port is present
-- C_HAS_SINIT_B : 1 indicates sinit_b port is present
-- (if c_has_bports=1)
-- C_HAS_SYM_DISP : 1 indicates sym_disp port is present
-- C_HAS_SYM_DISP_B : 1 indicates sym_disp_b port is present
-- (if c_has_bports=1)
-- C_SINIT_DOUT : 8-bit binary string, dout value when sinit is active
-- C_SINIT_DOUT_B : 8-bit binary string, dout_b value when sinit_b is
-- active
-- C_SINIT_KOUT : controls kout output when sinit is active
-- C_SINIT_KOUT_B : controls kout_b output when sinit_b is active
-- C_SINIT_RUN_DISP : Initializes run_disp (and disp_in) value to
-- positive(1) or negative(0)
-- C_SINIT_RUN_DISP_B : Initializes run_disp_b (and disp_in_b) value to
-- positive(1) or negative(0)
--------------------------------------------------------------------------------
-- Port Definitions:
--------------------------------------------------------------------------------
-- Mandatory Pins
-- CLK : Clock Input
-- DIN : Encoded Symbol Input
-- DOUT : Data Output, decoded data byte
-- KOUT : Command Output
-------------------------------------------------------------------------
-- Optional Pins
-- CE : Clock Enable
-- CE_B : Clock Enable (B port)
-- CLK_B : Clock Input (B port)
-- DIN_B : Encoded Symbol Input (B port)
-- DISP_IN : Disparity Input (running disparity in)
-- DISP_IN_B : Disparity Input (running disparity in) (B port)
-- SINIT : Synchronous Initialization. Resets core to known state.
-- SINIT_B : Synchronous Initialization. Resets core to known state.
-- (B port)
-- CODE_ERR : Code Error, indicates that input symbol did not correspond
-- to a valid member of the code set.
-- CODE_ERR_B : Code Error, indicates that input symbol did not correspond
-- to a valid member of the code set. (B port)
-- DISP_ERR : Disparity Error
-- DISP_ERR_B : Disparity Error (B port)
-- DOUT_B : Data Output, decoded data byte (B port)
-- KOUT_B : Command Output (B port)
-- ND : New Data
-- ND_B : New Data (B port)
-- RUN_DISP : Running Disparity
-- RUN_DISP_B : Running Disparity (B port)
-- SYM_DISP : Symbol Disparity
-- SYM_DISP_B : Symbol Disparity (B port)
-------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
ARCHITECTURE xilinx OF decode_8b10b_rtl IS
-------------------------------------------------------------------------------
-- Signal Declarations
-------------------------------------------------------------------------------
SIGNAL dout_i : STD_LOGIC_VECTOR(7 DOWNTO 0) :=
str_to_slv(C_SINIT_DOUT,8);
--convert C_SINIT_DOUT string to 8bit std_logic_vector
SIGNAL kout_i : STD_LOGIC :=
bint_2_sl(C_SINIT_KOUT);
--convert C_SINIT_KOUT integer to std_logic
SIGNAL clk_b_i : STD_LOGIC := '0';
SIGNAL din_b_i : STD_LOGIC_VECTOR(9 DOWNTO 0) := (OTHERS => '0');
SIGNAL ce_i : STD_LOGIC := '0';
SIGNAL ce_b_i : STD_LOGIC := '0';
SIGNAL disp_in_i : STD_LOGIC := '0';
SIGNAL disp_in_b_i : STD_LOGIC := '0';
SIGNAL sinit_i : STD_LOGIC := '0';
SIGNAL sinit_b_i : STD_LOGIC := '0';
SIGNAL code_err_i : STD_LOGIC := '0';
SIGNAL code_err_b_i : STD_LOGIC := '0';
SIGNAL disp_err_i : STD_LOGIC := '0';
SIGNAL disp_err_b_i : STD_LOGIC := '0';
SIGNAL dout_b_i : STD_LOGIC_VECTOR(7 DOWNTO 0) :=
str_to_slv(C_SINIT_DOUT_B,8);
--convert C_SINIT_DOUT_B string to 8bit std_logic_vector
SIGNAL kout_b_i : STD_LOGIC :=
bint_2_sl(C_SINIT_KOUT_B);
--convert C_SINIT_KOUT_B integer to std_logic
SIGNAL nd_i : STD_LOGIC := '0';
SIGNAL nd_b_i : STD_LOGIC := '0';
SIGNAL run_disp_i : STD_LOGIC :=
bint_2_sl(C_SINIT_RUN_DISP);
--convert C_SINIT_RUN_DISP integer to std logic
SIGNAL run_disp_b_i : STD_LOGIC :=
bint_2_sl(C_SINIT_RUN_DISP_B);
--convert C_SINIT_RUN_DISP_B integer to std logic
SIGNAL sym_disp_i : STD_LOGIC_VECTOR(1 DOWNTO 0) :=
conv_std_logic_vector(C_SINIT_RUN_DISP,2);
--convert C_SINIT_RUN_DISP integer to slv
SIGNAL sym_disp_b_i : STD_LOGIC_VECTOR(1 DOWNTO 0) :=
conv_std_logic_vector(C_SINIT_RUN_DISP_B,2);
--convert C_SINIT_RUN_DISP_B integer to slv
-------------------------------------------------------------------------------
-- Begin Architecture
-------------------------------------------------------------------------------
BEGIN
-----------------------------------------------------------------------------
-- LUT-based decoder
-----------------------------------------------------------------------------
glut : IF (C_DECODE_TYPE = 0) GENERATE
ldec : entity work.decode_8b10b_lut
GENERIC MAP (
C_HAS_BPORTS => C_HAS_BPORTS,
C_HAS_CODE_ERR => C_HAS_CODE_ERR,
C_HAS_CODE_ERR_B => C_HAS_CODE_ERR_B,
C_HAS_DISP_ERR => C_HAS_DISP_ERR,
C_HAS_DISP_ERR_B => C_HAS_DISP_ERR_B,
C_HAS_DISP_IN => C_HAS_DISP_IN,
C_HAS_DISP_IN_B => C_HAS_DISP_IN_B,
C_HAS_ND => C_HAS_ND,
C_HAS_ND_B => C_HAS_ND_B,
C_HAS_SYM_DISP => C_HAS_SYM_DISP,
C_HAS_SYM_DISP_B => C_HAS_SYM_DISP_B,
C_HAS_RUN_DISP => C_HAS_RUN_DISP,
C_HAS_RUN_DISP_B => C_HAS_RUN_DISP_B,
C_SINIT_DOUT => C_SINIT_DOUT,
C_SINIT_DOUT_B => C_SINIT_DOUT_B,
C_SINIT_KOUT => C_SINIT_KOUT,
C_SINIT_KOUT_B => C_SINIT_KOUT_B,
C_SINIT_RUN_DISP => C_SINIT_RUN_DISP,
C_SINIT_RUN_DISP_B => C_SINIT_RUN_DISP_B
)
PORT MAP(
CLK => CLK,
DIN => DIN,
DOUT => dout_i,
KOUT => kout_i,
CE => ce_i,
DISP_IN => disp_in_i,
SINIT => sinit_i,
CODE_ERR => code_err_i,
DISP_ERR => disp_err_i,
ND => nd_i,
RUN_DISP => run_disp_i,
SYM_DISP => sym_disp_i,
CLK_B => clk_b_i,
DIN_B => din_b_i,
DOUT_B => dout_b_i,
KOUT_B => kout_b_i,
CE_B => ce_b_i,
DISP_IN_B => disp_in_b_i,
SINIT_B => sinit_b_i,
CODE_ERR_B => code_err_b_i,
DISP_ERR_B => disp_err_b_i,
ND_B => nd_b_i,
RUN_DISP_B => run_disp_b_i,
SYM_DISP_B => sym_disp_b_i
);
END GENERATE glut;
-----------------------------------------------------------------------------
-- BRAM-based decoder
-----------------------------------------------------------------------------
gbram : IF (C_DECODE_TYPE /= 0) GENERATE
bdec : entity work.decode_8b10b_bram
GENERIC MAP (
C_ELABORATION_DIR => C_ELABORATION_DIR,
C_HAS_BPORTS => C_HAS_BPORTS,
C_HAS_DISP_IN => C_HAS_DISP_IN,
C_HAS_DISP_IN_B => C_HAS_DISP_IN_B,
C_HAS_DISP_ERR => C_HAS_DISP_ERR,
C_HAS_DISP_ERR_B => C_HAS_DISP_ERR_B,
C_HAS_RUN_DISP => C_HAS_RUN_DISP,
C_HAS_RUN_DISP_B => C_HAS_RUN_DISP_B,
C_HAS_SYM_DISP => C_HAS_SYM_DISP,
C_HAS_SYM_DISP_B => C_HAS_SYM_DISP_B,
C_HAS_ND => C_HAS_ND,
C_HAS_ND_B => C_HAS_ND_B,
C_SINIT_DOUT => C_SINIT_DOUT,
C_SINIT_DOUT_B => C_SINIT_DOUT_B,
C_SINIT_KOUT => C_SINIT_KOUT,
C_SINIT_KOUT_B => C_SINIT_KOUT_B,
C_SINIT_RUN_DISP => C_SINIT_RUN_DISP,
C_SINIT_RUN_DISP_B => C_SINIT_RUN_DISP_B
)
PORT MAP(
CLK => CLK,
DIN => DIN,
DOUT => dout_i,
KOUT => kout_i,
CE => ce_i,
DISP_IN => disp_in_i,
SINIT => sinit_i,
CODE_ERR => code_err_i,
DISP_ERR => disp_err_i,
ND => nd_i,
RUN_DISP => run_disp_i,
SYM_DISP => sym_disp_i,
CLK_B => clk_b_i,
DIN_B => din_b_i,
DOUT_B => dout_b_i,
KOUT_B => kout_b_i,
CE_B => ce_b_i,
DISP_IN_B => disp_in_b_i,
SINIT_B => sinit_b_i,
CODE_ERR_B => code_err_b_i,
DISP_ERR_B => disp_err_b_i,
ND_B => nd_b_i,
RUN_DISP_B => run_disp_b_i,
SYM_DISP_B => sym_disp_b_i
);
END GENERATE gbram;
---------------------------------------------------------------------------
-- Mandatory A Ports
---------------------------------------------------------------------------
DOUT <= dout_i;
KOUT <= kout_i;
---------------------------------------------------------------------------
-- Optional A Ports --tying off unused ports
---------------------------------------------------------------------------
--Inputs
--ce
gen : IF (C_HAS_CE/=0) GENERATE
ce_i <= CE;
END GENERATE gen;
ngen : IF (C_HAS_CE = 0) GENERATE
ce_i <= '1';
END GENERATE ngen;
--disp_in
gdi : IF (C_HAS_DISP_IN /= 0) GENERATE
disp_in_i <= DISP_IN;
END GENERATE gdi;
ngdi : IF (C_HAS_DISP_IN = 0) GENERATE
disp_in_i <= '0';
END GENERATE ngdi;
--sinit
gs : IF (C_HAS_SINIT /= 0) GENERATE
sinit_i <= SINIT;
END GENERATE gs;
ngs : IF (C_HAS_SINIT = 0) GENERATE
sinit_i <= '0';
END GENERATE ngs;
--Outputs
--nd
gnd : IF (C_HAS_ND /= 0) GENERATE
ASSERT (C_HAS_CE /= 0)
REPORT "Invalid configuration: ND port requires CE port"
SEVERITY WARNING;
ND <= nd_i;
END GENERATE gnd;
ngnd : IF (C_HAS_ND = 0) GENERATE
ND <= '0';
END GENERATE ngnd;
--code_err
gce : IF (C_HAS_CODE_ERR /= 0) GENERATE
CODE_ERR <= code_err_i;
END GENERATE gce;
ngce : IF (C_HAS_CODE_ERR = 0) GENERATE
CODE_ERR <= '0';
END GENERATE ngce;
--disp_err
gder : IF (C_HAS_DISP_ERR /= 0) GENERATE
DISP_ERR <= disp_err_i;
END GENERATE gder;
ngder : IF (C_HAS_DISP_ERR = 0) GENERATE
DISP_ERR <= '0';
END GENERATE ngder;
--run_disp
grd : IF (C_HAS_RUN_DISP /= 0) GENERATE
RUN_DISP <= run_disp_i;
END GENERATE grd;
ngrd : IF (C_HAS_RUN_DISP = 0) GENERATE
RUN_DISP <= '0';
END GENERATE ngrd;
--sym_disp
gsd : IF (C_HAS_SYM_DISP /= 0) GENERATE
SYM_DISP <= sym_disp_i;
END GENERATE gsd;
ngsd : IF (C_HAS_SYM_DISP = 0) GENERATE
SYM_DISP <= "00";
END GENERATE ngsd;
----------------------------------------------------------------------------
-- Optional B Ports -- tying off unused ports
----------------------------------------------------------------------------
--Mandatory B ports (if B ports are selected)
gbpt : IF (C_HAS_BPORTS /= 0) GENERATE
din_b_i <= DIN_B;
clk_b_i <= CLK_B;
DOUT_B <= dout_b_i;
KOUT_B <= kout_b_i;
END GENERATE gbpt;
ngbpt : IF (C_HAS_BPORTS = 0) GENERATE
din_b_i <= (OTHERS => '0');
clk_b_i <= '0';
DOUT_B <= (OTHERS => '0');
KOUT_B <= '0';
END GENERATE ngbpt;
--Inputs
--ce_b
genb : IF (C_HAS_CE_B /= 0 AND C_HAS_BPORTS /= 0) GENERATE
ce_b_i <= CE_B;
END GENERATE genb;
ngenb : IF (C_HAS_CE_B = 0 OR C_HAS_BPORTS = 0) GENERATE
ce_b_i <= '1';
END GENERATE ngenb;
ASSERT (NOT(C_HAS_CE_B /= 0 AND C_HAS_BPORTS = 0))
REPORT "Invalid configuration: Will not generate CE_B when C_HAS_BPORTS=0"
SEVERITY WARNING;
--disp_in_b
gdib : IF (C_HAS_DISP_IN_B /= 0 AND C_HAS_BPORTS /= 0) GENERATE
disp_in_b_i <= DISP_IN_B;
END GENERATE gdib;
ngdib : IF (C_HAS_DISP_IN_B = 0 OR C_HAS_BPORTS = 0) GENERATE
disp_in_b_i <= '0';
END GENERATE ngdib;
ASSERT (NOT(C_HAS_DISP_IN_B /= 0 AND C_HAS_BPORTS = 0))
REPORT "Invalid configuration: Will not generate DISP_IN_B when " &
"C_HAS_BPORTS=0"
SEVERITY WARNING;
--sinit_b
gsb : IF (C_HAS_SINIT_B /= 0 AND C_HAS_BPORTS /= 0) GENERATE
sinit_b_i <= SINIT_B;
END GENERATE gsb;
ngsb : IF (C_HAS_SINIT_B = 0 OR C_HAS_BPORTS = 0) GENERATE
sinit_b_i <= '0';
END GENERATE ngsb;
ASSERT (NOT(C_HAS_SINIT_B /= 0 AND C_HAS_BPORTS = 0))
REPORT "Invalid configuration: Will not generate SINIT_B when C_HAS_BPORTS=0"
SEVERITY WARNING;
--Outputs
--code_err_b
gceb : IF (C_HAS_CODE_ERR_B /= 0 AND C_HAS_BPORTS /= 0) GENERATE
CODE_ERR_B <= code_err_b_i;
END GENERATE gceb;
ngceb : IF (C_HAS_CODE_ERR_B = 0 OR C_HAS_BPORTS = 0) GENERATE
CODE_ERR_B <= '0';
END GENERATE ngceb;
ASSERT (NOT(C_HAS_CODE_ERR_B /= 0 AND C_HAS_BPORTS = 0))
REPORT "Invalid configuration: Will not generate CODE_ERR_B when " &
"C_HAS_BPORTS=0"
SEVERITY WARNING;
--disp_err_b
gdeb : IF (C_HAS_DISP_ERR_B /= 0 AND C_HAS_BPORTS /= 0) GENERATE
DISP_ERR_B <= disp_err_b_i;
END GENERATE gdeb;
ngdeb : IF (C_HAS_DISP_ERR_B = 0 OR C_HAS_BPORTS = 0) GENERATE
DISP_ERR_B <= '0';
END GENERATE ngdeb;
ASSERT (NOT(C_HAS_DISP_ERR_B /= 0 AND C_HAS_BPORTS = 0))
REPORT "Invalid configuration: Will not generate DISP_ERR_B when " &
"C_HAS_BPORTS=0"
SEVERITY WARNING;
--nd_b
gndb : IF (C_HAS_ND_B /= 0 AND C_HAS_BPORTS /= 0) GENERATE
ASSERT (C_HAS_CE_B /= 0)
REPORT "Invalid configuration: ND_B port requires CE_B port"
SEVERITY WARNING;
ND_B <= nd_b_i;
END GENERATE gndb;
ngndb : IF (C_HAS_ND_B = 0 OR C_HAS_BPORTS = 0) GENERATE
ND_B <= '0';
END GENERATE ngndb;
ASSERT (NOT(C_HAS_ND_B /= 0 AND C_HAS_BPORTS = 0))
REPORT "Invalid configuration: Will not generate ND_B when C_HAS_BPORTS=0"
SEVERITY WARNING;
--run_disp_b
grdb : IF (C_HAS_RUN_DISP_B /= 0 AND C_HAS_BPORTS /= 0) GENERATE
RUN_DISP_B <= run_disp_b_i;
END GENERATE grdb;
ngrdb : IF (C_HAS_RUN_DISP_B = 0 OR C_HAS_BPORTS = 0) GENERATE
RUN_DISP_B <= '0';
END GENERATE ngrdb;
ASSERT (NOT(C_HAS_RUN_DISP_B /= 0 AND C_HAS_BPORTS = 0))
REPORT "Invalid configuration: Will not generate RUN_DISP_B when " &
"C_HAS_BPORTS=0"
SEVERITY WARNING;
--sym_disp_b
gsdb : IF (C_HAS_SYM_DISP_B /= 0 AND C_HAS_BPORTS /= 0) GENERATE
SYM_DISP_B <= sym_disp_b_i;
END GENERATE gsdb;
ngsdb : IF (C_HAS_SYM_DISP_B = 0 OR C_HAS_BPORTS = 0) GENERATE
SYM_DISP_B <= "00";
END GENERATE ngsdb;
ASSERT (NOT(C_HAS_SYM_DISP_B /= 0 AND C_HAS_BPORTS = 0))
REPORT "Invalid configuration: Will not generate SYM_DISP_B when " &
"C_HAS_BPORTS=0"
SEVERITY WARNING;
END xilinx;
| gpl-3.0 | e218b8cf1b71336ed09f965d3600fda5 | 0.471652 | 3.497154 | false | false | false | false |
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.