repo_name
stringlengths
6
79
path
stringlengths
6
236
copies
int64
1
472
size
int64
137
1.04M
content
stringlengths
137
1.04M
license
stringclasses
15 values
hash
stringlengths
32
32
alpha_frac
float64
0.25
0.96
ratio
float64
1.51
17.5
autogenerated
bool
1 class
config_or_test
bool
2 classes
has_no_keywords
bool
1 class
has_few_assignments
bool
1 class
jeremiah-c-leary/vhdl-style-guide
vsg/tests/procedure_call/rule_003_test_input.fixed_last_close_paren__add_new_line.vhd
1
843
architecture rtl of fifo is begin connect_ports(port_1 => data, port_2 => enable, port_3 => overflow, port_4 => underflow ); connect_ports( port_1 => data, port_2 => enable, port_3 => overflow, port_4 => underflow ); connect_ports(port_1 => data, port_2 => enable, port_3 => overflow, port_4 => underflow ); connect_ports(port_1 => data, port_2 => enable, port_3 => overflow, port_4 => underflow ); connect_ports( port_1 => data, port_2 => enable, port_3 => overflow, port_4 => underflow ); connect_ports ( port_1 => data , port_2 => enable, port_3 => overflow , port_4 => underflow ); process begin connect_ports( port_1 => data, port_2=> enable, port_3 => overflow, port_4 => underflow ); end process; end architecture;
gpl-3.0
71ecc47e6bf653fde8ff31e9959e6ddd
0.567023
3.318898
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/styles/indent_only/c16/Board_cpu.vhd
1
3,945
-- -- This is the top level VHDL file. -- -- It iobufs for bidirational signals (towards an optional -- external fast SRAM. -- -- Pins fit the AVNET Virtex-E Evaluation board -- -- For other boards, change pin assignments in this file. -- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; use work.cpu_pack.ALL; library UNISIM; use UNISIM.VComponents.all; entity board_cpu is PORT ( CLK40 : in STD_LOGIC; SWITCH : in STD_LOGIC_VECTOR (9 downto 0); SER_IN : in STD_LOGIC; SER_OUT : out STD_LOGIC; TEMP_SPO : in STD_LOGIC; TEMP_SPI : out STD_LOGIC; CLK_OUT : out STD_LOGIC; LED : out STD_LOGIC_VECTOR (7 downto 0); ENABLE_N : out STD_LOGIC; DEACTIVATE_N : out STD_LOGIC; TEMP_CE : out STD_LOGIC; TEMP_SCLK : out STD_LOGIC; SEG1 : out STD_LOGIC_VECTOR (7 downto 0); SEG2 : out STD_LOGIC_VECTOR (7 downto 0); XM_ADR : out STD_LOGIC_VECTOR(14 downto 0); XM_CE_N : out STD_LOGIC; XM_OE_N : out STD_LOGIC; XM_WE_N : inout STD_LOGIC; XM_DIO : inout STD_LOGIC_VECTOR(7 downto 0) ); end board_cpu; architecture behavioral of board_cpu is COMPONENT cpu PORT( CLK_I : in STD_LOGIC; SWITCH : in STD_LOGIC_VECTOR (9 downto 0); SER_IN : in STD_LOGIC; SER_OUT : out STD_LOGIC; TEMP_SPO : in STD_LOGIC; TEMP_SPI : out STD_LOGIC; TEMP_CE : out STD_LOGIC; TEMP_SCLK : out STD_LOGIC; SEG1 : out STD_LOGIC_VECTOR (7 downto 0); SEG2 : out STD_LOGIC_VECTOR( 7 downto 0); LED : out STD_LOGIC_VECTOR( 7 downto 0); XM_ADR : out STD_LOGIC_VECTOR(15 downto 0); XM_RDAT : in STD_LOGIC_VECTOR( 7 downto 0); XM_WDAT : out STD_LOGIC_VECTOR( 7 downto 0); XM_WE : out STD_LOGIC; XM_CE : out STD_LOGIC ); END COMPONENT; signal XM_WDAT : std_logic_vector( 7 downto 0); signal XM_RDAT : std_logic_vector( 7 downto 0); signal MEM_T : std_logic; signal XM_WE : std_logic; signal WE_N : std_logic; signal DEL_WE_N : std_logic; signal XM_CE : std_logic; signal LCLK : std_logic; begin cp: cpu PORT MAP( CLK_I => CLK40, SWITCH => SWITCH, SER_IN => SER_IN, SER_OUT => SER_OUT, TEMP_SPO => TEMP_SPO, TEMP_SPI => TEMP_SPI, XM_ADR(14 downto 0) => XM_ADR, XM_ADR(15) => open, XM_RDAT => XM_RDAT, XM_WDAT => XM_WDAT, XM_WE => XM_WE, XM_CE => XM_CE, TEMP_CE => TEMP_CE, TEMP_SCLK => TEMP_SCLK, SEG1 => SEG1, SEG2 => SEG2, LED => LED ); ENABLE_N <= '0'; DEACTIVATE_N <= '1'; CLK_OUT <= LCLK; MEM_T <= DEL_WE_N; -- active low WE_N <= not XM_WE; XM_OE_N <= XM_WE; XM_CE_N <= not XM_CE; p147: iobuf PORT MAP(I => XM_WDAT(7), O => XM_RDAT(7), T => MEM_T, IO => XM_DIO(7)); p144: iobuf PORT MAP(I => XM_WDAT(0), O => XM_RDAT(0), T => MEM_T, IO => XM_DIO(0)); p142: iobuf PORT MAP(I => XM_WDAT(6), O => XM_RDAT(6), T => MEM_T, IO => XM_DIO(6)); p141: iobuf PORT MAP(I => XM_WDAT(1), O => XM_RDAT(1), T => MEM_T, IO => XM_DIO(1)); p140: iobuf PORT MAP(I => XM_WDAT(5), O => XM_RDAT(5), T => MEM_T, IO => XM_DIO(5)); p139: iobuf PORT MAP(I => XM_WDAT(2), O => XM_RDAT(2), T => MEM_T, IO => XM_DIO(2)); p133: iobuf PORT MAP(I => XM_WDAT(4), O => XM_RDAT(4), T => MEM_T, IO => XM_DIO(4)); p131: iobuf PORT MAP(I => XM_WDAT(3), O => XM_RDAT(3), T => MEM_T, IO => XM_DIO(3)); p63: iobuf PORT MAP(I => WE_N, O => DEL_WE_N, T => '0', IO => XM_WE_N); process(CLK40) begin if (rising_edge(CLK40)) then LCLK <= not LCLK; end if; end process; end behavioral;
gpl-3.0
0c94671d8e6d57e7485942948ff24051
0.524715
2.707618
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/blk_mem_gen_v8_0/simulation/blk_mem_gen_v8_0.vhd
2
211,841
------------------------------------------------------------------------------- -- (c) Copyright 2006 - 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------- -- -- Filename: BLK_MEM_GEN_v8_0.vhd -- -- Description: -- This file is the VHDL behvarial model for the -- Block Memory Generator Core. -- ------------------------------------------------------------------------------- -- Author: Xilinx -- -- History: January 11, 2006: Initial revision -- June 11, 2007 : Added independent register stages for -- Port A and Port B (IP1_Jm/v2.5) -- August 28, 2007 : Added mux pipeline stages feature (IP2_Jm/v2.6) -- April 07, 2009 : Added support for Spartan-6 and Virtex-6 -- features, including the following: -- (i) error injection, detection and/or correction -- (ii) reset priority -- (iii) special reset behavior -- ------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; use ieee.numeric_std.all; USE ieee.std_logic_unsigned.all; USE IEEE.std_logic_arith.all; USE IEEE.std_logic_misc.all; LIBRARY STD; USE STD.TEXTIO.ALL; ENTITY blk_mem_axi_regs_fwd_v8_0 IS GENERIC( C_DATA_WIDTH : INTEGER := 8 ); PORT ( ACLK : IN STD_LOGIC; ARESET : IN STD_LOGIC; S_VALID : IN STD_LOGIC; S_READY : OUT STD_LOGIC; S_PAYLOAD_DATA : IN STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); M_VALID : OUT STD_LOGIC; M_READY : IN STD_LOGIC; M_PAYLOAD_DATA : OUT STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0) ); END ENTITY blk_mem_axi_regs_fwd_v8_0; ARCHITECTURE axi_regs_fwd_arch OF blk_mem_axi_regs_fwd_v8_0 IS SIGNAL STORAGE_DATA : STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL S_READY_I : STD_LOGIC := '0'; SIGNAL M_VALID_I : STD_LOGIC := '0'; SIGNAL ARESET_D : STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0');-- Reset delay register BEGIN --assign local signal to its output signal S_READY <= S_READY_I; M_VALID <= M_VALID_I; PROCESS(ACLK) BEGIN IF(ACLK'event AND ACLK = '1') THEN ARESET_D <= ARESET_D(0) & ARESET; END IF; END PROCESS; --Save payload data whenever we have a transaction on the slave side PROCESS(ACLK, ARESET) BEGIN IF (ARESET = '1') THEN STORAGE_DATA <= (OTHERS => '0'); ELSIF(ACLK'event AND ACLK = '1') THEN IF(S_VALID = '1' AND S_READY_I = '1') THEN STORAGE_DATA <= S_PAYLOAD_DATA; END IF; END IF; END PROCESS; M_PAYLOAD_DATA <= STORAGE_DATA; -- M_Valid set to high when we have a completed transfer on slave side -- Is removed on a M_READY except if we have a new transfer on the slave side PROCESS(ACLK,ARESET) BEGIN IF (ARESET_D /= "00") THEN M_VALID_I <= '0'; ELSIF(ACLK'event AND ACLK = '1') THEN IF (S_VALID = '1') THEN --Always set M_VALID_I when slave side is valid M_VALID_I <= '1'; ELSIF (M_READY = '1') THEN --Clear (or keep) when no slave side is valid but master side is ready M_VALID_I <= '0'; END IF; END IF; END PROCESS; --Slave Ready is either when Master side drives M_READY or we have space in our storage data S_READY_I <= (M_READY OR (NOT M_VALID_I)) AND NOT(OR_REDUCE(ARESET_D)); END axi_regs_fwd_arch; ------------------------------------------------------------------------------- -- Description: -- This is the behavioral model of write_wrapper for the -- Block Memory Generator Core. ------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY blk_mem_axi_write_wrapper_beh IS GENERIC ( -- AXI Interface related parameters start here C_INTERFACE_TYPE : integer := 0; -- 0: Native Interface; 1: AXI Interface C_AXI_TYPE : integer := 0; -- 0: AXI Lite; 1: AXI Full; C_AXI_SLAVE_TYPE : integer := 0; -- 0: MEMORY SLAVE; 1: PERIPHERAL SLAVE; C_MEMORY_TYPE : integer := 0; -- 0: SP-RAM, 1: SDP-RAM; 2: TDP-RAM; 3: DP-ROM; C_WRITE_DEPTH_A : integer := 0; C_AXI_AWADDR_WIDTH : integer := 32; C_ADDRA_WIDTH : integer := 12; C_AXI_WDATA_WIDTH : integer := 32; C_HAS_AXI_ID : integer := 0; C_AXI_ID_WIDTH : integer := 4; -- AXI OUTSTANDING WRITES C_AXI_OS_WR : integer := 2 ); PORT ( -- AXI Global Signals S_ACLK : IN std_logic; S_ARESETN : IN std_logic; -- AXI Full/Lite Slave Write Channel (write side) S_AXI_AWID : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWADDR : IN std_logic_vector(C_AXI_AWADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWLEN : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWVALID : IN std_logic := '0'; S_AXI_AWREADY : OUT std_logic := '0'; S_AXI_WVALID : IN std_logic := '0'; S_AXI_WREADY : OUT std_logic := '0'; S_AXI_BID : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_BVALID : OUT std_logic := '0'; S_AXI_BREADY : IN std_logic := '0'; -- Signals for BMG interface S_AXI_AWADDR_OUT : OUT std_logic_vector(C_ADDRA_WIDTH-1 DOWNTO 0); S_AXI_WR_EN : OUT std_logic:= '0' ); END blk_mem_axi_write_wrapper_beh; ARCHITECTURE axi_write_wrap_arch OF blk_mem_axi_write_wrapper_beh IS ------------------------------------------------------------------------------ -- FUNCTION: if_then_else -- This function is used to implement an IF..THEN when such a statement is not -- allowed. ------------------------------------------------------------------------------ FUNCTION if_then_else ( condition : BOOLEAN; true_case : INTEGER; false_case : INTEGER) RETURN INTEGER IS VARIABLE retval : INTEGER := 0; BEGIN IF NOT condition THEN retval:=false_case; ELSE retval:=true_case; END IF; RETURN retval; END if_then_else; FUNCTION if_then_else ( condition : BOOLEAN; true_case : STD_LOGIC_VECTOR; false_case : STD_LOGIC_VECTOR) RETURN STD_LOGIC_VECTOR IS BEGIN IF NOT condition THEN RETURN false_case; ELSE RETURN true_case; END IF; END if_then_else; FUNCTION if_then_else ( condition : BOOLEAN; true_case : STRING; false_case : STRING) RETURN STRING IS BEGIN IF NOT condition THEN RETURN false_case; ELSE RETURN true_case; END IF; END if_then_else; CONSTANT FLOP_DELAY : TIME := 100 PS; CONSTANT ONE : std_logic_vector(7 DOWNTO 0) := ("00000001"); CONSTANT C_RANGE : INTEGER := if_then_else(C_AXI_WDATA_WIDTH=8,0, if_then_else((C_AXI_WDATA_WIDTH=16),1, if_then_else((C_AXI_WDATA_WIDTH=32),2, if_then_else((C_AXI_WDATA_WIDTH=64),3, if_then_else((C_AXI_WDATA_WIDTH=128),4, if_then_else((C_AXI_WDATA_WIDTH=256),5,0)))))); SIGNAL bvalid_c : std_logic := '0'; SIGNAL bready_timeout_c : std_logic := '0'; SIGNAL bvalid_rd_cnt_c : std_logic_vector(1 DOWNTO 0) := (OTHERS => '0'); SIGNAL bvalid_r : std_logic := '0'; SIGNAL bvalid_count_r : std_logic_vector(2 DOWNTO 0) := (OTHERS => '0'); SIGNAL awaddr_reg : std_logic_vector(if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0), C_AXI_AWADDR_WIDTH,C_ADDRA_WIDTH)-1 DOWNTO 0); SIGNAL bvalid_wr_cnt_r : std_logic_vector(1 DOWNTO 0) := (OTHERS => '0'); SIGNAL bvalid_rd_cnt_r : std_logic_vector(1 DOWNTO 0) := (OTHERS => '0'); SIGNAL w_last_c : std_logic := '0'; SIGNAL addr_en_c : std_logic := '0'; SIGNAL incr_addr_c : std_logic := '0'; SIGNAL aw_ready_r : std_logic := '0'; SIGNAL dec_alen_c : std_logic := '0'; SIGNAL awlen_cntr_r : std_logic_vector(7 DOWNTO 0) := (OTHERS => '1'); SIGNAL awlen_int : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL awburst_int : std_logic_vector(1 DOWNTO 0) := (OTHERS => '0'); SIGNAL total_bytes : integer := 0; SIGNAL wrap_boundary : integer := 0; SIGNAL wrap_base_addr : integer := 0; SIGNAL num_of_bytes_c : integer := 0; SIGNAL num_of_bytes_r : integer := 0; -- Array to store BIDs TYPE id_array IS ARRAY (3 DOWNTO 0) OF std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); SIGNAL axi_bid_array : id_array := (others => (others => '0')); COMPONENT write_netlist GENERIC( C_AXI_TYPE : integer ); PORT( S_ACLK : IN std_logic; S_ARESETN : IN std_logic; S_AXI_AWVALID : IN std_logic; aw_ready_r : OUT std_logic; S_AXI_WVALID : IN std_logic; S_AXI_WREADY : OUT std_logic; S_AXI_BVALID : OUT STD_LOGIC; S_AXI_BREADY : IN std_logic; S_AXI_WR_EN : OUT std_logic; w_last_c : IN std_logic; bready_timeout_c : IN std_logic; addr_en_c : OUT std_logic; incr_addr_c : OUT std_logic; bvalid_c : OUT std_logic ); END COMPONENT write_netlist; BEGIN --------------------------------------- --AXI WRITE FSM COMPONENT INSTANTIATION --------------------------------------- axi_wr_fsm : write_netlist GENERIC MAP ( C_AXI_TYPE => C_AXI_TYPE ) PORT MAP ( S_ACLK => S_ACLK, S_ARESETN => S_ARESETN, S_AXI_AWVALID => S_AXI_AWVALID, aw_ready_r => aw_ready_r, S_AXI_WVALID => S_AXI_WVALID, S_AXI_BVALID => OPEN, S_AXI_WREADY => S_AXI_WREADY, S_AXI_BREADY => S_AXI_BREADY, S_AXI_WR_EN => S_AXI_WR_EN, w_last_c => w_last_c, bready_timeout_c => bready_timeout_c, addr_en_c => addr_en_c, incr_addr_c => incr_addr_c, bvalid_c => bvalid_c ); --Wrap Address boundary calculation num_of_bytes_c <= 2**conv_integer(if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0),S_AXI_AWSIZE,"000")); total_bytes <= conv_integer(num_of_bytes_r)*(conv_integer(awlen_int)+1); wrap_base_addr <= (conv_integer(awaddr_reg)/if_then_else(total_bytes=0,1,total_bytes))*(total_bytes); wrap_boundary <= wrap_base_addr+total_bytes; --------------------------------------------------------------------------- -- BMG address generation --------------------------------------------------------------------------- P_addr_reg: PROCESS (S_ACLK,S_ARESETN) BEGIN IF (S_ARESETN = '1') THEN awaddr_reg <= (OTHERS => '0'); num_of_bytes_r <= 0; awburst_int <= (OTHERS => '0'); ELSIF (S_ACLK'event AND S_ACLK = '1') THEN IF (addr_en_c = '1') THEN awaddr_reg <= S_AXI_AWADDR AFTER FLOP_DELAY; num_of_bytes_r <= num_of_bytes_c; awburst_int <= if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0),S_AXI_AWBURST,"01"); ELSIF (incr_addr_c = '1') THEN IF (awburst_int = "10") THEN IF(conv_integer(awaddr_reg) = (wrap_boundary-num_of_bytes_r)) THEN awaddr_reg <= conv_std_logic_vector(wrap_base_addr,C_AXI_AWADDR_WIDTH); ELSE awaddr_reg <= awaddr_reg + num_of_bytes_r; END IF; ELSIF (awburst_int = "01" OR awburst_int = "11") THEN awaddr_reg <= awaddr_reg + num_of_bytes_r; END IF; END IF; END IF; END PROCESS P_addr_reg; S_AXI_AWADDR_OUT <= if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0), awaddr_reg(C_AXI_AWADDR_WIDTH-1 DOWNTO C_RANGE),awaddr_reg); --------------------------------------------------------------------------- -- AXI wlast generation --------------------------------------------------------------------------- P_addr_cnt: PROCESS (S_ACLK, S_ARESETN) BEGIN IF (S_ARESETN = '1') THEN awlen_cntr_r <= (OTHERS => '1'); awlen_int <= (OTHERS => '0'); ELSIF (S_ACLK'event AND S_ACLK = '1') THEN IF (addr_en_c = '1') THEN awlen_int <= if_then_else(C_AXI_TYPE = 0,"00000000",S_AXI_AWLEN) AFTER FLOP_DELAY; awlen_cntr_r <= if_then_else(C_AXI_TYPE = 0,"00000000",S_AXI_AWLEN) AFTER FLOP_DELAY; ELSIF (dec_alen_c = '1') THEN awlen_cntr_r <= awlen_cntr_r - ONE AFTER FLOP_DELAY; END IF; END IF; END PROCESS P_addr_cnt; w_last_c <= '1' WHEN (awlen_cntr_r = "00000000" AND S_AXI_WVALID = '1') ELSE '0'; dec_alen_c <= (incr_addr_c OR w_last_c); --------------------------------------------------------------------------- -- Generation of bvalid counter for outstanding transactions --------------------------------------------------------------------------- P_b_valid_os_r: PROCESS (S_ACLK, S_ARESETN) BEGIN IF (S_ARESETN = '1') THEN bvalid_count_r <= (OTHERS => '0'); ELSIF (S_ACLK'event AND S_ACLK='1') THEN -- bvalid_count_r generation IF (bvalid_c = '1' AND bvalid_r = '1' AND S_AXI_BREADY = '1') THEN bvalid_count_r <= bvalid_count_r AFTER FLOP_DELAY; ELSIF (bvalid_c = '1') THEN bvalid_count_r <= bvalid_count_r + "01" AFTER FLOP_DELAY; ELSIF (bvalid_r = '1' AND S_AXI_BREADY = '1' AND bvalid_count_r /= "0") THEN bvalid_count_r <= bvalid_count_r - "01" AFTER FLOP_DELAY; END IF; END IF; END PROCESS P_b_valid_os_r ; --------------------------------------------------------------------------- -- Generation of bvalid when BID is used --------------------------------------------------------------------------- gaxi_bvalid_id_r:IF (C_HAS_AXI_ID = 1) GENERATE SIGNAL bvalid_d1_c : std_logic := '0'; BEGIN P_b_valid_r: PROCESS (S_ACLK, S_ARESETN) BEGIN IF (S_ARESETN = '1') THEN bvalid_r <= '0'; bvalid_d1_c <= '0'; ELSIF (S_ACLK'event AND S_ACLK='1') THEN -- Delay the generation o bvalid_r for generation for BID bvalid_d1_c <= bvalid_c; --external bvalid signal generation IF (bvalid_d1_c = '1') THEN bvalid_r <= '1' AFTER FLOP_DELAY; ELSIF (conv_integer(bvalid_count_r) <= 1 AND S_AXI_BREADY = '1') THEN bvalid_r <= '0' AFTER FLOP_DELAY; END IF; END IF; END PROCESS P_b_valid_r ; END GENERATE gaxi_bvalid_id_r; --------------------------------------------------------------------------- -- Generation of bvalid when BID is not used --------------------------------------------------------------------------- gaxi_bvalid_noid_r:IF (C_HAS_AXI_ID = 0) GENERATE P_b_valid_r: PROCESS (S_ACLK, S_ARESETN) BEGIN IF (S_ARESETN = '1') THEN bvalid_r <= '0'; ELSIF (S_ACLK'event AND S_ACLK='1') THEN --external bvalid signal generation IF (bvalid_c = '1') THEN bvalid_r <= '1' AFTER FLOP_DELAY; ELSIF (conv_integer(bvalid_count_r) <= 1 AND S_AXI_BREADY = '1') THEN bvalid_r <= '0' AFTER FLOP_DELAY; END IF; END IF; END PROCESS P_b_valid_r ; END GENERATE gaxi_bvalid_noid_r; --------------------------------------------------------------------------- -- Generation of Bready timeout --------------------------------------------------------------------------- P_brdy_tout_c: PROCESS (bvalid_count_r) BEGIN -- bready_timeout_c generation IF(conv_integer(bvalid_count_r) = C_AXI_OS_WR-1) THEN bready_timeout_c <= '1'; ELSE bready_timeout_c <= '0'; END IF; END PROCESS P_brdy_tout_c; --------------------------------------------------------------------------- -- Generation of BID --------------------------------------------------------------------------- gaxi_bid_gen:IF (C_HAS_AXI_ID = 1) GENERATE P_bid_gen: PROCESS (S_ACLK,S_ARESETN) BEGIN IF (S_ARESETN='1') THEN bvalid_wr_cnt_r <= (OTHERS => '0'); bvalid_rd_cnt_r <= (OTHERS => '0'); ELSIF (S_ACLK'event AND S_ACLK='1') THEN -- STORE AWID IN AN ARRAY IF(bvalid_c = '1') THEN bvalid_wr_cnt_r <= bvalid_wr_cnt_r + "01"; END IF; -- GENERATE BID FROM AWID ARRAY bvalid_rd_cnt_r <= bvalid_rd_cnt_c AFTER FLOP_DELAY; S_AXI_BID <= axi_bid_array(conv_integer(bvalid_rd_cnt_c)); END IF; END PROCESS P_bid_gen; bvalid_rd_cnt_c <= bvalid_rd_cnt_r + "01" WHEN (bvalid_r = '1' AND S_AXI_BREADY = '1') ELSE bvalid_rd_cnt_r; --------------------------------------------------------------------------- -- Storing AWID for generation of BID --------------------------------------------------------------------------- P_awid_reg:PROCESS (S_ACLK) BEGIN IF (S_ACLK'event AND S_ACLK='1') THEN IF(aw_ready_r = '1' AND S_AXI_AWVALID = '1') THEN axi_bid_array(conv_integer(bvalid_wr_cnt_r)) <= S_AXI_AWID; END IF; END IF; END PROCESS P_awid_reg; END GENERATE gaxi_bid_gen; S_AXI_BVALID <= bvalid_r; S_AXI_AWREADY <= aw_ready_r; END axi_write_wrap_arch; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; entity write_netlist is GENERIC( C_AXI_TYPE : integer ); port ( S_ACLK : in STD_LOGIC := '0'; S_ARESETN : in STD_LOGIC := '0'; S_AXI_AWVALID : in STD_LOGIC := '0'; S_AXI_WVALID : in STD_LOGIC := '0'; S_AXI_BREADY : in STD_LOGIC := '0'; w_last_c : in STD_LOGIC := '0'; bready_timeout_c : in STD_LOGIC := '0'; aw_ready_r : out STD_LOGIC; S_AXI_WREADY : out STD_LOGIC; S_AXI_BVALID : out STD_LOGIC; S_AXI_WR_EN : out STD_LOGIC; addr_en_c : out STD_LOGIC; incr_addr_c : out STD_LOGIC; bvalid_c : out STD_LOGIC ); end write_netlist; architecture STRUCTURE of write_netlist is component beh_muxf7 port( O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; S : in std_ulogic ); end component; COMPONENT beh_ff_pre generic( INIT : std_logic := '1' ); port( Q : out std_logic; C : in std_logic; D : in std_logic; PRE : in std_logic ); end COMPONENT beh_ff_pre; COMPONENT beh_ff_ce generic( INIT : std_logic := '0' ); port( Q : out std_logic; C : in std_logic; CE : in std_logic; CLR : in std_logic; D : in std_logic ); end COMPONENT beh_ff_ce; COMPONENT beh_ff_clr generic( INIT : std_logic := '0' ); port( Q : out std_logic; C : in std_logic; CLR : in std_logic; D : in std_logic ); end COMPONENT beh_ff_clr; COMPONENT STATE_LOGIC generic( INIT : std_logic_vector(63 downto 0) := X"0000000000000000" ); port( O : out std_logic; I0 : in std_logic; I1 : in std_logic; I2 : in std_logic; I3 : in std_logic; I4 : in std_logic; I5 : in std_logic ); end COMPONENT STATE_LOGIC; BEGIN --------------------------------------------------------------------------- -- AXI LITE --------------------------------------------------------------------------- gbeh_axi_lite_sm: IF (C_AXI_TYPE = 0 ) GENERATE signal w_ready_r_7 : STD_LOGIC; signal w_ready_c : STD_LOGIC; signal aw_ready_c : STD_LOGIC; signal NlwRenamedSignal_bvalid_c : STD_LOGIC; signal NlwRenamedSignal_incr_addr_c : STD_LOGIC; signal present_state_FSM_FFd3_13 : STD_LOGIC; signal present_state_FSM_FFd2_14 : STD_LOGIC; signal present_state_FSM_FFd1_15 : STD_LOGIC; signal present_state_FSM_FFd4_16 : STD_LOGIC; signal present_state_FSM_FFd4_In : STD_LOGIC; signal present_state_FSM_FFd3_In : STD_LOGIC; signal present_state_FSM_FFd2_In : STD_LOGIC; signal present_state_FSM_FFd1_In : STD_LOGIC; signal present_state_FSM_FFd4_In1_21 : STD_LOGIC; signal Mmux_aw_ready_c : STD_LOGIC_VECTOR ( 0 downto 0 ); begin S_AXI_WREADY <= w_ready_r_7; S_AXI_BVALID <= NlwRenamedSignal_incr_addr_c; S_AXI_WR_EN <= NlwRenamedSignal_bvalid_c; incr_addr_c <= NlwRenamedSignal_incr_addr_c; bvalid_c <= NlwRenamedSignal_bvalid_c; NlwRenamedSignal_incr_addr_c <= '0'; aw_ready_r_2 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => aw_ready_c, Q => aw_ready_r ); w_ready_r : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => w_ready_c, Q => w_ready_r_7 ); present_state_FSM_FFd4 : beh_ff_pre generic map( INIT => '1' ) port map ( C => S_ACLK, D => present_state_FSM_FFd4_In, PRE => S_ARESETN, Q => present_state_FSM_FFd4_16 ); present_state_FSM_FFd3 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => present_state_FSM_FFd3_In, Q => present_state_FSM_FFd3_13 ); present_state_FSM_FFd2 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => present_state_FSM_FFd2_In, Q => present_state_FSM_FFd2_14 ); present_state_FSM_FFd1 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => present_state_FSM_FFd1_In, Q => present_state_FSM_FFd1_15 ); present_state_FSM_FFd3_In1 : STATE_LOGIC generic map( INIT => X"0000000055554440" ) port map ( I0 => S_AXI_WVALID, I1 => S_AXI_AWVALID, I2 => present_state_FSM_FFd2_14, I3 => present_state_FSM_FFd4_16, I4 => present_state_FSM_FFd3_13, I5 => '0', O => present_state_FSM_FFd3_In ); present_state_FSM_FFd2_In1 : STATE_LOGIC generic map( INIT => X"0000000088880800" ) port map ( I0 => S_AXI_AWVALID, I1 => S_AXI_WVALID, I2 => bready_timeout_c, I3 => present_state_FSM_FFd2_14, I4 => present_state_FSM_FFd4_16, I5 => '0', O => present_state_FSM_FFd2_In ); Mmux_addr_en_c_0_1 : STATE_LOGIC generic map( INIT => X"00000000AAAA2000" ) port map ( I0 => S_AXI_AWVALID, I1 => bready_timeout_c, I2 => present_state_FSM_FFd2_14, I3 => S_AXI_WVALID, I4 => present_state_FSM_FFd4_16, I5 => '0', O => addr_en_c ); Mmux_w_ready_c_0_1 : STATE_LOGIC generic map( INIT => X"F5F07570F5F05500" ) port map ( I0 => S_AXI_WVALID, I1 => bready_timeout_c, I2 => S_AXI_AWVALID, I3 => present_state_FSM_FFd3_13, I4 => present_state_FSM_FFd4_16, I5 => present_state_FSM_FFd2_14, O => w_ready_c ); present_state_FSM_FFd1_In1 : STATE_LOGIC generic map( INIT => X"88808880FFFF8880" ) port map ( I0 => S_AXI_WVALID, I1 => bready_timeout_c, I2 => present_state_FSM_FFd3_13, I3 => present_state_FSM_FFd2_14, I4 => present_state_FSM_FFd1_15, I5 => S_AXI_BREADY, O => present_state_FSM_FFd1_In ); Mmux_S_AXI_WR_EN_0_1 : STATE_LOGIC generic map( INIT => X"00000000000000A8" ) port map ( I0 => S_AXI_WVALID, I1 => present_state_FSM_FFd2_14, I2 => present_state_FSM_FFd3_13, I3 => '0', I4 => '0', I5 => '0', O => NlwRenamedSignal_bvalid_c ); present_state_FSM_FFd4_In1 : STATE_LOGIC generic map( INIT => X"2F0F27072F0F2200" ) port map ( I0 => S_AXI_WVALID, I1 => bready_timeout_c, I2 => S_AXI_AWVALID, I3 => present_state_FSM_FFd3_13, I4 => present_state_FSM_FFd4_16, I5 => present_state_FSM_FFd2_14, O => present_state_FSM_FFd4_In1_21 ); present_state_FSM_FFd4_In2 : STATE_LOGIC generic map( INIT => X"00000000000000F8" ) port map ( I0 => present_state_FSM_FFd1_15, I1 => S_AXI_BREADY, I2 => present_state_FSM_FFd4_In1_21, I3 => '0', I4 => '0', I5 => '0', O => present_state_FSM_FFd4_In ); Mmux_aw_ready_c_0_1 : STATE_LOGIC generic map( INIT => X"7535753575305500" ) port map ( I0 => S_AXI_AWVALID, I1 => bready_timeout_c, I2 => S_AXI_WVALID, I3 => present_state_FSM_FFd4_16, I4 => present_state_FSM_FFd3_13, I5 => present_state_FSM_FFd2_14, O => Mmux_aw_ready_c(0) ); Mmux_aw_ready_c_0_2 : STATE_LOGIC generic map( INIT => X"00000000000000F8" ) port map ( I0 => present_state_FSM_FFd1_15, I1 => S_AXI_BREADY, I2 => Mmux_aw_ready_c(0), I3 => '0', I4 => '0', I5 => '0', O => aw_ready_c ); END GENERATE gbeh_axi_lite_sm; --------------------------------------------------------------------------- -- AXI FULL --------------------------------------------------------------------------- gbeh_axi_full_sm: IF (C_AXI_TYPE = 1 ) GENERATE signal w_ready_r_8 : STD_LOGIC; signal w_ready_c : STD_LOGIC; signal aw_ready_c : STD_LOGIC; signal NlwRenamedSig_OI_bvalid_c : STD_LOGIC; signal present_state_FSM_FFd1_16 : STD_LOGIC; signal present_state_FSM_FFd4_17 : STD_LOGIC; signal present_state_FSM_FFd3_18 : STD_LOGIC; signal present_state_FSM_FFd2_19 : STD_LOGIC; signal present_state_FSM_FFd4_In : STD_LOGIC; signal present_state_FSM_FFd3_In : STD_LOGIC; signal present_state_FSM_FFd2_In : STD_LOGIC; signal present_state_FSM_FFd1_In : STD_LOGIC; signal present_state_FSM_FFd2_In1_24 : STD_LOGIC; signal present_state_FSM_FFd4_In1_25 : STD_LOGIC; signal N2 : STD_LOGIC; signal N4 : STD_LOGIC; begin S_AXI_WREADY <= w_ready_r_8; bvalid_c <= NlwRenamedSig_OI_bvalid_c; S_AXI_BVALID <= '0'; aw_ready_r_2 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => aw_ready_c, Q => aw_ready_r ); w_ready_r : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => w_ready_c, Q => w_ready_r_8 ); present_state_FSM_FFd4 : beh_ff_pre generic map( INIT => '1' ) port map ( C => S_ACLK, D => present_state_FSM_FFd4_In, PRE => S_ARESETN, Q => present_state_FSM_FFd4_17 ); present_state_FSM_FFd3 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => present_state_FSM_FFd3_In, Q => present_state_FSM_FFd3_18 ); present_state_FSM_FFd2 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => present_state_FSM_FFd2_In, Q => present_state_FSM_FFd2_19 ); present_state_FSM_FFd1 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => present_state_FSM_FFd1_In, Q => present_state_FSM_FFd1_16 ); present_state_FSM_FFd3_In1 : STATE_LOGIC generic map( INIT => X"0000000000005540" ) port map ( I0 => S_AXI_WVALID, I1 => present_state_FSM_FFd4_17, I2 => S_AXI_AWVALID, I3 => present_state_FSM_FFd3_18, I4 => '0', I5 => '0', O => present_state_FSM_FFd3_In ); Mmux_aw_ready_c_0_2 : STATE_LOGIC generic map( INIT => X"BF3FBB33AF0FAA00" ) port map ( I0 => S_AXI_BREADY, I1 => bready_timeout_c, I2 => S_AXI_AWVALID, I3 => present_state_FSM_FFd1_16, I4 => present_state_FSM_FFd4_17, I5 => NlwRenamedSig_OI_bvalid_c, O => aw_ready_c ); Mmux_addr_en_c_0_1 : STATE_LOGIC generic map( INIT => X"AAAAAAAA20000000" ) port map ( I0 => S_AXI_AWVALID, I1 => bready_timeout_c, I2 => present_state_FSM_FFd2_19, I3 => S_AXI_WVALID, I4 => w_last_c, I5 => present_state_FSM_FFd4_17, O => addr_en_c ); Mmux_S_AXI_WR_EN_0_1 : STATE_LOGIC generic map( INIT => X"00000000000000A8" ) port map ( I0 => S_AXI_WVALID, I1 => present_state_FSM_FFd2_19, I2 => present_state_FSM_FFd3_18, I3 => '0', I4 => '0', I5 => '0', O => S_AXI_WR_EN ); Mmux_incr_addr_c_0_1 : STATE_LOGIC generic map( INIT => X"0000000000002220" ) port map ( I0 => S_AXI_WVALID, I1 => w_last_c, I2 => present_state_FSM_FFd2_19, I3 => present_state_FSM_FFd3_18, I4 => '0', I5 => '0', O => incr_addr_c ); Mmux_aw_ready_c_0_11 : STATE_LOGIC generic map( INIT => X"0000000000008880" ) port map ( I0 => S_AXI_WVALID, I1 => w_last_c, I2 => present_state_FSM_FFd2_19, I3 => present_state_FSM_FFd3_18, I4 => '0', I5 => '0', O => NlwRenamedSig_OI_bvalid_c ); present_state_FSM_FFd2_In1 : STATE_LOGIC generic map( INIT => X"000000000000D5C0" ) port map ( I0 => w_last_c, I1 => S_AXI_AWVALID, I2 => present_state_FSM_FFd4_17, I3 => present_state_FSM_FFd3_18, I4 => '0', I5 => '0', O => present_state_FSM_FFd2_In1_24 ); present_state_FSM_FFd2_In2 : STATE_LOGIC generic map( INIT => X"FFFFAAAA08AAAAAA" ) port map ( I0 => present_state_FSM_FFd2_19, I1 => S_AXI_AWVALID, I2 => bready_timeout_c, I3 => w_last_c, I4 => S_AXI_WVALID, I5 => present_state_FSM_FFd2_In1_24, O => present_state_FSM_FFd2_In ); present_state_FSM_FFd4_In1 : STATE_LOGIC generic map( INIT => X"00C0004000C00000" ) port map ( I0 => S_AXI_AWVALID, I1 => w_last_c, I2 => S_AXI_WVALID, I3 => bready_timeout_c, I4 => present_state_FSM_FFd3_18, I5 => present_state_FSM_FFd2_19, O => present_state_FSM_FFd4_In1_25 ); present_state_FSM_FFd4_In2 : STATE_LOGIC generic map( INIT => X"00000000FFFF88F8" ) port map ( I0 => present_state_FSM_FFd1_16, I1 => S_AXI_BREADY, I2 => present_state_FSM_FFd4_17, I3 => S_AXI_AWVALID, I4 => present_state_FSM_FFd4_In1_25, I5 => '0', O => present_state_FSM_FFd4_In ); Mmux_w_ready_c_0_SW0 : STATE_LOGIC generic map( INIT => X"0000000000000007" ) port map ( I0 => w_last_c, I1 => S_AXI_WVALID, I2 => '0', I3 => '0', I4 => '0', I5 => '0', O => N2 ); Mmux_w_ready_c_0_Q : STATE_LOGIC generic map( INIT => X"FABAFABAFAAAF000" ) port map ( I0 => N2, I1 => bready_timeout_c, I2 => S_AXI_AWVALID, I3 => present_state_FSM_FFd4_17, I4 => present_state_FSM_FFd3_18, I5 => present_state_FSM_FFd2_19, O => w_ready_c ); Mmux_aw_ready_c_0_11_SW0 : STATE_LOGIC generic map( INIT => X"0000000000000008" ) port map ( I0 => bready_timeout_c, I1 => S_AXI_WVALID, I2 => '0', I3 => '0', I4 => '0', I5 => '0', O => N4 ); present_state_FSM_FFd1_In1 : STATE_LOGIC generic map( INIT => X"88808880FFFF8880" ) port map ( I0 => w_last_c, I1 => N4, I2 => present_state_FSM_FFd2_19, I3 => present_state_FSM_FFd3_18, I4 => present_state_FSM_FFd1_16, I5 => S_AXI_BREADY, O => present_state_FSM_FFd1_In ); END GENERATE gbeh_axi_full_sm; end STRUCTURE; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; --AXI Behavioral Model entities ENTITY blk_mem_axi_read_wrapper_beh is GENERIC ( -- AXI Interface related parameters start here C_INTERFACE_TYPE : integer := 0; C_AXI_TYPE : integer := 0; C_AXI_SLAVE_TYPE : integer := 0; C_MEMORY_TYPE : integer := 0; C_WRITE_WIDTH_A : integer := 4; C_WRITE_DEPTH_A : integer := 32; C_ADDRA_WIDTH : integer := 12; C_AXI_PIPELINE_STAGES : integer := 0; C_AXI_ARADDR_WIDTH : integer := 12; C_HAS_AXI_ID : integer := 0; C_AXI_ID_WIDTH : integer := 4; C_ADDRB_WIDTH : integer := 12 ); port ( -- AXI Global Signals S_ACLK : IN std_logic; S_ARESETN : IN std_logic; -- AXI Full/Lite Slave Read (Read side) S_AXI_ARADDR : IN std_logic_vector(C_AXI_ARADDR_WIDTH-1 downto 0) := (OTHERS => '0'); S_AXI_ARLEN : IN std_logic_vector(7 downto 0) := (OTHERS => '0'); S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARVALID : IN std_logic := '0'; S_AXI_ARREADY : OUT std_logic; S_AXI_RLAST : OUT std_logic; S_AXI_RVALID : OUT std_logic; S_AXI_RREADY : IN std_logic := '0'; S_AXI_ARID : IN std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (OTHERS => '0'); S_AXI_RID : OUT std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (OTHERS => '0'); -- AXI Full/Lite Read Address Signals to BRAM S_AXI_ARADDR_OUT : OUT std_logic_vector(C_ADDRB_WIDTH-1 downto 0); S_AXI_RD_EN : OUT std_logic ); END blk_mem_axi_read_wrapper_beh; architecture blk_mem_axi_read_wrapper_beh_arch of blk_mem_axi_read_wrapper_beh is ------------------------------------------------------------------------------ -- FUNCTION: if_then_else -- This function is used to implement an IF..THEN when such a statement is not -- allowed. ------------------------------------------------------------------------------ FUNCTION if_then_else ( condition : BOOLEAN; true_case : STRING; false_case : STRING) RETURN STRING IS BEGIN IF NOT condition THEN RETURN false_case; ELSE RETURN true_case; END IF; END if_then_else; FUNCTION if_then_else ( condition : BOOLEAN; true_case : INTEGER; false_case : INTEGER) RETURN INTEGER IS VARIABLE retval : INTEGER := 0; BEGIN IF NOT condition THEN retval:=false_case; ELSE retval:=true_case; END IF; RETURN retval; END if_then_else; FUNCTION if_then_else ( condition : BOOLEAN; true_case : STD_LOGIC_VECTOR; false_case : STD_LOGIC_VECTOR) RETURN STD_LOGIC_VECTOR IS BEGIN IF NOT condition THEN RETURN false_case; ELSE RETURN true_case; END IF; END if_then_else; CONSTANT FLOP_DELAY : TIME := 100 PS; CONSTANT ONE : std_logic_vector(7 DOWNTO 0) := ("00000001"); CONSTANT C_RANGE : INTEGER := if_then_else(C_WRITE_WIDTH_A=8,0, if_then_else((C_WRITE_WIDTH_A=16),1, if_then_else((C_WRITE_WIDTH_A=32),2, if_then_else((C_WRITE_WIDTH_A=64),3, if_then_else((C_WRITE_WIDTH_A=128),4, if_then_else((C_WRITE_WIDTH_A=256),5,0)))))); SIGNAL ar_id_r : std_logic_vector (C_AXI_ID_WIDTH-1 downto 0) := (OTHERS => '0'); SIGNAL addr_en_c : std_logic := '0'; SIGNAL rd_en_c : std_logic := '0'; SIGNAL incr_addr_c : std_logic := '0'; SIGNAL single_trans_c : std_logic := '0'; SIGNAL dec_alen_c : std_logic := '0'; SIGNAL mux_sel_c : std_logic := '0'; SIGNAL r_last_c : std_logic := '0'; SIGNAL r_last_int_c : std_logic := '0'; SIGNAL arlen_int_r : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL arlen_cntr : std_logic_vector(7 DOWNTO 0) := ONE; SIGNAL arburst_int_c : std_logic_vector(1 DOWNTO 0) := (OTHERS => '0'); SIGNAL arburst_int_r : std_logic_vector(1 DOWNTO 0) := (OTHERS => '0'); SIGNAL araddr_reg : std_logic_vector(if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0),C_AXI_ARADDR_WIDTH,C_ADDRA_WIDTH)-1 DOWNTO 0); SIGNAL num_of_bytes_c : integer := 0; SIGNAL total_bytes : integer := 0; SIGNAL num_of_bytes_r : integer := 0; SIGNAL wrap_base_addr_r : integer := 0; SIGNAL wrap_boundary_r : integer := 0; SIGNAL arlen_int_c : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL total_bytes_c : integer := 0; SIGNAL wrap_base_addr_c : integer := 0; SIGNAL wrap_boundary_c : integer := 0; SIGNAL araddr_out : std_logic_vector(C_ADDRB_WIDTH-1 downto 0) := (OTHERS => '0'); COMPONENT read_netlist GENERIC ( -- AXI Interface related parameters start here C_AXI_TYPE : integer := 1; C_ADDRB_WIDTH : integer := 12 ); port ( S_AXI_INCR_ADDR : OUT std_logic := '0'; S_AXI_ADDR_EN : OUT std_logic := '0'; S_AXI_SINGLE_TRANS : OUT std_logic := '0'; S_AXI_MUX_SEL : OUT std_logic := '0'; S_AXI_R_LAST : OUT std_logic := '0'; S_AXI_R_LAST_INT : IN std_logic := '0'; -- AXI Global Signals S_ACLK : IN std_logic; S_ARESETN : IN std_logic; -- AXI Full/Lite Slave Read (Read side) S_AXI_ARLEN : IN std_logic_vector(7 downto 0) := (OTHERS => '0'); S_AXI_ARVALID : IN std_logic := '0'; S_AXI_ARREADY : OUT std_logic; S_AXI_RLAST : OUT std_logic; S_AXI_RVALID : OUT std_logic; S_AXI_RREADY : IN std_logic := '0'; -- AXI Full/Lite Read Address Signals to BRAM S_AXI_RD_EN : OUT std_logic ); END COMPONENT read_netlist; BEGIN dec_alen_c <= incr_addr_c OR r_last_int_c; axi_read_fsm : read_netlist GENERIC MAP( C_AXI_TYPE => 1, C_ADDRB_WIDTH => C_ADDRB_WIDTH ) PORT MAP( S_AXI_INCR_ADDR => incr_addr_c, S_AXI_ADDR_EN => addr_en_c, S_AXI_SINGLE_TRANS => single_trans_c, S_AXI_MUX_SEL => mux_sel_c, S_AXI_R_LAST => r_last_c, S_AXI_R_LAST_INT => r_last_int_c, -- AXI Global Signals S_ACLK => S_ACLK, S_ARESETN => S_ARESETN, -- AXI Full/Lite Slave Read (Read side) S_AXI_ARLEN => S_AXI_ARLEN, S_AXI_ARVALID => S_AXI_ARVALID, S_AXI_ARREADY => S_AXI_ARREADY, S_AXI_RLAST => S_AXI_RLAST, S_AXI_RVALID => S_AXI_RVALID, S_AXI_RREADY => S_AXI_RREADY, -- AXI Full/Lite Read Address Signals to BRAM S_AXI_RD_EN => rd_en_c ); total_bytes <= conv_integer(num_of_bytes_r)*(conv_integer(arlen_int_r)+1); wrap_base_addr_r <= (conv_integer(araddr_reg)/if_then_else(total_bytes=0,1,total_bytes))*(total_bytes); wrap_boundary_r <= wrap_base_addr_r+total_bytes; ---- combinatorial from interface num_of_bytes_c <= 2**conv_integer(if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0),S_AXI_ARSIZE,"000")); arlen_int_c <= if_then_else(C_AXI_TYPE = 0,"00000000",S_AXI_ARLEN); total_bytes_c <= conv_integer(num_of_bytes_c)*(conv_integer(arlen_int_c)+1); wrap_base_addr_c <= (conv_integer(S_AXI_ARADDR)/if_then_else(total_bytes_c=0,1,total_bytes_c))*(total_bytes_c); wrap_boundary_c <= wrap_base_addr_c+total_bytes_c; arburst_int_c <= if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0),S_AXI_ARBURST,"01"); --------------------------------------------------------------------------- -- BMG address generation --------------------------------------------------------------------------- P_addr_reg: PROCESS (S_ACLK,S_ARESETN) BEGIN IF (S_ARESETN = '1') THEN araddr_reg <= (OTHERS => '0'); arburst_int_r <= (OTHERS => '0'); num_of_bytes_r <= 0; ELSIF (S_ACLK'event AND S_ACLK = '1') THEN IF (incr_addr_c = '1' AND addr_en_c = '1' AND single_trans_c = '0') THEN arburst_int_r <= arburst_int_c; num_of_bytes_r <= num_of_bytes_c; IF (arburst_int_c = "10") THEN IF(conv_integer(S_AXI_ARADDR) = (wrap_boundary_c-num_of_bytes_c)) THEN araddr_reg <= conv_std_logic_vector(wrap_base_addr_c,C_AXI_ARADDR_WIDTH); ELSE araddr_reg <= S_AXI_ARADDR + num_of_bytes_c; END IF; ELSIF (arburst_int_c = "01" OR arburst_int_c = "11") THEN araddr_reg <= S_AXI_ARADDR + num_of_bytes_c; END IF; ELSIF (addr_en_c = '1') THEN araddr_reg <= S_AXI_ARADDR AFTER FLOP_DELAY; num_of_bytes_r <= num_of_bytes_c; arburst_int_r <= arburst_int_c; ELSIF (incr_addr_c = '1') THEN IF (arburst_int_r = "10") THEN IF(conv_integer(araddr_reg) = (wrap_boundary_r-num_of_bytes_r)) THEN araddr_reg <= conv_std_logic_vector(wrap_base_addr_r,C_AXI_ARADDR_WIDTH); ELSE araddr_reg <= araddr_reg + num_of_bytes_r; END IF; ELSIF (arburst_int_r = "01" OR arburst_int_r = "11") THEN araddr_reg <= araddr_reg + num_of_bytes_r; END IF; END IF; END IF; END PROCESS P_addr_reg; araddr_out <= if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0),araddr_reg(C_AXI_ARADDR_WIDTH-1 DOWNTO C_RANGE),araddr_reg); -------------------------------------------------------------------------- -- Counter to generate r_last_int_c from registered ARLEN - AXI FULL FSM -------------------------------------------------------------------------- P_addr_cnt: PROCESS (S_ACLK, S_ARESETN) BEGIN IF S_ARESETN = '1' THEN arlen_cntr <= ONE; arlen_int_r <= (OTHERS => '0'); ELSIF S_ACLK'event AND S_ACLK = '1' THEN IF (addr_en_c = '1' AND dec_alen_c = '1' AND single_trans_c = '0') THEN arlen_int_r <= if_then_else(C_AXI_TYPE = 0,"00000000",S_AXI_ARLEN); arlen_cntr <= S_AXI_ARLEN - ONE AFTER FLOP_DELAY; ELSIF addr_en_c = '1' THEN arlen_int_r <= if_then_else(C_AXI_TYPE = 0,"00000000",S_AXI_ARLEN); arlen_cntr <= if_then_else(C_AXI_TYPE = 0,"00000000",S_AXI_ARLEN); ELSIF dec_alen_c = '1' THEN arlen_cntr <= arlen_cntr - ONE AFTER FLOP_DELAY; ELSE arlen_cntr <= arlen_cntr AFTER FLOP_DELAY; END IF; END IF; END PROCESS P_addr_cnt; r_last_int_c <= '1' WHEN (arlen_cntr = "00000000" AND S_AXI_RREADY = '1') ELSE '0' ; -------------------------------------------------------------------------- -- AXI FULL FSM -- Mux Selection of ARADDR -- ARADDR is driven out from the read fsm based on the mux_sel_c -- Based on mux_sel either ARADDR is given out or the latched ARADDR is -- given out to BRAM -------------------------------------------------------------------------- P_araddr_mux: PROCESS (mux_sel_c,S_AXI_ARADDR,araddr_out) BEGIN IF (mux_sel_c = '0') THEN S_AXI_ARADDR_OUT <= if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0),S_AXI_ARADDR(C_AXI_ARADDR_WIDTH-1 DOWNTO C_RANGE),S_AXI_ARADDR); ELSE S_AXI_ARADDR_OUT <= araddr_out; END IF; END PROCESS P_araddr_mux; -------------------------------------------------------------------------- -- Assign output signals - AXI FULL FSM -------------------------------------------------------------------------- S_AXI_RD_EN <= rd_en_c; grid: IF (C_HAS_AXI_ID = 1) GENERATE P_rid_gen: PROCESS (S_ACLK,S_ARESETN) BEGIN IF (S_ARESETN='1') THEN S_AXI_RID <= (OTHERS => '0'); ar_id_r <= (OTHERS => '0'); ELSIF (S_ACLK'event AND S_ACLK='1') THEN IF (addr_en_c = '1' AND rd_en_c = '1') THEN S_AXI_RID <= S_AXI_ARID; ar_id_r <= S_AXI_ARID; ELSIF (addr_en_c = '1' AND rd_en_c = '0') THEN ar_id_r <= S_AXI_ARID; ELSIF (rd_en_c = '1') THEN S_AXI_RID <= ar_id_r; END IF; END IF; END PROCESS P_rid_gen; END GENERATE grid; END blk_mem_axi_read_wrapper_beh_arch; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; entity read_netlist is GENERIC ( -- AXI Interface related parameters start here C_AXI_TYPE : integer := 1; C_ADDRB_WIDTH : integer := 12 ); port ( S_AXI_R_LAST_INT : in STD_LOGIC := '0'; S_ACLK : in STD_LOGIC := '0'; S_ARESETN : in STD_LOGIC := '0'; S_AXI_ARVALID : in STD_LOGIC := '0'; S_AXI_RREADY : in STD_LOGIC := '0'; S_AXI_INCR_ADDR : out STD_LOGIC; S_AXI_ADDR_EN : out STD_LOGIC; S_AXI_SINGLE_TRANS : out STD_LOGIC; S_AXI_MUX_SEL : out STD_LOGIC; S_AXI_R_LAST : out STD_LOGIC; S_AXI_ARREADY : out STD_LOGIC; S_AXI_RLAST : out STD_LOGIC; S_AXI_RVALID : out STD_LOGIC; S_AXI_RD_EN : out STD_LOGIC; S_AXI_ARLEN : in STD_LOGIC_VECTOR ( 7 downto 0 ) ); end read_netlist; architecture STRUCTURE of read_netlist is component beh_muxf7 port( O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; S : in std_ulogic ); end component; COMPONENT beh_ff_pre generic( INIT : std_logic := '1' ); port( Q : out std_logic; C : in std_logic; D : in std_logic; PRE : in std_logic ); end COMPONENT beh_ff_pre; COMPONENT beh_ff_ce generic( INIT : std_logic := '0' ); port( Q : out std_logic; C : in std_logic; CE : in std_logic; CLR : in std_logic; D : in std_logic ); end COMPONENT beh_ff_ce; COMPONENT beh_ff_clr generic( INIT : std_logic := '0' ); port( Q : out std_logic; C : in std_logic; CLR : in std_logic; D : in std_logic ); end COMPONENT beh_ff_clr; COMPONENT STATE_LOGIC generic( INIT : std_logic_vector(63 downto 0) := X"0000000000000000" ); port( O : out std_logic; I0 : in std_logic; I1 : in std_logic; I2 : in std_logic; I3 : in std_logic; I4 : in std_logic; I5 : in std_logic ); end COMPONENT STATE_LOGIC; signal present_state_FSM_FFd1_13 : STD_LOGIC; signal present_state_FSM_FFd2_14 : STD_LOGIC; signal gaxi_full_sm_outstanding_read_r_15 : STD_LOGIC; signal gaxi_full_sm_ar_ready_r_16 : STD_LOGIC; signal gaxi_full_sm_r_last_r_17 : STD_LOGIC; signal NlwRenamedSig_OI_gaxi_full_sm_r_valid_r : STD_LOGIC; signal gaxi_full_sm_r_valid_c : STD_LOGIC; signal S_AXI_RREADY_gaxi_full_sm_r_valid_r_OR_9_o : STD_LOGIC; signal gaxi_full_sm_ar_ready_c : STD_LOGIC; signal gaxi_full_sm_outstanding_read_c : STD_LOGIC; signal NlwRenamedSig_OI_S_AXI_R_LAST : STD_LOGIC; signal S_AXI_ARLEN_7_GND_8_o_equal_1_o : STD_LOGIC; signal present_state_FSM_FFd2_In : STD_LOGIC; signal present_state_FSM_FFd1_In : STD_LOGIC; signal Mmux_S_AXI_R_LAST13 : STD_LOGIC; signal N01 : STD_LOGIC; signal N2 : STD_LOGIC; signal Mmux_gaxi_full_sm_ar_ready_c11 : STD_LOGIC; signal N4 : STD_LOGIC; signal N8 : STD_LOGIC; signal N9 : STD_LOGIC; signal N10 : STD_LOGIC; signal N11 : STD_LOGIC; signal N12 : STD_LOGIC; signal N13 : STD_LOGIC; begin S_AXI_R_LAST <= NlwRenamedSig_OI_S_AXI_R_LAST; S_AXI_ARREADY <= gaxi_full_sm_ar_ready_r_16; S_AXI_RLAST <= gaxi_full_sm_r_last_r_17; S_AXI_RVALID <= NlwRenamedSig_OI_gaxi_full_sm_r_valid_r; gaxi_full_sm_outstanding_read_r : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => gaxi_full_sm_outstanding_read_c, Q => gaxi_full_sm_outstanding_read_r_15 ); gaxi_full_sm_r_valid_r : beh_ff_ce generic map( INIT => '0' ) port map ( C => S_ACLK, CE => S_AXI_RREADY_gaxi_full_sm_r_valid_r_OR_9_o, CLR => S_ARESETN, D => gaxi_full_sm_r_valid_c, Q => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r ); gaxi_full_sm_ar_ready_r : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => gaxi_full_sm_ar_ready_c, Q => gaxi_full_sm_ar_ready_r_16 ); gaxi_full_sm_r_last_r : beh_ff_ce generic map( INIT => '0' ) port map ( C => S_ACLK, CE => S_AXI_RREADY_gaxi_full_sm_r_valid_r_OR_9_o, CLR => S_ARESETN, D => NlwRenamedSig_OI_S_AXI_R_LAST, Q => gaxi_full_sm_r_last_r_17 ); present_state_FSM_FFd2 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => present_state_FSM_FFd2_In, Q => present_state_FSM_FFd2_14 ); present_state_FSM_FFd1 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => present_state_FSM_FFd1_In, Q => present_state_FSM_FFd1_13 ); S_AXI_RREADY_gaxi_full_sm_r_valid_r_OR_9_o1 : STATE_LOGIC generic map( INIT => X"000000000000000B" ) port map ( I0 => S_AXI_RREADY, I1 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I2 => '0', I3 => '0', I4 => '0', I5 => '0', O => S_AXI_RREADY_gaxi_full_sm_r_valid_r_OR_9_o ); Mmux_S_AXI_SINGLE_TRANS11 : STATE_LOGIC generic map( INIT => X"0000000000000008" ) port map ( I0 => S_AXI_ARVALID, I1 => S_AXI_ARLEN_7_GND_8_o_equal_1_o, I2 => '0', I3 => '0', I4 => '0', I5 => '0', O => S_AXI_SINGLE_TRANS ); Mmux_S_AXI_ADDR_EN11 : STATE_LOGIC generic map( INIT => X"0000000000000004" ) port map ( I0 => present_state_FSM_FFd1_13, I1 => S_AXI_ARVALID, I2 => '0', I3 => '0', I4 => '0', I5 => '0', O => S_AXI_ADDR_EN ); present_state_FSM_FFd2_In1 : STATE_LOGIC generic map( INIT => X"ECEE2022EEEE2022" ) port map ( I0 => S_AXI_ARVALID, I1 => present_state_FSM_FFd1_13, I2 => S_AXI_RREADY, I3 => S_AXI_ARLEN_7_GND_8_o_equal_1_o, I4 => present_state_FSM_FFd2_14, I5 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, O => present_state_FSM_FFd2_In ); Mmux_S_AXI_R_LAST131 : STATE_LOGIC generic map( INIT => X"0000000044440444" ) port map ( I0 => present_state_FSM_FFd1_13, I1 => S_AXI_ARVALID, I2 => present_state_FSM_FFd2_14, I3 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I4 => S_AXI_RREADY, I5 => '0', O => Mmux_S_AXI_R_LAST13 ); Mmux_S_AXI_INCR_ADDR11 : STATE_LOGIC generic map( INIT => X"4000FFFF40004000" ) port map ( I0 => S_AXI_R_LAST_INT, I1 => S_AXI_RREADY_gaxi_full_sm_r_valid_r_OR_9_o, I2 => present_state_FSM_FFd2_14, I3 => present_state_FSM_FFd1_13, I4 => S_AXI_ARLEN_7_GND_8_o_equal_1_o, I5 => Mmux_S_AXI_R_LAST13, O => S_AXI_INCR_ADDR ); S_AXI_ARLEN_7_GND_8_o_equal_1_o_7_SW0 : STATE_LOGIC generic map( INIT => X"00000000000000FE" ) port map ( I0 => S_AXI_ARLEN(2), I1 => S_AXI_ARLEN(1), I2 => S_AXI_ARLEN(0), I3 => '0', I4 => '0', I5 => '0', O => N01 ); S_AXI_ARLEN_7_GND_8_o_equal_1_o_7_Q : STATE_LOGIC generic map( INIT => X"0000000000000001" ) port map ( I0 => S_AXI_ARLEN(7), I1 => S_AXI_ARLEN(6), I2 => S_AXI_ARLEN(5), I3 => S_AXI_ARLEN(4), I4 => S_AXI_ARLEN(3), I5 => N01, O => S_AXI_ARLEN_7_GND_8_o_equal_1_o ); Mmux_gaxi_full_sm_outstanding_read_c1_SW0 : STATE_LOGIC generic map( INIT => X"0000000000000007" ) port map ( I0 => S_AXI_ARVALID, I1 => S_AXI_ARLEN_7_GND_8_o_equal_1_o, I2 => '0', I3 => '0', I4 => '0', I5 => '0', O => N2 ); Mmux_gaxi_full_sm_outstanding_read_c1 : STATE_LOGIC generic map( INIT => X"0020000002200200" ) port map ( I0 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I1 => S_AXI_RREADY, I2 => present_state_FSM_FFd1_13, I3 => present_state_FSM_FFd2_14, I4 => gaxi_full_sm_outstanding_read_r_15, I5 => N2, O => gaxi_full_sm_outstanding_read_c ); Mmux_gaxi_full_sm_ar_ready_c12 : STATE_LOGIC generic map( INIT => X"0000000000004555" ) port map ( I0 => S_AXI_ARVALID, I1 => S_AXI_RREADY, I2 => present_state_FSM_FFd2_14, I3 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I4 => '0', I5 => '0', O => Mmux_gaxi_full_sm_ar_ready_c11 ); Mmux_S_AXI_R_LAST11_SW0 : STATE_LOGIC generic map( INIT => X"00000000000000EF" ) port map ( I0 => S_AXI_ARLEN_7_GND_8_o_equal_1_o, I1 => S_AXI_RREADY, I2 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I3 => '0', I4 => '0', I5 => '0', O => N4 ); Mmux_S_AXI_R_LAST11 : STATE_LOGIC generic map( INIT => X"FCAAFC0A00AA000A" ) port map ( I0 => S_AXI_ARVALID, I1 => gaxi_full_sm_outstanding_read_r_15, I2 => present_state_FSM_FFd2_14, I3 => present_state_FSM_FFd1_13, I4 => N4, I5 => S_AXI_RREADY_gaxi_full_sm_r_valid_r_OR_9_o, O => gaxi_full_sm_r_valid_c ); S_AXI_MUX_SEL1 : STATE_LOGIC generic map( INIT => X"00000000AAAAAA08" ) port map ( I0 => present_state_FSM_FFd1_13, I1 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I2 => S_AXI_RREADY, I3 => present_state_FSM_FFd2_14, I4 => gaxi_full_sm_outstanding_read_r_15, I5 => '0', O => S_AXI_MUX_SEL ); Mmux_S_AXI_RD_EN11 : STATE_LOGIC generic map( INIT => X"F3F3F755A2A2A200" ) port map ( I0 => present_state_FSM_FFd1_13, I1 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I2 => S_AXI_RREADY, I3 => gaxi_full_sm_outstanding_read_r_15, I4 => present_state_FSM_FFd2_14, I5 => S_AXI_ARVALID, O => S_AXI_RD_EN ); present_state_FSM_FFd1_In3 : beh_muxf7 port map ( I0 => N8, I1 => N9, S => present_state_FSM_FFd1_13, O => present_state_FSM_FFd1_In ); present_state_FSM_FFd1_In3_F : STATE_LOGIC generic map( INIT => X"000000005410F4F0" ) port map ( I0 => S_AXI_RREADY, I1 => present_state_FSM_FFd2_14, I2 => S_AXI_ARVALID, I3 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I4 => S_AXI_ARLEN_7_GND_8_o_equal_1_o, I5 => '0', O => N8 ); present_state_FSM_FFd1_In3_G : STATE_LOGIC generic map( INIT => X"0000000072FF7272" ) port map ( I0 => present_state_FSM_FFd2_14, I1 => S_AXI_R_LAST_INT, I2 => gaxi_full_sm_outstanding_read_r_15, I3 => S_AXI_RREADY, I4 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I5 => '0', O => N9 ); Mmux_gaxi_full_sm_ar_ready_c14 : beh_muxf7 port map ( I0 => N10, I1 => N11, S => present_state_FSM_FFd1_13, O => gaxi_full_sm_ar_ready_c ); Mmux_gaxi_full_sm_ar_ready_c14_F : STATE_LOGIC generic map( INIT => X"00000000FFFF88A8" ) port map ( I0 => S_AXI_ARLEN_7_GND_8_o_equal_1_o, I1 => S_AXI_RREADY, I2 => present_state_FSM_FFd2_14, I3 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I4 => Mmux_gaxi_full_sm_ar_ready_c11, I5 => '0', O => N10 ); Mmux_gaxi_full_sm_ar_ready_c14_G : STATE_LOGIC generic map( INIT => X"000000008D008D8D" ) port map ( I0 => present_state_FSM_FFd2_14, I1 => S_AXI_R_LAST_INT, I2 => gaxi_full_sm_outstanding_read_r_15, I3 => S_AXI_RREADY, I4 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I5 => '0', O => N11 ); Mmux_S_AXI_R_LAST1 : beh_muxf7 port map ( I0 => N12, I1 => N13, S => present_state_FSM_FFd1_13, O => NlwRenamedSig_OI_S_AXI_R_LAST ); Mmux_S_AXI_R_LAST1_F : STATE_LOGIC generic map( INIT => X"0000000088088888" ) port map ( I0 => S_AXI_ARLEN_7_GND_8_o_equal_1_o, I1 => S_AXI_ARVALID, I2 => present_state_FSM_FFd2_14, I3 => S_AXI_RREADY, I4 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I5 => '0', O => N12 ); Mmux_S_AXI_R_LAST1_G : STATE_LOGIC generic map( INIT => X"00000000E400E4E4" ) port map ( I0 => present_state_FSM_FFd2_14, I1 => gaxi_full_sm_outstanding_read_r_15, I2 => S_AXI_R_LAST_INT, I3 => S_AXI_RREADY, I4 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I5 => '0', O => N13 ); end STRUCTURE; --###################################################################################################### --###################################################################################################### --###################################################################################################### --###################################################################################################### --###################################################################################################### --###################################################################################################### ------------------------------------------------------------------------------- -- Output Register Stage Entity -- -- This module builds the output register stages of the memory. This module is -- instantiated in the main memory module (BLK_MEM_GEN_v8_0) which is -- declared/implemented further down in this file. ------------------------------------------------------------------------------- LIBRARY STD; USE STD.TEXTIO.ALL; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY BLK_MEM_GEN_v8_0_output_stage IS GENERIC ( C_FAMILY : STRING := "virtex7"; C_XDEVICEFAMILY : STRING := "virtex7"; C_RST_TYPE : STRING := "SYNC"; C_HAS_RST : INTEGER := 0; C_RSTRAM : INTEGER := 0; C_RST_PRIORITY : STRING := "CE"; init_val : STD_LOGIC_VECTOR; C_HAS_EN : INTEGER := 0; C_HAS_REGCE : INTEGER := 0; C_DATA_WIDTH : INTEGER := 32; C_ADDRB_WIDTH : INTEGER := 10; C_HAS_MEM_OUTPUT_REGS : INTEGER := 0; C_USE_SOFTECC : INTEGER := 0; C_USE_ECC : INTEGER := 0; NUM_STAGES : INTEGER := 1; FLOP_DELAY : TIME := 100 ps ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; REGCE : IN STD_LOGIC; DIN : IN STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); DOUT : OUT STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); SBITERR_IN : IN STD_LOGIC; DBITERR_IN : IN STD_LOGIC; SBITERR : OUT STD_LOGIC; DBITERR : OUT STD_LOGIC; RDADDRECC_IN : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0); RDADDRECC : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) ); END BLK_MEM_GEN_v8_0_output_stage; --****************************** -- Port and Generic Definitions --****************************** --------------------------------------------------------------------------- -- Generic Definitions --------------------------------------------------------------------------- -- C_FAMILY,C_XDEVICEFAMILY: Designates architecture targeted. The following -- options are available - "spartan3", "spartan6", -- "virtex4", "virtex5", "virtex6" and "virtex6l". -- C_RST_TYPE : Type of reset - Synchronous or Asynchronous -- C_HAS_RST : Determines the presence of the RST port -- C_RSTRAM : Determines if special reset behavior is used -- C_RST_PRIORITY : Determines the priority between CE and SR -- C_INIT_VAL : Initialization value -- C_HAS_EN : Determines the presence of the EN port -- C_HAS_REGCE : Determines the presence of the REGCE port -- C_DATA_WIDTH : Memory write/read width -- C_ADDRB_WIDTH : Width of the ADDRB input port -- C_HAS_MEM_OUTPUT_REGS : Designates the use of a register at the output -- of the RAM primitive -- C_USE_SOFTECC : Determines if the Soft ECC feature is used or -- not. Only applicable Spartan-6 -- C_USE_ECC : Determines if the ECC feature is used or -- not. Only applicable for V5 and V6 -- NUM_STAGES : Determines the number of output stages -- FLOP_DELAY : Constant delay for register assignments --------------------------------------------------------------------------- -- Port Definitions --------------------------------------------------------------------------- -- CLK : Clock to synchronize all read and write operations -- RST : Reset input to reset memory outputs to a user-defined -- reset state -- EN : Enable all read and write operations -- REGCE : Register Clock Enable to control each pipeline output -- register stages -- DIN : Data input to the Output stage. -- DOUT : Final Data output -- SBITERR_IN : SBITERR input signal to the Output stage. -- SBITERR : Final SBITERR Output signal. -- DBITERR_IN : DBITERR input signal to the Output stage. -- DBITERR : Final DBITERR Output signal. -- RDADDRECC_IN : RDADDRECC input signal to the Output stage. -- RDADDRECC : Final RDADDRECC Output signal. --------------------------------------------------------------------------- ARCHITECTURE output_stage_behavioral OF BLK_MEM_GEN_v8_0_output_stage IS --******************************************************* -- Functions used in the output stage ARCHITECTURE --******************************************************* -- Calculate num_reg_stages FUNCTION get_num_reg_stages(NUM_STAGES: INTEGER) RETURN INTEGER IS VARIABLE num_reg_stages : INTEGER := 0; BEGIN IF (NUM_STAGES = 0) THEN num_reg_stages := 0; ELSE num_reg_stages := NUM_STAGES - 1; END IF; RETURN num_reg_stages; END get_num_reg_stages; -- Check if the INTEGER is zero or non-zero FUNCTION int_to_bit(input: INTEGER) RETURN STD_LOGIC IS VARIABLE temp_return : STD_LOGIC; BEGIN IF (input = 0) THEN temp_return := '0'; ELSE temp_return := '1'; END IF; RETURN temp_return; END int_to_bit; -- Constants CONSTANT HAS_EN : STD_LOGIC := int_to_bit(C_HAS_EN); CONSTANT HAS_REGCE : STD_LOGIC := int_to_bit(C_HAS_REGCE); CONSTANT HAS_RST : STD_LOGIC := int_to_bit(C_HAS_RST); CONSTANT REG_STAGES : INTEGER := get_num_reg_stages(NUM_STAGES); -- Pipeline array TYPE reg_data_array IS ARRAY (REG_STAGES-1 DOWNTO 0) OF STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); TYPE reg_ecc_array IS ARRAY (REG_STAGES-1 DOWNTO 0) OF STD_LOGIC; TYPE reg_eccaddr_array IS ARRAY (REG_STAGES-1 DOWNTO 0) OF STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0); CONSTANT REG_INIT : reg_data_array := (OTHERS => init_val); SIGNAL out_regs : reg_data_array := REG_INIT; SIGNAL sbiterr_regs : reg_ecc_array := (OTHERS => '0'); SIGNAL dbiterr_regs : reg_ecc_array := (OTHERS => '0'); SIGNAL rdaddrecc_regs: reg_eccaddr_array := (OTHERS => (OTHERS => '0')); -- Internal signals SIGNAL en_i : STD_LOGIC; SIGNAL regce_i : STD_LOGIC; SIGNAL rst_i : STD_LOGIC; SIGNAL dout_i : STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0) := init_val; SIGNAL sbiterr_i: STD_LOGIC := '0'; SIGNAL dbiterr_i: STD_LOGIC := '0'; SIGNAL rdaddrecc_i : STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); BEGIN --*********************************************************************** -- Assign internal signals. This effectively wires off optional inputs. --*********************************************************************** -- Internal enable for output registers is tied to user EN or '1' depending -- on parameters en_i <= EN OR (NOT HAS_EN); -- Internal register enable for output registers is tied to user REGCE, EN -- or '1' depending on parameters regce_i <= (HAS_REGCE AND REGCE) OR ((NOT HAS_REGCE) AND en_i); -- Internal SRR is tied to user RST or '0' depending on parameters rst_i <= RST AND HAS_RST; --*************************************************************************** -- NUM_STAGES = 0 (No output registers. RAM only) --*************************************************************************** zero_stages: IF (NUM_STAGES = 0) GENERATE DOUT <= DIN; SBITERR <= SBITERR_IN; DBITERR <= DBITERR_IN; RDADDRECC <= RDADDRECC_IN; END GENERATE zero_stages; --*************************************************************************** -- NUM_STAGES = 1 -- (Mem Output Reg only or Mux Output Reg only) --*************************************************************************** -- Possible valid combinations: -- Note: C_HAS_MUX_OUTPUT_REGS_*=0 when (C_RSTRAM_*=1) -- +-----------------------------------------+ -- | C_RSTRAM_* | Reset Behavior | -- +----------------+------------------------+ -- | 0 | Normal Behavior | -- +----------------+------------------------+ -- | 1 | Special Behavior | -- +----------------+------------------------+ -- -- Normal = REGCE gates reset, as in the case of all Virtex families and all -- spartan families with the exception of S3ADSP and S6. -- Special = EN gates reset, as in the case of S3ADSP and S6. one_stage_norm: IF (NUM_STAGES = 1 AND (C_RSTRAM=0 OR (C_RSTRAM=1 AND (C_XDEVICEFAMILY/="spartan3adsp" AND C_XDEVICEFAMILY/="aspartan3adsp")) OR C_HAS_MEM_OUTPUT_REGS=0 OR C_HAS_RST=0)) GENERATE DOUT <= dout_i; SBITERR <= sbiterr_i WHEN (C_USE_ECC=1 OR C_USE_SOFTECC = 1) ELSE '0'; DBITERR <= dbiterr_i WHEN (C_USE_ECC=1 OR C_USE_SOFTECC = 1) ELSE '0'; RDADDRECC <= rdaddrecc_i WHEN (C_USE_ECC=1 OR C_USE_SOFTECC = 1) ELSE (OTHERS => '0'); PROCESS (CLK,rst_i,regce_i) BEGIN IF (CLK'EVENT AND CLK = '1') THEN IF(C_RST_PRIORITY = "CE") THEN --REGCE has priority and controls reset IF (rst_i = '1' AND regce_i='1') THEN dout_i <= init_val AFTER FLOP_DELAY; sbiterr_i <= '0' AFTER FLOP_DELAY; dbiterr_i <= '0' AFTER FLOP_DELAY; rdaddrecc_i <= (OTHERS => '0') AFTER FLOP_DELAY; ELSIF (regce_i='1') THEN dout_i <= DIN AFTER FLOP_DELAY; sbiterr_i <= SBITERR_IN AFTER FLOP_DELAY; dbiterr_i <= DBITERR_IN AFTER FLOP_DELAY; rdaddrecc_i <= RDADDRECC_IN AFTER FLOP_DELAY; END IF; ELSE --RSTA has priority and is independent of REGCE IF (rst_i = '1') THEN dout_i <= init_val AFTER FLOP_DELAY; sbiterr_i <= '0' AFTER FLOP_DELAY; dbiterr_i <= '0' AFTER FLOP_DELAY; rdaddrecc_i <= (OTHERS => '0') AFTER FLOP_DELAY; ELSIF (regce_i='1') THEN dout_i <= DIN AFTER FLOP_DELAY; sbiterr_i <= SBITERR_IN AFTER FLOP_DELAY; dbiterr_i <= DBITERR_IN AFTER FLOP_DELAY; rdaddrecc_i <= RDADDRECC_IN AFTER FLOP_DELAY; END IF; END IF;--Priority conditions END IF;--CLK END PROCESS; END GENERATE one_stage_norm; -- Special Reset Behavior for S6 and S3ADSP one_stage_splbhv: IF (NUM_STAGES=1 AND C_RSTRAM=1 AND (C_XDEVICEFAMILY ="spartan3adsp" OR C_XDEVICEFAMILY ="aspartan3adsp")) GENERATE DOUT <= dout_i; SBITERR <= '0'; DBITERR <= '0'; RDADDRECC <= (OTHERS => '0'); PROCESS (CLK) BEGIN IF (CLK'EVENT AND CLK = '1') THEN IF (rst_i='1' AND en_i='1') THEN dout_i <= init_val AFTER FLOP_DELAY; ELSIF (regce_i='1' AND rst_i/='1') THEN dout_i <= DIN AFTER FLOP_DELAY; END IF; END IF;--CLK END PROCESS; END GENERATE one_stage_splbhv; --**************************************************************************** -- NUM_STAGES > 1 -- Mem Output Reg + Mux Output Reg -- or -- Mem Output Reg + Mux Pipeline Stages (>0) + Mux Output Reg -- or -- Mux Pipeline Stages (>0) + Mux Output Reg --**************************************************************************** multi_stage: IF (NUM_STAGES > 1) GENERATE DOUT <= dout_i; SBITERR <= sbiterr_i; DBITERR <= dbiterr_i; RDADDRECC <= rdaddrecc_i; PROCESS (CLK,rst_i,regce_i) BEGIN IF (CLK'EVENT AND CLK = '1') THEN IF(C_RST_PRIORITY = "CE") THEN --REGCE has priority and controls reset IF (rst_i='1'AND regce_i='1') THEN dout_i <= init_val AFTER FLOP_DELAY; sbiterr_i <= '0' AFTER FLOP_DELAY; dbiterr_i <= '0' AFTER FLOP_DELAY; rdaddrecc_i <= (OTHERS => '0') AFTER FLOP_DELAY; ELSIF (regce_i='1') THEN dout_i <= out_regs(REG_STAGES-1) AFTER FLOP_DELAY; sbiterr_i <= sbiterr_regs(REG_STAGES-1) AFTER FLOP_DELAY; dbiterr_i <= dbiterr_regs(REG_STAGES-1) AFTER FLOP_DELAY; rdaddrecc_i <= rdaddrecc_regs(REG_STAGES-1) AFTER FLOP_DELAY; END IF; ELSE --RSTA has priority and is independent of REGCE IF (rst_i = '1') THEN dout_i <= init_val AFTER FLOP_DELAY; sbiterr_i <= '0' AFTER FLOP_DELAY; dbiterr_i <= '0' AFTER FLOP_DELAY; rdaddrecc_i <= (OTHERS => '0') AFTER FLOP_DELAY; ELSIF (regce_i='1') THEN dout_i <= out_regs(REG_STAGES-1) AFTER FLOP_DELAY; sbiterr_i <= sbiterr_regs(REG_STAGES-1) AFTER FLOP_DELAY; dbiterr_i <= dbiterr_regs(REG_STAGES-1) AFTER FLOP_DELAY; rdaddrecc_i <= rdaddrecc_regs(REG_STAGES-1) AFTER FLOP_DELAY; END IF; END IF;--Priority conditions IF (en_i='1') THEN -- Shift the data through the output stages FOR i IN 1 TO REG_STAGES-1 LOOP out_regs(i) <= out_regs(i-1) AFTER FLOP_DELAY; sbiterr_regs(i) <= sbiterr_regs(i-1) AFTER FLOP_DELAY; dbiterr_regs(i) <= dbiterr_regs(i-1) AFTER FLOP_DELAY; rdaddrecc_regs(i) <= rdaddrecc_regs(i-1) AFTER FLOP_DELAY; END LOOP; out_regs(0) <= DIN; sbiterr_regs(0) <= SBITERR_IN; dbiterr_regs(0) <= DBITERR_IN; rdaddrecc_regs(0) <= RDADDRECC_IN; END IF; END IF;--CLK END PROCESS; END GENERATE multi_stage; END output_stage_behavioral; ------------------------------------------------------------------------------- -- SoftECC Output Register Stage Entity -- This module builds the softecc output register stages. This module is -- instantiated in the memory module (BLK_MEM_GEN_v8_0_mem_module) which is -- declared/implemented further down in this file. ------------------------------------------------------------------------------- LIBRARY STD; USE STD.TEXTIO.ALL; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY BLK_MEM_GEN_v8_0_softecc_output_reg_stage IS GENERIC ( C_DATA_WIDTH : INTEGER := 32; C_ADDRB_WIDTH : INTEGER := 10; C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER := 0; C_USE_SOFTECC : INTEGER := 0; FLOP_DELAY : TIME := 100 ps ); PORT ( CLK : IN STD_LOGIC; DIN : IN STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0) ; DOUT : OUT STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); SBITERR_IN : IN STD_LOGIC; DBITERR_IN : IN STD_LOGIC; SBITERR : OUT STD_LOGIC; DBITERR : OUT STD_LOGIC; RDADDRECC_IN : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) ; RDADDRECC : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) ); END BLK_MEM_GEN_v8_0_softecc_output_reg_stage; --****************************** -- Port and Generic Definitions --****************************** --------------------------------------------------------------------------- -- Generic Definitions --------------------------------------------------------------------------- -- C_DATA_WIDTH : Memory write/read width -- C_ADDRB_WIDTH : Width of the ADDRB input port -- of the RAM primitive -- FLOP_DELAY : Constant delay for register assignments --------------------------------------------------------------------------- -- Port Definitions --------------------------------------------------------------------------- -- CLK : Clock to synchronize all read and write operations -- RST : Reset input to reset memory outputs to a user-defined -- reset state -- EN : Enable all read and write operations -- REGCE : Register Clock Enable to control each pipeline output -- register stages -- DIN : Data input to the Output stage. -- DOUT : Final Data output -- SBITERR_IN : SBITERR input signal to the Output stage. -- SBITERR : Final SBITERR Output signal. -- DBITERR_IN : DBITERR input signal to the Output stage. -- DBITERR : Final DBITERR Output signal. -- RDADDRECC_IN : RDADDRECC input signal to the Output stage. -- RDADDRECC : Final RDADDRECC Output signal. --------------------------------------------------------------------------- ARCHITECTURE softecc_output_reg_stage_behavioral OF BLK_MEM_GEN_v8_0_softecc_output_reg_stage IS -- Internal signals SIGNAL dout_i : STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL sbiterr_i: STD_LOGIC := '0'; SIGNAL dbiterr_i: STD_LOGIC := '0'; SIGNAL rdaddrecc_i : STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); BEGIN --*************************************************************************** -- NO OUTPUT STAGES --*************************************************************************** no_output_stage: IF (C_HAS_SOFTECC_OUTPUT_REGS_B=0) GENERATE DOUT <= DIN; SBITERR <= SBITERR_IN; DBITERR <= DBITERR_IN; RDADDRECC <= RDADDRECC_IN; END GENERATE no_output_stage; --**************************************************************************** -- WITH OUTPUT STAGE --**************************************************************************** has_output_stage: IF (C_HAS_SOFTECC_OUTPUT_REGS_B=1) GENERATE PROCESS (CLK) BEGIN IF (CLK'EVENT AND CLK = '1') THEN dout_i <= DIN AFTER FLOP_DELAY; sbiterr_i <= SBITERR_IN AFTER FLOP_DELAY; dbiterr_i <= DBITERR_IN AFTER FLOP_DELAY; rdaddrecc_i <= RDADDRECC_IN AFTER FLOP_DELAY; END IF; END PROCESS; DOUT <= dout_i; SBITERR <= sbiterr_i; DBITERR <= dbiterr_i; RDADDRECC <= rdaddrecc_i; END GENERATE has_output_stage; END softecc_output_reg_stage_behavioral; --****************************************************************************** -- Main Memory module -- -- This module is the behavioral model which implements the RAM --****************************************************************************** LIBRARY STD; USE STD.TEXTIO.ALL; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_MISC.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; use ieee.std_logic_textio.all; ENTITY BLK_MEM_GEN_v8_0_mem_module IS GENERIC ( C_CORENAME : STRING := "blk_mem_gen_v8_0"; C_FAMILY : STRING := "virtex7"; C_XDEVICEFAMILY : STRING := "virtex7"; C_USE_BRAM_BLOCK : INTEGER := 0; C_ENABLE_32BIT_ADDRESS : INTEGER := 0; C_MEM_TYPE : INTEGER := 2; C_BYTE_SIZE : INTEGER := 8; C_ALGORITHM : INTEGER := 2; C_PRIM_TYPE : INTEGER := 3; C_LOAD_INIT_FILE : INTEGER := 0; C_INIT_FILE_NAME : STRING := ""; C_INIT_FILE : STRING := ""; C_USE_DEFAULT_DATA : INTEGER := 0; C_DEFAULT_DATA : STRING := ""; C_RST_TYPE : STRING := "SYNC"; C_HAS_RSTA : INTEGER := 0; C_RST_PRIORITY_A : STRING := "CE"; C_RSTRAM_A : INTEGER := 0; C_INITA_VAL : STRING := ""; C_HAS_ENA : INTEGER := 1; C_HAS_REGCEA : INTEGER := 0; C_USE_BYTE_WEA : INTEGER := 0; C_WEA_WIDTH : INTEGER := 1; C_WRITE_MODE_A : STRING := "WRITE_FIRST"; C_WRITE_WIDTH_A : INTEGER := 32; C_READ_WIDTH_A : INTEGER := 32; C_WRITE_DEPTH_A : INTEGER := 64; C_READ_DEPTH_A : INTEGER := 64; C_ADDRA_WIDTH : INTEGER := 6; C_HAS_RSTB : INTEGER := 0; C_RST_PRIORITY_B : STRING := "CE"; C_RSTRAM_B : INTEGER := 0; C_INITB_VAL : STRING := ""; C_HAS_ENB : INTEGER := 1; C_HAS_REGCEB : INTEGER := 0; C_USE_BYTE_WEB : INTEGER := 0; C_WEB_WIDTH : INTEGER := 1; C_WRITE_MODE_B : STRING := "WRITE_FIRST"; C_WRITE_WIDTH_B : INTEGER := 32; C_READ_WIDTH_B : INTEGER := 32; C_WRITE_DEPTH_B : INTEGER := 64; C_READ_DEPTH_B : INTEGER := 64; C_ADDRB_WIDTH : INTEGER := 6; C_HAS_MEM_OUTPUT_REGS_A : INTEGER := 0; C_HAS_MEM_OUTPUT_REGS_B : INTEGER := 0; C_HAS_MUX_OUTPUT_REGS_A : INTEGER := 0; C_HAS_MUX_OUTPUT_REGS_B : INTEGER := 0; C_HAS_SOFTECC_INPUT_REGS_A : INTEGER := 0; C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER := 0; C_MUX_PIPELINE_STAGES : INTEGER := 0; C_USE_SOFTECC : INTEGER := 0; C_USE_ECC : INTEGER := 0; C_HAS_INJECTERR : INTEGER := 0; C_SIM_COLLISION_CHECK : STRING := "NONE"; C_COMMON_CLK : INTEGER := 1; FLOP_DELAY : TIME := 100 ps; C_DISABLE_WARN_BHV_COLL : INTEGER := 0; C_DISABLE_WARN_BHV_RANGE : INTEGER := 0 ); PORT ( CLKA : IN STD_LOGIC := '0'; RSTA : IN STD_LOGIC := '0'; ENA : IN STD_LOGIC := '1'; REGCEA : IN STD_LOGIC := '1'; WEA : IN STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); ADDRA : IN STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0):= (OTHERS => '0'); DINA : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0) := (OTHERS => '0'); DOUTA : OUT STD_LOGIC_VECTOR(C_READ_WIDTH_A-1 DOWNTO 0); CLKB : IN STD_LOGIC := '0'; RSTB : IN STD_LOGIC := '0'; ENB : IN STD_LOGIC := '1'; REGCEB : IN STD_LOGIC := '1'; WEB : IN STD_LOGIC_VECTOR(C_WEB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); ADDRB : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); DINB : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0) := (OTHERS => '0'); DOUTB : OUT STD_LOGIC_VECTOR(C_READ_WIDTH_B-1 DOWNTO 0); INJECTSBITERR : IN STD_LOGIC := '0'; INJECTDBITERR : IN STD_LOGIC := '0'; SBITERR : OUT STD_LOGIC; DBITERR : OUT STD_LOGIC; RDADDRECC : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) ); END BLK_MEM_GEN_v8_0_mem_module; --****************************** -- Port and Generic Definitions --****************************** --------------------------------------------------------------------------- -- Generic Definitions --------------------------------------------------------------------------- -- C_CORENAME : Instance name of the Block Memory Generator core -- C_FAMILY,C_XDEVICEFAMILY: Designates architecture targeted. The following -- options are available - "spartan3", "spartan6", -- "virtex4", "virtex5", "virtex6l" and "virtex6". -- C_MEM_TYPE : Designates memory type. -- It can be -- 0 - Single Port Memory -- 1 - Simple Dual Port Memory -- 2 - True Dual Port Memory -- 3 - Single Port Read Only Memory -- 4 - Dual Port Read Only Memory -- C_BYTE_SIZE : Size of a byte (8 or 9 bits) -- C_ALGORITHM : Designates the algorithm method used -- for constructing the memory. -- It can be Fixed_Primitives, Minimum_Area or -- Low_Power -- C_PRIM_TYPE : Designates the user selected primitive used to -- construct the memory. -- -- C_LOAD_INIT_FILE : Designates the use of an initialization file to -- initialize memory contents. -- C_INIT_FILE_NAME : Memory initialization file name. -- C_USE_DEFAULT_DATA : Designates whether to fill remaining -- initialization space with default data -- C_DEFAULT_DATA : Default value of all memory locations -- not initialized by the memory -- initialization file. -- C_RST_TYPE : Type of reset - Synchronous or Asynchronous -- -- C_HAS_RSTA : Determines the presence of the RSTA port -- C_RST_PRIORITY_A : Determines the priority between CE and SR for -- Port A. -- C_RSTRAM_A : Determines if special reset behavior is used for -- Port A -- C_INITA_VAL : The initialization value for Port A -- C_HAS_ENA : Determines the presence of the ENA port -- C_HAS_REGCEA : Determines the presence of the REGCEA port -- C_USE_BYTE_WEA : Determines if the Byte Write is used or not. -- C_WEA_WIDTH : The width of the WEA port -- C_WRITE_MODE_A : Configurable write mode for Port A. It can be -- WRITE_FIRST, READ_FIRST or NO_CHANGE. -- C_WRITE_WIDTH_A : Memory write width for Port A. -- C_READ_WIDTH_A : Memory read width for Port A. -- C_WRITE_DEPTH_A : Memory write depth for Port A. -- C_READ_DEPTH_A : Memory read depth for Port A. -- C_ADDRA_WIDTH : Width of the ADDRA input port -- C_HAS_RSTB : Determines the presence of the RSTB port -- C_RST_PRIORITY_B : Determines the priority between CE and SR for -- Port B. -- C_RSTRAM_B : Determines if special reset behavior is used for -- Port B -- C_INITB_VAL : The initialization value for Port B -- C_HAS_ENB : Determines the presence of the ENB port -- C_HAS_REGCEB : Determines the presence of the REGCEB port -- C_USE_BYTE_WEB : Determines if the Byte Write is used or not. -- C_WEB_WIDTH : The width of the WEB port -- C_WRITE_MODE_B : Configurable write mode for Port B. It can be -- WRITE_FIRST, READ_FIRST or NO_CHANGE. -- C_WRITE_WIDTH_B : Memory write width for Port B. -- C_READ_WIDTH_B : Memory read width for Port B. -- C_WRITE_DEPTH_B : Memory write depth for Port B. -- C_READ_DEPTH_B : Memory read depth for Port B. -- C_ADDRB_WIDTH : Width of the ADDRB input port -- C_HAS_MEM_OUTPUT_REGS_A : Designates the use of a register at the output -- of the RAM primitive for Port A. -- C_HAS_MEM_OUTPUT_REGS_B : Designates the use of a register at the output -- of the RAM primitive for Port B. -- C_HAS_MUX_OUTPUT_REGS_A : Designates the use of a register at the output -- of the MUX for Port A. -- C_HAS_MUX_OUTPUT_REGS_B : Designates the use of a register at the output -- of the MUX for Port B. -- C_MUX_PIPELINE_STAGES : Designates the number of pipeline stages in -- between the muxes. -- C_USE_SOFTECC : Determines if the Soft ECC feature is used or -- not. Only applicable Spartan-6 -- C_USE_ECC : Determines if the ECC feature is used or -- not. Only applicable for V5 and V6 -- C_HAS_INJECTERR : Determines if the error injection pins -- are present or not. If the ECC feature -- is not used, this value is defaulted to -- 0, else the following are the allowed -- values: -- 0 : No INJECTSBITERR or INJECTDBITERR pins -- 1 : Only INJECTSBITERR pin exists -- 2 : Only INJECTDBITERR pin exists -- 3 : Both INJECTSBITERR and INJECTDBITERR pins exist -- C_SIM_COLLISION_CHECK : Controls the disabling of Unisim model collision -- warnings. It can be "ALL", "NONE", -- "Warnings_Only" or "Generate_X_Only". -- C_COMMON_CLK : Determins if the core has a single CLK input. -- C_DISABLE_WARN_BHV_COLL : Controls the Behavioral Model Collision warnings -- C_DISABLE_WARN_BHV_RANGE: Controls the Behavioral Model Out of Range -- warnings --------------------------------------------------------------------------- -- Port Definitions --------------------------------------------------------------------------- -- CLKA : Clock to synchronize all read and write operations of Port A. -- RSTA : Reset input to reset memory outputs to a user-defined -- reset state for Port A. -- ENA : Enable all read and write operations of Port A. -- REGCEA : Register Clock Enable to control each pipeline output -- register stages for Port A. -- WEA : Write Enable to enable all write operations of Port A. -- ADDRA : Address of Port A. -- DINA : Data input of Port A. -- DOUTA : Data output of Port A. -- CLKB : Clock to synchronize all read and write operations of Port B. -- RSTB : Reset input to reset memory outputs to a user-defined -- reset state for Port B. -- ENB : Enable all read and write operations of Port B. -- REGCEB : Register Clock Enable to control each pipeline output -- register stages for Port B. -- WEB : Write Enable to enable all write operations of Port B. -- ADDRB : Address of Port B. -- DINB : Data input of Port B. -- DOUTB : Data output of Port B. -- INJECTSBITERR : Single Bit ECC Error Injection Pin. -- INJECTDBITERR : Double Bit ECC Error Injection Pin. -- SBITERR : Output signal indicating that a Single Bit ECC Error has been -- detected and corrected. -- DBITERR : Output signal indicating that a Double Bit ECC Error has been -- detected. -- RDADDRECC : Read Address Output signal indicating address at which an -- ECC error has occurred. --------------------------------------------------------------------------- ARCHITECTURE mem_module_behavioral OF BLK_MEM_GEN_v8_0_mem_module IS --**************************************** -- min/max constant functions --**************************************** -- get_max ---------- function SLV_TO_INT(SLV: in std_logic_vector ) return integer is variable int : integer; begin int := 0; for i in SLV'high downto SLV'low loop int := int * 2; if SLV(i) = '1' then int := int + 1; end if; end loop; return int; end; FUNCTION get_max(a: INTEGER; b: INTEGER) RETURN INTEGER IS BEGIN IF (a > b) THEN RETURN a; ELSE RETURN b; END IF; END FUNCTION; -- get_min ---------- FUNCTION get_min(a: INTEGER; b: INTEGER) RETURN INTEGER IS BEGIN IF (a < b) THEN RETURN a; ELSE RETURN b; END IF; END FUNCTION; --*************************************************************** -- convert write_mode from STRING type for use in case statement --*************************************************************** FUNCTION write_mode_to_vector(mode: STRING) RETURN STD_LOGIC_VECTOR IS BEGIN IF (mode = "NO_CHANGE") THEN RETURN "10"; ELSIF (mode = "READ_FIRST") THEN RETURN "01"; ELSE RETURN "00"; -- WRITE_FIRST END IF; END FUNCTION; --*************************************************************** -- convert hex STRING to STD_LOGIC_VECTOR --*************************************************************** FUNCTION hex_to_std_logic_vector( hex_str : STRING; return_width : INTEGER) RETURN STD_LOGIC_VECTOR IS VARIABLE tmp : STD_LOGIC_VECTOR((hex_str'LENGTH*4)+return_width-1 DOWNTO 0); BEGIN tmp := (OTHERS => '0'); FOR i IN 1 TO hex_str'LENGTH LOOP CASE hex_str((hex_str'LENGTH+1)-i) IS WHEN '0' => tmp(i*4-1 DOWNTO (i-1)*4) := "0000"; WHEN '1' => tmp(i*4-1 DOWNTO (i-1)*4) := "0001"; WHEN '2' => tmp(i*4-1 DOWNTO (i-1)*4) := "0010"; WHEN '3' => tmp(i*4-1 DOWNTO (i-1)*4) := "0011"; WHEN '4' => tmp(i*4-1 DOWNTO (i-1)*4) := "0100"; WHEN '5' => tmp(i*4-1 DOWNTO (i-1)*4) := "0101"; WHEN '6' => tmp(i*4-1 DOWNTO (i-1)*4) := "0110"; WHEN '7' => tmp(i*4-1 DOWNTO (i-1)*4) := "0111"; WHEN '8' => tmp(i*4-1 DOWNTO (i-1)*4) := "1000"; WHEN '9' => tmp(i*4-1 DOWNTO (i-1)*4) := "1001"; WHEN 'a' | 'A' => tmp(i*4-1 DOWNTO (i-1)*4) := "1010"; WHEN 'b' | 'B' => tmp(i*4-1 DOWNTO (i-1)*4) := "1011"; WHEN 'c' | 'C' => tmp(i*4-1 DOWNTO (i-1)*4) := "1100"; WHEN 'd' | 'D' => tmp(i*4-1 DOWNTO (i-1)*4) := "1101"; WHEN 'e' | 'E' => tmp(i*4-1 DOWNTO (i-1)*4) := "1110"; WHEN 'f' | 'F' => tmp(i*4-1 DOWNTO (i-1)*4) := "1111"; WHEN OTHERS => tmp(i*4-1 DOWNTO (i-1)*4) := "1111"; END CASE; END LOOP; RETURN tmp(return_width-1 DOWNTO 0); END hex_to_std_logic_vector; --*************************************************************** -- convert bit to STD_LOGIC --*************************************************************** FUNCTION bit_to_sl(input: BIT) RETURN STD_LOGIC IS VARIABLE temp_return : STD_LOGIC; BEGIN IF (input = '0') THEN temp_return := '0'; ELSE temp_return := '1'; END IF; RETURN temp_return; END bit_to_sl; --*************************************************************** -- locally derived constants to determine memory shape --*************************************************************** CONSTANT MIN_WIDTH_A : INTEGER := get_min(C_WRITE_WIDTH_A, C_READ_WIDTH_A); CONSTANT MIN_WIDTH_B : INTEGER := get_min(C_WRITE_WIDTH_B,C_READ_WIDTH_B); CONSTANT MIN_WIDTH : INTEGER := get_min(MIN_WIDTH_A, MIN_WIDTH_B); CONSTANT MAX_DEPTH_A : INTEGER := get_max(C_WRITE_DEPTH_A, C_READ_DEPTH_A); CONSTANT MAX_DEPTH_B : INTEGER := get_max(C_WRITE_DEPTH_B, C_READ_DEPTH_B); CONSTANT MAX_DEPTH : INTEGER := get_max(MAX_DEPTH_A, MAX_DEPTH_B); TYPE int_array IS ARRAY (MAX_DEPTH-1 DOWNTO 0) OF std_logic_vector(C_WRITE_WIDTH_A-1 DOWNTO 0); TYPE mem_array IS ARRAY (MAX_DEPTH-1 DOWNTO 0) OF STD_LOGIC_VECTOR(MIN_WIDTH-1 DOWNTO 0); TYPE ecc_err_array IS ARRAY (MAX_DEPTH-1 DOWNTO 0) OF STD_LOGIC; TYPE softecc_err_array IS ARRAY (MAX_DEPTH-1 DOWNTO 0) OF STD_LOGIC; --*************************************************************** -- memory initialization function --*************************************************************** IMPURE FUNCTION init_memory(DEFAULT_DATA : STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0); write_width_a : INTEGER; depth : INTEGER; width : INTEGER) RETURN mem_array IS VARIABLE init_return : mem_array := (OTHERS => (OTHERS => '0')); FILE init_file : TEXT; VARIABLE mem_vector : BIT_VECTOR(write_width_a-1 DOWNTO 0); VARIABLE int_mem_vector : int_array:= (OTHERS => (OTHERS => '0')); VARIABLE file_buffer : LINE; VARIABLE i : INTEGER := 0; VARIABLE j : INTEGER; VARIABLE k : INTEGER; VARIABLE ignore_line : BOOLEAN := false; VARIABLE good_data : BOOLEAN := false; VARIABLE char_tmp : CHARACTER; VARIABLE index : INTEGER; variable init_addr_slv : std_logic_vector(31 downto 0) := (others => '0'); variable data : std_logic_vector(255 downto 0) := (others => '0'); variable inside_init_addr_slv : std_logic_vector(31 downto 0) := (others => '0'); variable k_slv : std_logic_vector(31 downto 0) := (others => '0'); variable i_slv : std_logic_vector(31 downto 0) := (others => '0'); VARIABLE disp_line : line := null; variable open_status : file_open_status; variable input_initf_tmp : mem_array ; variable input_initf : mem_array := (others => (others => '0')); file int_infile : text; variable data_line, data_line_tmp, out_data_line : line; variable slv_width : integer; VARIABLE d_l : LINE; BEGIN --Display output message indicating that the behavioral model is being --initialized -- Setup the default data -- Default data is with respect to write_port_A and may be wider -- or narrower than init_return width. The following loops map -- default data into the memory IF (C_USE_DEFAULT_DATA=1) THEN index := 0; FOR i IN 0 TO depth-1 LOOP FOR j IN 0 TO width-1 LOOP init_return(i)(j) := DEFAULT_DATA(index); index := (index + 1) MOD C_WRITE_WIDTH_A; END LOOP; END LOOP; END IF; -- Read in the .mif file -- The init data is formatted with respect to write port A dimensions. -- The init_return vector is formatted with respect to minimum width and -- maximum depth; the following loops map the .mif file into the memory IF (C_LOAD_INIT_FILE=1) THEN file_open(init_file, C_INIT_FILE_NAME, read_mode); i := 0; WHILE (i < depth AND NOT endfile(init_file)) LOOP mem_vector := (OTHERS => '0'); readline(init_file, file_buffer); read(file_buffer, mem_vector(file_buffer'LENGTH-1 DOWNTO 0)); FOR j IN 0 TO write_width_a-1 LOOP IF (j MOD width = 0 AND j /= 0) THEN i := i + 1; END IF; init_return(i)(j MOD width) := bit_to_sl(mem_vector(j)); END LOOP; i := i + 1; END LOOP; file_close(init_file); END IF; --Display output message indicating that the behavioral model is done --initializing ASSERT (NOT (C_USE_DEFAULT_DATA=1 OR C_LOAD_INIT_FILE=1)) REPORT " Block Memory Generator data initialization complete." SEVERITY NOTE; if (C_USE_BRAM_BLOCK = 1) then --Display output message indicating that the behavioral model is being --initialized -- Read in the .mem file -- The init data is formatted with respect to write port A dimensions. -- The init_return vector is formatted with respect to minimum width and -- maximum depth; the following loops map the .mif file into the memory IF (C_INIT_FILE /= "NONE") then file_open(open_status, int_infile, C_INIT_FILE, read_mode); while not endfile(int_infile) loop readline(int_infile, data_line); while (data_line /= null and data_line'length > 0) loop if (data_line(data_line'low to data_line'low + 1) = "//") then deallocate(data_line); elsif ((data_line(data_line'low to data_line'low + 1) = "/*") and (data_line(data_line'high-1 to data_line'high) = "*/")) then deallocate(data_line); elsif (data_line(data_line'low to data_line'low + 1) = "/*") then deallocate(data_line); ignore_line := true; elsif (ignore_line = true and data_line(data_line'high-1 to data_line'high) = "*/") then deallocate(data_line); ignore_line := false; elsif (ignore_line = false and data_line(data_line'low) = '@') then read(data_line, char_tmp); hread(data_line, init_addr_slv, good_data); i := SLV_TO_INT(init_addr_slv); elsif (ignore_line = false) then hread(data_line, input_initf_tmp(i), good_data); init_return(i)(write_width_a - 1 downto 0) := input_initf_tmp(i)(write_width_a - 1 downto 0); if (good_data = true) then i := i + 1; end if; else deallocate(data_line); end if; end loop; end loop; file_close(int_infile); END IF; END IF; RETURN init_return; END FUNCTION; --*************************************************************** -- memory type constants --*************************************************************** CONSTANT MEM_TYPE_SP_RAM : INTEGER := 0; CONSTANT MEM_TYPE_SDP_RAM : INTEGER := 1; CONSTANT MEM_TYPE_TDP_RAM : INTEGER := 2; CONSTANT MEM_TYPE_SP_ROM : INTEGER := 3; CONSTANT MEM_TYPE_DP_ROM : INTEGER := 4; --*************************************************************** -- memory configuration constant functions --*************************************************************** --get_single_port ----------------- FUNCTION get_single_port(mem_type : INTEGER) RETURN INTEGER IS BEGIN IF (mem_type=MEM_TYPE_SP_RAM OR mem_type=MEM_TYPE_SP_ROM) THEN RETURN 1; ELSE RETURN 0; END IF; END get_single_port; --get_is_rom -------------- FUNCTION get_is_rom(mem_type : INTEGER) RETURN INTEGER IS BEGIN IF (mem_type=MEM_TYPE_SP_ROM OR mem_type=MEM_TYPE_DP_ROM) THEN RETURN 1; ELSE RETURN 0; END IF; END get_is_rom; --get_has_a_write ------------------ FUNCTION get_has_a_write(IS_ROM : INTEGER) RETURN INTEGER IS BEGIN IF (IS_ROM=0) THEN RETURN 1; ELSE RETURN 0; END IF; END get_has_a_write; --get_has_b_write ------------------ FUNCTION get_has_b_write(mem_type : INTEGER) RETURN INTEGER IS BEGIN IF (mem_type=MEM_TYPE_TDP_RAM) THEN RETURN 1; ELSE RETURN 0; END IF; END get_has_b_write; --get_has_a_read ------------------ FUNCTION get_has_a_read(mem_type : INTEGER) RETURN INTEGER IS BEGIN IF (mem_type=MEM_TYPE_SDP_RAM) THEN RETURN 0; ELSE RETURN 1; END IF; END get_has_a_read; --get_has_b_read ------------------ FUNCTION get_has_b_read(SINGLE_PORT : INTEGER) RETURN INTEGER IS BEGIN IF (SINGLE_PORT=1) THEN RETURN 0; ELSE RETURN 1; END IF; END get_has_b_read; --get_has_b_port ------------------ FUNCTION get_has_b_port(HAS_B_READ : INTEGER; HAS_B_WRITE : INTEGER) RETURN INTEGER IS BEGIN IF (HAS_B_READ=1 OR HAS_B_WRITE=1) THEN RETURN 1; ELSE RETURN 0; END IF; END get_has_b_port; --get_num_output_stages ----------------------- FUNCTION get_num_output_stages(has_mem_output_regs : INTEGER; has_mux_output_regs : INTEGER; mux_pipeline_stages : INTEGER) RETURN INTEGER IS VARIABLE actual_mux_pipeline_stages : INTEGER; BEGIN -- Mux pipeline stages can be non-zero only when there is a mux -- output register. IF (has_mux_output_regs=1) THEN actual_mux_pipeline_stages := mux_pipeline_stages; ELSE actual_mux_pipeline_stages := 0; END IF; RETURN has_mem_output_regs+actual_mux_pipeline_stages+has_mux_output_regs; END get_num_output_stages; --*************************************************************************** -- Component declaration of the VARIABLE depth output register stage --*************************************************************************** COMPONENT BLK_MEM_GEN_v8_0_output_stage GENERIC ( C_FAMILY : STRING := "virtex7"; C_XDEVICEFAMILY : STRING := "virtex7"; C_RST_TYPE : STRING := "SYNC"; C_HAS_RST : INTEGER := 0; C_RSTRAM : INTEGER := 0; C_RST_PRIORITY : STRING := "CE"; init_val : STD_LOGIC_VECTOR; C_HAS_EN : INTEGER := 0; C_HAS_REGCE : INTEGER := 0; C_DATA_WIDTH : INTEGER := 32; C_ADDRB_WIDTH : INTEGER := 10; C_HAS_MEM_OUTPUT_REGS : INTEGER := 0; C_USE_SOFTECC : INTEGER := 0; C_USE_ECC : INTEGER := 0; NUM_STAGES : INTEGER := 1; FLOP_DELAY : TIME := 100 ps); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; REGCE : IN STD_LOGIC; EN : IN STD_LOGIC; DIN : IN STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); DOUT : OUT STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); SBITERR_IN : IN STD_LOGIC; DBITERR_IN : IN STD_LOGIC; SBITERR : OUT STD_LOGIC; DBITERR : OUT STD_LOGIC; RDADDRECC_IN : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0); RDADDRECC : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) ); END COMPONENT BLK_MEM_GEN_v8_0_output_stage; COMPONENT BLK_MEM_GEN_v8_0_softecc_output_reg_stage GENERIC ( C_DATA_WIDTH : INTEGER := 32; C_ADDRB_WIDTH : INTEGER := 10; C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER := 0; C_USE_SOFTECC : INTEGER := 0; FLOP_DELAY : TIME := 100 ps ); PORT ( CLK : IN STD_LOGIC; DIN : IN STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); DOUT : OUT STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); SBITERR_IN : IN STD_LOGIC; DBITERR_IN : IN STD_LOGIC; SBITERR : OUT STD_LOGIC; DBITERR : OUT STD_LOGIC; RDADDRECC_IN : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0); RDADDRECC : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) ); END COMPONENT BLK_MEM_GEN_v8_0_softecc_output_reg_stage; --****************************************************** -- locally derived constants to assist memory access --****************************************************** CONSTANT WRITE_WIDTH_RATIO_A : INTEGER := C_WRITE_WIDTH_A/MIN_WIDTH; CONSTANT READ_WIDTH_RATIO_A : INTEGER := C_READ_WIDTH_A/MIN_WIDTH; CONSTANT WRITE_WIDTH_RATIO_B : INTEGER := C_WRITE_WIDTH_B/MIN_WIDTH; CONSTANT READ_WIDTH_RATIO_B : INTEGER := C_READ_WIDTH_B/MIN_WIDTH; --****************************************************** -- To modify the LSBs of the 'wider' data to the actual -- address value --****************************************************** CONSTANT WRITE_ADDR_A_DIV : INTEGER := C_WRITE_WIDTH_A/MIN_WIDTH_A; CONSTANT READ_ADDR_A_DIV : INTEGER := C_READ_WIDTH_A/MIN_WIDTH_A; CONSTANT WRITE_ADDR_B_DIV : INTEGER := C_WRITE_WIDTH_B/MIN_WIDTH_B; CONSTANT READ_ADDR_B_DIV : INTEGER := C_READ_WIDTH_B/MIN_WIDTH_B; --****************************************************** -- FUNCTION : log2roundup --****************************************************** FUNCTION log2roundup ( data_value : INTEGER) RETURN INTEGER IS VARIABLE width : INTEGER := 0; VARIABLE cnt : INTEGER := 1; BEGIN IF (data_value <= 1) THEN width := 0; ELSE WHILE (cnt < data_value) LOOP width := width + 1; cnt := cnt *2; END LOOP; END IF; RETURN width; END log2roundup; ----------------------------------------------------------------------------- -- FUNCTION : log2int ----------------------------------------------------------------------------- FUNCTION log2int ( data_value : INTEGER) RETURN INTEGER IS VARIABLE width : INTEGER := 0; VARIABLE cnt : INTEGER := data_value; BEGIN WHILE (cnt >1) LOOP width := width + 1; cnt := cnt/2; END LOOP; RETURN width; END log2int; ------------------------------------------------------------------------------ -- FUNCTION: if_then_else -- This function is used to implement an IF..THEN when such a statement is not -- allowed. ------------------------------------------------------------------------------ FUNCTION if_then_else ( condition : BOOLEAN; true_case : INTEGER; false_case : INTEGER) RETURN INTEGER IS VARIABLE retval : INTEGER := 0; BEGIN IF NOT condition THEN retval:=false_case; ELSE retval:=true_case; END IF; RETURN retval; END if_then_else; --****************************************************** -- Other constants and signals --****************************************************** CONSTANT COLL_DELAY : TIME := 2 ns; -- default data vector CONSTANT DEFAULT_DATA : STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0) := hex_to_std_logic_vector(C_DEFAULT_DATA, C_WRITE_WIDTH_A); CONSTANT CHKBIT_WIDTH : INTEGER := if_then_else(C_WRITE_WIDTH_A>57,8,if_then_else(C_WRITE_WIDTH_A>26,7,if_then_else(C_WRITE_WIDTH_A>11,6,if_then_else(C_WRITE_WIDTH_A>4,5,if_then_else(C_WRITE_WIDTH_A<5,4,0))))); -- the init memory SIGNAL SIGNAL memory_i : mem_array; SIGNAL doublebit_error_i : STD_LOGIC_VECTOR(C_WRITE_WIDTH_A+CHKBIT_WIDTH-1 DOWNTO 0); SIGNAL current_contents_i : STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0); -- write mode constants CONSTANT WRITE_MODE_A : STD_LOGIC_VECTOR(1 DOWNTO 0) := write_mode_to_vector(C_WRITE_MODE_A); CONSTANT WRITE_MODE_B : STD_LOGIC_VECTOR(1 DOWNTO 0) := write_mode_to_vector(C_WRITE_MODE_B); CONSTANT WRITE_MODES : STD_LOGIC_VECTOR(3 DOWNTO 0) := WRITE_MODE_A & WRITE_MODE_B; -- reset values CONSTANT INITA_VAL : STD_LOGIC_VECTOR(C_READ_WIDTH_A-1 DOWNTO 0) := hex_to_std_logic_vector(C_INITA_VAL, C_READ_WIDTH_A); CONSTANT INITB_VAL : STD_LOGIC_VECTOR(C_READ_WIDTH_B-1 DOWNTO 0) := hex_to_std_logic_vector(C_INITB_VAL, C_READ_WIDTH_B); -- memory output 'latches' SIGNAL memory_out_a : STD_LOGIC_VECTOR(C_READ_WIDTH_A-1 DOWNTO 0) := INITA_VAL; SIGNAL memory_out_b : STD_LOGIC_VECTOR(C_READ_WIDTH_B-1 DOWNTO 0) := INITB_VAL; SIGNAL sbiterr_in : STD_LOGIC := '0'; SIGNAL sbiterr_sdp : STD_LOGIC := '0'; SIGNAL dbiterr_in : STD_LOGIC := '0'; SIGNAL dbiterr_sdp : STD_LOGIC := '0'; SIGNAL rdaddrecc_in : STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL rdaddrecc_sdp : STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL doutb_i : STD_LOGIC_VECTOR(C_READ_WIDTH_B-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL rdaddrecc_i : STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL sbiterr_i : STD_LOGIC := '0'; SIGNAL dbiterr_i : STD_LOGIC := '0'; -- memory configuration constants ----------------------------------------------- CONSTANT SINGLE_PORT : INTEGER := get_single_port(C_MEM_TYPE); CONSTANT IS_ROM : INTEGER := get_is_rom(C_MEM_TYPE); CONSTANT HAS_A_WRITE : INTEGER := get_has_a_write(IS_ROM); CONSTANT HAS_B_WRITE : INTEGER := get_has_b_write(C_MEM_TYPE); CONSTANT HAS_A_READ : INTEGER := get_has_a_read(C_MEM_TYPE); CONSTANT HAS_B_READ : INTEGER := get_has_b_read(SINGLE_PORT); CONSTANT HAS_B_PORT : INTEGER := get_has_b_port(HAS_B_READ, HAS_B_WRITE); CONSTANT NUM_OUTPUT_STAGES_A : INTEGER := get_num_output_stages(C_HAS_MEM_OUTPUT_REGS_A, C_HAS_MUX_OUTPUT_REGS_A, C_MUX_PIPELINE_STAGES); CONSTANT NUM_OUTPUT_STAGES_B : INTEGER := get_num_output_stages(C_HAS_MEM_OUTPUT_REGS_B, C_HAS_MUX_OUTPUT_REGS_B, C_MUX_PIPELINE_STAGES); CONSTANT WEA0 : STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); CONSTANT WEB0 : STD_LOGIC_VECTOR(C_WEB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); ----------------------------------------------------------------------------- -- DEBUG CONTROL -- DEBUG=0 : Debug output OFF -- DEBUG=1 : Some debug info printed ----------------------------------------------------------------------------- CONSTANT DEBUG : INTEGER := 0; -- internal signals ----------------------------------------------- SIGNAL ena_i : STD_LOGIC; SIGNAL enb_i : STD_LOGIC; SIGNAL reseta_i : STD_LOGIC; SIGNAL resetb_i : STD_LOGIC; SIGNAL wea_i : STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0); SIGNAL web_i : STD_LOGIC_VECTOR(C_WEB_WIDTH-1 DOWNTO 0); SIGNAL rea_i : STD_LOGIC; SIGNAL reb_i : STD_LOGIC; SIGNAL message_complete : BOOLEAN := false; --********************************************************* --FUNCTION : Collision check --********************************************************* FUNCTION collision_check (addr_a : STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0); iswrite_a : BOOLEAN; addr_b : STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0); iswrite_b : BOOLEAN) RETURN BOOLEAN IS VARIABLE c_aw_bw : INTEGER; VARIABLE c_aw_br : INTEGER; VARIABLE c_ar_bw : INTEGER; VARIABLE write_addr_a_width : INTEGER; VARIABLE read_addr_a_width : INTEGER; VARIABLE write_addr_b_width : INTEGER; VARIABLE read_addr_b_width : INTEGER; BEGIN c_aw_bw := 0; c_aw_br := 0; c_ar_bw := 0; -- Determine the effective address widths FOR each of the 4 ports write_addr_a_width := C_ADDRA_WIDTH-log2roundup(WRITE_ADDR_A_DIV); read_addr_a_width := C_ADDRA_WIDTH-log2roundup(READ_ADDR_A_DIV); write_addr_b_width := C_ADDRB_WIDTH-log2roundup(WRITE_ADDR_B_DIV); read_addr_b_width := C_ADDRB_WIDTH-log2roundup(READ_ADDR_B_DIV); --Look FOR a write-write collision. In order FOR a write-write --collision to exist, both ports must have a write transaction. IF (iswrite_a AND iswrite_b) THEN IF (write_addr_a_width > write_addr_b_width) THEN --write_addr_b_width is smaller, so scale both addresses to that -- width FOR comparing write_addr_a and write_addr_b --addr_a starts as C_ADDRA_WIDTH, -- scale it down to write_addr_b_width --addr_b starts as C_ADDRB_WIDTH, -- scale it down to write_addr_b_width --Once both are scaled to write_addr_b_width, compare. IF ((conv_integer(addr_a)/2**(C_ADDRA_WIDTH-write_addr_b_width)) = (conv_integer(addr_b)/2**(C_ADDRB_WIDTH-write_addr_b_width))) THEN c_aw_bw := 1; ELSE c_aw_bw := 0; END IF; ELSE --write_addr_a_width is smaller, so scale both addresses to that -- width FOR comparing write_addr_a and write_addr_b --addr_a starts as C_ADDRA_WIDTH, -- scale it down to write_addr_a_width --addr_b starts as C_ADDRB_WIDTH, -- scale it down to write_addr_a_width --Once both are scaled to write_addr_a_width, compare. IF ((conv_integer(addr_b)/2**(C_ADDRB_WIDTH-write_addr_a_width)) = (conv_integer(addr_a)/2**(C_ADDRA_WIDTH-write_addr_a_width))) THEN c_aw_bw := 1; ELSE c_aw_bw := 0; END IF; END IF; --width END IF; --iswrite_a and iswrite_b --If the B port is reading (which means it is enabled - so could be -- a TX_WRITE or TX_READ), then check FOR a write-read collision). --This could happen whether or not a write-write collision exists due -- to asymmetric write/read ports. IF (iswrite_a) THEN IF (write_addr_a_width > read_addr_b_width) THEN --read_addr_b_width is smaller, so scale both addresses to that -- width FOR comparing write_addr_a and read_addr_b --addr_a starts as C_ADDRA_WIDTH, -- scale it down to read_addr_b_width --addr_b starts as C_ADDRB_WIDTH, -- scale it down to read_addr_b_width --Once both are scaled to read_addr_b_width, compare. IF ((conv_integer(addr_a)/2**(C_ADDRA_WIDTH-read_addr_b_width)) = (conv_integer(addr_b)/2**(C_ADDRB_WIDTH-read_addr_b_width))) THEN c_aw_br := 1; ELSE c_aw_br := 0; END IF; ELSE --write_addr_a_width is smaller, so scale both addresses to that -- width FOR comparing write_addr_a and read_addr_b --addr_a starts as C_ADDRA_WIDTH, -- scale it down to write_addr_a_width --addr_b starts as C_ADDRB_WIDTH, -- scale it down to write_addr_a_width --Once both are scaled to write_addr_a_width, compare. IF ((conv_integer(addr_b)/2**(C_ADDRB_WIDTH-write_addr_a_width)) = (conv_integer(addr_a)/2**(C_ADDRA_WIDTH-write_addr_a_width))) THEN c_aw_br := 1; ELSE c_aw_br := 0; END IF; END IF; --width END IF; --iswrite_a --If the A port is reading (which means it is enabled - so could be -- a TX_WRITE or TX_READ), then check FOR a write-read collision). --This could happen whether or not a write-write collision exists due -- to asymmetric write/read ports. IF (iswrite_b) THEN IF (read_addr_a_width > write_addr_b_width) THEN --write_addr_b_width is smaller, so scale both addresses to that -- width FOR comparing read_addr_a and write_addr_b --addr_a starts as C_ADDRA_WIDTH, -- scale it down to write_addr_b_width --addr_b starts as C_ADDRB_WIDTH, -- scale it down to write_addr_b_width --Once both are scaled to write_addr_b_width, compare. IF ((conv_integer(addr_a)/2**(C_ADDRA_WIDTH-write_addr_b_width)) = (conv_integer(addr_b)/2**(C_ADDRB_WIDTH-write_addr_b_width))) THEN c_ar_bw := 1; ELSE c_ar_bw := 0; END IF; ELSE --read_addr_a_width is smaller, so scale both addresses to that -- width FOR comparing read_addr_a and write_addr_b --addr_a starts as C_ADDRA_WIDTH, -- scale it down to read_addr_a_width --addr_b starts as C_ADDRB_WIDTH, -- scale it down to read_addr_a_width --Once both are scaled to read_addr_a_width, compare. IF ((conv_integer(addr_b)/2**(C_ADDRB_WIDTH-read_addr_a_width)) = (conv_integer(addr_a)/2**(C_ADDRA_WIDTH-read_addr_a_width))) THEN c_ar_bw := 1; ELSE c_ar_bw := 0; END IF; END IF; --width END IF; --iswrite_b RETURN (c_aw_bw=1 OR c_aw_br=1 OR c_ar_bw=1); END FUNCTION collision_check; BEGIN -- Architecture ----------------------------------------------------------------------------- -- SOFTECC and ECC SBITERR/DBITERR Outputs -- The ECC Behavior is modeled by the behavioral models only for Virtex-6. -- The SOFTECC Behavior is modeled by the behavioral models for Spartan-6. -- For Virtex-5, these outputs will be tied to 0. ----------------------------------------------------------------------------- SBITERR <= sbiterr_sdp WHEN ((C_MEM_TYPE = 1 AND C_USE_ECC = 1) OR C_USE_SOFTECC = 1) ELSE '0'; DBITERR <= dbiterr_sdp WHEN ((C_MEM_TYPE = 1 AND C_USE_ECC = 1) OR C_USE_SOFTECC = 1) ELSE '0'; RDADDRECC <= rdaddrecc_sdp WHEN (((C_FAMILY="virtex7") AND C_MEM_TYPE = 1 AND C_USE_ECC = 1) OR C_USE_SOFTECC = 1) ELSE (OTHERS => '0'); ----------------------------------------------- -- This effectively wires off optional inputs ----------------------------------------------- ena_i <= ENA WHEN (C_HAS_ENA=1) ELSE '1'; enb_i <= ENB WHEN (C_HAS_ENB=1 AND HAS_B_PORT=1) ELSE '1'; wea_i <= WEA WHEN (HAS_A_WRITE=1 AND ena_i='1') ELSE WEA0; web_i <= WEB WHEN (HAS_B_WRITE=1 AND enb_i='1') ELSE WEB0; rea_i <= ena_i WHEN (HAS_A_READ=1) ELSE '0'; reb_i <= enb_i WHEN (HAS_B_READ=1) ELSE '0'; -- these signals reset the memory latches -- For the special reset behaviors in some of the families, the C_RSTRAM -- attribute of the corresponding port is used to indicate if the latch is -- reset or not. reseta_i <= RSTA WHEN ((C_HAS_RSTA=1 AND NUM_OUTPUT_STAGES_A=0) OR (C_HAS_RSTA=1 AND C_RSTRAM_A=1)) ELSE '0'; resetb_i <= RSTB WHEN ((C_HAS_RSTB=1 AND NUM_OUTPUT_STAGES_B=0) OR (C_HAS_RSTB=1 AND C_RSTRAM_B=1) ) ELSE '0'; --*************************************************************************** -- This is the main PROCESS which includes the memory VARIABLE and the read -- and write procedures. It also schedules read and write operations --*************************************************************************** PROCESS (CLKA, CLKB,rea_i,reb_i,reseta_i,resetb_i) -- Initialize the init memory array ------------------------------------ VARIABLE memory : mem_array := init_memory(DEFAULT_DATA, C_WRITE_WIDTH_A, MAX_DEPTH, MIN_WIDTH); -- Initialize the mem memory array ------------------------------------ VARIABLE softecc_sbiterr_arr : softecc_err_array; VARIABLE softecc_dbiterr_arr : softecc_err_array; VARIABLE sbiterr_arr : ecc_err_array; VARIABLE dbiterr_arr : ecc_err_array; CONSTANT doublebit_lsb : STD_LOGIC_VECTOR (1 DOWNTO 0):="11"; CONSTANT doublebit_msb : STD_LOGIC_VECTOR (C_WRITE_WIDTH_A+CHKBIT_WIDTH-3 DOWNTO 0):= (OTHERS => '0'); VARIABLE doublebit_error : STD_LOGIC_VECTOR(C_WRITE_WIDTH_A+CHKBIT_WIDTH-1 DOWNTO 0) := doublebit_msb & doublebit_lsb ; VARIABLE current_contents_var : STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0); --*********************************** -- procedures to access the memory --*********************************** -- write_a ---------- PROCEDURE write_a (addr : IN STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0); byte_en : IN STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0); data : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0); inj_sbiterr : IN STD_LOGIC; inj_dbiterr : IN STD_LOGIC) IS VARIABLE current_contents : STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0); VARIABLE address_i : INTEGER; VARIABLE i : INTEGER; VARIABLE message : LINE; VARIABLE errbit_current_contents : STD_LOGIC_VECTOR(1 DOWNTO 0); BEGIN -- Block Memory Generator non-cycle-accurate message ASSERT (message_complete) REPORT "Block Memory Generator module is using a behavioral model FOR simulation which will not precisely model memory collision behavior." SEVERITY NOTE; message_complete <= true; -- Shift the address by the ratio address_i := (conv_integer(addr)/WRITE_ADDR_A_DIV); IF (address_i >= C_WRITE_DEPTH_A) THEN IF (C_DISABLE_WARN_BHV_RANGE = 0) THEN ASSERT FALSE REPORT C_CORENAME & " WARNING: Address " & INTEGER'IMAGE(conv_integer(addr)) & " is outside range FOR A Write" SEVERITY WARNING; END IF; -- valid address ELSE -- Combine w/ byte writes IF (C_USE_BYTE_WEA = 1) THEN -- Get the current memory contents FOR i IN 0 TO WRITE_WIDTH_RATIO_A-1 LOOP current_contents(MIN_WIDTH*(i+1)-1 DOWNTO MIN_WIDTH*i) := memory(address_i*WRITE_WIDTH_RATIO_A + i); END LOOP; -- Apply incoming bytes FOR i IN 0 TO C_WEA_WIDTH-1 LOOP IF (byte_en(i) = '1') THEN current_contents(C_BYTE_SIZE*(i+1)-1 DOWNTO C_BYTE_SIZE*i) := data(C_BYTE_SIZE*(i+1)-1 DOWNTO C_BYTE_SIZE*i); END IF; END LOOP; -- No byte-writes, overwrite the whole word ELSE current_contents := data; END IF; -- Insert double bit errors: IF (C_USE_ECC = 1) THEN IF ((C_HAS_INJECTERR = 2 OR C_HAS_INJECTERR = 3) AND inj_dbiterr = '1') THEN current_contents(0) := NOT(current_contents(0)); current_contents(1) := NOT(current_contents(1)); END IF; END IF; -- Insert double bit errors: IF (C_USE_SOFTECC=1) THEN IF ((C_HAS_INJECTERR = 2 OR C_HAS_INJECTERR = 3) AND inj_dbiterr = '1') THEN doublebit_error(C_WRITE_WIDTH_A+CHKBIT_WIDTH-1 downto 2) := doublebit_error(C_WRITE_WIDTH_A+CHKBIT_WIDTH-3 downto 0); doublebit_error(0) := doublebit_error(C_WRITE_WIDTH_A+CHKBIT_WIDTH-1); doublebit_error(1) := doublebit_error(C_WRITE_WIDTH_A+CHKBIT_WIDTH-2); current_contents := current_contents XOR doublebit_error(C_WRITE_WIDTH_A-1 DOWNTO 0); END IF; END IF; IF(DEBUG=1) THEN current_contents_var := current_contents; --for debugging current END IF; -- Write data to memory FOR i IN 0 TO WRITE_WIDTH_RATIO_A-1 LOOP memory(address_i*WRITE_WIDTH_RATIO_A + i) := current_contents(MIN_WIDTH*(i+1)-1 DOWNTO MIN_WIDTH*i); END LOOP; -- Store address at which error is injected: IF ((C_FAMILY = "virtex7") AND C_USE_ECC = 1) THEN IF ((C_HAS_INJECTERR = 1 AND inj_sbiterr = '1') OR (C_HAS_INJECTERR = 3 AND inj_sbiterr = '1' AND inj_dbiterr /= '1')) THEN sbiterr_arr(address_i) := '1'; ELSE sbiterr_arr(address_i) := '0'; END IF; IF ((C_HAS_INJECTERR = 2 OR C_HAS_INJECTERR = 3) AND inj_dbiterr = '1') THEN dbiterr_arr(address_i) := '1'; ELSE dbiterr_arr(address_i) := '0'; END IF; END IF; -- Store address at which softecc error is injected: IF (C_USE_SOFTECC = 1) THEN IF ((C_HAS_INJECTERR = 1 AND inj_sbiterr = '1') OR (C_HAS_INJECTERR = 3 AND inj_sbiterr = '1' AND inj_dbiterr /= '1')) THEN softecc_sbiterr_arr(address_i) := '1'; ELSE softecc_sbiterr_arr(address_i) := '0'; END IF; IF ((C_HAS_INJECTERR = 2 OR C_HAS_INJECTERR = 3) AND inj_dbiterr = '1') THEN softecc_dbiterr_arr(address_i) := '1'; ELSE softecc_dbiterr_arr(address_i) := '0'; END IF; END IF; END IF; END PROCEDURE; -- write_b ---------- PROCEDURE write_b (addr : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0); byte_en : IN STD_LOGIC_VECTOR(C_WEB_WIDTH-1 DOWNTO 0); data : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0)) IS VARIABLE current_contents : STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0); VARIABLE address_i : INTEGER; VARIABLE i : INTEGER; BEGIN -- Shift the address by the ratio address_i := (conv_integer(addr)/WRITE_ADDR_B_DIV); IF (address_i >= C_WRITE_DEPTH_B) THEN IF (C_DISABLE_WARN_BHV_RANGE = 0) THEN ASSERT FALSE REPORT C_CORENAME & " WARNING: Address " & INTEGER'IMAGE(conv_integer(addr)) & " is outside range for B Write" SEVERITY WARNING; END IF; -- valid address ELSE -- Combine w/ byte writes IF (C_USE_BYTE_WEB = 1) THEN -- Get the current memory contents FOR i IN 0 TO WRITE_WIDTH_RATIO_B-1 LOOP current_contents(MIN_WIDTH*(i+1)-1 DOWNTO MIN_WIDTH*i) := memory(address_i*WRITE_WIDTH_RATIO_B + i); END LOOP; -- Apply incoming bytes FOR i IN 0 TO C_WEB_WIDTH-1 LOOP IF (byte_en(i) = '1') THEN current_contents(C_BYTE_SIZE*(i+1)-1 DOWNTO C_BYTE_SIZE*i) := data(C_BYTE_SIZE*(i+1)-1 DOWNTO C_BYTE_SIZE*i); END IF; END LOOP; -- No byte-writes, overwrite the whole word ELSE current_contents := data; END IF; -- Write data to memory FOR i IN 0 TO WRITE_WIDTH_RATIO_B-1 LOOP memory(address_i*WRITE_WIDTH_RATIO_B + i) := current_contents(MIN_WIDTH*(i+1)-1 DOWNTO MIN_WIDTH*i); END LOOP; END IF; END PROCEDURE; -- read_a ---------- PROCEDURE read_a (addr : IN STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0); reset : IN STD_LOGIC) IS VARIABLE address_i : INTEGER; VARIABLE i : INTEGER; BEGIN IF (reset = '1') THEN memory_out_a <= INITA_VAL AFTER FLOP_DELAY; ELSE -- Shift the address by the ratio address_i := (conv_integer(addr)/READ_ADDR_A_DIV); IF (address_i >= C_READ_DEPTH_A) THEN IF (C_DISABLE_WARN_BHV_RANGE=0) THEN ASSERT FALSE REPORT C_CORENAME & " WARNING: Address " & INTEGER'IMAGE(conv_integer(addr)) & " is outside range for A Read" SEVERITY WARNING; END IF; memory_out_a <= (OTHERS => 'X') AFTER FLOP_DELAY; -- valid address ELSE -- Increment through the 'partial' words in the memory FOR i IN 0 TO READ_WIDTH_RATIO_A-1 LOOP memory_out_a(MIN_WIDTH*(i+1)-1 DOWNTO MIN_WIDTH*i) <= memory(address_i*READ_WIDTH_RATIO_A + i) AFTER FLOP_DELAY; END LOOP; END IF; END IF; END PROCEDURE; -- read_b ---------- PROCEDURE read_b (addr : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0); reset : IN STD_LOGIC) IS VARIABLE address_i : INTEGER; VARIABLE i : INTEGER; BEGIN IF (reset = '1') THEN memory_out_b <= INITB_VAL AFTER FLOP_DELAY; sbiterr_in <= '0' AFTER FLOP_DELAY; dbiterr_in <= '0' AFTER FLOP_DELAY; rdaddrecc_in <= (OTHERS => '0') AFTER FLOP_DELAY; ELSE -- Shift the address by the ratio address_i := (conv_integer(addr)/READ_ADDR_B_DIV); IF (address_i >= C_READ_DEPTH_B) THEN IF (C_DISABLE_WARN_BHV_RANGE=0) THEN ASSERT FALSE REPORT C_CORENAME & " WARNING: Address " & INTEGER'IMAGE(conv_integer(addr)) & " is outside range for B Read" SEVERITY WARNING; END IF; memory_out_b <= (OTHERS => 'X') AFTER FLOP_DELAY; sbiterr_in <= 'X' AFTER FLOP_DELAY; dbiterr_in <= 'X' AFTER FLOP_DELAY; rdaddrecc_in <= (OTHERS => 'X') AFTER FLOP_DELAY; -- valid address ELSE -- Increment through the 'partial' words in the memory FOR i IN 0 TO READ_WIDTH_RATIO_B-1 LOOP memory_out_b(MIN_WIDTH*(i+1)-1 DOWNTO MIN_WIDTH*i) <= memory(address_i*READ_WIDTH_RATIO_B + i) AFTER FLOP_DELAY; END LOOP; --assert sbiterr and dbiterr signals IF ((C_FAMILY="virtex7") AND C_USE_ECC = 1) THEN rdaddrecc_in <= addr AFTER FLOP_DELAY; IF (sbiterr_arr(address_i) = '1') THEN sbiterr_in <= '1' AFTER FLOP_DELAY; ELSE sbiterr_in <= '0' AFTER FLOP_DELAY; END IF; IF (dbiterr_arr(address_i) = '1') THEN dbiterr_in <= '1' AFTER FLOP_DELAY; ELSE dbiterr_in <= '0' AFTER FLOP_DELAY; END IF; --assert softecc sbiterr and dbiterr signals ELSIF (C_USE_SOFTECC = 1) THEN rdaddrecc_in <= addr AFTER FLOP_DELAY; IF (softecc_sbiterr_arr(address_i) = '1') THEN sbiterr_in <= '1' AFTER FLOP_DELAY; ELSE sbiterr_in <= '0' AFTER FLOP_DELAY; END IF; IF (softecc_dbiterr_arr(address_i) = '1') THEN dbiterr_in <= '1' AFTER FLOP_DELAY; ELSE dbiterr_in <= '0' AFTER FLOP_DELAY; END IF; ELSE sbiterr_in <= '0' AFTER FLOP_DELAY; dbiterr_in <= '0' AFTER FLOP_DELAY; rdaddrecc_in <= (OTHERS => '0') AFTER FLOP_DELAY; END IF; END IF; END IF; END PROCEDURE; -- reset_a ---------- PROCEDURE reset_a (reset : IN STD_LOGIC) IS BEGIN IF (reset = '1') THEN memory_out_a <= INITA_VAL AFTER FLOP_DELAY; END IF; END PROCEDURE; -- reset_b ---------- PROCEDURE reset_b (reset : IN STD_LOGIC) IS BEGIN IF (reset = '1') THEN memory_out_b <= INITB_VAL AFTER FLOP_DELAY; END IF; END PROCEDURE; BEGIN -- begin the main PROCESS --*************************************************************************** -- These are the main blocks which schedule read and write operations -- Note that the reset priority feature at the latch stage is only supported -- for Spartan-6. For other families, the default priority at the latch stage -- is "CE" --*************************************************************************** -- Synchronous clocks: schedule port operations with respect to both -- write operating modes IF (C_COMMON_CLK=1) THEN IF (CLKA='1' AND CLKA'EVENT) THEN CASE WRITE_MODES IS WHEN "0000" => -- write_first write_first --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; --Read A IF (rea_i='1') THEN read_a(ADDRA, reseta_i); END IF; --Read B IF (reb_i='1') THEN read_b(ADDRB, resetb_i); END IF; WHEN "0100" => -- read_first write_first --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; --Read B IF (reb_i='1') THEN read_b(ADDRB, resetb_i); END IF; --Read A IF (rea_i='1') THEN read_a(ADDRA, reseta_i); END IF; --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; WHEN "0001" => -- write_first read_first --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Read A IF (rea_i='1') THEN read_a(ADDRA, reseta_i); END IF; --Read B IF (reb_i='1') THEN read_b(ADDRB, resetb_i); END IF; --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; WHEN "0101" => --read_first read_first --Read A IF (rea_i='1') THEN read_a(ADDRA, reseta_i); END IF; --Read B IF (reb_i='1') THEN read_b(ADDRB, resetb_i); END IF; --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; WHEN "0010" => -- write_first no_change --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Read A IF (rea_i='1') THEN read_a(ADDRA, reseta_i); END IF; --Read B IF (reb_i='1' AND (web_i=WEB0 OR resetb_i='1')) THEN read_b(ADDRB, resetb_i); END IF; --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; WHEN "0110" => -- read_first no_change --Read A IF (rea_i='1') THEN read_a(ADDRA, reseta_i); END IF; --Read B IF (reb_i='1' AND (web_i=WEB0 OR resetb_i='1')) THEN read_b(ADDRB, resetb_i); END IF; --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; WHEN "1000" => -- no_change write_first --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; --Read A IF (rea_i='1' AND (wea_i=WEA0 OR reseta_i='1')) THEN read_a(ADDRA, reseta_i); END IF; --Read B IF (reb_i='1') THEN read_b(ADDRB, resetb_i); END IF; WHEN "1001" => -- no_change read_first --Read B IF (reb_i='1') THEN read_b(ADDRB, resetb_i); END IF; --Read A IF (rea_i='1' AND (wea_i=WEA0 OR reseta_i='1')) THEN read_a(ADDRA, reseta_i); END IF; --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; WHEN "1010" => -- no_change no_change --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; --Read A IF (rea_i='1' AND (wea_i=WEA0 OR reseta_i='1')) THEN read_a(ADDRA, reseta_i); END IF; --Read B IF (reb_i='1' AND (web_i=WEB0 OR resetb_i='1')) THEN read_b(ADDRB, resetb_i); END IF; WHEN OTHERS => ASSERT FALSE REPORT "Invalid Operating Mode" SEVERITY ERROR; END CASE; END IF; END IF; -- Synchronous clocks -- Asynchronous clocks: port operation is independent IF (C_COMMON_CLK=0) THEN IF (CLKA='1' AND CLKA'EVENT) THEN CASE WRITE_MODE_A IS WHEN "00" => -- write_first --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Read A IF (rea_i='1') THEN read_a(ADDRA, reseta_i); END IF; WHEN "01" => -- read_first --Read A IF (rea_i='1') THEN read_a(ADDRA, reseta_i); END IF; --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; WHEN "10" => -- no_change --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Read A IF (rea_i='1' AND (wea_i=WEA0 OR reseta_i='1')) THEN read_a(ADDRA, reseta_i); END IF; WHEN OTHERS => ASSERT FALSE REPORT "Invalid Operating Mode" SEVERITY ERROR; END CASE; END IF; IF (CLKB='1' AND CLKB'EVENT) THEN CASE WRITE_MODE_B IS WHEN "00" => -- write_first --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; --Read B IF (reb_i='1') THEN read_b(ADDRB, resetb_i); END IF; WHEN "01" => -- read_first --Read B IF (reb_i='1') THEN read_b(ADDRB, resetb_i); END IF; --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; WHEN "10" => -- no_change --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; --Read B IF (reb_i='1' AND (web_i=WEB0 OR resetb_i='1')) THEN read_b(ADDRB, resetb_i); END IF; WHEN OTHERS => ASSERT FALSE REPORT "Invalid Operating Mode" SEVERITY ERROR; END CASE; END IF; END IF; -- Asynchronous clocks -- Assign the memory VARIABLE to the user_visible memory_i SIGNAL IF(DEBUG=1) THEN memory_i <= memory; doublebit_error_i <= doublebit_error; current_contents_i <= current_contents_var; END IF; END PROCESS; --******************************************************************** -- Instantiate the VARIABLE depth output stage --******************************************************************** -- Port A reg_a : BLK_MEM_GEN_v8_0_output_stage GENERIC MAP( C_FAMILY => C_FAMILY, C_XDEVICEFAMILY => C_XDEVICEFAMILY, C_RST_TYPE => C_RST_TYPE, C_HAS_RST => C_HAS_RSTA, C_RSTRAM => C_RSTRAM_A, C_RST_PRIORITY => C_RST_PRIORITY_A, init_val => INITA_VAL, C_HAS_EN => C_HAS_ENA, C_HAS_REGCE => C_HAS_REGCEA, C_DATA_WIDTH => C_READ_WIDTH_A, C_ADDRB_WIDTH => C_ADDRB_WIDTH, C_HAS_MEM_OUTPUT_REGS => C_HAS_MEM_OUTPUT_REGS_A, C_USE_SOFTECC => C_USE_SOFTECC, C_USE_ECC => C_USE_ECC, NUM_STAGES => NUM_OUTPUT_STAGES_A, FLOP_DELAY => FLOP_DELAY ) PORT MAP ( CLK => CLKA, RST => RSTA, EN => ENA, REGCE => REGCEA, DIN => memory_out_a, DOUT => DOUTA, SBITERR_IN => '0', DBITERR_IN => '0', SBITERR => OPEN, DBITERR => OPEN, RDADDRECC_IN => (OTHERS => '0'), RDADDRECC => OPEN ); -- Port B reg_b : BLK_MEM_GEN_v8_0_output_stage GENERIC MAP( C_FAMILY => C_FAMILY, C_XDEVICEFAMILY => C_XDEVICEFAMILY, C_RST_TYPE => C_RST_TYPE, C_HAS_RST => C_HAS_RSTB, C_RSTRAM => C_RSTRAM_B, C_RST_PRIORITY => C_RST_PRIORITY_B, init_val => INITB_VAL, C_HAS_EN => C_HAS_ENB, C_HAS_REGCE => C_HAS_REGCEB, C_DATA_WIDTH => C_READ_WIDTH_B, C_ADDRB_WIDTH => C_ADDRB_WIDTH, C_HAS_MEM_OUTPUT_REGS => C_HAS_MEM_OUTPUT_REGS_B, C_USE_SOFTECC => C_USE_SOFTECC, C_USE_ECC => C_USE_ECC, NUM_STAGES => NUM_OUTPUT_STAGES_B, FLOP_DELAY => FLOP_DELAY ) PORT MAP ( CLK => CLKB, RST => RSTB, EN => ENB, REGCE => REGCEB, DIN => memory_out_b, DOUT => doutb_i, SBITERR_IN => sbiterr_in, DBITERR_IN => dbiterr_in, SBITERR => sbiterr_i, DBITERR => dbiterr_i, RDADDRECC_IN => rdaddrecc_in, RDADDRECC => rdaddrecc_i ); --******************************************************************** -- Instantiate the input / Output Register stages --******************************************************************** output_reg_stage: BLK_MEM_GEN_v8_0_softecc_output_reg_stage GENERIC MAP( C_DATA_WIDTH => C_READ_WIDTH_B, C_ADDRB_WIDTH => C_ADDRB_WIDTH, C_HAS_SOFTECC_OUTPUT_REGS_B => C_HAS_SOFTECC_OUTPUT_REGS_B, C_USE_SOFTECC => C_USE_SOFTECC, FLOP_DELAY => FLOP_DELAY ) PORT MAP( CLK => CLKB, DIN => doutb_i, DOUT => DOUTB, SBITERR_IN => sbiterr_i, DBITERR_IN => dbiterr_i, SBITERR => sbiterr_sdp, DBITERR => dbiterr_sdp, RDADDRECC_IN => rdaddrecc_i, RDADDRECC => rdaddrecc_sdp ); --********************************* -- Synchronous collision checks --********************************* sync_coll: IF (C_DISABLE_WARN_BHV_COLL=0 AND C_COMMON_CLK=1) GENERATE PROCESS (CLKA) use IEEE.STD_LOGIC_TEXTIO.ALL; -- collision detect VARIABLE is_collision : BOOLEAN; VARIABLE message : LINE; BEGIN IF (CLKA='1' AND CLKA'EVENT) THEN -- Possible collision if both are enabled and the addresses match -- Not checking the collision condition when there is an 'x' on the Addr bus IF (ena_i='1' AND enb_i='1' AND OR_REDUCE(ADDRA)/='X') THEN is_collision := collision_check(ADDRA, wea_i/=WEA0, ADDRB, web_i/=WEB0); ELSE is_collision := false; END IF; -- If the write port is in READ_FIRST mode, there is no collision IF (C_WRITE_MODE_A="READ_FIRST" AND wea_i/=WEA0 AND web_i=WEB0) THEN is_collision := false; END IF; IF (C_WRITE_MODE_B="READ_FIRST" AND web_i/=WEB0 AND wea_i=WEA0) THEN is_collision := false; END IF; -- Only flag if one of the accesses is a write IF (is_collision AND (wea_i/=WEA0 OR web_i/=WEB0)) THEN write(message, C_CORENAME); write(message, STRING'(" WARNING: collision detected: ")); IF (wea_i/=WEA0) THEN write(message, STRING'("A write address: ")); ELSE write(message, STRING'("A read address: ")); END IF; write(message, ADDRA); IF (web_i/=WEB0) THEN write(message, STRING'(", B write address: ")); ELSE write(message, STRING'(", B read address: ")); END IF; write(message, ADDRB); write(message, LF); ASSERT false REPORT message.ALL SEVERITY WARNING; deallocate(message); END IF; END IF; END PROCESS; END GENERATE; --********************************* -- Asynchronous collision checks --********************************* async_coll: IF (C_DISABLE_WARN_BHV_COLL=0 AND C_COMMON_CLK=0) GENERATE SIGNAL addra_delay : STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0); SIGNAL wea_delay : STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0); SIGNAL ena_delay : STD_LOGIC; SIGNAL addrb_delay : STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0); SIGNAL web_delay : STD_LOGIC_VECTOR(C_WEB_WIDTH-1 DOWNTO 0); SIGNAL enb_delay : STD_LOGIC; BEGIN -- Delay A and B addresses in order to mimic setup/hold times PROCESS (ADDRA, wea_i, ena_i, ADDRB, web_i, enb_i) BEGIN addra_delay <= ADDRA AFTER COLL_DELAY; wea_delay <= wea_i AFTER COLL_DELAY; ena_delay <= ena_i AFTER COLL_DELAY; addrb_delay <= ADDRB AFTER COLL_DELAY; web_delay <= web_i AFTER COLL_DELAY; enb_delay <= enb_i AFTER COLL_DELAY; END PROCESS; -- Do the checks w/rt A PROCESS (CLKA) use IEEE.STD_LOGIC_TEXTIO.ALL; VARIABLE is_collision_a : BOOLEAN; VARIABLE is_collision_delay_a : BOOLEAN; VARIABLE message : LINE; BEGIN -- Possible collision if both are enabled and the addresses match -- Not checking the collision condition when there is an 'x' on the Addr bus IF (ena_i='1' AND enb_i='1' AND OR_REDUCE(ADDRA)/='X') THEN is_collision_a := collision_check(ADDRA, wea_i/=WEA0, ADDRB, web_i/=WEB0); ELSE is_collision_a := false; END IF; IF (ena_i='1' AND enb_delay='1' AND OR_REDUCE(ADDRA)/='X') THEN is_collision_delay_a := collision_check(ADDRA, wea_i/=WEA0, addrb_delay, web_delay/=WEB0); ELSE is_collision_delay_a := false; END IF; -- Only flag if B access is a write IF (is_collision_a AND web_i/=WEB0) THEN write(message, C_CORENAME); write(message, STRING'(" WARNING: collision detected: ")); IF (wea_i/=WEA0) THEN write(message, STRING'("A write address: ")); ELSE write(message, STRING'("A read address: ")); END IF; write(message, ADDRA); write(message, STRING'(", B write address: ")); write(message, ADDRB); write(message, LF); ASSERT false REPORT message.ALL SEVERITY WARNING; deallocate(message); ELSIF (is_collision_delay_a AND web_delay/=WEB0) THEN write(message, C_CORENAME); write(message, STRING'(" WARNING: collision detected: ")); IF (wea_i/=WEA0) THEN write(message, STRING'("A write address: ")); ELSE write(message, STRING'("A read address: ")); END IF; write(message, ADDRA); write(message, STRING'(", B write address: ")); write(message, addrb_delay); write(message, LF); ASSERT false REPORT message.ALL SEVERITY WARNING; deallocate(message); END IF; END PROCESS; -- Do the checks w/rt B PROCESS (CLKB) use IEEE.STD_LOGIC_TEXTIO.ALL; VARIABLE is_collision_b : BOOLEAN; VARIABLE is_collision_delay_b : BOOLEAN; VARIABLE message : LINE; BEGIN -- Possible collision if both are enabled and the addresses match -- Not checking the collision condition when there is an 'x' on the Addr bus IF (ena_i='1' AND enb_i='1' AND OR_REDUCE(ADDRA) /= 'X') THEN is_collision_b := collision_check(ADDRA, wea_i/=WEA0, ADDRB, web_i/=WEB0); ELSE is_collision_b := false; END IF; IF (ena_i='1' AND enb_delay='1' AND OR_REDUCE(addra_delay) /= 'X') THEN is_collision_delay_b := collision_check(addra_delay, wea_delay/=WEA0, ADDRB, web_i/=WEB0); ELSE is_collision_delay_b := false; END IF; -- Only flag if A access is a write -- Modified condition checking (is_collision_b AND WEA0_i=/WEA0) to fix CR526228 IF (is_collision_b AND wea_i/=WEA0) THEN write(message, C_CORENAME); write(message, STRING'(" WARNING: collision detected: ")); write(message, STRING'("A write address: ")); write(message, ADDRA); IF (web_i/=WEB0) THEN write(message, STRING'(", B write address: ")); ELSE write(message, STRING'(", B read address: ")); END IF; write(message, ADDRB); write(message, LF); ASSERT false REPORT message.ALL SEVERITY WARNING; deallocate(message); ELSIF (is_collision_delay_b AND wea_delay/=WEA0) THEN write(message, C_CORENAME); write(message, STRING'(" WARNING: collision detected: ")); write(message, STRING'("A write address: ")); write(message, addra_delay); IF (web_i/=WEB0) THEN write(message, STRING'(", B write address: ")); ELSE write(message, STRING'(", B read address: ")); END IF; write(message, ADDRB); write(message, LF); ASSERT false REPORT message.ALL SEVERITY WARNING; deallocate(message); END IF; END PROCESS; END GENERATE; END mem_module_behavioral; --****************************************************************************** -- Top module that wraps SoftECC Input register stage and the main memory module -- -- This module is the top-level of behavioral model --****************************************************************************** LIBRARY STD; USE STD.TEXTIO.ALL; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY blk_mem_gen_v8_0 IS GENERIC ( C_CORENAME : STRING := "blk_mem_gen_v8_0"; C_FAMILY : STRING := "virtex7"; C_XDEVICEFAMILY : STRING := "virtex7"; C_ELABORATION_DIR : STRING := ""; C_INTERFACE_TYPE : INTEGER := 0; C_USE_BRAM_BLOCK : INTEGER := 0; C_ENABLE_32BIT_ADDRESS : INTEGER := 0; C_CTRL_ECC_ALGO : STRING := "NONE"; C_AXI_TYPE : INTEGER := 0; C_AXI_SLAVE_TYPE : INTEGER := 0; C_HAS_AXI_ID : INTEGER := 0; C_AXI_ID_WIDTH : INTEGER := 4; C_MEM_TYPE : INTEGER := 2; C_BYTE_SIZE : INTEGER := 8; C_ALGORITHM : INTEGER := 2; C_PRIM_TYPE : INTEGER := 3; C_LOAD_INIT_FILE : INTEGER := 0; C_INIT_FILE_NAME : STRING := ""; C_INIT_FILE : STRING := ""; C_USE_DEFAULT_DATA : INTEGER := 0; C_DEFAULT_DATA : STRING := ""; C_RST_TYPE : STRING := "SYNC"; C_HAS_RSTA : INTEGER := 0; C_RST_PRIORITY_A : STRING := "CE"; C_RSTRAM_A : INTEGER := 0; C_INITA_VAL : STRING := ""; C_HAS_ENA : INTEGER := 1; C_HAS_REGCEA : INTEGER := 0; C_USE_BYTE_WEA : INTEGER := 0; C_WEA_WIDTH : INTEGER := 1; C_WRITE_MODE_A : STRING := "WRITE_FIRST"; C_WRITE_WIDTH_A : INTEGER := 32; C_READ_WIDTH_A : INTEGER := 32; C_WRITE_DEPTH_A : INTEGER := 64; C_READ_DEPTH_A : INTEGER := 64; C_ADDRA_WIDTH : INTEGER := 6; C_HAS_RSTB : INTEGER := 0; C_RST_PRIORITY_B : STRING := "CE"; C_RSTRAM_B : INTEGER := 0; C_INITB_VAL : STRING := ""; C_HAS_ENB : INTEGER := 1; C_HAS_REGCEB : INTEGER := 0; C_USE_BYTE_WEB : INTEGER := 0; C_WEB_WIDTH : INTEGER := 1; C_WRITE_MODE_B : STRING := "WRITE_FIRST"; C_WRITE_WIDTH_B : INTEGER := 32; C_READ_WIDTH_B : INTEGER := 32; C_WRITE_DEPTH_B : INTEGER := 64; C_READ_DEPTH_B : INTEGER := 64; C_ADDRB_WIDTH : INTEGER := 6; C_HAS_MEM_OUTPUT_REGS_A : INTEGER := 0; C_HAS_MEM_OUTPUT_REGS_B : INTEGER := 0; C_HAS_MUX_OUTPUT_REGS_A : INTEGER := 0; C_HAS_MUX_OUTPUT_REGS_B : INTEGER := 0; C_HAS_SOFTECC_INPUT_REGS_A : INTEGER := 0; C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER := 0; C_MUX_PIPELINE_STAGES : INTEGER := 0; C_USE_SOFTECC : INTEGER := 0; C_USE_ECC : INTEGER := 0; C_HAS_INJECTERR : INTEGER := 0; C_SIM_COLLISION_CHECK : STRING := "NONE"; C_COMMON_CLK : INTEGER := 1; C_DISABLE_WARN_BHV_COLL : INTEGER := 0; C_DISABLE_WARN_BHV_RANGE : INTEGER := 0 ); PORT ( clka : IN STD_LOGIC := '0'; rsta : IN STD_LOGIC := '0'; ena : IN STD_LOGIC := '1'; regcea : IN STD_LOGIC := '1'; wea : IN STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); addra : IN STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0):= (OTHERS => '0'); dina : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0) := (OTHERS => '0'); douta : OUT STD_LOGIC_VECTOR(C_READ_WIDTH_A-1 DOWNTO 0); clkb : IN STD_LOGIC := '0'; rstb : IN STD_LOGIC := '0'; enb : IN STD_LOGIC := '1'; regceb : IN STD_LOGIC := '1'; web : IN STD_LOGIC_VECTOR(C_WEB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); addrb : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); dinb : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0) := (OTHERS => '0'); doutb : OUT STD_LOGIC_VECTOR(C_READ_WIDTH_B-1 DOWNTO 0); injectsbiterr : IN STD_LOGIC := '0'; injectdbiterr : IN STD_LOGIC := '0'; sbiterr : OUT STD_LOGIC := '0'; dbiterr : OUT STD_LOGIC := '0'; rdaddrecc : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0); -- AXI BMG Input and Output Port Declarations -- AXI Global Signals s_aclk : IN STD_LOGIC := '0'; s_aresetn : IN STD_LOGIC := '0'; -- axi full/lite slave Write (write side) s_axi_awid : IN STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0'); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0'); s_axi_awvalid : IN STD_LOGIC := '0'; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wstrb : IN STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wlast : IN STD_LOGIC := '0'; s_axi_wvalid : IN STD_LOGIC := '0'; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC := '0'; -- axi full/lite slave Read (Write side) s_axi_arid : IN STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); s_axi_arlen : IN STD_LOGIC_VECTOR(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0'); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0'); s_axi_arvalid : IN STD_LOGIC := '0'; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_rdata : OUT STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(2-1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC := '0'; -- axi full/lite sideband Signals s_axi_injectsbiterr : IN STD_LOGIC := '0'; s_axi_injectdbiterr : IN STD_LOGIC := '0'; s_axi_sbiterr : OUT STD_LOGIC := '0'; s_axi_dbiterr : OUT STD_LOGIC := '0'; s_axi_rdaddrecc : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0') ); END blk_mem_gen_v8_0; --****************************** -- Port and Generic Definitions --****************************** --------------------------------------------------------------------------- -- Generic Definitions --------------------------------------------------------------------------- -- C_CORENAME : Instance name of the Block Memory Generator core -- C_FAMILY,C_XDEVICEFAMILY: Designates architecture targeted. The following -- options are available - "spartan3", "spartan6", -- "virtex4", "virtex5", "virtex6l" and "virtex6". -- C_MEM_TYPE : Designates memory type. -- It can be -- 0 - Single Port Memory -- 1 - Simple Dual Port Memory -- 2 - True Dual Port Memory -- 3 - Single Port Read Only Memory -- 4 - Dual Port Read Only Memory -- C_BYTE_SIZE : Size of a byte (8 or 9 bits) -- C_ALGORITHM : Designates the algorithm method used -- for constructing the memory. -- It can be Fixed_Primitives, Minimum_Area or -- Low_Power -- C_PRIM_TYPE : Designates the user selected primitive used to -- construct the memory. -- -- C_LOAD_INIT_FILE : Designates the use of an initialization file to -- initialize memory contents. -- C_INIT_FILE_NAME : Memory initialization file name. -- C_USE_DEFAULT_DATA : Designates whether to fill remaining -- initialization space with default data -- C_DEFAULT_DATA : Default value of all memory locations -- not initialized by the memory -- initialization file. -- C_RST_TYPE : Type of reset - Synchronous or Asynchronous -- -- C_HAS_RSTA : Determines the presence of the RSTA port -- C_RST_PRIORITY_A : Determines the priority between CE and SR for -- Port A. -- C_RSTRAM_A : Determines if special reset behavior is used for -- Port A -- C_INITA_VAL : The initialization value for Port A -- C_HAS_ENA : Determines the presence of the ENA port -- C_HAS_REGCEA : Determines the presence of the REGCEA port -- C_USE_BYTE_WEA : Determines if the Byte Write is used or not. -- C_WEA_WIDTH : The width of the WEA port -- C_WRITE_MODE_A : Configurable write mode for Port A. It can be -- WRITE_FIRST, READ_FIRST or NO_CHANGE. -- C_WRITE_WIDTH_A : Memory write width for Port A. -- C_READ_WIDTH_A : Memory read width for Port A. -- C_WRITE_DEPTH_A : Memory write depth for Port A. -- C_READ_DEPTH_A : Memory read depth for Port A. -- C_ADDRA_WIDTH : Width of the ADDRA input port -- C_HAS_RSTB : Determines the presence of the RSTB port -- C_RST_PRIORITY_B : Determines the priority between CE and SR for -- Port B. -- C_RSTRAM_B : Determines if special reset behavior is used for -- Port B -- C_INITB_VAL : The initialization value for Port B -- C_HAS_ENB : Determines the presence of the ENB port -- C_HAS_REGCEB : Determines the presence of the REGCEB port -- C_USE_BYTE_WEB : Determines if the Byte Write is used or not. -- C_WEB_WIDTH : The width of the WEB port -- C_WRITE_MODE_B : Configurable write mode for Port B. It can be -- WRITE_FIRST, READ_FIRST or NO_CHANGE. -- C_WRITE_WIDTH_B : Memory write width for Port B. -- C_READ_WIDTH_B : Memory read width for Port B. -- C_WRITE_DEPTH_B : Memory write depth for Port B. -- C_READ_DEPTH_B : Memory read depth for Port B. -- C_ADDRB_WIDTH : Width of the ADDRB input port -- C_HAS_MEM_OUTPUT_REGS_A : Designates the use of a register at the output -- of the RAM primitive for Port A. -- C_HAS_MEM_OUTPUT_REGS_B : Designates the use of a register at the output -- of the RAM primitive for Port B. -- C_HAS_MUX_OUTPUT_REGS_A : Designates the use of a register at the output -- of the MUX for Port A. -- C_HAS_MUX_OUTPUT_REGS_B : Designates the use of a register at the output -- of the MUX for Port B. -- C_MUX_PIPELINE_STAGES : Designates the number of pipeline stages in -- between the muxes. -- C_USE_SOFTECC : Determines if the Soft ECC feature is used or -- not. Only applicable Spartan-6 -- C_USE_ECC : Determines if the ECC feature is used or -- not. Only applicable for V5 and V6 -- C_HAS_INJECTERR : Determines if the error injection pins -- are present or not. If the ECC feature -- is not used, this value is defaulted to -- 0, else the following are the allowed -- values: -- 0 : No INJECTSBITERR or INJECTDBITERR pins -- 1 : Only INJECTSBITERR pin exists -- 2 : Only INJECTDBITERR pin exists -- 3 : Both INJECTSBITERR and INJECTDBITERR pins exist -- C_SIM_COLLISION_CHECK : Controls the disabling of Unisim model collision -- warnings. It can be "ALL", "NONE", -- "Warnings_Only" or "Generate_X_Only". -- C_COMMON_CLK : Determins if the core has a single CLK input. -- C_DISABLE_WARN_BHV_COLL : Controls the Behavioral Model Collision warnings -- C_DISABLE_WARN_BHV_RANGE: Controls the Behavioral Model Out of Range -- warnings --------------------------------------------------------------------------- -- Port Definitions --------------------------------------------------------------------------- -- CLKA : Clock to synchronize all read and write operations of Port A. -- RSTA : Reset input to reset memory outputs to a user-defined -- reset state for Port A. -- ENA : Enable all read and write operations of Port A. -- REGCEA : Register Clock Enable to control each pipeline output -- register stages for Port A. -- WEA : Write Enable to enable all write operations of Port A. -- ADDRA : Address of Port A. -- DINA : Data input of Port A. -- DOUTA : Data output of Port A. -- CLKB : Clock to synchronize all read and write operations of Port B. -- RSTB : Reset input to reset memory outputs to a user-defined -- reset state for Port B. -- ENB : Enable all read and write operations of Port B. -- REGCEB : Register Clock Enable to control each pipeline output -- register stages for Port B. -- WEB : Write Enable to enable all write operations of Port B. -- ADDRB : Address of Port B. -- DINB : Data input of Port B. -- DOUTB : Data output of Port B. -- INJECTSBITERR : Single Bit ECC Error Injection Pin. -- INJECTDBITERR : Double Bit ECC Error Injection Pin. -- SBITERR : Output signal indicating that a Single Bit ECC Error has been -- detected and corrected. -- DBITERR : Output signal indicating that a Double Bit ECC Error has been -- detected. -- RDADDRECC : Read Address Output signal indicating address at which an -- ECC error has occurred. --------------------------------------------------------------------------- ARCHITECTURE behavioral OF BLK_MEM_GEN_v8_0 IS COMPONENT BLK_MEM_GEN_v8_0_mem_module GENERIC ( C_CORENAME : STRING := "blk_mem_gen_v8_0"; C_FAMILY : STRING := "virtex7"; C_XDEVICEFAMILY : STRING := "virtex7"; C_USE_BRAM_BLOCK : INTEGER := 0; C_ENABLE_32BIT_ADDRESS : INTEGER := 0; C_MEM_TYPE : INTEGER := 2; C_BYTE_SIZE : INTEGER := 8; C_ALGORITHM : INTEGER := 2; C_PRIM_TYPE : INTEGER := 3; C_LOAD_INIT_FILE : INTEGER := 0; C_INIT_FILE_NAME : STRING := ""; C_INIT_FILE : STRING := ""; C_USE_DEFAULT_DATA : INTEGER := 0; C_DEFAULT_DATA : STRING := ""; C_RST_TYPE : STRING := "SYNC"; C_HAS_RSTA : INTEGER := 0; C_RST_PRIORITY_A : STRING := "CE"; C_RSTRAM_A : INTEGER := 0; C_INITA_VAL : STRING := ""; C_HAS_ENA : INTEGER := 1; C_HAS_REGCEA : INTEGER := 0; C_USE_BYTE_WEA : INTEGER := 0; C_WEA_WIDTH : INTEGER := 1; C_WRITE_MODE_A : STRING := "WRITE_FIRST"; C_WRITE_WIDTH_A : INTEGER := 32; C_READ_WIDTH_A : INTEGER := 32; C_WRITE_DEPTH_A : INTEGER := 64; C_READ_DEPTH_A : INTEGER := 64; C_ADDRA_WIDTH : INTEGER := 6; C_HAS_RSTB : INTEGER := 0; C_RST_PRIORITY_B : STRING := "CE"; C_RSTRAM_B : INTEGER := 0; C_INITB_VAL : STRING := ""; C_HAS_ENB : INTEGER := 1; C_HAS_REGCEB : INTEGER := 0; C_USE_BYTE_WEB : INTEGER := 0; C_WEB_WIDTH : INTEGER := 1; C_WRITE_MODE_B : STRING := "WRITE_FIRST"; C_WRITE_WIDTH_B : INTEGER := 32; C_READ_WIDTH_B : INTEGER := 32; C_WRITE_DEPTH_B : INTEGER := 64; C_READ_DEPTH_B : INTEGER := 64; C_ADDRB_WIDTH : INTEGER := 6; C_HAS_MEM_OUTPUT_REGS_A : INTEGER := 0; C_HAS_MEM_OUTPUT_REGS_B : INTEGER := 0; C_HAS_MUX_OUTPUT_REGS_A : INTEGER := 0; C_HAS_MUX_OUTPUT_REGS_B : INTEGER := 0; C_HAS_SOFTECC_INPUT_REGS_A : INTEGER := 0; C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER := 0; C_MUX_PIPELINE_STAGES : INTEGER := 0; C_USE_SOFTECC : INTEGER := 0; C_USE_ECC : INTEGER := 0; C_HAS_INJECTERR : INTEGER := 0; C_SIM_COLLISION_CHECK : STRING := "NONE"; C_COMMON_CLK : INTEGER := 1; FLOP_DELAY : TIME := 100 ps; C_DISABLE_WARN_BHV_COLL : INTEGER := 0; C_DISABLE_WARN_BHV_RANGE : INTEGER := 0 ); PORT ( CLKA : IN STD_LOGIC := '0'; RSTA : IN STD_LOGIC := '0'; ENA : IN STD_LOGIC := '1'; REGCEA : IN STD_LOGIC := '1'; WEA : IN STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); ADDRA : IN STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0):= (OTHERS => '0'); DINA : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0) := (OTHERS => '0'); DOUTA : OUT STD_LOGIC_VECTOR(C_READ_WIDTH_A-1 DOWNTO 0); CLKB : IN STD_LOGIC := '0'; RSTB : IN STD_LOGIC := '0'; ENB : IN STD_LOGIC := '1'; REGCEB : IN STD_LOGIC := '1'; WEB : IN STD_LOGIC_VECTOR(C_WEB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); ADDRB : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); DINB : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0) := (OTHERS => '0'); DOUTB : OUT STD_LOGIC_VECTOR(C_READ_WIDTH_B-1 DOWNTO 0); INJECTSBITERR : IN STD_LOGIC := '0'; INJECTDBITERR : IN STD_LOGIC := '0'; SBITERR : OUT STD_LOGIC; DBITERR : OUT STD_LOGIC; RDADDRECC : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) ); END COMPONENT BLK_MEM_GEN_v8_0_mem_module; COMPONENT blk_mem_axi_regs_fwd_v8_0 IS GENERIC( C_DATA_WIDTH : INTEGER := 8 ); PORT ( ACLK : IN STD_LOGIC; ARESET : IN STD_LOGIC; S_VALID : IN STD_LOGIC; S_READY : OUT STD_LOGIC; S_PAYLOAD_DATA : IN STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); M_VALID : OUT STD_LOGIC; M_READY : IN STD_LOGIC; M_PAYLOAD_DATA : OUT STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0) ); END COMPONENT blk_mem_axi_regs_fwd_v8_0; COMPONENT blk_mem_axi_read_wrapper_beh GENERIC ( -- AXI Interface related parameters start here C_INTERFACE_TYPE : integer := 0; C_AXI_TYPE : integer := 0; C_AXI_SLAVE_TYPE : integer := 0; C_MEMORY_TYPE : integer := 0; C_WRITE_WIDTH_A : integer := 4; C_WRITE_DEPTH_A : integer := 32; C_ADDRA_WIDTH : integer := 12; C_AXI_PIPELINE_STAGES : integer := 0; C_AXI_ARADDR_WIDTH : integer := 12; C_HAS_AXI_ID : integer := 0; C_AXI_ID_WIDTH : integer := 4; C_ADDRB_WIDTH : integer := 12 ); PORT ( -- AXI Global Signals S_ACLK : IN std_logic; S_ARESETN : IN std_logic; -- AXI Full/Lite Slave Read (Read side) S_AXI_ARADDR : IN std_logic_vector(C_AXI_ARADDR_WIDTH-1 downto 0) := (OTHERS => '0'); S_AXI_ARLEN : IN std_logic_vector(7 downto 0) := (OTHERS => '0'); S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARVALID : IN std_logic := '0'; S_AXI_ARREADY : OUT std_logic; S_AXI_RLAST : OUT std_logic; S_AXI_RVALID : OUT std_logic; S_AXI_RREADY : IN std_logic := '0'; S_AXI_ARID : IN std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (OTHERS => '0'); S_AXI_RID : OUT std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (OTHERS => '0'); -- AXI Full/Lite Read Address Signals to BRAM S_AXI_ARADDR_OUT : OUT std_logic_vector(C_ADDRB_WIDTH-1 downto 0); S_AXI_RD_EN : OUT std_logic ); END COMPONENT blk_mem_axi_read_wrapper_beh; COMPONENT blk_mem_axi_write_wrapper_beh GENERIC ( -- AXI Interface related parameters start here C_INTERFACE_TYPE : integer := 0; -- 0: Native Interface; 1: AXI Interface C_AXI_TYPE : integer := 0; -- 0: AXI Lite; 1: AXI Full; C_AXI_SLAVE_TYPE : integer := 0; -- 0: MEMORY SLAVE; 1: PERIPHERAL SLAVE; C_MEMORY_TYPE : integer := 0; -- 0: SP-RAM, 1: SDP-RAM; 2: TDP-RAM; 3: DP-ROM; C_WRITE_DEPTH_A : integer := 0; C_AXI_AWADDR_WIDTH : integer := 32; C_ADDRA_WIDTH : integer := 12; C_AXI_WDATA_WIDTH : integer := 32; C_HAS_AXI_ID : integer := 0; C_AXI_ID_WIDTH : integer := 4; -- AXI OUTSTANDING WRITES C_AXI_OS_WR : integer := 2 ); PORT ( -- AXI Global Signals S_ACLK : IN std_logic; S_ARESETN : IN std_logic; -- AXI Full/Lite Slave Write Channel (write side) S_AXI_AWID : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWADDR : IN std_logic_vector(C_AXI_AWADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWLEN : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWVALID : IN std_logic := '0'; S_AXI_AWREADY : OUT std_logic := '0'; S_AXI_WVALID : IN std_logic := '0'; S_AXI_WREADY : OUT std_logic := '0'; S_AXI_BID : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_BVALID : OUT std_logic := '0'; S_AXI_BREADY : IN std_logic := '0'; -- Signals for BMG interface S_AXI_AWADDR_OUT : OUT std_logic_vector(C_ADDRA_WIDTH-1 DOWNTO 0); S_AXI_WR_EN : OUT std_logic:= '0' ); END COMPONENT blk_mem_axi_write_wrapper_beh; CONSTANT FLOP_DELAY : TIME := 100 ps; SIGNAL rsta_in : STD_LOGIC := '1'; SIGNAL ena_in : STD_LOGIC := '1'; SIGNAL regcea_in : STD_LOGIC := '1'; SIGNAL wea_in : STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0):= (OTHERS => '0'); SIGNAL addra_in : STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0); SIGNAL dina_in : STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0):= (OTHERS => '0'); SIGNAL injectsbiterr_in : STD_LOGIC := '0'; SIGNAL injectdbiterr_in : STD_LOGIC := '0'; ----------------------------------------------------------------------------- -- FUNCTION: toLowerCaseChar -- Returns the lower case form of char if char is an upper case letter. -- Otherwise char is returned. ----------------------------------------------------------------------------- FUNCTION toLowerCaseChar( char : character ) RETURN character IS BEGIN -- If char is not an upper case letter then return char IF char<'A' OR char>'Z' THEN RETURN char; END IF; -- Otherwise map char to its corresponding lower case character and -- RETURN that CASE char IS WHEN 'A' => RETURN 'a'; WHEN 'B' => RETURN 'b'; WHEN 'C' => RETURN 'c'; WHEN 'D' => RETURN 'd'; WHEN 'E' => RETURN 'e'; WHEN 'F' => RETURN 'f'; WHEN 'G' => RETURN 'g'; WHEN 'H' => RETURN 'h'; WHEN 'I' => RETURN 'i'; WHEN 'J' => RETURN 'j'; WHEN 'K' => RETURN 'k'; WHEN 'L' => RETURN 'l'; WHEN 'M' => RETURN 'm'; WHEN 'N' => RETURN 'n'; WHEN 'O' => RETURN 'o'; WHEN 'P' => RETURN 'p'; WHEN 'Q' => RETURN 'q'; WHEN 'R' => RETURN 'r'; WHEN 'S' => RETURN 's'; WHEN 'T' => RETURN 't'; WHEN 'U' => RETURN 'u'; WHEN 'V' => RETURN 'v'; WHEN 'W' => RETURN 'w'; WHEN 'X' => RETURN 'x'; WHEN 'Y' => RETURN 'y'; WHEN 'Z' => RETURN 'z'; WHEN OTHERS => RETURN char; END CASE; END toLowerCaseChar; -- Returns true if case insensitive string comparison determines that -- str1 and str2 are equal FUNCTION equalIgnoreCase( str1 : STRING; str2 : STRING ) RETURN BOOLEAN IS CONSTANT len1 : INTEGER := str1'length; CONSTANT len2 : INTEGER := str2'length; VARIABLE equal : BOOLEAN := TRUE; BEGIN IF NOT (len1=len2) THEN equal := FALSE; ELSE FOR i IN str2'left TO str1'right LOOP IF NOT (toLowerCaseChar(str1(i)) = toLowerCaseChar(str2(i))) THEN equal := FALSE; END IF; END LOOP; END IF; RETURN equal; END equalIgnoreCase; ----------------------------------------------------------------------------- -- FUNCTION: if_then_else -- This function is used to implement an IF..THEN when such a statement is not -- allowed. ---------------------------------------------------------------------------- FUNCTION if_then_else ( condition : BOOLEAN; true_case : STRING; false_case : STRING) RETURN STRING IS BEGIN IF NOT condition THEN RETURN false_case; ELSE RETURN true_case; END IF; END if_then_else; FUNCTION if_then_else ( condition : BOOLEAN; true_case : INTEGER; false_case : INTEGER) RETURN INTEGER IS BEGIN IF NOT condition THEN RETURN false_case; ELSE RETURN true_case; END IF; END if_then_else; FUNCTION if_then_else ( condition : BOOLEAN; true_case : STD_LOGIC_VECTOR; false_case : STD_LOGIC_VECTOR) RETURN STD_LOGIC_VECTOR IS BEGIN IF NOT condition THEN RETURN false_case; ELSE RETURN true_case; END IF; END if_then_else; ---------------------------------------------------------------------------- -- FUNCTION : log2roundup ---------------------------------------------------------------------------- FUNCTION log2roundup ( data_value : INTEGER) RETURN INTEGER IS VARIABLE width : INTEGER := 0; VARIABLE cnt : INTEGER := 1; CONSTANT lower_limit : INTEGER := 1; CONSTANT upper_limit : INTEGER := 8; BEGIN IF (data_value <= 1) THEN width := 0; ELSE WHILE (cnt < data_value) LOOP width := width + 1; cnt := cnt *2; END LOOP; END IF; RETURN width; END log2roundup; ----------------------------------------------------------------------------- -- FUNCTION : log2int ----------------------------------------------------------------------------- FUNCTION log2int ( data_value : INTEGER) RETURN INTEGER IS VARIABLE width : INTEGER := 0; VARIABLE cnt : INTEGER := data_value; BEGIN WHILE (cnt >1) LOOP width := width + 1; cnt := cnt/2; END LOOP; RETURN width; END log2int; ----------------------------------------------------------------------------- -- FUNCTION : divroundup -- Returns the ceiling value of the division -- Data_value - the quantity to be divided, dividend -- Divisor - the value to divide the data_value by ----------------------------------------------------------------------------- FUNCTION divroundup ( data_value : INTEGER; divisor : INTEGER) RETURN INTEGER IS VARIABLE div : INTEGER; BEGIN div := data_value/divisor; IF ( (data_value MOD divisor) /= 0) THEN div := div+1; END IF; RETURN div; END divroundup; SIGNAL s_axi_awaddr_out_c : STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL s_axi_araddr_out_c : STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL s_axi_wr_en_c : STD_LOGIC := '0'; SIGNAL s_axi_rd_en_c : STD_LOGIC := '0'; SIGNAL s_aresetn_a_c : STD_LOGIC := '0'; --************************************************************************** -- AXI PARAMETERS CONSTANT AXI_FULL_MEMORY_SLAVE : integer := if_then_else((C_AXI_SLAVE_TYPE = 0 AND C_AXI_TYPE = 1),1,0); CONSTANT C_AXI_ADDR_WIDTH_MSB : integer := C_ADDRA_WIDTH+log2roundup(C_WRITE_WIDTH_A/8); CONSTANT C_AXI_ADDR_WIDTH : integer := C_AXI_ADDR_WIDTH_MSB; -- Data Width Number of LSB address bits to be discarded -- 1 to 16 1 -- 17 to 32 2 -- 33 to 64 3 -- 65 to 128 4 -- 129 to 256 5 -- 257 to 512 6 -- 513 to 1024 7 -- The following two constants determine this. CONSTANT LOWER_BOUND_VAL : integer := if_then_else((log2roundup(divroundup(C_WRITE_WIDTH_A,8))) = 0, 0, log2roundup(divroundup(C_WRITE_WIDTH_A,8))); CONSTANT C_AXI_ADDR_WIDTH_LSB : integer := if_then_else((AXI_FULL_MEMORY_SLAVE = 1),0,LOWER_BOUND_VAL); CONSTANT C_AXI_OS_WR : integer := 2; --************************************************************************** BEGIN -- Architecture --************************************************************************* -- NO INPUT STAGE --************************************************************************* no_input_stage: IF (C_HAS_SOFTECC_INPUT_REGS_A=0) GENERATE rsta_in <= RSTA; ena_in <= ENA; regcea_in <= REGCEA; wea_in <= WEA; addra_in <= ADDRA; dina_in <= DINA; injectsbiterr_in <= INJECTSBITERR; injectdbiterr_in <= INJECTDBITERR; END GENERATE no_input_stage; --************************************************************************** -- WITH INPUT STAGE --************************************************************************** has_input_stage: IF (C_HAS_SOFTECC_INPUT_REGS_A=1) GENERATE PROCESS (CLKA) BEGIN IF (CLKA'EVENT AND CLKA = '1') THEN rsta_in <= RSTA AFTER FLOP_DELAY; ena_in <= ENA AFTER FLOP_DELAY; regcea_in <= REGCEA AFTER FLOP_DELAY; wea_in <= WEA AFTER FLOP_DELAY; addra_in <= ADDRA AFTER FLOP_DELAY; dina_in <= DINA AFTER FLOP_DELAY; injectsbiterr_in <= INJECTSBITERR AFTER FLOP_DELAY; injectdbiterr_in <= INJECTDBITERR AFTER FLOP_DELAY; END IF; END PROCESS; END GENERATE has_input_stage; --************************************************************************** -- NATIVE MEMORY MODULE INSTANCE --************************************************************************** native_mem_module: IF (C_INTERFACE_TYPE = 0 AND C_ENABLE_32BIT_ADDRESS = 0) GENERATE mem_module: BLK_MEM_GEN_v8_0_mem_module GENERIC MAP( C_CORENAME => C_CORENAME, C_FAMILY => if_then_else(equalIgnoreCase(C_FAMILY,"VIRTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QVIRTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QVIRTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"KINTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"KINTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QKINTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QKINTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ARTIX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QARTIX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"AARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ZYNQ"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"AZYNQ"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QZYNQ"),"virtex7",C_FAMILY))))))))))))))), C_XDEVICEFAMILY => C_XDEVICEFAMILY, C_USE_BRAM_BLOCK => C_USE_BRAM_BLOCK, C_ENABLE_32BIT_ADDRESS => C_ENABLE_32BIT_ADDRESS, C_MEM_TYPE => C_MEM_TYPE, C_BYTE_SIZE => C_BYTE_SIZE, C_ALGORITHM => C_ALGORITHM, C_PRIM_TYPE => C_PRIM_TYPE, C_LOAD_INIT_FILE => C_LOAD_INIT_FILE, C_INIT_FILE_NAME => C_INIT_FILE_NAME, C_INIT_FILE => C_INIT_FILE, C_USE_DEFAULT_DATA => C_USE_DEFAULT_DATA, C_DEFAULT_DATA => C_DEFAULT_DATA, C_RST_TYPE => C_RST_TYPE, C_HAS_RSTA => C_HAS_RSTA, C_RST_PRIORITY_A => C_RST_PRIORITY_A, C_RSTRAM_A => C_RSTRAM_A, C_INITA_VAL => C_INITA_VAL, C_HAS_ENA => C_HAS_ENA, C_HAS_REGCEA => C_HAS_REGCEA, C_USE_BYTE_WEA => C_USE_BYTE_WEA, C_WEA_WIDTH => C_WEA_WIDTH, C_WRITE_MODE_A => C_WRITE_MODE_A, C_WRITE_WIDTH_A => C_WRITE_WIDTH_A, C_READ_WIDTH_A => C_READ_WIDTH_A, C_WRITE_DEPTH_A => C_WRITE_DEPTH_A, C_READ_DEPTH_A => C_READ_DEPTH_A, C_ADDRA_WIDTH => C_ADDRA_WIDTH, C_HAS_RSTB => C_HAS_RSTB, C_RST_PRIORITY_B => C_RST_PRIORITY_B, C_RSTRAM_B => C_RSTRAM_B, C_INITB_VAL => C_INITB_VAL, C_HAS_ENB => C_HAS_ENB, C_HAS_REGCEB => C_HAS_REGCEB, C_USE_BYTE_WEB => C_USE_BYTE_WEB, C_WEB_WIDTH => C_WEB_WIDTH, C_WRITE_MODE_B => C_WRITE_MODE_B, C_WRITE_WIDTH_B => C_WRITE_WIDTH_B, C_READ_WIDTH_B => C_READ_WIDTH_B, C_WRITE_DEPTH_B => C_WRITE_DEPTH_B, C_READ_DEPTH_B => C_READ_DEPTH_B, C_ADDRB_WIDTH => C_ADDRB_WIDTH, C_HAS_MEM_OUTPUT_REGS_A => C_HAS_MEM_OUTPUT_REGS_A, C_HAS_MEM_OUTPUT_REGS_B => C_HAS_MEM_OUTPUT_REGS_B, C_HAS_MUX_OUTPUT_REGS_A => C_HAS_MUX_OUTPUT_REGS_A, C_HAS_MUX_OUTPUT_REGS_B => C_HAS_MUX_OUTPUT_REGS_B, C_HAS_SOFTECC_INPUT_REGS_A => C_HAS_SOFTECC_INPUT_REGS_A, C_HAS_SOFTECC_OUTPUT_REGS_B => C_HAS_SOFTECC_OUTPUT_REGS_B, C_MUX_PIPELINE_STAGES => C_MUX_PIPELINE_STAGES, C_USE_SOFTECC => C_USE_SOFTECC, C_USE_ECC => C_USE_ECC, C_HAS_INJECTERR => C_HAS_INJECTERR, C_SIM_COLLISION_CHECK => C_SIM_COLLISION_CHECK, C_COMMON_CLK => C_COMMON_CLK, FLOP_DELAY => FLOP_DELAY, C_DISABLE_WARN_BHV_COLL => C_DISABLE_WARN_BHV_COLL, C_DISABLE_WARN_BHV_RANGE => C_DISABLE_WARN_BHV_RANGE ) PORT MAP( CLKA => CLKA, RSTA => rsta_in, ENA => ena_in, REGCEA => regcea_in, WEA => wea_in, ADDRA => addra_in, DINA => dina_in, DOUTA => DOUTA, CLKB => CLKB, RSTB => RSTB, ENB => ENB, REGCEB => REGCEB, WEB => WEB, ADDRB => ADDRB, DINB => DINB, DOUTB => DOUTB, INJECTSBITERR => injectsbiterr_in, INJECTDBITERR => injectdbiterr_in, SBITERR => SBITERR, DBITERR => DBITERR, RDADDRECC => RDADDRECC ); END GENERATE native_mem_module; --************************************************************************** -- NATIVE MEMORY MAPPED MODULE INSTANCE --************************************************************************** native_mem_map_module: IF (C_INTERFACE_TYPE = 0 AND C_ENABLE_32BIT_ADDRESS = 1) GENERATE --************************************************************************** -- NATIVE MEMORY MAPPED PARAMETERS CONSTANT C_ADDRA_WIDTH_ACTUAL : integer := log2roundup(C_WRITE_DEPTH_A); CONSTANT C_ADDRB_WIDTH_ACTUAL : integer := log2roundup(C_WRITE_DEPTH_B); CONSTANT C_ADDRA_WIDTH_MSB : integer := C_ADDRA_WIDTH_ACTUAL+log2int(C_WRITE_WIDTH_A/8); CONSTANT C_ADDRB_WIDTH_MSB : integer := C_ADDRB_WIDTH_ACTUAL+log2int(C_WRITE_WIDTH_B/8); CONSTANT C_MEM_MAP_ADDRA_WIDTH_MSB : integer := C_ADDRA_WIDTH_MSB; CONSTANT C_MEM_MAP_ADDRB_WIDTH_MSB : integer := C_ADDRB_WIDTH_MSB; -- Data Width Number of LSB address bits to be discarded -- 1 to 16 1 -- 17 to 32 2 -- 33 to 64 3 -- 65 to 128 4 -- 129 to 256 5 -- 257 to 512 6 -- 513 to 1024 7 -- The following two constants determine this. CONSTANT MEM_MAP_LOWER_BOUND_VAL_A : integer := if_then_else((log2int(divroundup(C_WRITE_WIDTH_A,8))) = 0, 0, log2int(divroundup(C_WRITE_WIDTH_A,8))); CONSTANT MEM_MAP_LOWER_BOUND_VAL_B : integer := if_then_else((log2int(divroundup(C_WRITE_WIDTH_B,8))) = 0, 0, log2int(divroundup(C_WRITE_WIDTH_B,8))); CONSTANT C_MEM_MAP_ADDRA_WIDTH_LSB : integer := MEM_MAP_LOWER_BOUND_VAL_A; CONSTANT C_MEM_MAP_ADDRB_WIDTH_LSB : integer := MEM_MAP_LOWER_BOUND_VAL_B; SIGNAL rdaddrecc_i : STD_LOGIC_VECTOR(C_ADDRB_WIDTH_ACTUAL-1 DOWNTO 0) := (OTHERS => '0'); --************************************************************************** BEGIN RDADDRECC(C_ADDRB_WIDTH-1 DOWNTO C_MEM_MAP_ADDRB_WIDTH_MSB) <= (OTHERS => '0'); RDADDRECC(C_MEM_MAP_ADDRB_WIDTH_MSB-1 DOWNTO C_MEM_MAP_ADDRB_WIDTH_LSB) <= rdaddrecc_i; RDADDRECC(C_MEM_MAP_ADDRB_WIDTH_LSB-1 DOWNTO 0) <= (OTHERS => '0'); mem_map_module: BLK_MEM_GEN_v8_0_mem_module GENERIC MAP( C_CORENAME => C_CORENAME, C_FAMILY => if_then_else(equalIgnoreCase(C_FAMILY,"VIRTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QVIRTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QVIRTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"KINTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"KINTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QKINTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QKINTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ARTIX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QARTIX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"AARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ZYNQ"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"AZYNQ"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QZYNQ"),"virtex7",C_FAMILY))))))))))))))), C_XDEVICEFAMILY => C_XDEVICEFAMILY, C_USE_BRAM_BLOCK => C_USE_BRAM_BLOCK, C_ENABLE_32BIT_ADDRESS => C_ENABLE_32BIT_ADDRESS, C_MEM_TYPE => C_MEM_TYPE, C_BYTE_SIZE => C_BYTE_SIZE, C_ALGORITHM => C_ALGORITHM, C_PRIM_TYPE => C_PRIM_TYPE, C_LOAD_INIT_FILE => C_LOAD_INIT_FILE, C_INIT_FILE_NAME => C_INIT_FILE_NAME, C_INIT_FILE => C_INIT_FILE, C_USE_DEFAULT_DATA => C_USE_DEFAULT_DATA, C_DEFAULT_DATA => C_DEFAULT_DATA, C_RST_TYPE => C_RST_TYPE, C_HAS_RSTA => C_HAS_RSTA, C_RST_PRIORITY_A => C_RST_PRIORITY_A, C_RSTRAM_A => C_RSTRAM_A, C_INITA_VAL => C_INITA_VAL, C_HAS_ENA => C_HAS_ENA, C_HAS_REGCEA => C_HAS_REGCEA, C_USE_BYTE_WEA => C_USE_BYTE_WEA, C_WEA_WIDTH => C_WEA_WIDTH, C_WRITE_MODE_A => C_WRITE_MODE_A, C_WRITE_WIDTH_A => C_WRITE_WIDTH_A, C_READ_WIDTH_A => C_READ_WIDTH_A, C_WRITE_DEPTH_A => C_WRITE_DEPTH_A, C_READ_DEPTH_A => C_READ_DEPTH_A, C_ADDRA_WIDTH => C_ADDRA_WIDTH_ACTUAL, C_HAS_RSTB => C_HAS_RSTB, C_RST_PRIORITY_B => C_RST_PRIORITY_B, C_RSTRAM_B => C_RSTRAM_B, C_INITB_VAL => C_INITB_VAL, C_HAS_ENB => C_HAS_ENB, C_HAS_REGCEB => C_HAS_REGCEB, C_USE_BYTE_WEB => C_USE_BYTE_WEB, C_WEB_WIDTH => C_WEB_WIDTH, C_WRITE_MODE_B => C_WRITE_MODE_B, C_WRITE_WIDTH_B => C_WRITE_WIDTH_B, C_READ_WIDTH_B => C_READ_WIDTH_B, C_WRITE_DEPTH_B => C_WRITE_DEPTH_B, C_READ_DEPTH_B => C_READ_DEPTH_B, C_ADDRB_WIDTH => C_ADDRB_WIDTH_ACTUAL, C_HAS_MEM_OUTPUT_REGS_A => C_HAS_MEM_OUTPUT_REGS_A, C_HAS_MEM_OUTPUT_REGS_B => C_HAS_MEM_OUTPUT_REGS_B, C_HAS_MUX_OUTPUT_REGS_A => C_HAS_MUX_OUTPUT_REGS_A, C_HAS_MUX_OUTPUT_REGS_B => C_HAS_MUX_OUTPUT_REGS_B, C_HAS_SOFTECC_INPUT_REGS_A => C_HAS_SOFTECC_INPUT_REGS_A, C_HAS_SOFTECC_OUTPUT_REGS_B => C_HAS_SOFTECC_OUTPUT_REGS_B, C_MUX_PIPELINE_STAGES => C_MUX_PIPELINE_STAGES, C_USE_SOFTECC => C_USE_SOFTECC, C_USE_ECC => C_USE_ECC, C_HAS_INJECTERR => C_HAS_INJECTERR, C_SIM_COLLISION_CHECK => C_SIM_COLLISION_CHECK, C_COMMON_CLK => C_COMMON_CLK, FLOP_DELAY => FLOP_DELAY, C_DISABLE_WARN_BHV_COLL => C_DISABLE_WARN_BHV_COLL, C_DISABLE_WARN_BHV_RANGE => C_DISABLE_WARN_BHV_RANGE ) PORT MAP( CLKA => CLKA, RSTA => rsta_in, ENA => ena_in, REGCEA => regcea_in, WEA => wea_in, ADDRA => addra_in(C_MEM_MAP_ADDRA_WIDTH_MSB-1 DOWNTO C_MEM_MAP_ADDRA_WIDTH_LSB), DINA => dina_in, DOUTA => DOUTA, CLKB => CLKB, RSTB => RSTB, ENB => ENB, REGCEB => REGCEB, WEB => WEB, ADDRB => ADDRB(C_MEM_MAP_ADDRB_WIDTH_MSB-1 DOWNTO C_MEM_MAP_ADDRB_WIDTH_LSB), DINB => DINB, DOUTB => DOUTB, INJECTSBITERR => injectsbiterr_in, INJECTDBITERR => injectdbiterr_in, SBITERR => SBITERR, DBITERR => DBITERR, RDADDRECC => rdaddrecc_i ); END GENERATE native_mem_map_module; --**************************************************************************** -- AXI MEMORY MODULE INSTANCE --**************************************************************************** axi_mem_module: IF (C_INTERFACE_TYPE = 1) GENERATE SIGNAL s_axi_rid_c : STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL s_axi_rdata_c : STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL s_axi_rresp_c : STD_LOGIC_VECTOR(2-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL s_axi_rlast_c : STD_LOGIC := '0'; SIGNAL s_axi_rvalid_c : STD_LOGIC := '0'; SIGNAL s_axi_rready_c : STD_LOGIC := '0'; SIGNAL regceb_c : STD_LOGIC := '0'; BEGIN s_aresetn_a_c <= NOT S_ARESETN; S_AXI_BRESP <= (OTHERS => '0'); s_axi_rresp_c <= (OTHERS => '0'); no_regs: IF (C_HAS_MEM_OUTPUT_REGS_B = 0 AND C_HAS_MUX_OUTPUT_REGS_B = 0 ) GENERATE S_AXI_RDATA <= s_axi_rdata_c; S_AXI_RLAST <= s_axi_rlast_c; S_AXI_RVALID <= s_axi_rvalid_c; S_AXI_RID <= s_axi_rid_c; S_AXI_RRESP <= s_axi_rresp_c; s_axi_rready_c <= S_AXI_RREADY; END GENERATE no_regs; has_regs_fwd: IF (C_HAS_MUX_OUTPUT_REGS_B = 1 OR C_HAS_MEM_OUTPUT_REGS_B = 1) GENERATE CONSTANT C_AXI_PAYLOAD : INTEGER := if_then_else((C_HAS_MUX_OUTPUT_REGS_B = 1),C_WRITE_WIDTH_B+C_AXI_ID_WIDTH+3,C_AXI_ID_WIDTH+3); SIGNAL s_axi_payload_c : STD_LOGIC_VECTOR(C_AXI_PAYLOAD-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL m_axi_payload_c : STD_LOGIC_VECTOR(C_AXI_PAYLOAD-1 DOWNTO 0) := (OTHERS => '0'); BEGIN has_regceb: IF (C_HAS_MEM_OUTPUT_REGS_B = 1) GENERATE regceb_c <= s_axi_rvalid_c AND s_axi_rready_c; END GENERATE has_regceb; no_regceb: IF (C_HAS_MEM_OUTPUT_REGS_B = 0) GENERATE regceb_c <= REGCEB; END GENERATE no_regceb; only_core_op_regs: IF (C_HAS_MUX_OUTPUT_REGS_B = 1) GENERATE s_axi_payload_c <= s_axi_rid_c & s_axi_rdata_c & s_axi_rresp_c & s_axi_rlast_c; S_AXI_RID <= m_axi_payload_c(C_AXI_PAYLOAD-1 DOWNTO C_AXI_PAYLOAD-C_AXI_ID_WIDTH); S_AXI_RDATA <= m_axi_payload_c(C_AXI_PAYLOAD-C_AXI_ID_WIDTH-1 DOWNTO C_AXI_PAYLOAD-C_AXI_ID_WIDTH-C_WRITE_WIDTH_B); S_AXI_RRESP <= m_axi_payload_c(2 DOWNTO 1); S_AXI_RLAST <= m_axi_payload_c(0); END GENERATE only_core_op_regs; only_emb_op_regs: IF (C_HAS_MEM_OUTPUT_REGS_B = 1) GENERATE s_axi_payload_c <= s_axi_rid_c & s_axi_rresp_c & s_axi_rlast_c; S_AXI_RDATA <= s_axi_rdata_c; S_AXI_RID <= m_axi_payload_c(C_AXI_PAYLOAD-1 DOWNTO C_AXI_PAYLOAD-C_AXI_ID_WIDTH); S_AXI_RRESP <= m_axi_payload_c(2 DOWNTO 1); S_AXI_RLAST <= m_axi_payload_c(0); END GENERATE only_emb_op_regs; axi_regs_inst : blk_mem_axi_regs_fwd_v8_0 GENERIC MAP( C_DATA_WIDTH => C_AXI_PAYLOAD ) PORT MAP ( ACLK => S_ACLK, ARESET => s_aresetn_a_c, S_VALID => s_axi_rvalid_c, S_READY => s_axi_rready_c, S_PAYLOAD_DATA => s_axi_payload_c, M_VALID => S_AXI_RVALID, M_READY => S_AXI_RREADY, M_PAYLOAD_DATA => m_axi_payload_c ); END GENERATE has_regs_fwd; axi_wr_fsm : blk_mem_axi_write_wrapper_beh GENERIC MAP( -- AXI Interface related parameters start here C_INTERFACE_TYPE => C_INTERFACE_TYPE, C_AXI_TYPE => C_AXI_TYPE, C_AXI_SLAVE_TYPE => C_AXI_SLAVE_TYPE, C_MEMORY_TYPE => C_MEM_TYPE, C_WRITE_DEPTH_A => C_WRITE_DEPTH_A, C_AXI_AWADDR_WIDTH => if_then_else((AXI_FULL_MEMORY_SLAVE = 1),C_AXI_ADDR_WIDTH,C_AXI_ADDR_WIDTH-C_AXI_ADDR_WIDTH_LSB), C_HAS_AXI_ID => C_HAS_AXI_ID, C_AXI_ID_WIDTH => C_AXI_ID_WIDTH, C_ADDRA_WIDTH => C_ADDRA_WIDTH, C_AXI_WDATA_WIDTH => C_WRITE_WIDTH_A, C_AXI_OS_WR => C_AXI_OS_WR ) PORT MAP( -- AXI Global Signals S_ACLK => S_ACLK, S_ARESETN => s_aresetn_a_c, -- AXI Full/Lite Slave Write Interface S_AXI_AWADDR => S_AXI_AWADDR(C_AXI_ADDR_WIDTH_MSB-1 DOWNTO C_AXI_ADDR_WIDTH_LSB), S_AXI_AWLEN => S_AXI_AWLEN, S_AXI_AWID => S_AXI_AWID, S_AXI_AWSIZE => S_AXI_AWSIZE, S_AXI_AWBURST => S_AXI_AWBURST, S_AXI_AWVALID => S_AXI_AWVALID, S_AXI_AWREADY => S_AXI_AWREADY, S_AXI_WVALID => S_AXI_WVALID, S_AXI_WREADY => S_AXI_WREADY, S_AXI_BVALID => S_AXI_BVALID, S_AXI_BREADY => S_AXI_BREADY, S_AXI_BID => S_AXI_BID, -- Signals for BRAM interface S_AXI_AWADDR_OUT =>s_axi_awaddr_out_c, S_AXI_WR_EN =>s_axi_wr_en_c ); mem_module: BLK_MEM_GEN_v8_0_mem_module GENERIC MAP( C_CORENAME => C_CORENAME, C_FAMILY => if_then_else(equalIgnoreCase(C_FAMILY,"VIRTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QVIRTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QVIRTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"KINTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"KINTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QKINTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QKINTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ARTIX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QARTIX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"AARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ZYNQ"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"AZYNQ"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QZYNQ"),"virtex7",C_FAMILY))))))))))))))), C_XDEVICEFAMILY => C_XDEVICEFAMILY, C_USE_BRAM_BLOCK => C_USE_BRAM_BLOCK, C_ENABLE_32BIT_ADDRESS => C_ENABLE_32BIT_ADDRESS, C_MEM_TYPE => C_MEM_TYPE, C_BYTE_SIZE => C_BYTE_SIZE, C_ALGORITHM => C_ALGORITHM, C_PRIM_TYPE => C_PRIM_TYPE, C_LOAD_INIT_FILE => C_LOAD_INIT_FILE, C_INIT_FILE_NAME => C_INIT_FILE_NAME, C_INIT_FILE => C_INIT_FILE, C_USE_DEFAULT_DATA => C_USE_DEFAULT_DATA, C_DEFAULT_DATA => C_DEFAULT_DATA, C_RST_TYPE => C_RST_TYPE, C_HAS_RSTA => C_HAS_RSTA, C_RST_PRIORITY_A => C_RST_PRIORITY_A, C_RSTRAM_A => C_RSTRAM_A, C_INITA_VAL => C_INITA_VAL, C_HAS_ENA => 1, -- For AXI, Read Enable is always C_HAS_ENA, C_HAS_REGCEA => C_HAS_REGCEA, C_USE_BYTE_WEA => 1, -- For AXI C_USE_BYTE_WEA is always 1, C_WEA_WIDTH => C_WEA_WIDTH, C_WRITE_MODE_A => C_WRITE_MODE_A, C_WRITE_WIDTH_A => C_WRITE_WIDTH_A, C_READ_WIDTH_A => C_READ_WIDTH_A, C_WRITE_DEPTH_A => C_WRITE_DEPTH_A, C_READ_DEPTH_A => C_READ_DEPTH_A, C_ADDRA_WIDTH => C_ADDRA_WIDTH, C_HAS_RSTB => C_HAS_RSTB, C_RST_PRIORITY_B => C_RST_PRIORITY_B, C_RSTRAM_B => C_RSTRAM_B, C_INITB_VAL => C_INITB_VAL, C_HAS_ENB => 1, -- For AXI, Read Enable is always C_HAS_ENB, C_HAS_REGCEB => C_HAS_MEM_OUTPUT_REGS_B, C_USE_BYTE_WEB => 1, -- For AXI C_USE_BYTE_WEB is always 1, C_WEB_WIDTH => C_WEB_WIDTH, C_WRITE_MODE_B => C_WRITE_MODE_B, C_WRITE_WIDTH_B => C_WRITE_WIDTH_B, C_READ_WIDTH_B => C_READ_WIDTH_B, C_WRITE_DEPTH_B => C_WRITE_DEPTH_B, C_READ_DEPTH_B => C_READ_DEPTH_B, C_ADDRB_WIDTH => C_ADDRB_WIDTH, C_HAS_MEM_OUTPUT_REGS_A => 0, --For AXI, Primitive Registers A is not supported C_HAS_MEM_OUTPUT_REGS_A, C_HAS_MEM_OUTPUT_REGS_B => C_HAS_MEM_OUTPUT_REGS_B, C_HAS_MUX_OUTPUT_REGS_A => 0, C_HAS_MUX_OUTPUT_REGS_B => 0, C_HAS_SOFTECC_INPUT_REGS_A => C_HAS_SOFTECC_INPUT_REGS_A, C_HAS_SOFTECC_OUTPUT_REGS_B => C_HAS_SOFTECC_OUTPUT_REGS_B, C_MUX_PIPELINE_STAGES => C_MUX_PIPELINE_STAGES, C_USE_SOFTECC => C_USE_SOFTECC, C_USE_ECC => C_USE_ECC, C_HAS_INJECTERR => C_HAS_INJECTERR, C_SIM_COLLISION_CHECK => C_SIM_COLLISION_CHECK, C_COMMON_CLK => C_COMMON_CLK, FLOP_DELAY => FLOP_DELAY, C_DISABLE_WARN_BHV_COLL => C_DISABLE_WARN_BHV_COLL, C_DISABLE_WARN_BHV_RANGE => C_DISABLE_WARN_BHV_RANGE ) PORT MAP( --Port A: CLKA => S_AClk, RSTA => s_aresetn_a_c, ENA => s_axi_wr_en_c, REGCEA => regcea_in, WEA => S_AXI_WSTRB, ADDRA => s_axi_awaddr_out_c, DINA => S_AXI_WDATA, DOUTA => DOUTA, --Port B: CLKB => S_AClk, RSTB => s_aresetn_a_c, ENB => s_axi_rd_en_c, REGCEB => regceb_c, WEB => (OTHERS => '0'), ADDRB => s_axi_araddr_out_c, DINB => DINB, DOUTB => s_axi_rdata_c, INJECTSBITERR => injectsbiterr_in, INJECTDBITERR => injectdbiterr_in, SBITERR => SBITERR, DBITERR => DBITERR, RDADDRECC => RDADDRECC ); axi_rd_sm : blk_mem_axi_read_wrapper_beh GENERIC MAP ( -- AXI Interface related parameters start here C_INTERFACE_TYPE => C_INTERFACE_TYPE, C_AXI_TYPE => C_AXI_TYPE, C_AXI_SLAVE_TYPE => C_AXI_SLAVE_TYPE, C_MEMORY_TYPE => C_MEM_TYPE, C_WRITE_WIDTH_A => C_WRITE_WIDTH_A, C_ADDRA_WIDTH => C_ADDRA_WIDTH, C_AXI_PIPELINE_STAGES => 1, C_AXI_ARADDR_WIDTH => if_then_else((AXI_FULL_MEMORY_SLAVE = 1),C_AXI_ADDR_WIDTH,C_AXI_ADDR_WIDTH-C_AXI_ADDR_WIDTH_LSB), C_HAS_AXI_ID => C_HAS_AXI_ID, C_AXI_ID_WIDTH => C_AXI_ID_WIDTH, C_ADDRB_WIDTH => C_ADDRB_WIDTH ) PORT MAP( -- AXI Global Signals S_ACLK => S_AClk, S_ARESETN => s_aresetn_a_c, -- AXI Full/Lite Read Side S_AXI_ARADDR => S_AXI_ARADDR(C_AXI_ADDR_WIDTH_MSB-1 DOWNTO C_AXI_ADDR_WIDTH_LSB), S_AXI_ARLEN => S_AXI_ARLEN, S_AXI_ARSIZE => S_AXI_ARSIZE, S_AXI_ARBURST => S_AXI_ARBURST, S_AXI_ARVALID => S_AXI_ARVALID, S_AXI_ARREADY => S_AXI_ARREADY, S_AXI_RLAST => s_axi_rlast_c, S_AXI_RVALID => s_axi_rvalid_c, S_AXI_RREADY => s_axi_rready_c, S_AXI_ARID => S_AXI_ARID, S_AXI_RID => s_axi_rid_c, -- AXI Full/Lite Read FSM Outputs S_AXI_ARADDR_OUT => s_axi_araddr_out_c, S_AXI_RD_EN => s_axi_rd_en_c ); END GENERATE axi_mem_module; END behavioral; library IEEE; use IEEE.STD_LOGIC_1164.all; entity beh_ff_clr is generic( INIT : std_logic := '0' ); port( Q : out std_logic; C : in std_logic; CLR : in std_logic; D : in std_logic ); end beh_ff_clr; architecture beh_ff_clr_arch of beh_ff_clr is signal q_o : std_logic := INIT; begin Q <= q_o; VITALBehavior : process(CLR, C) begin if (CLR = '1') then q_o <= '0'; elsif (rising_edge(C)) then q_o <= D after 100 ps; end if; end process; end beh_ff_clr_arch; library IEEE; use IEEE.STD_LOGIC_1164.all; entity beh_ff_ce is generic( INIT : std_logic := '0' ); port( Q : out std_logic; C : in std_logic; CE : in std_logic; CLR : in std_logic; D : in std_logic ); end beh_ff_ce; architecture beh_ff_ce_arch of beh_ff_ce is signal q_o : std_logic := INIT; begin Q <= q_o; VITALBehavior : process(C, CLR) begin if (CLR = '1') then q_o <= '0'; elsif (rising_edge(C)) then if (CE = '1') then q_o <= D after 100 ps; end if; end if; end process; end beh_ff_ce_arch; library IEEE; use IEEE.STD_LOGIC_1164.all; entity beh_ff_pre is generic( INIT : std_logic := '1' ); port( Q : out std_logic; C : in std_logic; D : in std_logic; PRE : in std_logic ); end beh_ff_pre; architecture beh_ff_pre_arch of beh_ff_pre is signal q_o : std_logic := INIT; begin Q <= q_o; VITALBehavior : process(C, PRE) begin if (PRE = '1') then q_o <= '1'; elsif (C' event and C = '1') then q_o <= D after 100 ps; end if; end process; end beh_ff_pre_arch; library IEEE; use IEEE.STD_LOGIC_1164.all; entity beh_muxf7 is port( O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; S : in std_ulogic ); end beh_muxf7; architecture beh_muxf7_arch of beh_muxf7 is begin VITALBehavior : process (I0, I1, S) begin if (S = '0') then O <= I0; else O <= I1; end if; end process; end beh_muxf7_arch; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; entity STATE_LOGIC is generic( INIT : std_logic_vector(63 downto 0) := X"0000000000000000" ); port( O : out std_logic := '0'; I0 : in std_logic := '0'; I1 : in std_logic := '0'; I2 : in std_logic := '0'; I3 : in std_logic := '0'; I4 : in std_logic := '0'; I5 : in std_logic := '0' ); end STATE_LOGIC; architecture STATE_LOGIC_arch of STATE_LOGIC is constant INIT_reg : std_logic_vector(63 downto 0) := INIT; begin LUT_beh:process (I0, I1, I2, I3, I4, I5) variable I_reg : std_logic_vector(5 downto 0); begin I_reg := I5 & I4 & I3 & I2 & I1 & I0; O <= INIT_reg(conv_integer(I_reg)); end process; end STATE_LOGIC_arch;
bsd-2-clause
b4eaf6f7a4d6ce697517ce1999e701ca
0.508853
3.557065
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/sequential/rule_004_test_input.fixed.vhd
1
1,041
architecture ARCH of ENTITY is begin -- Passing PROC_2 : process (a) is begin a <= b or -- c = '2'; c or d = '1'; c1 <= d; e12 <= f and g and h or i and j; case CASE_LOGIC is when a = 1 => a <= b or c and d = '1'; when b = 1 => if a = 1 then c12 <= d or e or f and g; e1 <= f and x or y; end if; end case; a <= b; end process PROC_2; -- Violations PROC_2 : process (a) is begin a <= b or -- c = '2'; c or d = '1'; c1 <= d; e12 <= f and g and h or i and j; case CASE_LOGIC is when a = 1 => a <= b or c and d = '1'; when b = 1 => if a = 1 then c12 <= d or e or f and g; e1 <= f and x or y; end if; end case; a <= b; end process PROC_2; end architecture ARCH;
gpl-3.0
2d967e8cd078fbbfd8bae3b4761702b0
0.354467
3.47
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/case/rule_002_test_input.vhd
1
417
architecture ARCH of ENTITY is begin PROC_1 : process (a, b, c) is begin case boolean_1 is when STATE_1 => a <= b; b <= c; c <= d; end case; end process PROC_1; PROC_2 : process (a, b, c) is begin case boolean_1 is when STATE_1 => a <= b; b <= c; c <= d; end case; end process PROC_2; end architecture ARCH;
gpl-3.0
b88933a227ab78f02127f1a28e7b4114
0.47482
3.336
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/styles/jcl/spi_master.fixed.vhd
1
43,109
----------------------------------------------------------------------------------------------------------------------- -- Author: Jonny Doin, [email protected], [email protected] -- -- Create Date: 12:18:12 04/25/2011 -- Module Name: SPI_MASTER - RTL -- Project Name: SPI MASTER / SLAVE INTERFACE -- Target Devices: Spartan-6 -- Tool versions: ISE 13.1 -- Description: -- -- This block is the SPI master interface, implemented in one single entity. -- All internal core operations are synchronous to the 'sclk_i', and a spi base clock is generated by dividing sclk_i downto -- a frequency that is 2x the spi SCK line frequency. The divider value is passed as a generic parameter during instantiation. -- All parallel i/o interface operations are synchronous to the 'pclk_i' high speed clock, that can be asynchronous to the serial -- 'sclk_i' clock. -- For optimized use of longlines, connect 'sclk_i' and 'pclk_i' to the same global clock line. -- Fully pipelined cross-clock circuitry guarantees that no setup artifacts occur on the buffers that are accessed by the two -- clock domains. -- The block is very simple to use, and has parallel inputs and outputs that behave like a synchronous memory i/o. -- It is parameterizable via generics for the data width ('N'), SPI mode (CPHA and CPOL), lookahead prefetch signaling -- ('PREFETCH'), and spi base clock division from sclk_i ('SPI_2X_CLK_DIV'). -- -- SPI CLOCK GENERATION -- ==================== -- -- The clock generation for the SPI SCK is derived from the high-speed 'sclk_i' clock. The core divides this reference -- clock to form the SPI base clock, by the 'SPI_2X_CLK_DIV' generic parameter. The user must set the divider value for the -- SPI_2X clock, which is 2x the desired SCK frequency. -- All registers in the core are clocked by the high-speed clocks, and clock enables are used to run the FSM and other logic -- at lower rates. This architecture preserves FPGA clock resources like global clock buffers, and avoids path delays caused -- by combinatorial clock dividers outputs. -- The core has async clock domain circuitry to handle asynchronous clocks for the SPI and parallel interfaces. -- -- PARALLEL WRITE INTERFACE -- ======================== -- The parallel interface has an input port 'di_i' and an output port 'do_o'. -- Parallel load is controlled using 3 signals: 'di_i', 'di_req_o' and 'wren_i'. 'di_req_o' is a look ahead data request line, -- that is set 'PREFETCH' clock cycles in advance to synchronize a pipelined memory or fifo to present the -- next input data at 'di_i' in time to have continuous clock at the spi bus, to allow back-to-back continuous load. -- For a pipelined sync RAM, a PREFETCH of 2 cycles allows an address generator to present the new adress to the RAM in one -- cycle, and the RAM to respond in one more cycle, in time for 'di_i' to be latched by the shifter. -- If the user sequencer needs a different value for PREFETCH, the generic can be altered at instantiation time. -- The 'wren_i' write enable strobe must be valid at least one setup time before the rising edge of the last SPI clock cycle, -- if continuous transmission is intended. If 'wren_i' is not valid 2 SPI clock cycles after the last transmitted bit, the interface -- enters idle state and deasserts SSEL. -- When the interface is idle, 'wren_i' write strobe loads the data and starts transmission. 'di_req_o' will strobe when entering -- idle state, if a previously loaded data has already been transferred. -- -- PARALLEL WRITE SEQUENCE -- ======================= -- __ __ __ __ __ __ __ -- pclk_i __/ \__/ \__/ \__/ \__/ \__/ \__/ \... -- parallel interface clock -- ___________ -- di_req_o ________/ \_____________________... -- 'di_req_o' asserted on rising edge of 'pclk_i' -- ______________ ___________________________... -- di_i __old_data____X______new_data_____________... -- user circuit loads data on 'di_i' at next 'pclk_i' rising edge -- _______ -- wren_i __________________________/ \_______... -- user strobes 'wren_i' for one cycle of 'pclk_i' -- -- -- PARALLEL READ INTERFACE -- ======================= -- An internal buffer is used to copy the internal shift register data to drive the 'do_o' port. When a complete word is received, -- the core shift register is transferred to the buffer, at the rising edge of the spi clock, 'spi_clk'. -- The signal 'do_valid_o' is set one 'spi_clk' clock after, to directly drive a synchronous memory or fifo write enable. -- 'do_valid_o' is synchronous to the parallel interface clock, and changes only on rising edges of 'pclk_i'. -- When the interface is idle, data at the 'do_o' port holds the last word received. -- -- PARALLEL READ SEQUENCE -- ====================== -- ______ ______ ______ ______ -- spi_clk bit1 \______/ bitN \______/bitN-1\______/bitN-2\__... -- internal spi 2x base clock -- _ __ __ __ __ __ __ __ __ -- pclk_i \__/ \__/ \__/ \__/ \__/ \__/ \__/ \__/ \_... -- parallel interface clock (may be async to sclk_i) -- _____________ _____________________________________... -- 1) rx data is transferred to 'do_buffer_reg' -- do_o ___old_data__X__________new_data___________________... -- after last rx bit, at rising 'spi_clk'. -- ____________ -- do_valid_o ____________________________/ \_________... -- 2) 'do_valid_o' strobed for 2 'pclk_i' cycles -- -- on the 3rd 'pclk_i' rising edge. -- -- -- The propagation delay of spi_sck_o and spi_mosi_o, referred to the internal clock, is balanced by similar path delays, -- but the sampling delay of spi_miso_i imposes a setup time referred to the sck signal that limits the high frequency -- of the interface, for full duplex operation. -- -- This design was originally targeted to a Spartan-6 platform, synthesized with XST and normal constraints. -- The VHDL dialect used is VHDL'93, accepted largely by all synthesis tools. -- ------------------------------ COPYRIGHT NOTICE ----------------------------------------------------------------------- -- -- This file is part of the SPI MASTER/SLAVE INTERFACE project http://opencores.org/project,spi_master_slave -- -- Author(s): Jonny Doin, [email protected], [email protected] -- -- Copyright (C) 2011 Jonny Doin -- ----------------------------- -- -- This source file may be used and distributed without restriction provided that this copyright statement is not -- removed from the file and that any derivative work contains the original copyright notice and the associated -- disclaimer. -- -- This source file is free software; you can redistribute it and/or modify it under the terms of the GNU Lesser -- General Public License as published by the Free Software Foundation; either version 2.1 of the License, or -- (at your option) any later version. -- -- This source is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied -- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU Lesser General Public License for more -- details. -- -- You should have received a copy of the GNU Lesser General Public License along with this source; if not, download -- it from http://www.gnu.org/licenses/lgpl.txt -- ------------------------------ REVISION HISTORY ----------------------------------------------------------------------- -- -- 2011/04/28 v0.01.0010 [JD] shifter implemented as a sequential process. timing problems and async issues in synthesis. -- 2011/05/01 v0.01.0030 [JD] changed original shifter design to a fully pipelined RTL fsmd. solved all synthesis issues. -- 2011/05/05 v0.01.0034 [JD] added an internal buffer register for rx_data, to allow greater liberty in data load/store. -- 2011/05/08 v0.10.0038 [JD] increased one state to have SSEL start one cycle before SCK. Implemented full CPOL/CPHA -- logic, based on generics, and do_valid_o signal. -- 2011/05/13 v0.20.0045 [JD] streamlined signal names, added PREFETCH parameter, added assertions. -- 2011/05/17 v0.80.0049 [JD] added explicit clock synchronization circuitry across clock boundaries. -- 2011/05/18 v0.95.0050 [JD] clock generation circuitry, with generators for all-rising-edge clock core. -- 2011/06/05 v0.96.0053 [JD] changed async clear to sync resets. -- 2011/06/07 v0.97.0065 [JD] added cross-clock buffers, fixed fsm async glitches. -- 2011/06/09 v0.97.0068 [JD] reduced control sets (resets, CE, presets) to the absolute minimum to operate, to reduce -- synthesis LUT overhead in Spartan-6 architecture. -- 2011/06/11 v0.97.0075 [JD] redesigned all parallel data interfacing ports, and implemented cross-clock strobe logic. -- 2011/06/12 v0.97.0079 [JD] streamlined wr_ack for all cases and eliminated unnecessary register resets. -- 2011/06/14 v0.97.0083 [JD] (bug CPHA effect) : redesigned SCK output circuit. -- (minor bug) : removed fsm registers from (not rst_i) chip enable. -- 2011/06/15 v0.97.0086 [JD] removed master MISO input register, to relax MISO data setup time (to get higher speed). -- 2011/07/09 v1.00.0095 [JD] changed all clocking scheme to use a single high-speed clock with clock enables to control lower -- frequency sequential circuits, to preserve clocking resources and avoid path delay glitches. -- 2011/07/10 v1.00.0098 [JD] implemented SCK clock divider circuit to generate spi clock directly from system clock. -- 2011/07/10 v1.10.0075 [JD] verified spi_master_slave in silicon at 50MHz, 25MHz, 16.666MHz, 12.5MHz, 10MHz, 8.333MHz, -- 7.1428MHz, 6.25MHz, 1MHz and 500kHz. The core proved very robust at all tested frequencies. -- 2011/07/16 v1.11.0080 [JD] verified both spi_master and spi_slave in loopback at 50MHz SPI clock. -- 2011/07/17 v1.11.0080 [JD] BUG: CPOL='1', CPHA='1' @50MHz causes MOSI to be shifted one bit earlier. -- BUG: CPOL='0', CPHA='1' causes SCK to have one extra pulse with one sclk_i width at the end. -- 2011/07/18 v1.12.0105 [JD] CHG: spi sck output register changed to remove glitch at last clock when CPHA='1'. -- for CPHA='1', max spi clock is 25MHz. for CPHA= '0', max spi clock is >50MHz. -- 2011/07/24 v1.13.0125 [JD] FIX: 'sck_ena_ce' is on half-cycle advanced to 'fsm_ce', elliminating CPHA='1' glitches. -- Core verified for all CPOL, CPHA at up to 50MHz, simulates to over 100MHz. -- 2011/07/29 v1.14.0130 [JD] Removed global signal setting at the FSM, implementing exhaustive explicit signal attributions -- for each state, to avoid reported inference problems in some synthesis engines. -- Streamlined port names and indentation blocks. -- 2011/08/01 v1.15.0135 [JD] Fixed latch inference for spi_mosi_o driver at the fsm. -- The master and slave cores were verified in FPGA with continuous transmission, for all SPI modes. -- 2011/08/04 v1.15.0136 [JD] Fixed assertions (PREFETCH >= 1) and minor comment bugs. -- ----------------------------------------------------------------------------------------------------------------------- -- TODO -- ==== -- ----------------------------------------------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_unsigned.all; --================================================================================================================ -- SYNTHESIS CONSIDERATIONS -- ======================== -- There are several output ports that are used to simulate and verify the core operation. -- Do not map any signals to the unused ports, and the synthesis tool will remove the related interfacing -- circuitry. -- The same is valid for the transmit and receive ports. If the receive ports are not mapped, the -- synthesis tool will remove the receive logic from the generated circuitry. -- Alternatively, you can remove these ports and related circuitry once the core is verified and -- integrated to your circuit. --================================================================================================================ entity SPI_MASTER is generic ( N : positive := 32; -- 32bit serial word length is default CPOL : std_logic := '0'; -- SPI mode selection (mode 0 default) CPHA : std_logic := '0'; -- CPOL = clock polarity, CPHA = clock phase. PREFETCH : positive := 2; -- prefetch lookahead cycles SPI_2X_CLK_DIV : positive := 5 -- for a 100MHz sclk_i, yields a 10MHz SCK ); port ( SCLK_I : in std_logic := 'X'; -- high-speed serial interface system clock PCLK_I : in std_logic := 'X'; -- high-speed parallel interface system clock RST_I : in std_logic := 'X'; -- reset core ---- serial interface ---- SPI_SSEL_O : out std_logic; -- spi bus slave select line SPI_SCK_O : out std_logic; -- spi bus sck SPI_MOSI_O : out std_logic; -- spi bus mosi output SPI_MISO_I : in std_logic := 'X'; -- spi bus spi_miso_i input ---- parallel interface ---- DI_REQ_O : out std_logic; -- preload lookahead data request line DI_I : in std_logic_vector(N - 1 downto 0) := (others => 'X'); -- parallel data in (clocked on rising spi_clk after last bit) WREN_I : in std_logic := 'X'; -- user data write enable, starts transmission when interface is idle WR_ACK_O : out std_logic; -- write acknowledge DO_VALID_O : out std_logic; -- do_o data valid signal, valid during one spi_clk rising edge. DO_O : out std_logic_vector(N - 1 downto 0); -- parallel output (clocked on rising spi_clk after last bit) --- debug ports: can be removed or left unconnected for the application circuit --- SCK_ENA_O : out std_logic; -- debug: internal sck enable signal SCK_ENA_CE_O : out std_logic; -- debug: internal sck clock enable signal DO_TRANSFER_O : out std_logic; -- debug: internal transfer driver WREN_O : out std_logic; -- debug: internal state of the wren_i pulse stretcher RX_BIT_REG_O : out std_logic; -- debug: internal rx bit STATE_DBG_O : out std_logic_vector(3 downto 0); -- debug: internal state register CORE_CLK_O : out std_logic; CORE_N_CLK_O : out std_logic; CORE_CE_O : out std_logic; CORE_N_CE_O : out std_logic; SH_REG_DBG_O : out std_logic_vector(N - 1 downto 0) -- debug: internal shift register ); end entity SPI_MASTER; --================================================================================================================ -- this architecture is a pipelined register-transfer description. -- all signals are clocked at the rising edge of the system clock 'sclk_i'. --================================================================================================================ architecture RTL of SPI_MASTER is -- core clocks, generated from 'sclk_i': initialized at GSR to differential values signal core_clk : std_logic := '0'; -- continuous core clock, positive logic signal core_n_clk : std_logic := '1'; -- continuous core clock, negative logic signal core_ce : std_logic := '0'; -- core clock enable, positive logic signal core_n_ce : std_logic := '1'; -- core clock enable, negative logic -- spi bus clock, generated from the CPOL selected core clock polarity signal spi_2x_ce : std_logic := '1'; -- spi_2x clock enable signal spi_clk : std_logic := '0'; -- spi bus output clock signal spi_clk_reg : std_logic; -- output pipeline delay for spi sck (do NOT global initialize) -- core fsm clock enables signal fsm_ce : std_logic := '1'; -- fsm clock enable signal sck_ena_ce : std_logic := '1'; -- SCK clock enable signal samp_ce : std_logic := '1'; -- data sampling clock enable -- -- GLOBAL RESET: -- all signals are initialized to zero at GSR (global set/reset) by giving explicit -- initialization values at declaration. This is needed for all Xilinx FPGAs, and -- especially for the Spartan-6 and newer CLB architectures, where a async reset can -- reduce the usability of the slice registers, due to the need to share the control -- set (RESET/PRESET, CLOCK ENABLE and CLOCK) by all 8 registers in a slice. -- By using GSR for the initialization, and reducing async RESET local init to the bare -- essential, the model achieves better LUT/FF packing and CLB usability. -- -- internal state signals for register and combinatorial stages signal state_next : natural range N + 1 downto 0 := 0; signal state_reg : natural range N + 1 downto 0 := 0; -- shifter signals for register and combinatorial stages signal sh_next : std_logic_vector(N - 1 downto 0); signal sh_reg : std_logic_vector(N - 1 downto 0); -- input bit sampled buffer signal rx_bit_reg : std_logic := '0'; -- buffered di_i data signals for register and combinatorial stages signal di_reg : std_logic_vector(N - 1 downto 0); -- internal wren_i stretcher for fsm combinatorial stage signal wren : std_logic; signal wr_ack_next : std_logic := '0'; signal wr_ack_reg : std_logic := '0'; -- internal SSEL enable control signals signal ssel_ena_next : std_logic := '0'; signal ssel_ena_reg : std_logic := '0'; -- internal SCK enable control signals signal sck_ena_next : std_logic; signal sck_ena_reg : std_logic; -- buffered do_o data signals for register and combinatorial stages signal do_buffer_next : std_logic_vector(N - 1 downto 0); signal do_buffer_reg : std_logic_vector(N - 1 downto 0); -- internal signal to flag transfer to do_buffer_reg signal do_transfer_next : std_logic := '0'; signal do_transfer_reg : std_logic := '0'; -- internal input data request signal signal di_req_next : std_logic := '0'; signal di_req_reg : std_logic := '0'; -- cross-clock do_transfer_reg -> do_valid_o_reg pipeline signal do_valid_a : std_logic := '0'; signal do_valid_b : std_logic := '0'; signal do_valid_c : std_logic := '0'; signal do_valid_d : std_logic := '0'; signal do_valid_next : std_logic := '0'; signal do_valid_o_reg : std_logic := '0'; -- cross-clock di_req_reg -> di_req_o_reg pipeline signal di_req_o_a : std_logic := '0'; signal di_req_o_b : std_logic := '0'; signal di_req_o_c : std_logic := '0'; signal di_req_o_d : std_logic := '0'; signal di_req_o_next : std_logic := '1'; signal di_req_o_reg : std_logic := '1'; begin --============================================================================================= -- GENERICS CONSTRAINTS CHECKING --============================================================================================= -- minimum word width is 8 bits assert N >= 8 report "Generic parameter 'N' (shift register size) needs to be 8 bits minimum" severity FAILURE; -- minimum prefetch lookahead check assert PREFETCH >= 1 report "Generic parameter 'PREFETCH' (lookahead count) needs to be 1 minimum" severity FAILURE; -- maximum prefetch lookahead check assert PREFETCH <= N - 5 report "Generic parameter 'PREFETCH' (lookahead count) out of range, needs to be N-5 maximum" severity FAILURE; -- SPI_2X_CLK_DIV clock divider value must not be zero assert SPI_2X_CLK_DIV > 0 report "Generic parameter 'SPI_2X_CLK_DIV' must not be zero" severity FAILURE; --============================================================================================= -- CLOCK GENERATION --============================================================================================= -- In order to preserve global clocking resources, the core clocking scheme is completely based -- on using clock enables to process the serial high-speed clock at lower rates for the core fsm, -- the spi clock generator and the input sampling clock. -- The clock generation block derives 2 continuous antiphase signals from the 2x spi base clock -- for the core clocking. -- The 2 clock phases are generated by separate and synchronous FFs, and should have only -- differential interconnect delay skew. -- Clock enable signals are generated with the same phase as the 2 core clocks, and these clock -- enables are used to control clocking of all internal synchronous circuitry. -- The clock enable phase is selected for serial input sampling, fsm clocking, and spi SCK output, -- based on the configuration of CPOL and CPHA. -- Each phase is selected so that all the registers can be clocked with a rising edge on all SPI -- modes, by a single high-speed global clock, preserving clock resources and clock to data skew. ----------------------------------------------------------------------------------------------- -- generate the 2x spi base clock enable from the serial high-speed input clock SPI_2X_CE_GEN_PROC : process (SCLK_I) is variable clk_cnt : integer range SPI_2X_CLK_DIV - 1 downto 0 := 0; begin if (SCLK_I'event and SCLK_I = '1') then if (clk_cnt = SPI_2X_CLK_DIV - 1) then spi_2x_ce <= '1'; clk_cnt := 0; else spi_2x_ce <= '0'; clk_cnt := clk_cnt + 1; end if; end if; end process SPI_2X_CE_GEN_PROC; ----------------------------------------------------------------------------------------------- -- generate the core antiphase clocks and clock enables from the 2x base CE. CORE_CLOCK_GEN_PROC : process (SCLK_I) is begin if (SCLK_I'event and SCLK_I = '1') then if (spi_2x_ce = '1') then -- generate the 2 antiphase core clocks core_clk <= core_n_clk; core_n_clk <= not core_n_clk; -- generate the 2 phase core clock enables core_ce <= core_n_clk; core_n_ce <= not core_n_clk; else core_ce <= '0'; core_n_ce <= '0'; end if; end if; end process CORE_CLOCK_GEN_PROC; --============================================================================================= -- GENERATE BLOCKS --============================================================================================= -- spi clk generator: generate spi_clk from core_clk depending on CPOL SPI_SCK_CPOL_0_PROC : if CPOL = '0' generate begin spi_clk <= core_clk; -- for CPOL=0, spi clk has idle LOW end generate SPI_SCK_CPOL_0_PROC; SPI_SCK_CPOL_1_PROC : if CPOL = '1' generate begin spi_clk <= core_n_clk; -- for CPOL=1, spi clk has idle HIGH end generate SPI_SCK_CPOL_1_PROC; ----------------------------------------------------------------------------------------------- -- Sampling clock enable generation: generate 'samp_ce' from 'core_ce' or 'core_n_ce' depending on CPHA -- always sample data at the half-cycle of the fsm update cell SAMP_CE_CPHA_0_PROC : if CPHA = '0' generate begin samp_ce <= core_ce; end generate SAMP_CE_CPHA_0_PROC; SAMP_CE_CPHA_1_PROC : if CPHA = '1' generate begin samp_ce <= core_n_ce; end generate SAMP_CE_CPHA_1_PROC; ----------------------------------------------------------------------------------------------- -- FSM clock enable generation: generate 'fsm_ce' from core_ce or core_n_ce depending on CPHA FSM_CE_CPHA_0_PROC : if CPHA = '0' generate begin fsm_ce <= core_n_ce; -- for CPHA=0, latch registers at rising edge of negative core clock enable end generate FSM_CE_CPHA_0_PROC; FSM_CE_CPHA_1_PROC : if CPHA = '1' generate begin fsm_ce <= core_ce; -- for CPHA=1, latch registers at rising edge of positive core clock enable end generate FSM_CE_CPHA_1_PROC; ----------------------------------------------------------------------------------------------- -- sck enable control: control sck advance phase for CPHA='1' relative to fsm clock sck_ena_ce <= core_n_ce; -- for CPHA=1, SCK is advanced one-half cycle --============================================================================================= -- REGISTERED INPUTS --============================================================================================= -- rx bit flop: capture rx bit after SAMPLE edge of sck RX_BIT_PROC : process (SCLK_I, SPI_MISO_I) is begin if (SCLK_I'event and SCLK_I = '1') then if (samp_ce = '1') then rx_bit_reg <= SPI_MISO_I; end if; end if; end process RX_BIT_PROC; --============================================================================================= -- CROSS-CLOCK PIPELINE TRANSFER LOGIC --============================================================================================= -- do_valid_o and di_req_o strobe output logic -- this is a delayed pulse generator with a ripple-transfer FFD pipeline, that generates a -- fixed-length delayed pulse for the output flags, at the parallel clock domain OUT_TRANSFER_PROC : process (PCLK_I, do_transfer_reg, di_req_reg, do_valid_a, do_valid_b, do_valid_d, di_req_o_a, di_req_o_b, di_req_o_d) is begin if (PCLK_I'event and PCLK_I = '1') then -- clock at parallel port clock -- do_transfer_reg -> do_valid_o_reg do_valid_a <= do_transfer_reg; -- the input signal must be at least 2 clocks long do_valid_b <= do_valid_a; -- feed it to a ripple chain of FFDs do_valid_c <= do_valid_b; do_valid_d <= do_valid_c; do_valid_o_reg <= do_valid_next; -- registered output pulse -------------------------------- -- di_req_reg -> di_req_o_reg di_req_o_a <= di_req_reg; -- the input signal must be at least 2 clocks long di_req_o_b <= di_req_o_a; -- feed it to a ripple chain of FFDs di_req_o_c <= di_req_o_b; di_req_o_d <= di_req_o_c; di_req_o_reg <= di_req_o_next; -- registered output pulse end if; -- generate a 2-clocks pulse at the 3rd clock cycle do_valid_next <= do_valid_a and do_valid_b and not do_valid_d; di_req_o_next <= di_req_o_a and di_req_o_b and not di_req_o_d; end process OUT_TRANSFER_PROC; -- parallel load input registers: data register and write enable IN_TRANSFER_PROC : process (PCLK_I, WREN_I, wr_ack_reg) is begin -- registered data input, input register with clock enable if (PCLK_I'event and PCLK_I = '1') then if (WREN_I = '1') then di_reg <= DI_I; -- parallel data input buffer register end if; end if; -- stretch wren pulse to be detected by spi fsm (ffd with sync preset and sync reset) if (PCLK_I'event and PCLK_I = '1') then if (WREN_I = '1') then -- wren_i is the sync preset for wren wren <= '1'; elsif (wr_ack_reg = '1') then -- wr_ack is the sync reset for wren wren <= '0'; end if; end if; end process IN_TRANSFER_PROC; --============================================================================================= -- REGISTER TRANSFER PROCESSES --============================================================================================= -- fsm state and data registers: synchronous to the spi base reference clock CORE_REG_PROC : process (SCLK_I) is begin -- FF registers clocked on rising edge and cleared on sync rst_i if (SCLK_I'event and SCLK_I = '1') then if (RST_I = '1') then -- sync reset state_reg <= 0; -- only provide local reset for the state machine elsif (fsm_ce = '1') then -- fsm_ce is clock enable for the fsm state_reg <= state_next; -- state register end if; end if; -- FF registers clocked synchronous to the fsm state if (SCLK_I'event and SCLK_I = '1') then if (fsm_ce = '1') then sh_reg <= sh_next; -- shift register ssel_ena_reg <= ssel_ena_next; -- spi select enable do_buffer_reg <= do_buffer_next; -- registered output data buffer do_transfer_reg <= do_transfer_next; -- output data transferred to buffer di_req_reg <= di_req_next; -- input data request wr_ack_reg <= wr_ack_next; -- write acknowledge for data load synchronization end if; end if; -- FF registers clocked one-half cycle earlier than the fsm state if (SCLK_I'event and SCLK_I = '1') then if (sck_ena_ce = '1') then sck_ena_reg <= sck_ena_next; -- spi clock enable: look ahead logic end if; end if; end process CORE_REG_PROC; --============================================================================================= -- COMBINATORIAL LOGIC PROCESSES --============================================================================================= -- state and datapath combinatorial logic CORE_COMBI_PROC : process (sh_reg, state_reg, rx_bit_reg, ssel_ena_reg, sck_ena_reg, do_buffer_reg, do_transfer_reg, wr_ack_reg, di_req_reg, di_reg, wren) is begin sh_next <= sh_reg; -- all output signals are assigned to (avoid latches) ssel_ena_next <= ssel_ena_reg; -- controls the slave select line sck_ena_next <= sck_ena_reg; -- controls the clock enable of spi sck line do_buffer_next <= do_buffer_reg; -- output data buffer do_transfer_next <= do_transfer_reg; -- output data flag wr_ack_next <= wr_ack_reg; -- write acknowledge di_req_next <= di_req_reg; -- prefetch data request SPI_MOSI_O <= sh_reg(N - 1); -- default to avoid latch inference state_next <= state_reg; -- next state case state_reg is when (N + 1) => -- this state is to enable SSEL before SCK SPI_MOSI_O <= sh_reg(N - 1); -- shift out tx bit from the MSb ssel_ena_next <= '1'; -- tx in progress: will assert SSEL sck_ena_next <= '1'; -- enable SCK on next cycle (stays off on first SSEL clock cycle) di_req_next <= '0'; -- prefetch data request: deassert when shifting data wr_ack_next <= '0'; -- remove write acknowledge for all but the load stages state_next <= state_reg - 1; -- update next state at each sck pulse when (N) => -- deassert 'di_rdy' and stretch do_valid SPI_MOSI_O <= sh_reg(N - 1); -- shift out tx bit from the MSb di_req_next <= '0'; -- prefetch data request: deassert when shifting data sh_next(N - 1 downto 1) <= sh_reg(N - 2 downto 0); -- shift inner bits sh_next(0) <= rx_bit_reg; -- shift in rx bit into LSb wr_ack_next <= '0'; -- remove write acknowledge for all but the load stages state_next <= state_reg - 1; -- update next state at each sck pulse when (N - 1) downto (PREFETCH + 3) => -- remove 'do_transfer' and shift bits SPI_MOSI_O <= sh_reg(N - 1); -- shift out tx bit from the MSb di_req_next <= '0'; -- prefetch data request: deassert when shifting data do_transfer_next <= '0'; -- reset 'do_valid' transfer signal sh_next(N - 1 downto 1) <= sh_reg(N - 2 downto 0); -- shift inner bits sh_next(0) <= rx_bit_reg; -- shift in rx bit into LSb wr_ack_next <= '0'; -- remove write acknowledge for all but the load stages state_next <= state_reg - 1; -- update next state at each sck pulse when (PREFETCH + 2) downto 2 => -- raise prefetch 'di_req_o' signal SPI_MOSI_O <= sh_reg(N - 1); -- shift out tx bit from the MSb di_req_next <= '1'; -- request data in advance to allow for pipeline delays sh_next(N - 1 downto 1) <= sh_reg(N - 2 downto 0); -- shift inner bits sh_next(0) <= rx_bit_reg; -- shift in rx bit into LSb wr_ack_next <= '0'; -- remove write acknowledge for all but the load stages state_next <= state_reg - 1; -- update next state at each sck pulse when 1 => -- transfer rx data to do_buffer and restart if new data is written SPI_MOSI_O <= sh_reg(N - 1); -- shift out tx bit from the MSb di_req_next <= '1'; -- request data in advance to allow for pipeline delays do_buffer_next(N - 1 downto 1) <= sh_reg(N - 2 downto 0); -- shift rx data directly into rx buffer do_buffer_next(0) <= rx_bit_reg; -- shift last rx bit into rx buffer do_transfer_next <= '1'; -- signal transfer to do_buffer if (wren = '1') then -- load tx register if valid data present at di_i state_next <= N; -- next state is top bit of new data sh_next <= di_reg; -- load parallel data from di_reg into shifter sck_ena_next <= '1'; -- SCK enabled wr_ack_next <= '1'; -- acknowledge data in transfer else sck_ena_next <= '0'; -- SCK disabled: tx empty, no data to send wr_ack_next <= '0'; -- remove write acknowledge for all but the load stages state_next <= state_reg - 1; -- update next state at each sck pulse end if; when 0 => -- idle state: start and end of transmission di_req_next <= '1'; -- will request data if shifter empty sck_ena_next <= '0'; -- SCK disabled: tx empty, no data to send if (wren = '1') then -- load tx register if valid data present at di_i SPI_MOSI_O <= di_reg(N - 1); -- special case: shift out first tx bit from the MSb (look ahead) ssel_ena_next <= '1'; -- enable interface SSEL state_next <= N + 1; -- start from idle: let one cycle for SSEL settling sh_next <= di_reg; -- load bits from di_reg into shifter wr_ack_next <= '1'; -- acknowledge data in transfer else SPI_MOSI_O <= sh_reg(N - 1); -- shift out tx bit from the MSb ssel_ena_next <= '0'; -- deassert SSEL: interface is idle wr_ack_next <= '0'; -- remove write acknowledge for all but the load stages state_next <= 0; -- when idle, keep this state end if; when others => state_next <= 0; -- state 0 is safe state end case; end process CORE_COMBI_PROC; --============================================================================================= -- OUTPUT LOGIC PROCESSES --============================================================================================= -- data output processes SPI_SSEL_O <= not ssel_ena_reg; -- active-low slave select line DO_O <= do_buffer_reg; -- parallel data out DO_VALID_O <= do_valid_o_reg; -- data out valid DI_REQ_O <= di_req_o_reg; -- input data request for next cycle WR_ACK_O <= wr_ack_reg; -- write acknowledge ----------------------------------------------------------------------------------------------- -- SCK out logic: pipeline phase compensation for the SCK line ----------------------------------------------------------------------------------------------- -- This is a MUX with an output register. -- The register gives us a pipeline delay for the SCK line, pairing with the state machine moore -- output pipeline delay for the MOSI line, and thus enabling higher SCK frequency. SPI_SCK_O_GEN_PROC : process (SCLK_I, sck_ena_reg, spi_clk, spi_clk_reg) is begin if (SCLK_I'event and SCLK_I = '1') then if (sck_ena_reg = '1') then spi_clk_reg <= spi_clk; -- copy the selected clock polarity else spi_clk_reg <= CPOL; -- when clock disabled, set to idle polarity end if; end if; SPI_SCK_O <= spi_clk_reg; -- connect register to output end process SPI_SCK_O_GEN_PROC; --============================================================================================= -- DEBUG LOGIC PROCESSES --============================================================================================= -- these signals are useful for verification, and can be deleted after debug. DO_TRANSFER_O <= do_transfer_reg; STATE_DBG_O <= std_logic_vector(to_unsigned(state_reg, 4)); RX_BIT_REG_O <= rx_bit_reg; WREN_O <= wren; SH_REG_DBG_O <= sh_reg; CORE_CLK_O <= core_clk; CORE_N_CLK_O <= core_n_clk; CORE_CE_O <= core_ce; CORE_N_CE_O <= core_n_ce; SCK_ENA_O <= sck_ena_reg; SCK_ENA_CE_O <= sck_ena_ce; end architecture RTL;
gpl-3.0
717d24d96449365dea588d21bc4a71c7
0.489573
4.601238
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/port_map/rule_007_test_input.fixed.vhd
2
677
architecture ARCH of ENTITY1 is begin U_INST1 : INST1 generic map ( G_GEN_1 => 3, G_GEN_2 => 4, G_GEN_3 => 5 ) port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); -- Violations below U_INST1 : INST1 generic map( G_GEN_1 => 3, G_GEN_2 => 4, G_GEN_3 => 5 ) port map (PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); U_INST1 : INST1 generic map ( G_GEN_1 => 3, G_GEN_2 => 4, G_GEN_3 => 5 ) port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); end architecture ARCH;
gpl-3.0
a451a12c80a44a1c5e82bca8558b78b4
0.447563
2.654902
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/case/rule_020_test_input.fixed.vhd
1
421
architecture ARCH of ENTITY is begin PROC_1 : process (a, b, c) is begin case boolean_1 is when STATE_1 => a <= b; b <= c; c <= d; end case; end process PROC_1; PROC_2 : process (a, b, c) is begin CASE_LABEL : case boolean_1 is when STATE_1=> a <= b; b <= c; c <= d; end CASE ; end process PROC_2; end architecture ARCH;
gpl-3.0
4fa7114fe54a92dc15ffcc1f91922b41
0.491686
3.289063
false
false
false
false
Yarr/Yarr-fw
rtl/tx-core/serial_port.vhd
1
3,885
-- #################################### -- # Project: Yarr -- # Author: Timon Heim -- # E-Mail: timon.heim at cern.ch -- # Comments: Serial Port -- # Outputs are synchronous to clk_i -- #################################### library IEEE; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.board_pkg.all; entity serial_port is generic ( g_PORT_WIDTH : integer := 32 ); port ( -- Sys connect clk_i : in std_logic; rst_n_i : in std_logic; -- Input enable_i : in std_logic; data_i : in std_logic_vector(g_PORT_WIDTH-1 downto 0); idle_i : in std_logic_vector(g_PORT_WIDTH-1 downto 0); sync_i : in std_logic_vector(g_PORT_WIDTH-1 downto 0); sync_interval_i : in std_logic_vector(7 downto 0); pulse_i : in std_logic_vector(g_PORT_WIDTH-1 downto 0); pulse_interval_i : in std_logic_vector(15 downto 0); data_valid_i : in std_logic; -- Output data_o : out std_logic; data_read_o : out std_logic ); end serial_port; architecture behavioral of serial_port is function log2_ceil(N : natural) return positive is begin if N <= 2 then return 1; elsif N mod 2 = 0 then return 1 + log2_ceil(N/2); else return 1 + log2_ceil((N+1)/2); end if; end; -- Signals constant c_ZEROS : std_logic_vector(g_PORT_WIDTH-1 downto 0) := (others => '0'); signal bit_count : unsigned(log2_ceil(g_PORT_WIDTH) downto 0); signal sreg : std_logic_vector(g_PORT_WIDTH-1 downto 0); signal sync_cnt : unsigned(7 downto 0); signal pulse_cnt : unsigned(15 downto 0); signal bx_tick : std_logic; begin -- Serializer proc serialize: process(clk_i, rst_n_i) begin if (rst_n_i = '0') then sreg <= (others => '0'); bit_count <= (others => '0'); data_read_o <= '0'; sync_cnt <= (others => '0'); pulse_cnt <= (others => '0'); data_o <= '0'; elsif rising_edge(clk_i) then -- Output register data_o <= sreg(g_PORT_WIDTH-1); -- Priority encoder -- 1. Input via data_i port (fifo/looper) [only when enabled] -- 3. Autozero word [only when enabled] -- 2. Sync word -- 4. Idle if (bit_count = g_PORT_WIDTH-1 and data_valid_i = '1' and enable_i = '1') then sreg <= data_i; data_read_o <= '1'; bit_count <= (others => '0'); sync_cnt <= sync_cnt + 1; pulse_cnt <= pulse_cnt + 1; elsif (bit_count = g_PORT_WIDTH-1 and pulse_cnt >= unsigned(pulse_interval_i) and (pulse_i /= c_ZEROS) and (enable_i = '1')) then -- sreg <= pulse_i; bit_count <= (others => '0'); sync_cnt <= sync_cnt + 1; pulse_cnt <= (others => '0'); elsif (bit_count = g_PORT_WIDTH-1 and sync_cnt >= unsigned(sync_interval_i) and (sync_i /= c_ZEROS)) then sreg <= sync_i; bit_count <= (others => '0'); sync_cnt <= (others => '0'); pulse_cnt <= pulse_cnt + 1; --elsif (bit_count = g_PORT_WIDTH-1 and data_valid_i = '0') then elsif (bit_count = g_PORT_WIDTH-1) then sreg <= idle_i; bit_count <= (others => '0'); sync_cnt <= sync_cnt + 1; pulse_cnt <= pulse_cnt + 1; else sreg <= sreg(g_PORT_WIDTH-2 downto 0) & '0'; data_read_o <= '0'; bit_count <= bit_count + 1; end if; bx_tick <= '0'; if (bit_count mod c_TX_40_DIVIDER = 0) then bx_tick <= '1'; end if; end if; end process serialize; end behavioral;
gpl-3.0
bf2b0318f36ecd4f2342ea41e2bad4b2
0.496525
3.407895
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/concurrent/rule_009_smart_tabs_test_input.fixed_align_left_no_align_paren_no_align_when_no_wrap_at_when_no_align_else_yes.vhd
1
2,012
architecture rtl of fifo is begin my_signal <= '1' when input = "00" else my_signal2 or my_sig3 when input = "01" else my_sig4 and my_sig5 when input = "10" else '0'; my_signal <= '1' when input = "0000" else my_signal2 or my_sig3 when input = "0100" and input = "1100" else my_sig4 when input = "0010" else '0'; my_signal <= '1' when input(1 downto 0) = "00" and func1(func2(G_VALUE1), to_integer(cons1(37 downto 0))) = 256 else '0' when input(3 downto 0) = "0010" else 'Z'; my_signal <= '1' when input(1 downto 0) = "00" and func1(func2(G_VALUE1), to_integer(cons1(37 downto 0))) = 256 else '0' when input(3 downto 0) = "0010" else 'Z'; my_signal <= '1' when a = "0000" and func1(345) or b = "1000" and func2(567) and c = "00" else sig1 when a = "1000" and func2(560) and b = "0010" else '0'; my_signal <= '1' when input(1 downto 0) = "00" and func1(func2(G_VALUE1), to_integer(cons1(37 downto 0))) = 256 else my_signal when input(3 downto 0) = "0010" else 'Z'; -- Testing no code after assignment my_signal <= '1' when input(1 downto 0) = "00" and func1(func2(G_VALUE1), to_integer(cons1(37 downto 0))) = 256 else my_signal when input(3 downto 0) = "0010" else 'Z'; my_signal <= (others => '0') when input(1 downto 0) = "00" and func1(func2(G_VALUE1), to_integer(cons1(37 downto 0))) = 256 else my_signal when input(3 downto 0) = "0010" else 'Z'; end architecture rtl;
gpl-3.0
df9c3116669c420964cc7312c54dc853
0.448807
3.678245
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/instantiation/rule_033_test_input.vhd
1
569
architecture ARCH of ENTITY1 is begin U_INST1 : INST1 generic map ( G_GEN_1 => 3, G_GEN_2 => 4, G_GEN_3 => 5 ) port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); U_ENTITY_INST : entity FIFO(rtl); -- Violations below U_INST1 : component INST1 port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); U_INST1:INST port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); end architecture ARCH;
gpl-3.0
da84129a99ffbd4d9939c6c4b18a1e05
0.490334
2.789216
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/generic/rule_016_test_input.vhd
1
340
entity FIFO is generic ( G_WIDTH : integer := 256; -- Comment G_DEPTH : integer := 32 -- Comment ); end entity FIFO; -- Violation below entity FIFO is generic(g_size: integer := 10;g_width : integer := 256;g_depth: integer := 32 ); port( i_port1 : in std_logic;i_port2 : in std_logic ); end entity FIFO;
gpl-3.0
99b8b0f45bd9c87c1e4e26279df87ad6
0.6
3.17757
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/whitespace/rule_011_test_input.vhd
1
1,579
architecture RTL of FIFO is constant c_con1 : std_logic_vector(-1 to -4); type t_typ1 is range -2 to -4; begin a <= b + c; a <= b - c; a <= b / c; a <= b * c; a <= b ** c; a <= (b) + (c); a <= (b) - (c); a <= (b) / (c); a <= (b) * (c); a <= (b) ** (c); -- violations below a <= b+ c; a <= b +c; a <= b+c; a <= b- c; a <= b -c; a <= b-c; a <= b/ c; a <= b /c; a <= b/c; a <= b* c; a <= b *c; a <= b*c; a <= b** c; a <= b **c; a <= b**c; a <= (b)+ (c); a <= (b) +(c); a <= (b)+(c); a <= (b)- (c); a <= (b) -(c); a <= (b)-(c); a <= (b)/ (c); a <= (b) /(c); a <= (b)/(c); a <= (b)* (c); a <= (b) *(c); a <= (b)*(c); a <= (b)** (c); a <= (b) **(c); a <= (b)**(c); a(N-1 downto 0); a(N+1 downto 0); end architecture RTL; architecture RTL of FIFO is constant c_con2 : t_type := ( -900, -- comment 1 -901, -- comment 2 -902, -- comment 3 +903, -- comment 4 -904 -- comment 5 ); constant c_con3 : t_type := ( -12.36e-47, 45.67e+67, 58.6729e1093, -2346.7921e90, 401e56 ); begin end architecture RTL; architecture RTL of FIFO is begin a(c + d) <= '0'; a(c - d) <= '0'; a(c / d) <= '0'; a(c * d) <= '0'; a(c ** d) <= '0'; a(c+ d) <= '0'; a(c- d) <= '0'; a(c/ d) <= '0'; a(c* d) <= '0'; a(c** d) <= '0'; a(c +d) <= '0'; a(c -d) <= '0'; a(c /d) <= '0'; a(c *d) <= '0'; a(c **d) <= '0'; a(c+d) <= '0'; a(c-d) <= '0'; a(c/d) <= '0'; a(c*d) <= '0'; a(c**d) <= '0'; end architecture RTL;
gpl-3.0
bd6ebe9b3e836eb0894d613a1b1efcce
0.352755
2.128032
false
false
false
false
rjarzmik/mips_processor
Caches/cache_line_streamer.vhd
1
11,685
------------------------------------------------------------------------------- -- Title : Cache line streaming to and from memory -- Project : MIPS processor implementation, compatible MIPS-1 ------------------------------------------------------------------------------- -- File : cache_line_streamer.vhd -- Author : Robert Jarzmik (Intel) <[email protected]> -- Company : -- Created : 2016-12-21 -- Last update: 2017-01-04 -- Platform : -- Standard : VHDL'93/02 ------------------------------------------------------------------------------- -- Description: -- Breaks a cache line into data pieces and either : -- - refill a whole or partial cache line -- - flush a whole or partial cache line ------------------------------------------------------------------------------- -- Copyright (c) 2016 ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2016-12-21 1.0 rjarzmik Created ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.cache_defs.all; entity cache_line_streamer is generic ( ADDR_WIDTH : natural := 32; DATA_WIDTH : natural := 32; DATAS_PER_LINE_WIDTH : natural := 4 ); port ( clk : in std_logic; rst : in std_logic; i_creq : in cache_request_t; o_cresp : out cache_response_t; -- outer mem interface o_memory_req : out std_logic; o_memory_we : out std_logic; o_memory_addr : out addr_t; i_memory_rdata : in data_t; o_memory_wdata : out data_t; i_memory_done : in std_logic ); end entity cache_line_streamer; architecture str of cache_line_streamer is -- Input signal aliases alias i_req : cls_op is i_creq.req; alias i_addr : addr_t is i_creq.addr; alias i_flushed_cache_line : cache_line_t is i_creq.cline; alias i_datas_select : cache_line_selector_t is i_creq.sel; alias o_filled_cache_line : cache_line_t is o_cresp.cline; alias o_done : std_logic is o_cresp.done; signal o_busy : std_logic; type state_t is (s_idle, s_refilling, s_flushing); constant EMPTY_DATAS_IN_LINE : cache_line_selector_t := (others => '0'); function get_next_state(i_req : cls_op) return state_t is begin if i_req = cls_refill then return s_refilling; elsif i_req = cls_flush then return s_flushing; else return s_idle; end if; end function get_next_state; function get_memory_addr(refill_base_addr : addr_t; refill_data_idx : natural range 0 to DATAS_PER_LINE - 1) return addr_t is begin return std_logic_vector(unsigned(refill_base_addr) + refill_data_idx * DATA_WIDTH / 8); end function get_memory_addr; function to_std_logic_vector(sel : cache_line_selector_t) return std_logic_vector is variable o : std_logic_vector(sel'length - 1 downto 0); begin for i in sel'range loop o(i) := sel(i); end loop; return o; end function to_std_logic_vector; signal state : state_t := s_idle; -- Refill signals signal refill_datas : cache_line_selector_t; signal refill_data_idx : natural range 0 to DATAS_PER_LINE - 1; signal refill_done : boolean; signal refill_base_addr : addr_t; signal refill_cache_line : cache_line_t; --- refill memory I/F signal refill_memory_req : std_ulogic; signal refill_memory_we : std_ulogic; signal refill_memory_addr : addr_t; signal refill_memory_wdata : data_t; --- refill mask feeder signal mf1_sclr : std_logic; signal mf1_sdata : std_logic_vector(DATAS_PER_LINE - 1 downto 0); signal mf1_data : std_logic_vector(DATAS_PER_LINE - 1 downto 0); signal mf1_bclrena : std_logic; signal mf1_bclr : natural range 0 to DATAS_PER_LINE - 1; signal mf1_fbitset : natural range 0 to DATAS_PER_LINE - 1; signal mf1_allclear : std_logic; -- Flush signals signal flush_datas : cache_line_selector_t; signal flush_data_idx : natural range 0 to DATAS_PER_LINE - 1; signal flush_done : boolean; signal flush_base_addr : addr_t; signal flush_cache_line : cache_line_t; --- flush memory I/F signal flush_memory_req : std_ulogic; signal flush_memory_we : std_ulogic; signal flush_memory_addr : addr_t; signal flush_memory_wdata : data_t; --- flush mask feeder signal mf2_sclr : std_logic; signal mf2_sdata : std_logic_vector(DATAS_PER_LINE - 1 downto 0); signal mf2_data : std_logic_vector(DATAS_PER_LINE - 1 downto 0); signal mf2_bclrena : std_logic; signal mf2_bclr : natural range 0 to DATAS_PER_LINE - 1; signal mf2_fbitset : natural range 0 to DATAS_PER_LINE - 1; signal mf2_allclear : std_logic; begin -- architecture str o_cresp.rdy <= not o_busy; o_cresp.sel <= (others => 'X'); mf1 : entity work.mask_feeder generic map ( WIDTH => DATAS_PER_LINE) port map ( clk => clk, sclr => mf1_sclr, sdata => mf1_sdata, bclrena => mf1_bclrena, bclr => mf1_bclr, fbitset => mf1_fbitset, allclear => mf1_allclear); mf1_sclr <= '1' when i_req = cls_refill or rst = '1' else '0'; mf1_sdata <= to_std_logic_vector(i_datas_select) when rst = '0' else (others => '0'); mf2 : entity work.mask_feeder generic map ( WIDTH => DATAS_PER_LINE) port map ( clk => clk, sclr => mf2_sclr, sdata => mf2_sdata, bclrena => mf2_bclrena, bclr => mf2_bclr, fbitset => mf2_fbitset, allclear => mf2_allclear); mf2_sclr <= '1' when i_req = cls_flush or rst = '1' else '0'; mf2_sdata <= to_std_logic_vector(i_datas_select) when rst = '0' else (others => '0'); controller : process(rst, clk, i_req, state, i_addr, i_flushed_cache_line, refill_cache_line) variable ns : state_t; begin if rst = '1' then o_busy <= '1'; o_done <= '0'; state <= s_idle; -- mf2_bclrena <= '0'; elsif rising_edge(clk) then case state is when s_idle => o_done <= '0'; if i_req = cls_refill then state <= s_refilling; refill_base_addr <= i_addr; o_busy <= '1'; elsif i_req = cls_flush then state <= s_flushing; flush_base_addr <= i_addr; flush_cache_line <= i_flushed_cache_line; o_busy <= '1'; else o_busy <= '0'; end if; when s_refilling => if refill_done then ns := get_next_state(i_req); state <= ns; o_done <= '1'; o_filled_cache_line <= refill_cache_line; if ns = s_idle then o_busy <= '0'; else o_busy <= '1'; end if; if ns = s_flushing then flush_cache_line <= i_flushed_cache_line; end if; else o_done <= '0'; o_busy <= '1'; end if; when s_flushing => if flush_done then ns := get_next_state(i_req); state <= ns; o_done <= '1'; if ns = s_idle then o_busy <= '0'; else o_busy <= '1'; end if; if ns = s_flushing then flush_cache_line <= i_flushed_cache_line; end if; else o_done <= '0'; o_busy <= '1'; end if; end case; end if; end process controller; refiller : process(rst, clk, i_req, i_memory_done, mf1_allclear, mf1_bclr, refill_base_addr, refill_memory_req, mf1_fbitset, state, i_memory_rdata) variable waiting_memory_rsp : std_logic := '0'; begin if rst = '1'then refill_done <= true; refill_cache_line <= (others => (others => 'X')); elsif rising_edge(clk) and state = s_refilling then if (mf1_allclear = '1' and waiting_memory_rsp = '1') or mf1_allclear = '0' then -- True work if waiting_memory_rsp = '1' and i_memory_done = '1' then -- Memory response ready refill_cache_line(refill_data_idx) <= i_memory_rdata; end if; if refill_memory_req = '1' then waiting_memory_rsp := '1'; refill_data_idx <= mf1_fbitset; elsif i_memory_done = '1' then waiting_memory_rsp := '0'; end if; end if; elsif rising_edge(clk) and state /= s_refilling then refill_cache_line <= (others => (others => 'X')); end if; if mf1_allclear = '1' or (waiting_memory_rsp = '1' and i_memory_done = '0') then refill_memory_req <= '0'; else refill_memory_req <= '1'; end if; mf1_bclrena <= refill_memory_req; mf1_bclr <= mf1_fbitset; refill_done <= mf1_allclear = '1' and i_memory_done = '1' and waiting_memory_rsp = '0'; refill_memory_we <= '0'; refill_memory_addr <= get_memory_addr(refill_base_addr, mf1_fbitset); refill_memory_wdata <= (others => 'X'); end process refiller; flusher : process(rst, clk, i_req, i_memory_done, mf2_allclear, mf2_bclr, flush_base_addr, flush_memory_req, mf2_fbitset, flush_data_idx, state, flush_cache_line, flush_done) variable waiting_memory_rsp : std_logic := '0'; variable ffirst_flush : std_ulogic := '1'; variable all_clear_r : std_logic; begin if rst = '1' then flush_done <= true; end if; if flush_done then flush_memory_req <= '0'; else flush_memory_req <= '1'; end if; mf2_bclrena <= flush_memory_req; mf2_bclr <= mf2_fbitset; flush_data_idx <= mf2_fbitset; flush_done <= mf2_allclear = '1' and all_clear_r = '1' and i_memory_done = '1'; flush_memory_req <= not mf2_allclear and (i_memory_done or ffirst_flush); flush_memory_we <= '1'; flush_memory_addr <= get_memory_addr(flush_base_addr, mf2_fbitset); flush_memory_wdata <= flush_cache_line(flush_data_idx); if rst = '1' then elsif state = s_flushing and rising_edge(clk) then all_clear_r := mf2_allclear; if flush_done then ffirst_flush := '1'; else ffirst_flush := '0'; end if; end if; end process flusher; with state select o_memory_req <= '0' when s_idle, refill_memory_req when s_refilling, flush_memory_req when s_flushing; with state select o_memory_we <= '0' when s_idle, refill_memory_we when s_refilling, flush_memory_we when s_flushing; with state select o_memory_addr <= (others => 'X') when s_idle, refill_memory_addr when s_refilling, flush_memory_addr when s_flushing; with state select o_memory_wdata <= (others => 'X') when s_idle, refill_memory_wdata when s_refilling, flush_memory_wdata when s_flushing; --o_memory_we <= std_logic; --o_memory_addr <= std_logic_vector(ADDR_WIDTH - 1 downto 0); --o_memory_wdata <= std_logic_vector(DATA_WIDTH - 1 downto 0); end architecture str;
gpl-3.0
cce9ffb5f1f08be5fc4e61e3df882581
0.533504
3.400757
false
false
false
false
NicoLedwith/Dr.AluOpysel
RAT_MCU/Debounce.vhd
1
4,055
---------------------------------------------------------------------------------- -- Company: Ratner Engineering -- Engineer: James Ratner -- -- Create Date: 19:42:08 11/28/2011 -- Design Name: -- Module Name: FSM - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: This is a RET debouncer with both one-shot and pulse output. -- The SIG is the signal to be debounced and the CLK is the system -- clock. The level output remains asserted as long as the signal to -- be debounced remains asserted once the signal is deemed "good" by -- the debounce unit. The pulse output is a 2-clock wide signal -- that is output once the input signal passes the debounce -- qualifications. -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created: 03-12-2015 -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity ret_db_1shot is Port ( CLK : in STD_LOGIC; SIG : in STD_LOGIC; PULSE : out STD_LOGIC; LEVEL : out STD_LOGIC); end ret_db_1shot; architecture Behavioral of ret_db_1shot is type state_type is (st_wait, st_count, st_hold); signal PS, NS : state_type; constant WAIT_COUNT : integer := 5; -- debounce wait counter signal s_en : std_logic; signal s_rco : std_logic; signal s_one_shot_1 : std_logic; signal s_one_shot_2 : std_logic; begin -------------------------------------------------- -- input FSM -------------------------------------------------- sync_proc : process (CLK, NS) begin if (rising_edge(CLK)) then PS <= NS; end if; end process sync_proc; comb_proc : process (PS, s_rco, SIG) begin s_en <= '0'; LEVEL <= '0'; case PS is when st_wait => s_en <= '0'; LEVEL <= '0'; if (SIG = '0') then NS <= st_wait; else NS <= st_count; end if; when st_count => s_en <= '1'; LEVEL <= '0'; if (s_rco = '0') then NS <= st_count; elsif (s_RCO = '1' and SIG = '1') then NS <= st_hold; else NS <= st_wait; end if; when st_hold => s_en <= '0'; LEVEL <= '1'; if (SIG = '0') then NS <= st_wait; else NS <= st_hold; end if; when others => NS <= st_wait; end case; end process comb_proc; -------------------------------------------------- -------------------------------------------------- -- debounce counter -------------------------------------------------- process(CLK) variable v_pulse_cnt : integer := 0; begin if (rising_edge(CLK)) then if (s_en = '1') then if (v_pulse_cnt = WAIT_COUNT) then v_pulse_cnt := 0; -- reset pulse count s_rco <= '1'; -- reset pulse flip flop else v_pulse_cnt := v_pulse_cnt + 1; s_rco <= '0'; end if; else -- reset count if s_en turns off v_pulse_cnt := 0; s_rco <= '0'; end if; end if; end process; -------------------------------------------------- -- debounce counter -------------------------------------------------- process(CLK, s_rco, SIG) begin if (rising_edge(CLK)) then if (s_rco = '1' and SIG = '1') then s_one_shot_1 <= '1'; s_one_shot_2 <= s_one_shot_1; else s_one_shot_1 <= '0'; s_one_shot_2 <= s_one_shot_1; end if; end if; end process; PULSE <= s_one_shot_2 or s_one_shot_1; -------------------------------------------------- end Behavioral;
mit
1214711ef9c8e504c3f8fcba42cdbed1
0.415043
4.018831
false
false
false
false
kjellhar/axi_mmc
src/vhdl/mmc_cmd_if.vhd
1
7,432
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 12/01/2014 09:11:16 AM -- Design Name: -- Module Name: mmc_cmd_if - rtl -- Project Name: -- Target Devices: -- Tool Versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use WORK.mmc_core_pkg.all; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. --library UNISIM; --use UNISIM.VComponents.all; entity mmc_cmd_if is Port ( clk : in std_logic; clk_en : in std_logic; reset : in std_logic; mmc_cmd_i : in std_logic; mmc_cmd_o : out std_logic; send_cmd_trigger_i : in std_logic; receive_cmd_trigger_i : in std_logic; send_cmd_busy_o : out std_logic; receive_cmd_busy_o : out std_logic; crc7_calc_en_i : in std_logic; response_i : in std_logic_vector (2 downto 0); cmd_shift_outval_i : in std_logic_vector (47 downto 0); cmd_shift_inval_o : out std_logic_vector (135 downto 0); mmc_crc7_out_o : out std_logic_vector (6 downto 0) ); end mmc_cmd_if; architecture rtl of mmc_cmd_if is component mmc_crc7 is Port ( clk : in std_logic; clk_en : in std_logic; reset : in std_logic; enable : in std_logic; serial_in : in std_logic; crc7_out : out std_logic_vector (6 downto 0) ); end component; signal send_bit_counter : integer range 0 to 47 := 0; signal receive_bit_counter : integer range 0 to 135 := 0; signal cmd_shift_out : std_logic_vector (47 downto 0) := (others => '1'); signal cmd_shift_in : std_logic_vector (135 downto 0) := (others => '1'); signal send_cmd_busy : std_logic := '0'; signal receive_cmd_busy : std_logic := '0'; signal receive_wait_start : std_logic := '0'; signal send_cmd_crc : std_logic := '0'; signal receive_cmd_crc : std_logic := '0'; signal send_reset_crc : std_logic := '0'; signal receive_reset_crc : std_logic := '0'; signal reset_crc : std_logic; signal crc7_en : std_logic := '0'; signal crc7_source : std_logic; signal crc7_out : std_logic_vector (6 downto 0); begin cmd_shift_inval_o <= cmd_shift_in; receive_cmd_busy_o <= receive_cmd_busy; send_cmd_busy_o <= send_cmd_busy; mmc_cmd_o <= cmd_shift_out (47); mmc_crc7_out_o <= crc7_out; --MMC CMD out process begin wait until rising_edge(clk); send_reset_crc <= '0'; if reset='1' then send_bit_counter <= 0; elsif clk_en='1' then if send_cmd_trigger_i='1' and receive_cmd_busy='0' then cmd_shift_out <= cmd_shift_outval_i; send_bit_counter <= 47; send_cmd_busy <= '1'; send_cmd_crc <= '1'; else if send_bit_counter = 0 then cmd_shift_out <= cmd_shift_out (46 downto 0) & '1'; send_cmd_busy <= '0'; elsif send_bit_counter = 7 then cmd_shift_out <= cmd_shift_out (46 downto 0) & '1'; send_bit_counter <= send_bit_counter - 1; send_reset_crc <= '1'; elsif send_bit_counter = 8 then send_bit_counter <= send_bit_counter - 1; send_cmd_crc <= '0'; if crc7_calc_en_i='1' then cmd_shift_out (41 downto 0) <= (others => '1'); cmd_shift_out (47 downto 41) <= crc7_out; else cmd_shift_out <= cmd_shift_out (46 downto 0) & '1'; end if; else cmd_shift_out <= cmd_shift_out (46 downto 0) & '1'; send_bit_counter <= send_bit_counter - 1; send_cmd_busy <= '1'; end if; end if; end if; end process; -- MMC CMD in process begin wait until rising_edge(clk); if reset='1' then receive_cmd_busy <= '0'; receive_bit_counter <= 0; receive_wait_start <= '0'; elsif clk_en='1' then if receive_cmd_trigger_i='1' and send_cmd_busy='0' then receive_cmd_busy <= '1'; receive_wait_start <= '1'; if response_i=RESP_R2 then receive_bit_counter <= 135; receive_cmd_crc <='0'; else receive_bit_counter <= 47; receive_cmd_crc <='1'; end if; elsif receive_wait_start='1' then if mmc_cmd_i='0' then receive_bit_counter <= receive_bit_counter - 1; cmd_shift_in <= cmd_shift_in (134 downto 0) & mmc_cmd_i; receive_wait_start <= '0'; receive_reset_crc <= '0'; else receive_reset_crc <= '1'; end if; elsif receive_bit_counter=128 then receive_cmd_crc <='1'; receive_bit_counter <= receive_bit_counter - 1; cmd_shift_in <= cmd_shift_in (134 downto 0) & mmc_cmd_i; elsif receive_bit_counter=8 then receive_cmd_crc <='0'; receive_bit_counter <= receive_bit_counter - 1; cmd_shift_in <= cmd_shift_in (134 downto 0) & mmc_cmd_i; elsif receive_bit_counter=0 then if receive_cmd_busy='1' then cmd_shift_in <= cmd_shift_in (134 downto 0) & mmc_cmd_i; receive_cmd_busy <= '0'; end if; else receive_bit_counter <= receive_bit_counter - 1; cmd_shift_in <= cmd_shift_in (134 downto 0) & mmc_cmd_i; end if; end if; end process; crc7_source <= cmd_shift_out (47) when send_cmd_busy='1' else mmc_cmd_i when receive_cmd_busy='1' else '0'; crc7_en <= send_cmd_crc or receive_cmd_crc; reset_crc <= send_reset_crc or receive_reset_crc; u_mmc_crc7 : mmc_crc7 Port map ( clk => clk, clk_en => clk_en, reset => reset_crc, enable => crc7_en, serial_in => crc7_source, crc7_out => crc7_out ); end rtl;
mit
8b46a0e32352cd42cc9fd7227a266421
0.455328
4.006469
false
false
false
false
Yarr/Yarr-fw
rtl/i2c-master/i2c_master_registers.vhd
2
5,668
-- ================================================================== -- >>>>>>>>>>>>>>>>>>>>>>> COPYRIGHT NOTICE <<<<<<<<<<<<<<<<<<<<<<<<< -- ------------------------------------------------------------------ -- Copyright (c) 2013 by Lattice Semiconductor Corporation -- ALL RIGHTS RESERVED -- ------------------------------------------------------------------ -- -- Permission: -- -- Lattice SG Pte. Ltd. grants permission to use this code -- pursuant to the terms of the Lattice Reference Design License Agreement. -- -- -- Disclaimer: -- -- This VHDL or Verilog source code is intended as a design reference -- which illustrates how these types of functions can be implemented. -- It is the user's responsibility to verify their design for -- consistency and functionality through the use of formal -- verification methods. Lattice provides no warranty -- regarding the use or functionality of this code. -- -- -------------------------------------------------------------------- -- -- Lattice SG Pte. Ltd. -- 101 Thomson Road, United Square #07-02 -- Singapore 307591 -- -- -- TEL: 1-800-Lattice (USA and Canada) -- +65-6631-2000 (Singapore) -- +1-503-268-8001 (other locations) -- -- web: http:--www.latticesemi.com/ -- email: [email protected] -- -- -------------------------------------------------------------------- -- Code Revision History : -- -------------------------------------------------------------------- -- Ver: | Author |Mod. Date |Changes Made: -- V1.0 |K.P. | 7/09 | Initial ver for VHDL -- | converted from LSC ref design RD1046 -- -------------------------------------------------------------------- -- -------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity i2c_master_registers is port ( wb_clk_i : in std_logic; rst_i : in std_logic; wb_rst_i : in std_logic; wb_dat_i : in std_logic_vector(7 downto 0); wb_adr_i : in std_logic_vector(2 downto 0); wb_wacc : in std_logic; i2c_al : in std_logic; i2c_busy : in std_logic; done : in std_logic; irxack : in std_logic; prer : out std_logic_vector(15 downto 0); -- clock prescale register ctr : out std_logic_vector(7 downto 0); -- control register txr : out std_logic_vector(7 downto 0); -- transmit register cr : out std_logic_vector(7 downto 0); -- command register sr : out std_logic_vector(7 downto 0) -- status register ); end; architecture arch of i2c_master_registers is signal ctr_int : std_logic_vector(7 downto 0); signal cr_int : std_logic_vector(7 downto 0); signal al : std_logic; -- status register arbitration lost bit signal rxack : std_logic; -- received aknowledge from slave signal tip : std_logic; -- transfer in progress signal irq_flag : std_logic; -- interrupt pending flag begin -- generate prescale regisres, control registers, and transmit register process(wb_clk_i,rst_i) begin if (rst_i = '0') then prer <= (others => '1'); ctr_int <= (others => '0'); txr <= (others => '0'); elsif rising_edge(wb_clk_i) then if (wb_rst_i = '1') then prer <= (others => '1'); ctr_int <= (others => '0'); txr <= (others => '0'); elsif (wb_wacc = '1') then case (wb_adr_i) is when "000" => prer(7 downto 0) <= wb_dat_i; when "001" => prer(15 downto 8) <= wb_dat_i; when "010" => ctr_int <= wb_dat_i; when "011" => txr <= wb_dat_i; when others => NULL; end case; end if; end if; end process; ctr <= ctr_int; -- generate command register (special case) process(wb_clk_i,rst_i) begin if (rst_i = '0') then cr_int <= (others => '0'); elsif rising_edge(wb_clk_i) then if (wb_rst_i = '1') then cr_int <= (others => '0'); elsif (wb_wacc = '1') then if ((ctr_int(7) = '1') AND (wb_adr_i = "100")) then cr_int <= wb_dat_i; end if; else if ((done = '1') OR (i2c_al = '1')) then cr_int(7 downto 4) <= "0000"; -- clear command b end if; -- or when aribitr cr_int(2 downto 1) <= "00"; -- reserved bits cr_int(0) <= '0'; -- clear IRQ_ACK b end if; end if; end process; cr <= cr_int; -- generate status register block + interrupt request signal -- each output will be assigned to corresponding sr register locations on top level process(wb_clk_i,rst_i) begin if (rst_i = '0') then al <= '0'; rxack <= '0'; tip <= '0'; irq_flag <= '0'; elsif rising_edge(wb_clk_i) then if (wb_rst_i = '1') then al <= '0'; rxack <= '0'; tip <= '0'; irq_flag <= '0'; else al <= i2c_al OR (al AND NOT(cr_int(7))); rxack <= irxack; tip <= (cr_int(5) OR cr_int(4)); irq_flag <= (done OR i2c_al OR irq_flag) AND NOT(cr_int(0)); -- interrupt request flag is always generated end if; end if; end process; sr(7) <= rxack; sr(6) <= i2c_busy; sr(5) <= al; sr(4 downto 2) <= "000"; -- reserved sr(1) <= tip; sr(0) <= irq_flag; end arch;
gpl-3.0
162dd29cf9a7042aa5578b05c0a7ec24
0.48024
3.353846
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/sequential/rule_008_test_input.fixed_new_line_after_assign_yes.vhd
1
360
architecture RTL of FIFO is begin process begin -- These are passing a <= b or d; a <= '0' when c = '0' else '1' when d = '1' else 'Z'; -- Failing variations a <= b or d; a <= '0' when c = '0' else '1' when d = '1' else 'Z'; end process; end architecture RTL;
gpl-3.0
a7a1083545b0e004ec7bbbf4facd9379
0.438889
3.302752
false
false
false
false
NicoLedwith/Dr.AluOpysel
RAT_MCU/IMask.vhd
1
1,126
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 13:02:48 11/30/2015 -- Design Name: -- Module Name: IMask - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity IMask is Port ( i_SET : in STD_LOGIC; I_CLR : in STD_LOGIC; clk : in STD_LOGIC; oot : out STD_LOGIC); end IMask; architecture Behavioral of IMask is signal s_oot: std_logic; begin --------------------------------------------- process(i_set, I_CLR, CLK, s_oot) begin if (rising_edge(clk)) then if (I_CLR = '1') then s_oot <= '0'; elsif (I_SET = '1') then s_oot <= '1'; end if; end if; end process; --------------------------------------------- oot <= s_oot; end Behavioral;
mit
b6fe8ac600c7f460d9fe9a4cdd15715e
0.416519
3.608974
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/instantiation/rule_009_test_input.vhd
1
407
architecture ARCH of ENTITY1 is begin U_INST1 : INST1 generic map ( G_GEN_1 => 3, G_GEN_2 => 4, G_GEN_3 => 5 ) port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); -- Violations below U_INST1 : inst1 port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); end architecture ARCH;
gpl-3.0
fe1644188374c7dee3b51fe51f1aeb0d
0.481572
2.787671
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/constant/rule_016_test_input.fixed_first_paren_new_line_false.vhd
1
2,595
architecture rtl of fifo is constant c_zeros : std_logic_vector(7 downto 0) := (others => '0'); constant c_one : std_logic_vector(7 downto 0) := (0 => '1', (others => '0')); constant c_two : std_logic_vector(7 downto 0) := (1 => '1', (others => '0')); constant c_stimulus : t_stimulus_array := ((name => "Hold in reset", clk_in => "01", rst_in => "11", cnt_en_in => "00", cnt_out => "00"), (name => "Not enabled", clk_in => "01", rst_in => "00", cnt_en_in => "00", cnt_out => "00")); constant c_stimulus : t_stimulus_array := ( (name => "Hold in reset", clk_in => "01", rst_in => "11", cnt_en_in => "00", cnt_out => "00"), (name => "Not enabled", clk_in => "01", rst_in => "00", cnt_en_in => "00", cnt_out => "00")); constant c_stimulus : t_stimulus_array := ((name => "Hold in reset", clk_in => "01", rst_in => "11", cnt_en_in => "00", cnt_out => "00"), (name => "Not enabled", clk_in => "01", rst_in => "00", cnt_en_in => "00", cnt_out => "00")); constant c_stimulus : t_stimulus_array := ((name => "Hold in reset", clk_in => "01", rst_in => "11", cnt_en_in => "00", cnt_out => "00"), (name => "Not enabled", clk_in => "01", rst_in => "00", cnt_en_in => "00", cnt_out => "00") ); constant c_stimulus : t_stimulus_array := ( (name => "Hold in reset", clk_in => "01", rst_in => "11", cnt_en_in => "00", cnt_out => "00"), (name => "Not enabled", clk_in => "01", rst_in => "00", cnt_en_in => "00", cnt_out => "00") ); constant c_stimulus : t_stimulus_array := ( (name => "Hold in reset", clk_in => "01", rst_in => "11", cnt_en_in => "00", cnt_out => "00"), (name => "Not enabled", clk_in => "01", rst_in => "00", cnt_en_in => "00", cnt_out => "00") ); constant c_stimulus : t_stimulus_array := ( ( name => "Hold in reset", clk_in => "01", rst_in => "11", cnt_en_in => "00", cnt_out => "00"), ( name => "Not enabled", clk_in => "01", rst_in => "00", cnt_en_in => "00", cnt_out => "00") ); constant c_stimulus : t_stimulus_array := ( ( name => "Hold in reset", clk_in => "01", rst_in => "11", cnt_en_in => "00", cnt_out => "00" ), ( name => "Not enabled", clk_in => "01", rst_in => "00", cnt_en_in => "00", cnt_out => "00" ) ); constant c_stimulus : t_stimulus_array := ( name => "Not enabled", clk_in => "01", rst_in => "00", cnt_en_in => "00", cnt_out => "00"); -- Comment begin end architecture rtl;
gpl-3.0
b398bf996af055808e6e7aef58977d99
0.47553
2.86108
false
false
false
false
cwilkens/ecen4024-microphone-array
microphone-array/microphone-array.srcs/sources_1/ip/cascaded_integrator_comb/cic_compiler_v4_0/hdl/chan_cnt.vhd
1
10,996
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block rBPgM7IM3bHp9fCMXY3ImY/bZ1tdCO1MPiRvK/N2OyLPVYCE8Tbjr7tyRHQcqWQwAl6k6qAtmBFz dC6Z6+MRmw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block JfZrDgoUp740U4lKa/TYc6ii7JsCuuO419sz2TqseczSfoaPd/oNkvcmBKKUEOU77ERSJ9pcXvTT OLfHI5x+dnF/CmCicKXvHRIOT1N5Bu2fiHHt82SIQcJYomfBvN5mIqSWvcxCuQiRbViPBpo8AQ32 W8BvNea903OLO1vdu3k= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block lv70si+OwnMgWL/bTmKf+UcEKsDg1Tk53rFfOSAnbv8nZR2eJG6PaJEGwJEfkIIFISNPSKcwDoOu 12c/IT+NLGOzzyxZ8KhVkfGkMmcZrLhPqB54rp77BtFQ+W2ZAHTm7pIlOYoD1U942T9mtyzBX7Kn 6ATVpygvI1gO0RksBQ3zmST6kwIGigpljYFDbqkPbC0+39yvPH/JqK6TjVcvyRcArFePUA6V7BeQ Dsy9uaLzsjAgEiYLSXGnf16dHh2I9y2r1Y8plcZuJ18C7oRIXrMGrdHK1DHSVey0zR5afvlkHxLt UtEqN/ZObMKBJgsOHABSlSJwsdSYAAdGHvEAsA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block c5seYhknNNMx5VqQW03bxGZYjU9KwvI0S6fw2t6SGfy+MtOBaUHu7KYJjXuT8QUJaKMP8gFFvXj5 MUfLUO6AUkOC7HHexa8XBl6JJQxxxXxGX+Nkvy3LGml3OaX7Z9RvTlY/5rTvJ5pcOoLVwtxPFtoQ HgsGTKmVsEMm2yvsq5w= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block eQahrZEeA1bhxJh8zNYJH3/9FTAGhkxJHYqyZ3g29BfjZIssJvN+deMvxUSWfj/T3wwuxSVdERzc DdU/S8qqFTzrH/99lntubjlEWDvYCF6GjginioAgGNvLK207FxG7eVBXhAGu/5Dg8slc2/lKqX9I EEs4jg352ClYVoMx/zM/bwOfvpBv7J3/lM7uT81FfBkRDuTvs62V2XT/8k+2+eea6w0TKG1bB1in 5c06dNYvgbxZqp0QSKz4zP/R1uXmP6jatnxxje1oLabg22/V6wwHR/ykjuIILcyo4Jqg4B3D1E+Q HS3kOU5mcPRoRTzbwM3JVEzo7S7IO5qphtst8g== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6400) `protect data_block pIZWEdrq8MuzDu+TbCCcMCftEy+BXRWUpxWaqxEcc4zIDnM+kmepXAmlnn0VI5K04FKo6KXw8QLm CmkLGECLx7yxoQEjQR/xNxSA1jRqQ6QLFiSps65bGH5I8foBssmxYeFq0+Dv1s/ugi7gkvTK9gkq 3ZcPl9n8xQ2sJi1E3GS8a4fd8qeC+/oE/gH1pZcBYpssxM5ultI6lc7CUNFda/Q8gBr9u6KTtSCc QPKaZCNCDcT+emDiE/thZpbFijyd6VpzAumK1kMbJ3HTQCjYqBlrBVf35Zcyn57a9kbBLoAV8pkl I72B1yU09erTmLovzBM26IGEhxFB21Hrm3sZuvyziUQDZ34dNi875Jc1uFjfP7eUbpIOesRVPqoh vsrVOfGv6Za4Bqq2yNpfceTQj1ufy7BW0jnW6SXQNzLWP564Lnyb5sGW6+bLldtd4BtHNy+3vGEw A7eFk/EvQqC+fCT1KuDp4SfKZJvXn919B2e6BHvhgQjW61dxE3upFUgDQHmukJZ2q6VI2uqNBIZr 8Bllsb0+cOabixKCrWS2L0sIY8quFWaQwXAApiI6cPlFE+SER/aNX75ysxRZ9kKgQzPCUJMzquHq B3+TtPHuDERAM/TZ/vYJxZ0Cz/Uy2N/JOaSgqxkqS+jPxuuIGVSH++0z6LgWeQ/WZJ2UhhxAL2Zu LCZkI6DdXcg8BNj4tz1mI4hRnnzx2aHV5wFIiY11DSrVGpt1q6NqhUeBVoxkUMDHb4p/BQRaYwze CoeSS+coAYEkYVS/s8/FsuVfeghN4A0ycdyAfSw7YHzEaWbYivK0d5qY1d4KDIRxwqC28+bJVbNj HSNp/xWkodN0QK72DD7BuygBHc1nC9FaoMIfK0v0++l7/pmwJHqwgG33fw8RV/jU2T/c2msUu++5 7uuGuawE4HEiPjiMVsL7GXyv7zJTW9WcgZ8otxe40vfWiFLTGwe/psaO7YFm7PeURm0p6wRNmaDN dYcGpoH7a8f4i7Bu+i8mc5HZb1Ws81/qwAyBwp3pb5gv2NWrvhrCnjChujY+gc/VuR1y+Mjk4eZH LWYxaLKDKjElAFfGdhVTVJOv0KgGQRT0bZSjOuBXuYtGcJqQ2Y0rS3r+z4XbtgI04gPCcJWHZhsJ sTmtaKbBWVGzpJioefnqY+wuJUuH5E1enIPIFMArkDl8i/fJVY7Hcxo3WC/+hWVlDOBBEePCP5Hu AUzwX6j3cswbvogXumRWyvsG1n/ErpEOBzRO712IaIzGtTl9sjrhvnQA5LS05E0tzgqS9SftSiiF RMTCBmMViZXLdyv2J35Xb/Doo6qHdziATR9JMDkGzd9xR8xLKe4TeIfSq3/TsEjZzKGDXd/Ym0Jg MSxAzE3A3OnCaO1+voP6Uk7t4l+NX1old2RHfhvYdl9PAuRJTcDDzw41m8Xt9x1kAzUUWNoneevN /amtQNfGU9TrgRS8bqIQK5AKqGu/wj9oGeesm+FqS1EU1sZ6vVard84Sid6/aNP6S12nkj+GkNl8 0LYjEcRABpVjfYEa+9YGol6R4/MlRtdLgtSXm+UP2Q9w/EckEwIWZnsOT22M0PIvbPicjbd+qywe gtOjBwCGUIa8/xz3Xg1uBL3EoVuR/ElX1jrt8i3l1Sxcnr2xbZe2uJh3QXN7XpWPbjt8NHav2ref ArsP7DBEizbekXjKgZ6pwr+0Pp5v8sM9IbOec2+GwvdII3kZyY//2pAFAZ5T99zbR5xVroHTJf/k IgRRRzTQCRn/TJT0Z8SrjK/Vg9Cj1UMOVE74/HH6vxjzYfj+/vlu/kof+bWu8hjYRbL+eGq0SxpY vvFk6VpQTkZ8JOitjY6mmvlHFWFbtluo4HCeNE08g2sgjr8Zvem7UL44breMbtoGJoZmSMK43lZS rzjaz9tKzXBwU6+d8KGwUafnpMJJN3w7f9WVN+eNWDEmGHPKgcctUOaGzLca4FV4Ado/uXj7BF5Z RmIIMJTbJM6W90GRiQZCFfNhNyRAuyCfpWlHfvAiujWlTKZCX0DORhzYsMa30V1dUJSqYtifxqaj 42VvCjTAoVjFaAtsgL8Ah3py8AcfcU5tCfx2DkPP/5f5D/65ySMQPS7CTY93s21CeDDF4G2wRSxB LDEI9Q/c4T6wG9y8RcLl5FGLRv9nreSVos+JGPR3tpdsF3E20yam2ByYwGocm1+BCiQt0SfYtkJP CXgLJ0EpIx2FyaS+vf4Ko8b1A1TlO55LKch7fB8GmIBy+2cfiFPQho7xDAG1w7CQzuxJauJp9HrK X9SvJOAFNJaiFG0/92Mm34j4djxfBh87G4nZOOU1BmhVvmLgZu0sKBffc9UiOy1NIU5jHlHMmrw8 cV7q40dZrGA9z1LijG+RPvVg7YNldlbFcyqjwtQ5fl3cJR4GoNb1EIV1IoMywS8wM2mHznl0gr6P +S/1wMIreEBDazzHfblQ28RfA+uDIKlQAbOmMPNUR0uxRM+nwoqv7UPz0XFAdkhgVKrYvBXa+0Dh aseAVZj6C9dBVP8/MIDzwf42cMdAugLlxfyQXVeEX+esAqLjKca34Il6ukmnzP80i9PtJkwLIrnl 0uiDgo6P1jGOGNti+3jvHZqWHRUOKy7kUYyv7k4B2Qy09t7X7NGqR2epER3TuDbHHxwwiU8Q6YcJ zg/CQ/KLcQVDUccHMg2bRn9XplVYeHOkK59jy4RcnsU/B7wyF5KZ4UiPlmYIp2liQgQupWhs3JWx l3ORPC2ni4W6JYTznF5mdpGMTkVohn//gKjK4FMYzryEji4bW7cnvlQqPd0GYPXB2cAV/oU9IZaK MV9v8Mz7ySLgCzPy6sEr/rg1LnD4GBziOodBVU4k9sIEQ4YPxh848fSwJDj3qAs4cQexr9CeybGS OKbb5h2IBp4bkBm8wT2SLv99s8G9ksjj3SX+Zcm63BhqxFpE1PD5oB93DR+uUn/oyW/KMeD+HYoG taq7JITzauCYjKVUZ3mMDsuv0u1W8kwrBSKfVxKrUlE0qgZd7n3JH7IzuDG4EmP/hqCRXTR1rrE5 bir0W2t64sG7ZcYSq12LCNxrwKxpkRuEZAOgKs4xFwkO1rSu0K2pGZ6fWRPx35Wg8OQysYi0L9Hy VbkG6NA73h3cGwcvXfSVkkTWX4ykEGrfUKlVYSjMvysF9tHEzCzRPM7mO4iyPsbUHaPbUWLJucrf aTUTztbz9c7QrcsI1qM+zMVQoilSxDNxPozygJkSM7vUkX+TsvZu6Qfqm/PZl8NK0q8CRAisCoKh O55it4zuPpYdaLrwymu8B/RLlvAp9AviwoaIqhxRx95CteJ/eaDy6rExhtHKj/gGk37eaXLdlnv4 lpy7EiW5uwbQjZ8/aoiEqx+M9rzRMMi+GBcuk7fknY25YTUdCaDM6mS/P8SSHU/LweWrvELhGOVG +StpZh2KPBQ4nyZZixaCVhqyu5TXDIzZpyySyG+fjzz/u0YkUAdEDiXJ7qHHxQM/tR7bqyCJfiGA +YRh8KHFOhKPAvrcdHWPqURlklNdZx//52mj8i5xcOTWaDOT4LezLSFOgrTHYi5XFZ9pnlJG9PAo 0f3PFkekFibFLg8LQqXv+jXAsKHpVepOH5u4Iofs7rhYu0rPW3ou81H0eP9YRhkpMLjmtxZ2DJgX nCWKcRciqRWI8wLeav2tu34z8m61IrUsFXnGZopyRyPn4dPiHsLM3yk42lVFeaToILaOLWMJgh2X pJPaN0j+0pBzEEqjJtKF63Gj562LVlMdaqwVZaop0STuOzeB9YoNEmXywiBOdesGcRc4IpXKTfGD E9c5mGFMzHNuCLZO+u4JYQ9vrOUH7kPaIJlg2XsdRtefRJuK54ZCOk3s54zQhqRa3F7xAUoV2UH5 PUwBY1MG0T1XriCHpZLAlQXtZTKPh2CM93musOr0iKXNaVfryulFOMwswzGWkn5msF/G0RxgCum1 Bxzn7dQXz6s3/9m5hrJJCWsSgfbG+huXoZq4z049omLE8Jxr8niCfcC8YusjBscaISNyOa3C1jLL iPW+yKRe0+TVTU4yrTOAg89+o/A64qmdMM6VkTdGCJVghhRJitaTil7bBF6KLaM2e1s7uGc9CWwg 5hiwE04qoQDvUAJYKMXUNXQ0Jkal9kNJf71RiJJPz1fFc0O3ojpfFfL9lNM9xk/V+bAwJw+vCVGE zAL3rchkS8gTWx20RzxJaYgg4bhLqfOx7Mm4HBYnclQTlbfhrByZL2rOWBh3JQnx+MUUSpW2fp+S BfZRTnUZ2k+AEGARukW0BzcAg+jDr2M2gIJtXbxcuHlyZlTdNBMNcq8Ops0CzdIdBMoXkdvWNKdQ zIaAXWpqMAuj6WZw+VB6WGgsLzqy/eTY6hycs4qDHKWbtu/ZNhF5OQeyZWB1oDbyprs+yHDTEgpG hF/MnwcmlIhTbF0u1ofrSa3W30SChT8TAQJMvrCMnkNUsvtRMbs9gf4rdV7W/gkxE6Yj4A9MKpgi 4UbBfQCL8Dlif1qj4/O7bCdp10zinRh4SP7Y0M+vOlA7MjTxJuydLdOmE41tzWyTl7umpXw2bkA9 UfMBtcjgXUe/3AJMyKuNhpDW6V/g1nq5FcrPMwkX1UGoZk40l5fxsOLNuVQZA2r0u3JoqmbajMth +t2rg/qLObO6YJTojpyM6B7HD4znSX2LdNZtP41/rZky7d9dhfXGIHnLCw+4z5nfaYHKgQeegd99 zLlroejO44D3VF1+2x1MtqKeg7r3tCB5OEXDglDVcCI/h6i3XKIHhW9VlM7xkpyJkXuEJgeC4GQd s5SYCJrnLrjH3NCEeE4wDGXdkbCOiPrCReurne2jxp70iOIwuf2NBW8QebCNgLGg+LldKs0d6JS7 bItSDY6w7J4WVsyz+Bgw/h3wx1CCAxQIdDZNy4oGvSbEe5EUPMka2O6ZmCX7vKZCttvgcUNaCMUb a68j9sFiwyVS7fduJ7elJAi7O9muBTdg50fatN/f18TC/No6w4nfWrDF3H9/7KHeCZa8GZUAknPW 10HvVC1I0qd8AG9CKrcSyGX6JDTevF8yXeAawpX3g15DOFYa3wX7tuQVTtGaustSHqtCFJslA+Zj 0G9mo+ctk/9pFhnc49zORw4R6sFVXGMhBNs0/xsFwWlJ/g2WYMcBLwMHK8S1YnlnwIiqQx64e4nB bVrju9Q2QLmFpajLExpPrKzIUnbcsI282tqLr5zyEFPA4YVuW8QC6sE1krw1AQAAB+xJoGMfoLzd hQdsao7Y0/XEF615g3kZJc/CPRVLwiXup9/v08ckUxLnbr0ZyUk+ej7LbJ0m70xM62X/Vo5hxVAa qaP3KPK2ktncLsVBzQyT8m/5Vs4xgkz6rFxL8TLYvoXwkpylmRYi+RuTBXtz3pZxNMU719fRdaUA +JVzHwI+k12QYV+Q+Z50OIb/gIEu3/btr+3W8BIkK/77sPiEcIz3JHFDzBVfb8aFySdZiwtWK6uH XLdtdrgLVqcBfZ9uGvib9qZ6j0FU+nv84oTq/4Shs+QrzXi7oQd2FjQSATHNdfh+dDFsBJ5zxd77 ULJsm/lLTz190rpblaO2qX5NCi/RM7VyaJwUBVeEH1zP06iqeB1TvTAx33bvmAxmSARZjyVNL+fA YeKxITWCXgaiB1E6Of3WI2Re6n97IGbnczrFHGNfmZ+s/TOHuQ7KxojbksAuu/fNdI44Q+/CRxAn ygWhoeYVYxS2yzprWRCbeADW78Wr+kvQ90ACj8SZ55LCoVEh8K9Z3YpfzU8Qh+E4PlZT4lRB0fgo ziUffcAL+AN37JAOEE86ucfyveND50+WxNh/ujKBX92zH0B+5lkD488rKXST4U0Z8MlUY0uwiijw RPt98N/I8dbRrAxU5mmFRV87HRWY8IyJ6aefB/5QiU++NFnIlaNq9cuSSkqOXAQgHi/ZXfZfARpG js2NoBc/XbEqXNkcR2Hey+k70nJv8WcQnNKWJaIue5ONP+g2RDPY76qzVqNg1Dr6P33j/N9tGOoJ B/v+pqBauygfJ1VCD+eova+gg78LTGzI7JBo4WEa6VCGX+tt+C1f8bN5mZD9P5yWHxxsyGO2NJLj hLvD3pOLD/MTaapYM+eYkougSFTgzNUkJTrCchAT88sfbNBG3444ONYrUGlyuKmqaeo5f0vCGX01 Xyt7+Hd6dZw4HEgDk/5A9dl7R8hwwcgp7Ub6y6id3Ki4SoeaK29KRECNauGp81kBCKzECID3Slum g1laKzkP1q61RNmR36/tksTGEqjTXK+oODj5PREEOC/c/6BGTb3CtXnOyKMmotW8V80Zb1eg3+O+ wp8tfj5LNHQpabk0GDmXQNmempT80yDimHgwmQV+pgQg/l40fECMNJSzjgAQxplv91AsnVM+yH2H WyrUuUW9bQ6v9kW2QdGfrWlivHo3A8Qev/kNpfYmHJ1MVSsPJKQ1NQvFGAZhXrsa1swoAXLToSs9 W7nz/ndsKax4GIF2jnAxkGGbiR9rNa9yRXdqMA30gYCsSwI1WTQpGf9/TNso8yPSbzGs295xXLBn FPJDAIB2nDcz1Xg5bq0rCjmXl+VibIai+Hgq6TPwUv5ddUoqK2hqN74evrFHNHvPFvSTk6tFgKkV GZ+auEJSvUthQq7V+TikZfkOqFP5tEs/CjH/mtGFNvjFiYk3f8rwHIwAhZLlp6Uj5L+6ikdh+HZN tqpbG4o6HONKZp0ffwnMSFVd2f0RDeT2alU4m4iajLuc0KN0WWz8SeioBt36uu6BhmYX5xKermux XO/BUUNBp3vbF33t454XREOXgft+J9L/mq0x/PpjwQbkOjpmWLGBodtaG/GJ1Fq0Sz1sou7iV8oq ezWQqNYHqKHeJSRDAPMYtZJGVsVKijJlgdllZsWJy3Ia+V0doSozNbFMFL9c6OKpK2ehm2XtH3Vv Ul1LEdUoco0rr2wOtJkgtwTslQ+9Z6PQHmb9aMpgl2yDfZkgV3Qf/XbxIe6eny7t+lKZ0MK/Qb2L jY9Y5oVlNWXHjGF7gVkBalX9tkkXRFWJxcZkUX6cujFryQ2wS2UxppwnK79UveJ87HaOyEEbcWKt rjk5HROlmuVXWJDuikx9M7Kl1QNjD/fYzRhzdewrQTfIW6TJKw8rwditunhn3g7WqGBqdIXZfOQ3 DP7Q1QC80NwvjJwZyTkuz2PONMqbISCGfEV4qT6Ccnx8gjRrRLtByJiwJdN84kA8192bZjO1Cih/ JVLtXIIylh9shgGiM+l5y2pHgkTUCpEzg4GroMrXGM0oZfKnKwlqyvLhlQjtVqIpsGROrrq0uL2k l1YxmGdg9bP4vJpTwwGbL+y+SV0k0fPx1Bhg7ARCfbFQOhcTtaQTOFJoG7aWzL0lHmhv6nxTcHDi ynLWewJV1NeJj/7HY42W4LKAS1W5ItP9iSi86+pNGpTENkFwBjCOW2N4ErfSHnHXwt279zI+JGkV OjZsU/OLoKcMiQ72UywqEueHL/XYzgA6AKMv8QXJuAImUD+H2mkan8gTUi2+DgLx6csT7AgAIGeR OriRdGGrSJ9RhzIltIkAWAXxGGOf2WkMr4Ew224Ym9m58G+2906bFuCxvyU8VdzO8wvGKw6uueMW orqO7VhDb5O8Ai91cLtAlbwl2So9DKEUuCqyW9Y8YJfqUNTN5OYzBk/vnlU/HbZ9SnYuOk4nTX/2 bz/Dud12GQ2lONX0Yf2ve+RfBLemndkLMF+d947IM25LRnTt47EPtyGCUkv56i7v99T1JB60mrsY 8a65dquDnUNe4QwngeL5Z3U9VW694P+MqmDYigVgIyZUNmIr+GvDeqmmPEDi19X8VH8Ejp765SXw VVg/dRKyS0sp05ecACkLRfX3JoyjVQ4J+AJIIiT9dPLw1l4cNWF9m9YntMJccM/uoTQGAlyFVpFw pqehPUVh2dz+VfKfgpdLiJkSW26dc+0GpzmPl0C6TSXwO8UkNEfqBG9nVMbLwgm31mYceBdK7q+e 2PMYkE2kD+NntnDOQiVJw6z7fpgD7I+JKcKYhaw34jycNJ4uuobBZXGeVZ7lJbvkBhDTWZcn8zvY pOXoINDKthYP1T2iKqChMcGH+T1cI0tRfL7BImTC2zXklmO+6sihsdOEP/3rI6dKRNW4WIyjGjwE uKnZBpTLbX1dLlbFhaUswmyJiz77vMuh05EXFrQ0QARXltULztpgu4hGd69+vnVDj+OlwNBPS2kA /EFudx4gnFCZlDoaSgb6lzGIQI9tF1Klq/jKaILKQU0C+ewSRiKq3Q9LbyMmDCZlrqiNFUzonIip KWCZJBWfuw9HmXQYIAb4jtBLNb1RHWEThKoAEv3l/sN2P9omTs3V4Z0jn2Q91KsQNcOpwUOP6Xcs mct4zyCgCVjtWo3tI2R8rpqPUfppffWDnmhSf8YZzw3w0C46+ZOvQnZtKPRRQm+GOwhrM5d8ny+o p3ZAP2WQqhA5Bh2OeIxX72RAWLapZjhRb79HNQY7TtTIVj1M2cD+a9qH2uttwrPxUEAKr2NWqos3 Yhq0+P58jpztXqseGUANlQ== `protect end_protected
mit
694a9584be842415904c13b336e2b09a
0.923881
1.878695
false
false
false
false
Logistic1994/CPU
module_PC.vhd
1
2,283
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 19:11:18 05/11/2015 -- Design Name: -- Module Name: module_PC - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.numeric_STD.all; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity module_PC is port ( clk_PC: in std_logic; -- ʱÖÓ nreset: in std_logic; -- È«¾Ö¸´Î»ÐźŠnLD_PC: in std_logic; -- µØÖ·¸üРM_PC: in std_logic; -- PC¼ÓÒ» nPCH: in std_logic; -- PCÊä³öµ½×ÜÏߵĿØÖÆÐźŠnPCL: in std_logic; -- PCÊä³öµ½×ÜÏߵĿØÖÆÐźŠPC: in std_logic_vector(11 downto 0); -- 12λµÄPC ADDR: out std_logic_vector(11 downto 0); -- ROM¶ÁµØÖ·Êä³ö datao: out std_logic_vector(7 downto 0); do: out std_logic); -- PCÊýÖµÊä³öµ½Êý¾Ý×ÜÏß end module_PC; architecture Behavioral of module_PC is signal thePC: std_logic_vector(11 downto 0); begin ADDR <= thePC; -- ·ÅÔÚÕâ¸öµØ·½ÊÇ׼ȷµÄ£¬ÒòΪֻҪthePCÒ»±ä»¯£¬ÄÇôADDR¾Í»áÁ¢¼´·¢Éú±ä»¯ process(clk_PC, nreset) begin if nreset = '0' then thePC <= X"000"; elsif rising_edge(clk_PC) then -- ¼ÓÒ»²¢ÇÒË͵½µØÖ·×ÜÏßÉÏ if M_PC = '1' then if thePC = X"FFF" then thePC <= X"000"; else thePC <= std_logic_vector(unsigned(thePC) + 1); end if; datao <= (others => 'Z'); do <= '0'; -- ÔØÈëеØÖ·²¢ÇÒË͵½µØÖ·×ÜÏßÉÏÈ¥ elsif nLD_PC = '0' then thePC <= PC; datao <= (others => 'Z'); do <= '0'; -- Êä³öµ½Êý¾Ý×ÜÏßÉÏÈ¥ elsif nPCH = '0' then datao(7 downto 4) <= X"0"; datao(3 downto 0) <= thePC(11 downto 8); do <= '1'; elsif nPCL = '0' then datao <= thePC(7 downto 0); do <= '1'; else datao <= (others => 'Z'); do <= '0'; end if; end if; end process; end Behavioral;
gpl-2.0
fe9ab40565e4cd2543c460fe7d1e88e1
0.574682
2.663944
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/port/rule_012_test_input.vhd
1
747
entity FIFO is port ( I_WR_EN : in std_logic; I_DATA : out std_logic_vector(31 downto 0); I_RD_EN : in std_logic; O_DATA : out std_logic_vector(31 downto 0) ); end entity FIFO; entity FIFO is port ( I_WR_EN : in std_logic := '0'; I_DATA : out std_logic_vector(31 downto 0):=(others=>'0'); I_RD_EN : in std_logic := '1'; O_DATA : out std_logic_vector(31 downto 0):=(others => '1') ); end entity FIFO; entity FIFO is port ( I_WR_EN : in std_logic := '0';-- COmment I_DATA : out std_logic_vector(31 downto 0):=(others=>'0'); -- Comment I_RD_EN : in std_logic := '1'; -- Comment O_DATA : out std_logic_vector(31 downto 0):=(others => '1') -- Comment ); end entity FIFO;
gpl-3.0
806f1814a0423834abad617593c0d941
0.566265
2.808271
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/port_map/rule_002_test_input.fixed_upper.vhd
1
585
architecture ARCH of ENTITY1 is begin U_INST1 : INST1 generic map ( G_GEN_1(3 downto 0) => 3, G_GEN_2(2 downto 1) => 4, G_GEN_3 => 5 ) port map ( PORT_1(3 downto 0) => w_port_1, PORT_2 => w_port_2, PORT_3(2 downto 1) => w_port_3 ); -- Violations below U_INST1 : INST1 generic map ( g_gen_1(3 downto 0) => 3, g_gen_2(2 downto 1) => 4, g_gen_3 => 5 ) port map ( PORT_1(3 downto 0) => w_port_1, PORT_2 => w_port_2, PORT_3(2 downto 1) => w_port_3 ); end architecture ARCH;
gpl-3.0
debc1c3e00acd387ca04b6d8ae35f6c1
0.492308
2.683486
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/styles/code_examples/PIC.vhd
1
9,940
---------------------------------------------------------------------------- ---- Create Date: 00:12:45 10/23/2010 ---- Design Name: pic ---- Project Name: PIC ---- Description: ---- A Programmable Interrupt Controller which can handle upto 8 ---- ---- level triggered interrupts.The operating modes available are ---- ---- polling fixed priority modes. ---- ---- ---------------------------------------------------------------------------- ---- ---- ---- This file is a part of the pic project at ---- ---- http://www.opencores.org/ ---- ---- ---- ---- Author(s): ---- ---- Vipin Lal, [email protected] ---- ---- ---- ---------------------------------------------------------------------------- ---- ---- ---- Copyright (C) 2010 Authors and OPENCORES.ORG ---- ---- ---- ---- This source file may be used and distributed without ---- ---- restriction provided that this copyright statement is not ---- ---- removed from the file and that any derivative work contains ---- ---- the original copyright notice and the associated disclaimer. ---- ---- ---- ---- This source file is free software; you can redistribute it ---- ---- and/or modify it under the terms of the GNU Lesser General ---- ---- Public License as published by the Free Software Foundation; ---- ---- either version 2.1 of the License, or (at your option) any ---- ---- later version. ---- ---- ---- ---- This source is distributed in the hope that it will be ---- ---- useful, but WITHOUT ANY WARRANTY; without even the implied ---- ---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ---- ---- PURPOSE. See the GNU Lesser General Public License for more ---- ---- details. ---- ---- ---- ---- You should have received a copy of the GNU Lesser General ---- ---- Public License along with this source; if not, download it ---- ---- from http://www.opencores.org/lgpl.shtml ---- ---- ---- ---------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity PIC is port( CLK_I : in std_logic; --Clock. RST_I : in std_logic; --Reset IR : in unsigned(7 downto 0); --Interrupt requests from peripherals. DataBus : inout unsigned(7 downto 0); --Data bus between processor PIC. INTR_O : out std_logic; --Interrupt Request pin of processor. INTA_I : in std_logic --Interrupt ack. ); end PIC; architecture Behavioral of PIC is type state_type is (reset_s,get_commands,jump_int_method,start_polling,tx_int_info_polling,ack_ISR_done, ack_txinfo_rxd,start_priority_check,tx_int_info_priority,ack_txinfo_rxd_priority,ack_ISR_done_pt); signal next_s : state_type :=reset_s; signal int_type : unsigned(1 downto 0):="01"; signal int_index,count_cmd : integer := 0; type prior_table is array (0 to 7) of unsigned(2 downto 0); signal pt : prior_table := (others => (others => '0')); signal int_pt : unsigned(2 downto 0):="000"; signal flag,flag1 : std_logic := '0'; --These flags are used for timing purposes. begin process(CLK_I,RST_I) begin if( RST_I = '1') then next_s <= reset_s; elsif( rising_edge(CLK_I) ) then flag <= INTA_I; case next_s is when reset_s => --initialze signals to zero. flag <= '0'; flag1 <= '0'; int_type <= "00"; int_index <= 0; count_cmd <= 0; int_pt <= "000"; pt <= (others => (others => '0')); if( RST_I = '0' ) then next_s <= get_commands; else next_s <= reset_s; end if; DataBus <= (others => 'Z'); when get_commands => --Get commands and operating mode from the processor. if( DataBus(1 downto 0) = "01" ) then int_type <= "01"; next_s <= jump_int_method; elsif( DataBus(1 downto 0) = "10" and count_cmd = 0) then pt(0) <= DataBus(7 downto 5); pt(1) <= DataBus(4 downto 2); count_cmd <= count_cmd + 1; next_s <= get_commands; elsif( DataBus(1 downto 0) = "10" and count_cmd = 1) then pt(2) <= DataBus(7 downto 5); pt(3) <= DataBus(4 downto 2); count_cmd <= count_cmd + 1; next_s <= get_commands; elsif( DataBus(1 downto 0) = "10" and count_cmd = 2) then pt(4) <= DataBus(7 downto 5); pt(5) <= DataBus(4 downto 2); count_cmd <= count_cmd + 1; next_s <= get_commands; elsif( DataBus(1 downto 0) = "10" and count_cmd = 3) then pt(6) <= DataBus(7 downto 5); pt(7) <= DataBus(4 downto 2); count_cmd <= 0; int_type <= "10"; next_s <= jump_int_method; else next_s <= get_commands; end if; when jump_int_method => --Check which method is used to determine the interrupts. flag <= '0'; flag1 <= '0'; int_index <= 0; count_cmd <= 0; int_pt <= "000"; if( int_type = "01" ) then next_s <= start_polling; --Polling method for checking the interrupts. elsif( int_type = "10" ) then next_s <= start_priority_check; --Fixed priority scheme. else next_s <= reset_s; --Error if no method is specified. end if; DataBus <= (others => 'Z'); when start_polling => --Check for interrupts(one by one) using polling method. if( IR(int_index) = '1' ) then INTR_O <= '1'; next_s <= tx_int_info_polling; else INTR_O <= '0'; end if; if( int_index = 7 ) then int_index <= 0; else int_index <= int_index+1; end if; DataBus <= (others => 'Z'); when tx_int_info_polling => --Transmit interrupt information if an interrupt is found. if( INTA_I = '0' ) then INTR_O <= '0'; end if; if( flag = '0' ) then DataBus <= "01011" & to_unsigned( (int_index-1),3); --MSB "01011" is for matching purpose. flag1 <= '1'; else flag1 <= '0'; end if; if ( flag1 = '1' ) then next_s <= ack_txinfo_rxd; if( INTA_I = '0' ) then DataBus <= (others => 'Z'); end if; end if; when ack_txinfo_rxd => --ACK send by processor to tell PIC that interrupt info is received correctly. if( INTA_I <= '0' ) then next_s <= ack_ISR_done; DataBus <= (others => 'Z'); end if; when ack_ISR_done => --Wait for the ISR for the particular interrupt to get over. if( INTA_I = '0' and DataBus(7 downto 3) = "10100" and DataBus(2 downto 0) = to_unsigned(int_index-1,3) ) then next_s <= start_polling; else next_s <= ack_ISR_done; end if; when start_priority_check => --Fixed priority method for interrupt handling. --Interrupts are checked based on their priority. if( IR(to_integer(pt(0))) = '1' ) then int_pt <= pt(0); INTR_O <= '1'; next_s <= tx_int_info_priority; elsif( IR(to_integer(pt(1))) = '1' ) then int_pt <= pt(1); INTR_O <= '1'; next_s <= tx_int_info_priority; elsif( IR(to_integer(pt(2))) = '1' ) then int_pt <= pt(2); INTR_O <= '1'; next_s <= tx_int_info_priority; elsif( IR(to_integer(pt(3))) = '1' ) then int_pt <= pt(3); INTR_O <= '1'; next_s <= tx_int_info_priority; elsif( IR(to_integer(pt(4))) = '1' ) then int_pt <= pt(4); INTR_O <= '1'; next_s <= tx_int_info_priority; elsif( IR(to_integer(pt(5))) = '1' ) then int_pt <= pt(5); INTR_O <= '1'; next_s <= tx_int_info_priority; elsif( IR(to_integer(pt(6))) = '1' ) then int_pt <= pt(6); INTR_O <= '1'; next_s <= tx_int_info_priority; elsif( IR(to_integer(pt(7))) = '1' ) then int_pt <= pt(7); INTR_O <= '1'; next_s <= tx_int_info_priority; else next_s <= start_priority_check; end if; DataBus <= (others => 'Z'); when tx_int_info_priority => --Transmit interrupt information if an interrupt is found. if( INTA_I = '0' ) then INTR_O <= '0'; end if; if( flag = '0' ) then DataBus <= "10011" & int_pt; --MSB "10011" is for matching purpose. flag1 <= '1'; else flag1 <= '0'; end if; if ( flag1 = '1' ) then next_s <= ack_txinfo_rxd_priority; if( INTA_I = '0' ) then DataBus <= (others => 'Z'); end if; end if; when ack_txinfo_rxd_priority => --ACK send by processor to tell PIC that interrupt info is received correctly. if( INTA_I <= '0' ) then next_s <= ack_ISR_done_pt; DataBus <= (others => 'Z'); end if; when ack_ISR_done_pt => --Wait for the ISR for the particular interrupt to get over. if( INTA_I = '0' and DataBus(7 downto 3) = "01100" and DataBus(2 downto 0) = int_pt ) then next_s <= start_priority_check; elsif( DataBus(7 downto 3) /= "01100" or DataBus(2 downto 0) /= int_pt ) then next_s <= reset_s; --Error. else next_s <= ack_ISR_done_pt; end if; when others => DataBus <= (others => 'Z'); end case; end if; end process; end Behavioral;
gpl-3.0
9ed74bac3c18aadffc8586d50e22fa78
0.491348
3.433506
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/axi_dma_v7_1/hdl/src/vhdl/axi_dma_mm2s_cntrl_strm.vhd
1
21,786
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------ ------------------------------------------------------------------------------- -- Filename: axi_dma_mm2s_cntrl_strm.vhd -- Description: This entity is MM2S control stream logic -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_dma_v7_1; use axi_dma_v7_1.axi_dma_pkg.all; library proc_common_v4_0; use proc_common_v4_0.proc_common_pkg.clog2; use proc_common_v4_0.proc_common_pkg.max2; ------------------------------------------------------------------------------- entity axi_dma_mm2s_cntrl_strm is generic( C_PRMRY_IS_ACLK_ASYNC : integer range 0 to 1 := 0; -- Primary MM2S/S2MM sync/async mode -- 0 = synchronous mode - all clocks are synchronous -- 1 = asynchronous mode - Primary data path channels (MM2S and S2MM) -- run asynchronous to AXI Lite, DMA Control, -- and SG. C_PRMY_CMDFIFO_DEPTH : integer range 1 to 16 := 1; -- Depth of DataMover command FIFO C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH : integer range 32 to 32 := 32; -- Master AXI Control Stream Data Width C_FAMILY : string := "virtex7" -- Target FPGA Device Family ); port ( -- Secondary clock / reset m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- -- Primary clock / reset -- axi_prmry_aclk : in std_logic ; -- p_reset_n : in std_logic ; -- -- -- MM2S Error -- mm2s_stop : in std_logic ; -- -- -- Control Stream FIFO write signals (from axi_dma_mm2s_sg_if) -- cntrlstrm_fifo_wren : in std_logic ; -- cntrlstrm_fifo_din : in std_logic_vector -- (C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH downto 0); -- cntrlstrm_fifo_full : out std_logic ; -- -- -- -- Memory Map to Stream Control Stream Interface -- m_axis_mm2s_cntrl_tdata : out std_logic_vector -- (C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH-1 downto 0); -- m_axis_mm2s_cntrl_tkeep : out std_logic_vector -- ((C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH/8)-1 downto 0);-- m_axis_mm2s_cntrl_tvalid : out std_logic ; -- m_axis_mm2s_cntrl_tready : in std_logic ; -- m_axis_mm2s_cntrl_tlast : out std_logic -- ); end axi_dma_mm2s_cntrl_strm; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_dma_mm2s_cntrl_strm is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- Number of words deep fifo needs to be -- Only 5 app fields, but set to 8 so depth is a power of 2 constant CNTRL_FIFO_DEPTH : integer := max2(16,8 * C_PRMY_CMDFIFO_DEPTH); -- Width of fifo rd and wr counts - only used for proper fifo operation constant CNTRL_FIFO_CNT_WIDTH : integer := clog2(CNTRL_FIFO_DEPTH+1); constant USE_LOGIC_FIFOS : integer := 0; -- Use Logic FIFOs constant USE_BRAM_FIFOS : integer := 1; -- Use BRAM FIFOs ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- -- FIFO signals signal cntrl_fifo_rden : std_logic := '0'; signal cntrl_fifo_empty : std_logic := '0'; signal cntrl_fifo_dout : std_logic_vector (C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH downto 0) := (others => '0'); signal cntrl_fifo_dvalid: std_logic := '0'; signal cntrl_tdata : std_logic_vector (C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH-1 downto 0) := (others => '0'); signal cntrl_tkeep : std_logic_vector ((C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal cntrl_tvalid : std_logic := '0'; signal cntrl_tready : std_logic := '0'; signal cntrl_tlast : std_logic := '0'; signal sinit : std_logic := '0'; signal m_valid : std_logic := '0'; signal m_ready : std_logic := '0'; signal m_data : std_logic_vector(C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH-1 downto 0) := (others => '0'); signal m_strb : std_logic_vector((C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal m_last : std_logic := '0'; signal skid_rst : std_logic := '0'; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin -- All bytes always valid cntrl_tkeep <= (others => '1'); -- Primary Clock is synchronous to Secondary Clock therfore -- instantiate a sync fifo. GEN_SYNC_FIFO : if C_PRMRY_IS_ACLK_ASYNC = 0 generate signal mm2s_stop_d1 : std_logic := '0'; signal mm2s_stop_re : std_logic := '0'; signal xfer_in_progress : std_logic := '0'; begin -- reset on hard reset or mm2s stop sinit <= not m_axi_sg_aresetn or mm2s_stop; -- Generate Synchronous FIFO I_CNTRL_FIFO : entity proc_common_v4_0.sync_fifo_fg generic map ( C_FAMILY => C_FAMILY , C_MEMORY_TYPE => USE_LOGIC_FIFOS, C_WRITE_DATA_WIDTH => C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH + 1, C_WRITE_DEPTH => CNTRL_FIFO_DEPTH , C_READ_DATA_WIDTH => C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH + 1, C_READ_DEPTH => CNTRL_FIFO_DEPTH , C_PORTS_DIFFER => 0, C_HAS_DCOUNT => 1, --req for proper fifo operation C_DCOUNT_WIDTH => CNTRL_FIFO_CNT_WIDTH, C_HAS_ALMOST_FULL => 0, C_HAS_RD_ACK => 0, C_HAS_RD_ERR => 0, C_HAS_WR_ACK => 0, C_HAS_WR_ERR => 0, C_RD_ACK_LOW => 0, C_RD_ERR_LOW => 0, C_WR_ACK_LOW => 0, C_WR_ERR_LOW => 0, C_PRELOAD_REGS => 1,-- 1 = first word fall through C_PRELOAD_LATENCY => 0 -- 0 = first word fall through -- C_USE_EMBEDDED_REG => 1 -- 0 ; ) port map ( Clk => m_axi_sg_aclk , Sinit => sinit , Din => cntrlstrm_fifo_din , Wr_en => cntrlstrm_fifo_wren , Rd_en => cntrl_fifo_rden , Dout => cntrl_fifo_dout , Full => cntrlstrm_fifo_full , Empty => cntrl_fifo_empty , Almost_full => open , Data_count => open , Rd_ack => open , Rd_err => open , Wr_ack => open , Wr_err => open ); ----------------------------------------------------------------------- -- Control Stream OUT Side ----------------------------------------------------------------------- -- Read if fifo is not empty and target is ready cntrl_fifo_rden <= not cntrl_fifo_empty and cntrl_tready; -- Drive valid if fifo is not empty or in the middle -- of transfer and stop issued. cntrl_tvalid <= not cntrl_fifo_empty or (xfer_in_progress and mm2s_stop_re); -- Pass data out to control channel with MSB driving tlast cntrl_tlast <= (cntrl_tvalid and cntrl_fifo_dout(C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH)) or (xfer_in_progress and mm2s_stop_re); cntrl_tdata <= cntrl_fifo_dout(C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH-1 downto 0); -- Register stop to create re pulse for cleaning shutting down -- stream out during soft reset. REG_STOP : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then mm2s_stop_d1 <= '0'; else mm2s_stop_d1 <= mm2s_stop; end if; end if; end process REG_STOP; mm2s_stop_re <= mm2s_stop and not mm2s_stop_d1; ------------------------------------------------------------- -- Flag transfer in progress. If xfer in progress then -- a fake tlast and tvalid need to be asserted during soft -- reset else no need of tlast. ------------------------------------------------------------- TRANSFER_IN_PROGRESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(cntrl_tlast = '1' and cntrl_tvalid = '1' and cntrl_tready = '1')then xfer_in_progress <= '0'; elsif(xfer_in_progress = '0' and cntrl_tvalid = '1')then xfer_in_progress <= '1'; end if; end if; end process TRANSFER_IN_PROGRESS; skid_rst <= not m_axi_sg_aresetn; --------------------------------------------------------------------------- -- Buffer AXI Signals --------------------------------------------------------------------------- CNTRL_SKID_BUF_I : entity axi_dma_v7_1.axi_dma_skid_buf generic map( C_WDATA_WIDTH => C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH ) port map( -- System Ports ACLK => m_axi_sg_aclk , ARST => skid_rst , skid_stop => mm2s_stop_re , -- Slave Side (Stream Data Input) S_VALID => cntrl_tvalid , S_READY => cntrl_tready , S_Data => cntrl_tdata , S_STRB => cntrl_tkeep , S_Last => cntrl_tlast , -- Master Side (Stream Data Output M_VALID => m_axis_mm2s_cntrl_tvalid , M_READY => m_axis_mm2s_cntrl_tready , M_Data => m_axis_mm2s_cntrl_tdata , M_STRB => m_axis_mm2s_cntrl_tkeep , M_Last => m_axis_mm2s_cntrl_tlast ); end generate GEN_SYNC_FIFO; -- Primary Clock is asynchronous to Secondary Clock therfore -- instantiate an async fifo. GEN_ASYNC_FIFO : if C_PRMRY_IS_ACLK_ASYNC = 1 generate signal mm2s_stop_reg : std_logic := '0'; -- CR605883 signal p_mm2s_stop_d1 : std_logic := '0'; signal p_mm2s_stop_d2 : std_logic := '0'; signal p_mm2s_stop_d3 : std_logic := '0'; signal p_mm2s_stop_re : std_logic := '0'; signal xfer_in_progress : std_logic := '0'; begin -- reset on hard reset, soft reset, or mm2s error sinit <= not p_reset_n or p_mm2s_stop_d2; -- Generate Asynchronous FIFO I_CNTRL_STRM_FIFO : entity axi_dma_v7_1.axi_dma_afifo_autord generic map( C_DWIDTH => C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH + 1 , -- Temp work around for issue in async fifo model -- C_DEPTH => CNTRL_FIFO_DEPTH , -- C_CNT_WIDTH => CNTRL_FIFO_CNT_WIDTH , C_DEPTH => 31 , C_CNT_WIDTH => 5 , C_USE_BLKMEM => USE_LOGIC_FIFOS , C_FAMILY => C_FAMILY ) port map( -- Inputs AFIFO_Ainit => sinit , AFIFO_Wr_clk => m_axi_sg_aclk , AFIFO_Wr_en => cntrlstrm_fifo_wren , AFIFO_Din => cntrlstrm_fifo_din , AFIFO_Rd_clk => axi_prmry_aclk , AFIFO_Rd_en => cntrl_fifo_rden , AFIFO_Clr_Rd_Data_Valid => '0' , -- Outputs AFIFO_DValid => cntrl_fifo_dvalid , AFIFO_Dout => cntrl_fifo_dout , AFIFO_Full => cntrlstrm_fifo_full , AFIFO_Empty => cntrl_fifo_empty , AFIFO_Almost_full => open , AFIFO_Almost_empty => open , AFIFO_Wr_count => open , AFIFO_Rd_count => open , AFIFO_Corr_Rd_count => open , AFIFO_Corr_Rd_count_minus1 => open , AFIFO_Rd_ack => open ); ----------------------------------------------------------------------- -- Control Stream OUT Side ----------------------------------------------------------------------- -- Read if fifo is not empty and target is ready cntrl_fifo_rden <= not cntrl_fifo_empty -- fifo has data and cntrl_tready; -- target ready -- Drive valid if fifo is not empty or in the middle -- of transfer and stop issued. cntrl_tvalid <= cntrl_fifo_dvalid or (xfer_in_progress and p_mm2s_stop_re); -- Pass data out to control channel with MSB driving tlast cntrl_tlast <= cntrl_tvalid and cntrl_fifo_dout(C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH); cntrl_tdata <= cntrl_fifo_dout(C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH-1 downto 0); -- CR605883 -- Register stop to provide pure FF output for synchronizer REG_STOP : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then mm2s_stop_reg <= '0'; else mm2s_stop_reg <= mm2s_stop; end if; end if; end process REG_STOP; -- Double/triple register mm2s error into primary clock domain -- Triple register to give two versions with min double reg for use -- in rising edge detection. REG_ERR2PRMRY : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then if(p_reset_n = '0')then p_mm2s_stop_d1 <= '0'; p_mm2s_stop_d2 <= '0'; p_mm2s_stop_d3 <= '0'; else --p_mm2s_stop_d1 <= mm2s_stop; p_mm2s_stop_d1 <= mm2s_stop_reg; p_mm2s_stop_d2 <= p_mm2s_stop_d1; p_mm2s_stop_d3 <= p_mm2s_stop_d2; end if; end if; end process REG_ERR2PRMRY; -- Rising edge pulse for use in shutting down stream output p_mm2s_stop_re <= p_mm2s_stop_d2 and not p_mm2s_stop_d3; ------------------------------------------------------------- -- Flag transfer in progress. If xfer in progress then -- a fake tlast needs to be asserted during soft reset. -- else no need of tlast. ------------------------------------------------------------- TRANSFER_IN_PROGRESS : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then if(cntrl_tlast = '1' and cntrl_tvalid = '1' and cntrl_tready = '1')then xfer_in_progress <= '0'; elsif(xfer_in_progress = '0' and cntrl_tvalid = '1')then xfer_in_progress <= '1'; end if; end if; end process TRANSFER_IN_PROGRESS; skid_rst <= not p_reset_n; --------------------------------------------------------------------------- -- Buffer AXI Signals --------------------------------------------------------------------------- CNTRL_SKID_BUF_I : entity axi_dma_v7_1.axi_dma_skid_buf generic map( C_WDATA_WIDTH => C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH ) port map( -- System Ports ACLK => axi_prmry_aclk , ARST => skid_rst , skid_stop => p_mm2s_stop_re , -- Slave Side (Stream Data Input) S_VALID => cntrl_tvalid , S_READY => cntrl_tready , S_Data => cntrl_tdata , S_STRB => cntrl_tkeep , S_Last => cntrl_tlast , -- Master Side (Stream Data Output M_VALID => m_axis_mm2s_cntrl_tvalid , M_READY => m_axis_mm2s_cntrl_tready , M_Data => m_axis_mm2s_cntrl_tdata , M_STRB => m_axis_mm2s_cntrl_tkeep , M_Last => m_axis_mm2s_cntrl_tlast ); end generate GEN_ASYNC_FIFO; end implementation;
bsd-2-clause
7fc73bae311bf99e88157e8faa7a5e05
0.439273
4.372943
false
false
false
false
cwilkens/ecen4024-microphone-array
microphone-array/microphone-array.srcs/sources_1/ip/lp_FIR/fir_compiler_v7_1/hdl/wrap_buff.vhd
2
50,940
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block HoRISC6jdZHHzPGo7l3NL6uPlPOd0jEhypaBhv1fe/OdEw8zKOtE8OOJsD8yC6Ah97R54rGDp3V8 CtxJZSQK6w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block MCU1vM3Rz96/THUzDA8VtFpauvCAlyD/lOIbEinsUvSw1XmSwDrxYgNpptJH2CjRxdnsRx0RaUCu 8KcPM3IRB8eG2R9N2UizNF8CF9N6vyk0LGC85Xvin0fJeVE6D/CXt2C7DoNELgg4C8mutTonbLEg Ujgh/63gf9y9213gpYU= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block bCNGwaNyiap4EE/ae9DWqjy1Y6Xvs42s39n3EeYcg0zoXzhI7Bn1OBj6Xo/UH41BqyBBlTI9fs/G EIlHEyN45Zq+QUL/eiUvWYkY/wKffkDpWgQlBWj79fZVFvyg5D8QSoEcCoE7hcy5SuQciTkLUMRP ysfWJ/HmaOZJIWyX8Rq0swyhcZUhYzqXbCLJmBwxyyTRo0sn/caHEQ9yGqtD8P59MOA0LC2OonbX rKYt8R/18b5soorZiF5sq6vfCPMDE3Z19qS0o3tvY2Tq8tKoC8nyWdMX4Jz3Trj+CIxQFNNZISwB I+CQOQEcrsDYnaSGYqx6zE9+pEmNsXZQvQdLlg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block UjVkh+23FBXNq1kDQ48hLeDmAvAH7dxjIviVN7H+7T1BZNc62IxDN7Sn3A4fz2e0eCQDjCsjvTMu a9kjCxVDWTounH9nCUs53Dxja/72Az0kLdw4O4pgWB6oSAKT83E5sCNjEmRW04E7Q0B4kYEbSu/B mzrEkRY/affu3CAlaug= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block T2d7xsIoJUhNHXRm1CGdXx2lHGnXyGA3BzB/AlZUT5IWEKCFtGl9eaCq7323O7JtN/eNvbS1OvWo 1iUdvHClzOQK9loZTP+m6BOyNMTz6o2c5p9UYRPF4AgYnGS5rdmVzu+ACLp9mQ00TJz9CGNSS3iG o5bLaF4mzQ4dxlfNCh+NopyOb6nU4wUqYx8DwjgqZzaut0Mnytol6q0cLMiHut9YsEsGv3KSLX7p cNRbRDqs9NOBxvTPdtHFQUXsL395hgcEZT0Zp8ds+2/oSAO3qmZHrt0NCBcktbRh4nX3ZEqxRnhZ tnt+GWhJ74OSM4O9dVFdNtE0Px2ghP9XselHaQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 35968) `protect data_block oRbLQ1CCJYn5wPeC4fbGO3px4j9dukZWepfrUYGlV788ufNSj4kXwl/gtTi7nHoRH3tjCe38b9qf HKbzokb7gW7dS+TM3Iv92MyywOqVnFyKJogt5aHqsryRMeUY45visUw6tZNK+Brp9Qh1I1Jn4ucY z8PTv+rA6sxeZO2uXrR5sUCfoEsME266TcZrrK0C3oAxkPPatyouqrxZzp+CbA42iVcYO8xESqjt JuBztwqqUiOsZfPx3sRwtJLkcP4+UJmSMceCL2kwkgU1kYjwUF1/b1ehIPHIcUVB+6kNzB9eiviP Ud6114HQ0tg6uSIEFKTVqV3NY/41xah2jsWMx60dOJpuLWIai0Qc5Lg95QjoLnT5SQ9nFiT0zC9L W3Wmd0PnF6R9h6UbewONpUiIFIhfzi1ZdZA8OlXNmbUKtrH3dUHt8YS6/MmpTckhJ0UuiC6wem8Z 80uAK3P8o9MydHshmqq/gmIXlJwb/PqQUxW5XAXUAzXc2/F7qtH7aWUxd+pW+AVxhJ/0YdMXaCbO Z+hmYI7xbdpMvXUl0UqLHsv0o/9FgxmxIMgkLpwJkuJR1vM+S8CuxfSMOstyo84RXc8EyeYhRfVo 9277BtvPA0E4hoY8GezfvJbJxdMupuu9INLotnDEBRb+qPbenUfnLURnylEKm9iuaHp9UuW3PXSL HRmY2CFfH77ebG33h5HxE5phOB/wQCn/gTWgfOFyzUtwwWoSb2mqJUXr/hUWZKa1aPK7MrPn6pM1 pn7xICcN7NFI39VlGyUC7vTxrYxs3xGpW8B6yBn0twekB/Xb0V1FTsBzLVMB+UBl9L+/qAVeccic KZKK+9ifytqX8GSsYk2+JlvukN2+0e3mfV+QCnNhpr6cNinyqiwtBGwQCZln/9YxssrrO0f0MIJP NYXLVRLtWuRozXNcQk8syjJARm0CM7YckOd6GsJ9NFKlCmgdWvQgUkDoPnUGhiee+oD0fyCt+Zun bXiDQLc54fgEzPv8XM8iBls+OApmkbI5wBsmMH7MI76rILPmNGUIKtFMY3ViJ/MELPU761Qys5x5 N+yY2/Ben3jlRbZGAVondGCDUEu+C8HYO0opxOxHo2V4OKESXGkzrKjQQzFZfzVe8IdZrgCzDM9/ 3ogitOjZ+sN3ceIbY3yL3DNWzywvzr1K3haKR0sdI1Kw8Q9tWM5LjKqIwhDpWVwnV6dNU0ounGR8 tTBsgaSVdOjFpABt39/9qOZpNYpw6+39fsMEN57aBWr8ZqvmS+Zc2JteDYVyJtnUy1wT0ZzthmrR q89nFVw2lLq+QkRyNGYsg/PDQndgpn/6Bp9qLG55GbpNMVE9oRGKnkJ25I2eOtq92QH1IsT/6+1q Atb4AkYxGU2Dksca1/dbUT8AIgNczFqMnaR2MhGi0Gl+Ok3UIBRe5Ll6B2kP3C0fwFr1bBkC8uab d86+s0QcQ7hauozWikEJPtrXdpVJuK8dBvJFJJmlseddmnipbm9Gj95srlIUE9AbIfZ37dwzT7yv qZwgiqWcaeRFXxpz6vhHeK7Zpey0D+fXt3oCVis5EnfzBP4EXfYQ6EievjNKxdaWedpLEWHFcZqS IGj+xvW4JRbWFdx9VAngw5PG2U5iAsqECsDv0lxEcEX3oieWGNmo9EGaT9XQA73CJ7uxvkdBwJLp Xk/6zpACA+ZFbX//7eC56B7a873wztB2+rzX3sK9XoAXY5NMp+FIgbZ1CTl4Ib5MXJ0Jt1gsSCzD X5A08zTPjtrzqhLCXmP23Q1nHH/lQLe2wojNAgcc3ZM+FjCSFRXXNYb3DUMhulNNH4nqNQnxmm3e x7U7vgXPNoNtH8r5IpghZk+swoad1EnJTk/CDnTamo4ODwVcaYpoLwlFPUfvAYog0UYRwJv2ZKyw Abei+WZ/8O42XhPwMyuCN52tb5ictrvpf8QLaKSjJrLefpLrRmhi/ywfCce3Y5T6l4B1jDwl8sB5 eKfRAQLSMmvTXIMQ+5XUnWyMXQAuMOXr9861bnTMkTDJzInbxbWXpNX9HFqEQtmP60dvxNQWWuYo A2NfrrvZ7AwAqApSJHQh1GB57LQKlKZgFQBTdkat/ncGBVn9VYbROMCXDFIY2lt9aEnR0fw5AJKM HK97fJQ8sMPmHKvMgpwEyUaUVLu5x3p2BEvkssAzQsp6bXYYBvqHVX+haiRui8rWzI3kmoBCdvA7 Ne5RuyGvkzHr0UfMes/zwMF9giY+g1B7cXqGEr6zvk8AObhpcZi3XP6hd9B/hyr8pagiXFK6a0oD jSSu2GCXuE+Wm6JYodgIrmyvGSv6gVtnhyE1wPyA+u6lym2pPMXhgQBYO74dv0M8n5eVvKFZ/wf9 ep6BS1N1c6JVR4jgbw9icAWdPYSE3rtUmsS8n/+EjpL7CFD2dWhAHrGwobMsHcHAk0DeYZwvYwpp LJ90iTHX3YW2cNUfzWjrcW+/BmugXVBzrEkywvk9cO6yVCWbW+QLph1NkjcNXgUzYXY5/UnRF6Rm yrd8YGzUSp1gohvm9VLJOG0pEfPS/Q2hGtM5i1jyW8Sd0hoC+UzicaSSG2xv1xu8nERx3D4cTjnA uaZ9K0d5m2/C3hIXUPJRl35SPsG6C83LjfKDDPt/RP5k43uxeRx5SHcmk+RkMsT+MuJF3kIgzGZj odmAM1DxklU2K+gLLnNhjTNJgp0oAm5hLb22w89ChxGA+MczwzZiIRe4s5yUjvlXz/wDawlk1GH1 Cb8VXkQxBhmJmIuumT4FsvGZGJZRGeKGecyTYV6wZ7QUWyJgQZTsMux98+glgqL2hN2cp4amOiOL 2oXBefDgmxr7YX1/VgPNc1eZoWZMt/0DfGt0LnJknNzmPr9FKAZ0LWgODKgnTw1zvHTIGl/b90I0 vzkK0jISYa3b4XkCKHCxg5UF4XsBSbZOE29MPM+x5shJ4fCjfRhikgVCUIjMI9fuqeGYQ2iCOMXk eAtLZRmrYT2rfSv2jp5GuTANDLdwdkl0Xfv69Ac9JJQn/HAEMDEGrGW0pvZNj7Zj9DlV1WAtqcpr kCCt9O/JojSST8aSGlFV+L53Dwk3r3ZZ+r+rLDmhpzk8VwKTzDpZxLVzvKJC3b8hylpj3hoDI7sM jNj2crBni9pt5MXwo97YOYjhutVUI4/vQGlsSZ8DUOF9VEmPAk3ZGcl1hezmQQtBqDDz6jSXzAdi oIOdB4LNXKlB0W9IMJ0PVEfDQ2nBjKudJGNLi+f7TYQQVBGkhngOiJlw0A+xtXv38UqUmqk3J4hs jxIzX2WnNnXqBzIOzJk1EzoPJyNi6vIN66gzzyZXxAN3ModZyLdKqabTeaJ1XPnL/LveAKh8hp1C wnu+i6ziQwBPofKYY0V3zxZXj6p91/D8RcwcABtbka01Fig1RLiPbJvQSF3gU71Bt9pL8iCvM663 RKBcFfAcxleFnyjdxxLbHKjEX3/iY0dPowDFBe8h//IvTH08grMp2S8THYoKSlgkZsgm7xgvw1wH 1pW93nTkUoAAH1oJLzogNpuIAwb+5Ag94S31+wXYjGvOBsmonNKQs6pM5ygL1rg1aNxjjufaLx2M yRB6YWIGtcel67v08gAeulBFAWS9Io8rci2rIwz3/uZb1D35gs1eYtEn4UGxeWlPrQGQCdk3NgJq klffXd7jhhAtHHGVlG1xDJFHxyt1oVjpWat4/YoKEdkgzY+LcGMFicwI8gIiyIXfG2YOp/XuCjCB UMOIgeTTjkP3sjmelMhuoh8kbnSTC+6DeCWw944dPoyMo5rIUPjdLKbdTmyi/85EsNH44KvzrhU+ H9/YQyTgr3FxstaJ145ukADr3030ucsoS3uRbVdKncF2aF1kvtr/r2TmZgnbw6LJsZal4HgcFRI7 Fw1vnGntfivX2nYsN9BsSSohAbLRHSx6wB5+ogGrcINdheVF4yhxuCJQdUvO6n9gWF9+YOyq9bsr ezGYuBpD15rO7L8AfaW/12a34wGkirXUQCDWi7gpqG/yvRV9RoEknzGFLiTvtzNEWbO9b6lLfgSI PFJLHsdY9OOlCU/3Pxcu/+VCg9f2OgE9yclwgC1aOytw2az26qtv+/6/v1sMQ7a/MVM/cwZFf0MN e4XtYsXmXtUR9L5I2rp1haH0HnnBXNuHTiZGG3UCZn4derU+RjhHb4IrkiwW+oBavu99bkS90MaN 9peIjOr2V4ZLCTbuXNy5zSyOb7ES3cmPM18e3HVh+khwr58iSYQxYnzgO31kZC/CQ+hknQoFyGiK vfUi6ueSDWYb/uk8VUKFmjD/1SwOF9QV/XRLhiexwiBlGJNP5VJQRpCO02kLB3A/2+ctAETI6x8w N3DUb2oR1b4xoWo4OFe5uP6ZsB+jg2OPcLOsCHnHAik4LUg0XRCZoL8sKM1n63X4ldaK0IaoJbiN SgZefcbCHC6RuUo9rvWvKEzayX2b+l3l7JcTGpxCm6j9ar+7RZc5NQd3wInDDJmzeKblDmAPGvt/ qUfBSXMzdFZQGTcOCM+4qW4MOegefT8cmP0caGGuRoLvIk5r6T2JSA6MfrIklqFk9MK1ReAaj9Hn N2oiDaWC5fTIy2FVDCQX8Zn+fjMAt23dq6NpBBM+hzSAGGIF/RNZydfDifDp0VQrhIrtX5ntpJCI dGBBCsyf6ahoSKVY+OKQgNrs5FSUbz4+GwV+HiNhNtuIMyA8cTbtXBeurjoS5HkEYsPAp4XwFeIx oi64XPH99pAAR05DRKhpXP2FBrR6HMF6d7kgTOe+uIdiNH7zeQWLwCu7UQ5xZ94t7grDGTRoOUPe 8JuT7ZFS6TlhJnJ91NcdsR2N+vKD+AGMl7P5yZUmUoK7d80xROFo6KV+HB2MdRNERIRzsjZMQhrS CeayZ+DBxgBd6dNKsuvJD43+O0WQj2myhO0bhtg/Wf8fDsFFXOFDCDdoLVR3N1JMTI83JYvGv6qK rI6oNaE6wWKc4mAE8Hda1Vui/z34L161gGsi+X/wWgFdGe0Rx8tjSYgPPBAIcauzt+4np6wg018O E3MsNy9BiHmDZcB6rWqLDY6/6+yutNaCyZ2NTjmI0NKvGLrJtnhAn+d0C/WyYyj0c+s9lm6vV7/j MoZir0B11NItQINGUAvJasvAq7yKy4WS40ckpMDkfQIDrd7qQbXpS125lfrXp+pjXaTauuEjb5D6 U9lp7aLgsHjJ1t9I/hHVKIiApXj3TsWa/EaliqaR3diA+EbFKw6d6nxFs7bnvJxKKaoempuRbDjQ gI9EzO1ip97ApqcPCsvSgfnoU3SvvEGoRdS+3o1oJ+fz8h6qRQ+rnLuHaSwija3UevrEneG/0ohd OhfDPVJHzI89kga/jIm5F8Wapg/uwJWtr2DULzCHpvAGQG8epSugzSpXqJCGptjJ3gsNJg3sMj78 naaz9MO42msMj6FYP1uRIuvn+yCn67kxty/c+bDKi8Ni8JRrkX7SUblwhA5qBrZROZ1C4haUUGZx xkfBw+rxifcMRvRJ/VLmuiHm94ft7AevLg/AgN8QYD0xcIZJtCVYx+EHqXR2KRD7EEr2i4qyTl1+ UDqVzi4nNEehyPfOI6B21rR1HnPPji31Dlk2OWnX2qgy+9JITs4n0+s2+lgrEYUqWWhLq6Bpj806 eqkoK/ERrsZtmajwzo8y4RyCy6ZxRLJWiHylMB/POQTo/uUkKDhOwUgAmJ9kjjxLFzIx9B6PCiZj m7axsrICeveUUYDOOdAW1H6kAeAsSrAmr2uiEf8/m9tgoE3CJdkmPgh7mkenabqaGhu1w1jfzoZs yOeqPvmoXjaNfw8Kchkx7Hh+jwWiElbcET1xZj+uKJARy7Aahpvkni/K5ktE9/5xgEq0507XPmH6 3zDj8uAIITezT/KVCuAYGiy8S5JQu0EWZSOZvGko+CD5FLstyCpwnZwItlZFfiWVbCFpuosDr8Dn I8MpxgeSpQmTB7jwE5FRpT4Jj2GwxTpw6slpKo/mbgqNOsE+HMIGBOXkvX0AyniXkM8fgwAS7wQF wJACwsSpDMtDZ510LKlksiBW7K6uYIoMnZKX9aMC5S0TosCpm7uS0n3t8C0exQ6sZPIEpzs1YiFU +eYDMNenBYEhHzquzR9Pg0haWtvGyny9aXJ7kbGlB0/18dt8T8JpBbCOOWewvmQYKuLbbeQSd7fJ AnrEBB8c7RdTid+k829KZfWdEV1alalLC7W8Gvbir3d84oNy+GijWoFIRKu8JUq4BLczfrPa/AlR WbuaxHPz8IX9KgiFzL1ZPQPUWU1RTGOW9okjkzxJPjTxINaz17UZTj5OBcTWfr4tUkAgVb7pUNt6 723MD2z9Hw/279+sQeO6Dn/RnzRfiPTAcU/D4Z2Z/DkdpveM2Y+ktd0hiuPDPERnQCLtsCeQcQee 96CVp4hhTgvQnzWRbPaiVqyFrFa9+j1hdkTnFjKmobRSB1wDeTcovrZ8wKxLn2ptCTykCXim9Luu Ee1+OUsmnkNzf+TY9tOr+GDBowvaJH4vSzfeYF033nVtCQiZGxcTh2M04VnDvfCCSQGakGYzbIP5 7y7pB3MK7/XIo6n6vwPCd6CsUl4qaw+hnI5yYnmaA6m766RzpixMMg5tenIdgbijui1f3IqDM4Rk Z06alQ1TTXD96KGdYChAmZELO8fPWAlTGjLXZwDaZmgJdvvqKtAsXjdnV1YHA7MJIfIxBEZhfj5i 5u0kgMVhVasKudyM71aS5nv1P5o/bXu0O4tLX/ByZtNinldQcQCvKadtJEtaiIFT69zzgiOIHX5L rJ8fJV4AD+VEkTpR0EoRFnp36WyNDzXPsjHrct8lwRTVq5s3dbKnUDaAU1ZpaCTCRqATBtqJdwGS z+GV9DubWUyJS5oU0u9zPKPwX2pPZHl12xAhluUCJSY41azNOxTteyzXCF/pyO4gsRfffF9EgOka SUsfK/VYdTAztNy/hCv2ytwgFPiWEtW1FvjXp/mwWjnvGskykDDy9DEsVvHymDXOJCsnXw1iJ2xY xL9peoBMzVsHINJVHkDY8ZzDAY0yC64jKDop4DF+TolwGI50KWVO1g93codG21J/O2YTBfKHJbwz pggYTOv7sxRwirfx1X7BDlcCm7R4UOXPoMOdfXF5YyRVBkEpB8k8iC7hW6dqXCUhEiEd4YUi3C5n jT6EORpPHb0rpJPkhKhYnfLDJ6rcXEe70DqRt73uBXeTOwOZB8du5m4P64XYlveGMuYfVIVoCNWs VeXhQWP4V/FYqrYbG40/jOZsdlhsVogypLcbIgE+H/EnWLFsffTWn7dKBaMvEQ7WyqJajjlkH8yV sJt++qJ1xKnDo3cZDmoyhI13Abc+yKo+FCY0dOBETlA2Z/SHbSULwcAjukrqFi3MpZRqEBT108JB o+mIJZsMUIACFSSAPtQp6D7CDf0A1GyWLxSAZai4Ip7QCA8ubI+I0sRi6YyUp9VTTvUcQQLtL3Z0 8VueQhGehcLB7US5dikhuHgwadb3cfCUN6qtpTAdEeorcBhLFK78aJMO5EmExPRmqdIe6QS2BUU3 D17wTRcsIFOJcWNc70h7qvP4CFvWISeZPPUAel2ovXCER8EngH7netP9/RKsxdwCYsjc4CHl59oE dNEs7ENJmmt47CJq+NTsttCfxc5X/HakK/E35R1GPWtP53n8QbBmOvoc0+UudovWsOO2kHYX9F4J H3B1rkj63bO0qtu2Euk67NabQZAEBaEsD1/ffGVi2Eac9Q7JkQFiPJjNxfSXJON3U9v9RYWB4lQR 8cHcynk0c87z0ym3JjKBzNGvu1GKE2EQba5mtpWRoqmjloQvX1z0NtPaD0+wrjxxK2GRjRTkv9mm Kd+m6Zae/SQrRhxEq9b9GFAf+1N6tLqnOF1R5mc8DneaQA7kowdQVyAs1vvnqigANgNlI4AGTdDO 7kJduAY7bbE9UQ+ro049i0Wp5QjlhmDtVK/+z0D1hhRDprSnZdPpq4ECssordI8nqmV7OXfil6yv mNtrWyoCGvwLte9NVFTf27Jx5PXduFZg7ZfsqrsemfnSobpGIn405tJVCD0ZjmjnwfSva2zrOeax cnB1SQygBDwSPuoPfLh0SkfDkM3eMxoP6dG/hJdS03uDDjdiZi0WpF82UVtSRGHtKa9Hua31LF8b 2Q/7gsyvaF2YciOf/6JpNVfdOlLHSloVqKdKw9xEmxXaToiv6/Yydmp6nIs38S9I3nXSzbPmnZDP AhuAd8CnauX8zzVUrNOxWZ8RCCuiIpPWH4tmo+HwbQnanDtn2PulvuoZGhUmBPPWpSDfK+AZv27p gAzv3UdxWirB7DgubsjsiDrD+8xXt7Uf6CrX+KqdVzbKa128sicQX/QzC1v0f3wrjfZzyQrQJ84e jzNUS33kKBpi5jzdL7f87f5q266ecVy2EOkruYTBeAM4VKWmjsOqMVLjCZCiLeAG2/wX8mrRumkH Ff5RxbgjeJ7ZsVYgYT5Ah2x/jPzRII4x8x+eRKW7/4fqqjxcUq9Hlm6GMZwdmWsg452MZuKIsp/3 VfeG1PgnhAs/iugmeZ0TIMP6uelVq5PlZhkkzGdv0hy5zn48eTCv9/Tbi+U9oh6HI/Z6SonKUsP0 lRVwvbwUdlDiqiYqdPjevKP16WC2ibOBI0h09fOap+4Q7ocCDk1gBGrMDaxUcG1Ol6GllDAe0ote WyPeyidvcFgk1Ft2OHlq5ujXKm1M4K25CKViwkkMNBcTBl13tS0JF+Rfkb4nfpvXGEFxzn/ph/Ll HLBRqLkrKwKvzn689/i6GEajYTbQ+eJWJeAZ1GOhKpuB3rmwj4DbZNUIAc3UIb7cF3CpF3RjPzz5 VXvRsXSmC2yZLPx87MyGOiYF/dJQs30RyDxrzW+5yefKo6dFiwemVGOF0NtMDiw9AUbFyBuG5k4K qhgk8H20UgOrsTyrwCuNVfJ0WGTXdR7X1sTcuKk5OCIxffOivJ0smaYbPX224Me6UZ9ZZ/dRzwJr EHt7acJTDjpx5OkpGWzE0I7k55WpLBcb5BYMglx6wJnHBw1RD4a4trT442Z8ChZ4xg3T0omERchp 85HUJ3ziIaD2pZoJzTB3Fj2jAKOdw8J1YbqXqAMMEuB3fx5Pp/qA0nxLiQKueWxi0FH27MQWQ4ty ZRM91jLKmDtdtbqZiWKRzEYYeWKIbjumfbU81WmFjDWASfcOG6bpogPSSRIBaAGRWUDWznHYlGc7 9nnnufs5JSnCFj35WgPU8NcsOXhGN/hWpHZxDD77YKn5ThbjS0A8B78mBsJLAiBnGS5vXLhitbMK PRhlQMGTmLSey5h6C2giGjSTFgYr8NTjUpPw2OlxSHGuEAYnnh5YAzo+b/KQiPM1Mvcb5vOTPVV0 kUkIgqXxL0dfMiM+kLFuBiQDTjbsqBMmVHWFajFmt5cLCRzydqI9IdBrFlrZB9j9aqfW+xoOxZdY gM60I3vYiFGo+v5GI4tzqq7THgGCO2h6ndLYR8q4ycGAk4DynUeLXX7MDmIoowJ0JSZ6A0sWqqVN ZyikiYDed5BRlR1Htxv8AxPGqSIIq5yvXmXHcKbyF3fVSswjUw+BOur7BRJ1O9SMI2VWPF3U29mO yOXRc2JCIbrj9U0OMpSkxpiHUkgJiDncGOSKsJl4saIdBk7EegMyahNx2UVVoSOGwBR+iehHSqvi DSSQfueeHWMQgnkmbhpRd1jd6ejqIDpfplXtRbveuxvzX++MHRIH2xcwu9tKpgjdHv9uFLt8ND8G 8R5k3XelD+xkI8CgRTSUNa/VfbUj8+JSoZa70+6QCZm0I3/oxz0uq5j2N2nCUyoj+ETW7DbkAPIa cAoGYiIAECvo+bl+phu7tzYZt+QgdLwTPpitdyVGorJssowhmTQtvsQp3yBJtxgvCkMgtquatwFH STGORUHF25RVGktnOODaOQUncc7UIVamN4ocmPe/bE/1J8Mg7s1WJrZmF6EqWu/LZHDO2Rf1jZAF cF3KzmEUtuvV7o+BUufI2JiFr7w9EFFo8ZZgfVQdi5zWAxcFctOcJuZmPm1Qppg3lpvikGJ/EchN KlvVMK+I1codD7UtVBKiEVFnnd8wsXfBzsDzJQMWscPhgip8hrPFlGCAC0+/STWMnYf1lNVg8LGM rw3gj6kUw856JtA/hjbtUZetoZs1xyAuwqiDHE4R79A0bVtP7jbsojKnjeUMVg75XWNtfAnwZEh4 ZY3mtCQglJO8Ys+ke0+S581P7TWBPWmQAmNbQePcjUIMqAZKaK8LM01xth2fwSH6bGyXL60mYHA2 G1EdrXH3SJXowVtqwARPdATvWHqC5OkNXixul+4w+JNKNexDL0F7Mw79fnGjA3PbnDpBjF37ug7g xdMaU8LYptcu7D//kkZq29dWvmDn/Sb4o2uVXuJ92pdLoX8WZL+HHzWp2NgeuxJZQm4sIJykYoYM wa4JgJ8pY4tcBMCW82pnHA31/TDcPp+QAvHyxfnkc8wkkA57GArGZ9sFM7L+3wmPWhq0/6hqhG5V +bZvZDw7n8bHcS517fL6Js4qVgL3vH30zX8xlZ9u0xYKTIW4pScSHph2hKEqoHeBVvayplEBG7gc R2BPNeSeFZt00u9VLUyZOI3CxiWhbVVNj/V7Xzjt5X747hEYOxDGVtolgNTnp28kmeAcxmn+h2kB ww79C6gngSoTSfOJTe8jcS5WUBApAWRbYUI1FnNTEoFyu/5wL4iXtogsU4HHkf++DZux4rIrLFAg bSrBLau1kENID2UcR0KHs0ngrhn1HMqxTTfZb9zcLM17ObTa+GZ7hRRJKMwqv5nKtdzBCgkZ3dSH UoDZK6nQO2nPI8c17sKn3QMG6n3Ix/u5mFqK1cmIuabhQRtuV6rnGAqjhgRGpOGnff3bgGsRaTCn QFBFAl3a/vf4mzUu5yiZUCa+WQRfXSWjTL3FXh8ZV9QEkwCpbrqZVkxktBVYETEDuiRbR/3FM3e6 rM58x8rZSCI82tMHlt5cIQwOGOvCPXQ8fs6Kiv5sNsncsYXJy8LZIXcXg9t3GsEjjlfIzIEdhxzL 2sNb5H7g1aZxFREqFpTUjK9SnadWNpQjiy4qkNwnnrQuSBwKYjDRkdtPn/QwMAFciNz1LvniEUbj 94UfCP0jdkf4tMVtGDU8UDFBTyfZ3fPbF7a2kIkcG+ybaYvGoiC70O68bZCQC4tLzfyhkdjb1Irk wLHdv/0KQnAArlcfXfyTiv9hSnyTwzTpD7DUaXDQH4OihULXqzW7xUcoBi8ioQjIg849WbbtycnT 6xp+vvJJ3lQgy0s+ac9+Q3itEKGB/gVBIiPrlbLczQqozsXs6IyLeCs1s0e/Q1GBwhNJ1ZGzx1Lh vocdr1s0yAObsOldsIQEpJUmAWKs3ytn4ovTAVFbtxlANQLdI4RP5ZTC3zMtuSwdpmYJFsYwug6P yEYnz6Q2trPjUG9DMeRcsWHV+EcbJibmmFkiljsMjhcB7Qz8bqcEL/VbX5WiccaII6+j+clFPZyi njpDCPEQc5h2TXLbhWCVjyZi8Em6EPmO8yO59bbH/S0lnTXSDXdfKuIBraO1jrJWwMsx9c3BOFjq u4+joUVFZ3iEniDWFcjfL5tgHMkWm0LoMTTC1XAH+pIyglFBhbVuDMz5ZHX95as6QnDk7w5Vkd9u au2NcRJ+7wCNTmgVaFImYJ4gPsMoHWCuFfbZR+uwi4FT73k+46zFnrnbz4xM5ebCfneVPc21lDbW 72ZiLO5gqd/tZgDyWjKv3vt94qoyoejRuHh85Gg1ec8F79SJ+6D1ii/djG5pfhYTydJzoIViNSXh IbaUDUKZBTxkhNq7ZTFw4bgiDxNtcmoRuW5rhpdhww0Iug90JXzd09EZ/vmqsl/RSeFIdLAShYQb ayuJhaAg4hOy8U4wAnlsRQ1DhjtR1KGihErASbwNzEQ/7bdikwiM9Kcrm2VWyqHily7RNq5zINbw KZY78KPUEz1NgBI142UU1Ebk8hjuV7H7eAMXfdYYSCw1KOX/hOxh4KwF4eep7rkQ1ViBwrrOG2LM K+z/c+k+TsQsuf7utLLtLbk8IEJV5micgdciw2y+zKbNrPunw3nC2XZPtliHrRRVs/ABoHILm9tk Z1OPvkx2fYz4lr3QKv/Kuda1hzk72kZfjHJiAdvtgUZK85QgqLVvPPwQUz43Hge8A9soj7O70Gl1 lH6JHBylIZHUnYYeDH3oCd/5cHA+JnwC60q6oZ7I63XhMkMyjfU99Rc7+ElKOu5Dejryb4sa9vAL w2qtstAof5hzZVHhepqLnqtZ8sdaFNET5ZLv15wMmtG/LpB4T3A6KrNCQ0vjOHylefmFM4V32zlE PG8KIbHWrPKbTTgOcQFKw4CzIn1rZCH9EOayPYf84qwmkkrT9sQC3wFq1ZCFNRQiqZczulIP2ros fNfWXp4Uy7Dr+bY2wQIP4Sn2q/N4TVaSvUJGYBoRxvES6LQitA8HTGcbH9sR0SoSeMRxJiLzVAJP FsNeFbv85DHaTu/WWJj+l+aUOf5/8VfgVBumCbjwboCuxOBFhLyKGytWrDS/schCE4DKJqGySzrs 1fFSzQu5YRJ0OztN5HZKrfSFDI+lVEnM7BSLqjZR/xliiQmqnRJT+fIzKkjlCdFTBqW/haGTGUtI Wano0cZaDS/UhCZgb0TAVaGlPTWg+A6JqmTQ9hXcCtvWzGSTu19tYKpuKHtBqR8DxTVymGAsY+ax yhiiQSDRyPJJ6tz6wtvXihVJQB97sxtao74hRxhzfRI+zTdLySmCwpj6kfZeKhwcu0HTJlJKpDBG uCmg7M7Vr9xzhjQDq/CDJC1G1uRq0fMdxeU0e5yM5iK9/6ODUcUMGUjlmyZUoQW52KJkDsFP6oKr zHfVDIePY2Sim8u3ZxuObShU/xKcIrICzUyO5AE3PIgqnrPGZYbPkkL0q7F7u9ogj8DljUcd1XnM PnA5fQSlqs7sins2GAhL8Ja0GjAAhq2MyslQlmJlxH/qoRH/VKa+xAs4eKsdYQ0di/Gl5Sgau9s9 wvlGSNs351WTKSTlibXpWL3rC1PBB29IptQy7IsUMxQT5zGEb2jx1OesIvrFJI0uWddiwCv7xYkL jvDBZ/2UEa+eLM/E8L8bBcZ9ZaPhdPvJORSH9L/PIj353QAs02hiwGfh+mw0bxpsWTdDAXd68ViG Lyzv2SI5nEMorRviXBscbgS3JfkgTzLqKhpw80rTvvRikCWQgEJh9B5/gGlapUVN/CXxEENfVkjy +ovPJPgbXjfhU6ioconKQAWrcBVOjTOlynvmI5cV85OPGGK9NxRHzZJHfMg/IyygnPSF4q3fMSXT 0yOutEio54GyLT4M03DR9qUdAVXxEBbQkI5FRSYVFn1T+BrVEndMhk4+aXiFkIdMsgz9N+mpTpnA Q3sFfqCwzaaVPvuD4WNurNnptZOfGNb04xpsiJucpq02IpThbbQthEt55jLMRD0P/R4Alt0O6b/W LUSKDSFJa09Xp1ktKmMFf4kxIaRkjePZqAbJ50lmImWsyfmNUmTEzRDt5RQ5XGFabyL1v/HZyxL4 y8bg11fOs67d1O35B+qvWvWEtFqn5gJwM6vB8FOmxIGWiZgva9KBW7IALD9l3XJzzzi6kY7yVNp8 4AZdOesGpTztq75zUONslIWUjdrXxeqHCjeZBYvawfnJC+8hOpiqLRkjoA3DhmtCjCYDC9uGURiD QsAjF7rKumB5W7X7ijeKRBG+hAY80r7ALjeM2ATcalWBOlDxzELe7rkyZRC1e6a6wI+72ByjPNVZ PsCeA/de5onAipGLbjnxu6QwY5SkoFStzzyQ5obOdb/CVj9exuShC/Q02jvKUUUI7Z4bCST1Hezm pWsWDal30fTU9vOahD4D30jro0NbnG8AGHbNyQrmf4P5hubdneKjkXiQ/Hf6NEyxsBMImBHrD8Pm rUG4/1iL8CnIC83PdSvwAv+FKxal+SNx6hA6AI8nmmxDzge+OIjsenwGAmfRb/oV1Lx6sPlnocEd 8UKkaZINySjUJ5R83sh+GegjP4ulYgQ+GVAUz4IQbeWFybOGXny3d13jEeEBPippy/9QuTjDLzX5 wMkRqscWFsBgEnphWsYeCHaLTL8BououeK6bUEP8WSa694pK9e9NaPrqd4obkm9l/Myz8Dz7D1TU hmAaSAd186evHIvjoJERYngzq8ZBvhX1j8bPu4iMCziz1jGvImmkHLwb66S3TumVrQ+pQ+2Avg2k 5h44rmbVq+I9EOeI2LwE8AqRmPQuRZsYpZgv/ZVKPdU7h/iziF1+6kYbWelvjeRuMyAtQv0Qcvj2 Z4zUjtb2XBYVu+dihqGLTyOse8Doluk02OwDxuLWpVJrq+zsuxpW8bgnZ4vElet4ny9M0YfvSSLl UTNVDtMJFDteNaE4pOS4w6J4S1qBTY4P64VZaSUqKttDpHoI4jUozn8mgbShlISh2KvswfG46MYW HoIhA21TdX6t5XU2I4WdtwcJGsKCuU5aV/+hwxzuv3Uj7328tUPx632rYLETqUT6wP3iRbWCBNdb PYud3BZlpXWBgUhgILGyyBwdPhDS7T59ubJA8KglVKVfdcZ7Ol5I1oN9Pp2yPSIoAijOFqXLVsHF MK9SyVy/Tb9PqSPNNU40L/qGbya5JawcQrZffxuhR8k31JAWMLCnLHGXxIaK4lSLcV7WqpsvI+KZ dfMub1us3Mapxsh1TMQutyzFeWHAOtWfGvTLPKx8GTkbyst60zmtStrXMmjeM+vs1F2jpu6o6vto XpHbJPwna6AVdVjBPXqS14hGi7f30um6hgrhz/Jbpd7hK7zR9faHn1Spa8qBnm6t/6JlImoRvZ7H 5FUnTXWQqtTQIenn/n6jsoSNFC40WW52kYR35HLuN8gSK1te550iUPubV4treNYoAE25BKi2c/YL bNTStY5lOd1tV7cdIg+diULHeM4+krgXoTGSUcJ+8++CdIlZTHqYq+d1UywmT+iZFxOPKci0Flnh n0nrEhHSvPdRK9KHeLWb6glg1Lgjh06m1wwm0EPoWgljtCvipJ93nWgOodw05K6yq7MqOAgA+azm 7evrmso89F9BPmB3uD/xKgXWBedYF/66qrTFuRfCg98UpweBNOvYHbra8zT8g90ZSrXzOamMc28q phzGjW8tVIAlrpJJNR+Vo8addFDE9nsBx9HZ8rxF5x7qtC9X6lRtBQv2xPdkVP/XX+k6XefNsc0z rEfZ8n5WEq1aaifofbYPQxNEqy8grbW6GEXxxxlEwk7QiH0fPe9VNHEG8qeaJJyE3FEfcrq7/WxV AMI0eYhfe/r0kaowDVB3vBi71DdImhYFDY9zSBdwUfc7LkI7+Q3+KrfhCCWYmlGe2aiwDOxcjw9C 8Olu3o14NoWMcyAUv8sfj2ehxKk2V/DQb9fayzdUy4YWPWs4d38iPVUXbfnPBtdpeZJ0s4YVG//8 Xm+klm2NnBu72JpVpJSNFQdMS3hx/ihbva3QKsehzK+6aZwouk7dtasNSh2RqLNDixsW92HnSoGq PdLDCHcDrL7mvt9DfsuZEHyy3DKDw2BgcS8Hu3J3prn8e00EAqRkAqiivhMrTw5u4zKWSqdaXbfG DBXLgd/D2Pe+ylMOtzmAC+ynL/wRvzWiJbNAne9rGbM8l+SvXlUwBJBNis4mhOVyp4oMpRA0jhtc Yk8m3sxfy0Kq4bEkEmIpO3FdUOFxrtvn9K2/ucdbIiBNZBFsmxlpdFh6G+NVDwoWnqjNzqi1Kbo5 t1eE06/LXR5QKI6fCeO2om3FZlxIdrlI0amDBn6+o8cttfF2BTWX5F6fF4NEInczNxaHwXqiREsj T2ydGfMgemQGLVhBIm1H7YOHLl5u1b7FlYSrZoDqpPUk+PY20RKd3kzb7H6UQqLKtaEyss9Fp5o3 zuvMISsJ6bRt7Z9sJjAgUz+PnIzEcVnN+d5RwxlRsP10/sabiSjwJh7W3rfVQMJ0A6I7JERoKt07 k8cD3EMoVHhtcKcmQBxmyXBtcaO6YkduBzXQFDiEulvD7dDi6bACte5XJ74ctRPbWeCTwFnMYskr wvcbzxDvD3Gf9/zs4QZzSIy4sKWPiBOUSYm9eekSfjrECBMtYM25BkFNslbVjTciqdPguMlBK7+Z ZI/kiRGOVuT86bNw1q8eH3n5HBb7MEWmKhbq22RKcNvo7OaSQmLM89j5vgQiU9bPfv1TsFlyuSJX nSi12klZq6MdQdPo3THMQa3tVot3fKijVtVpDJf9YVqwFZ8Era5/krknB0Bsavq4W/Uw6a3w9+9E Bo/fLRz8nzAjBBDcfUF2WHLkfReOKPtvJgxva3lnVLZij8AKTfUocluEJs9pBrwxoZxR+oqAVQIw L2ei3GzNc6w4EUUzhpAYYf6eiNBZ1nu7OF1WEli84qXP1Hkq2d50hb2Tit7c8WEBOs38rMZb3kum kV7hVrpBxKUNR7noGG7Z5/08iNeMrTLXiV3q6qVpfYxAdUY2zdftdDp9zpQM0HNgkVsYb/1VTkSW qhoRpzziajOAFGhboeE5JHZ8Iu6I6hJ9uQa1IDn4l0a9fr0V+lbU5vY25NTLALUA1PtQnHtzHNnz skhOcfw0PM4SeRzdBepYkVWXV+VgtFIHUCu9hynn60vGJzdnXw0RZNaA1qB4NW8k2o82H+4CZZj/ RlXQPEEbDGsY2/MLgQwY0ohtYgjzAy+Y/xbBaDWygRaMW87PSNv2phr0Jb5S6djNeUlEABKa7Ad4 tWOI2UaE1YJXCCIniTSzgMAd2rsF/iwOfzWvb8VaAWCRx65LtLj7tXWhUcKBAeDt5tIDF7An78zZ uJQlZ+RlKrpVV+WOi+zXv8jiA37I+m0OVXS1HGW3EHiAbPhx5CGR/ZHkMYVucHQjVnMVQ0Gy89TA L4kfEMvH26zfieEnApAnv/OM+XIR2vuPLp7PJSoKZ7i+6MrKWAy/z/CHWf5Zb+yxibM2ejsuQY0d V2JZgtTgnmePuyTKGrks2QvB1vaWdR0qXCu94y/pSsJeIMYAiP/Ep6PnCUEKFdqKzRQQDnQ+HAAa jb+92Vmw8705Su0erDk5AFKkfFZBWX78LSmkUtCAdQDqOfFOqEsRtpPJQGukbc1Y2FtKyZOFp6ON RcxG0Td4wdOpbh0y154KPPRCB5aShJxEeSGJ7woWIEQmPgytkAsIHEBB9+o0w5wUfFebz/Ux8BCt ahDHETRwkKCY+o2Cx/CbuqhVJSrBbzvF3SRDS2XTYggERLmHO6xsItwBsz7iirxW1rqyhzrK2twV 4fgp7nntJIVHxdpYe4++vXxe4/p1rWm02uC+zOahlfgpwCsHfjakClN+IsZbQaZlBYLaksTbC6nW dBRHV2ntvhtk+doaeUtj0rvcIhTSyj1+ZJjheyimD2nujS8Th7uKH2ag/iot+CTvIx/5MRW7luid irmRdF7gOj8SHcUi4uAw0Wq4tqi3ojV2O9K+bNvaeQxoxLiHUZDw+XACrSHmsdq9SvnxyF78puOH f5KMPyE/6C0rlvsMxg+teBeN2F4KqVFcrAnt6/i1uBerk+uxMVSBQFYyVNZDUMfljkQk9m0XOGC2 Es+wcWJdoqnBhYjq5DxwzhiQNtHg4AxXw4jmbJmkQRi6IzTszMZql2mKeMPmQrexIL17C3U6ISnL H50+U+gd93yYNeAXwbrWJzc8ImH/tMUSlA+Pc4exuX51XyZHOtwfS1bKaN0kT6N5CZvqWwklWlZO 9+djfbRDwmtReYwLgSGMQG5wgqi7wa+4FXuAiDp0Hjw+v+Lqk+sjwwxcCiHRot0G/PhDPVFSPa3Y wrLz8u8cj29cgiyJHBstjwBg1/4wXTM8PcMICNs1gCnseTfEy0uOlFm7ByMHk1nJ+noTen+TzST3 X++4djDlBUIBcJAqEHuUzkNOIdI+16ahW/ZtbvzZ7zDIhM2yAQ6iPUj29kRF+aFr+Etf6MtVHWbQ LrW4854khJisN8gGwPLrkGl/qJhq0BWVQhybxrONr2WcyW275dCfWBI299z5795dWTvR+WN1mb+t JfRPWRxZYmUSdUmqgTVbY2XHnQzrrTL2d0/46y9FhU1nW8ISeqhes5OidCC/aXcYDupkK+xYLhQA yULryv6wCGNBaJm51lUkVeHSzVJkvSAeSZ5TcJClnJc4mMw2seBaj+WeYqjiZiqlJEjp4F0z20jH X4OH2x5ngQXf8jNU7LRKKeYqqqzEtMRC+1A9LFX66zs64DtfnRJd0hf91z7g9aQAqd5J/W+bi1ie Vpx9qgVqTD4rJBHqHL6yjLdR1hqAidtoad3UedqtjQ0f7AUvzOhjbYMplL0Q0/pHxF5d4kUJAQK2 1AmPmy44bLz4H7F9gLxV6iXLHjXseMeyZqAPK0hLQo0SWWpVgKDDcl3nyfzACAbHgBcKm/LQ7HLK z6OJrVeI8eTI5S1MGhqvL6P0vdSu+dU3abWqzEglMUwh1CoIxmMCE/+/XBReBy9UTd2oxXzi8PhT BTVIIfPfN7shdBwuEUOH774PGK6k2h2w375Hr6IyUZpRzYrQzxt8Hiz/i6iXLc9egbr93jxdhaoL bTa+s30g7JSm+uBHJ2BiP1YhZlbAw+y5/vbUYBvxset1iPYG466zkwmRYI3AgqKH+SbNr9Uj06Fp V85YfoxZh5VcLrkdkRXRa0z3H+o4yEOSh0ebZr2QUiZELCRH/EAUgQ06RCpqrwKm9f1/hUee3fxu emOXwSPUf9ay49krfAfPEDPO0U9l2h4S7WQ+WNEgAkNfOyfUB8wslGrRsEPL5s6chR3B3cKOG6po atiyOL6Nky2xy/5KWQazvMFyi12DMGOse8Zlu18yYtq3BLRXlGi8Eh8hECDYBVmaKTWWHU6BKHSp dw96I/MLrlVoCRPQEj53WK+uvQUbJkpsnmudjKyr5CBzcmPJArljo+ygN/YPXe09+zKXWDcC9TUd F+Q69x/zfxBY+GHmo3dGItlMeFfRzriGb8WSBiUuwjKX2mt/fX82Go7/BOcJ/GpMa/MRKvRuF0QE z8qZ3KYlCKLcgHHMa31JgTZY7gG4XmEgWXumcV97M6i7HzyDHZoVzYxUvmO1SKZmvK8Q1vYejtoM SML+PPbqdapEpY0lgQ5JqxNiaykjfgVj20fXcAQGE8NgnfG+iB1ADwXwyaqEVwtbMle0BlNqX3w3 dpyCfa4OlhcsPeZM2oJJEe+p6q9g4I+IsFo8d2rRerHhgdGG6SENQK8xMni62Wlhr2Wem9rGPgic mlAbpo6/iKLI2xy1aJ5x+kF0gWMPxH2fggGx2yJbhzxvk6t/lboGOMFVNsprN3d8puwWFtRi1OEh kEiCKbhIghaaQMKH1qj/ZIMEZSzoLYz+X6YBXbHZkXwuLzD3yMXym/JQQ6fMOBOBSjweebD4cKZR XjiMZPyzC/TSiLoLsnWDh4TM5bUyYTBOMBRbAPMu1knkAEKndWlO/ztLPk0rj9DK6ElVGfJXJX9O B93tNoI0dPPsYGKlKZUXDHr5b0m+Y1J408bpRp42xjTAChDB3uXsyUwKIxuljbayC983jy1Ts0ho 1RGRViVx2ocae/Q20sfY3SPF7eGd5q8rGASPmeMe2iRPtLajPv9Ab8sgYo7EraYf7UV9+EEt1nM3 uggWwaUuB797A/aYc2F+j1PJ7+Lgia5mYiCSmD4Vah3bFaUlXG9xJNTQq217OcMEXXQpDZq5GqLH GZz/GGZxckR+rkoXmXI4rPPv9vQz2bxIp0v3o8wmz6iICqC8W6XJWqEinmf3m81VJM1Eke/ZiVo3 pCHYraAF9qbx4txcX3QtxDy4fbf/S+KwMRdbcCj+aNFs1UdLq50daWPiTidKD/lqeF10/1AUpN3A HBCCYUHVMKX0UiQWO75ogFu4tsoDIp/2Pf4lF75QY8rcDmX7EhFBtnE73J/iXXCwQNdDJcoIDXwe hKWXsezsnbeMp0u5GN+vj6ODgjbsTRHi1XFozcLKJjVyPqpFaFOENOHVyeiwJU2bDN4tsJONwpyg nmFALRACju07YHZ/Y6yaSAD9Wotz2G64cGyXJNrLAcNedpTDczLEk9ZUoDBOaM3n6hVT5SJqG5Hl MNzxXrDucZhc7S6NpXaxesh/fv4o14eYMzJtfDTbrZVM1kGixGqS3BtGyxSMCvhQokdRXowB/D1/ /Ujt0ITV8t1KUmHV0bR0/4q2PNv3aKqo+wWZSWbEnCKO/7nerUXlcgTiOl0QatCP25W0CPmPx+Eo H4vQJsGPNSyo+2Ywr+0kOIkzVEOBeUQ+gik/ORuY8mtL01V758WW6chrUQ0pk9RyAI0H8R1EeUm4 JxO8rBS2UFNVOjm/gNHL5FShr/q7jdTaSI7uQoMsUqz78C6w71NdtMUnf9SV8tKywABtYWk5MS8c I9EA6u8hQ1CFIXYs3L3bYkg9V+jc+9iWVIWgCKE3gixZ3HmTAQTnFKTGjaCYI6FFR5Z7U9n/62Px XCnb0/FiAnBHFNnv6xb1YI2Zjb+tIEaVpl4R+oRlfgKVQJqR2ynHjmbY6FfQU4jCPaeoM8F/CdHQ mJFadjYknQ/Xi6Q1dDJhanp3UvKWkUVASHDzt0lpG0WVGc0cFVl7/YN0x7keVoAHH1pDaTOUQeeV 85daG34VXODGYDvobGEaW2avo38+tRUNrR1njylvokcuFGENl4Sq3MEksK9zSO+WeLfEPXtRMrU7 Fxp9L297c8mM5J99cGxmih+e81tSZpK5BFeHCDwWmAFHtDnYr+EWr+v5QmZoErcKky3/yQTPCRfg kBrxmTNNfUOS1HItzU0UkNNCavAYoxf1HHbby2EJAk2QiXVBEc4Obzth0sJOcBtD+d5GiUXurrQ9 XoIWnuM/SetAJ9PEiGYQHZ+LDNurlV/83e9eEnT/LzHWUqLagUZUyj+/p81vKa34NvQnr0A4EvEj gopqJ5ZBSoeVyBBZ3mslQrr/RcLzHqPrbQfVCAEJDu+jWV0wyhS3IS6Gp+91IvIujFCDycpGKYUO vUMc/Id8uH3wFYt4xYvW7fxT0w34P9w/STwIymujvedfM4Zv3xVBLa0BcxOmGuZhCG4Ye9wybkMJ BEHCCq4QjzTYSUGMRalViXcr85rgNXfLDIjPw4wbR/ihUSVM5Mw6vJOtAmZCGXrXGLon8vCaKT/K oyR3YQ9zjVY0gGea44Y1UIbd6bJkajtJPFHIbhfS/RfHG/FS21e5p+shQrj6ajbeYKQeJ3yMHl3v zNqeXFmPRcXkyAPJxmBxW0OWccVX2HvikKdXGcEaAcXPleldXfaLF21IvFctnGFSmVYCRB7F4gSE 77uSJMZGr903e7fjfGF7Gaji20d9brm/Bbs2qKELB0EAmC9ziSI5QL7vr0CwaXe81BQshxVzcDiO NW8CJurNY0NlDXRZUJtHaZVUsmgYblwFbK9Ey5hSiFR9YLELd4vI4P+bsF4wxRU8voZMVB5Mey+T lcIir+yvk5AVtdehWIUA+/jg7yjC8Iuj59CXMjvV1xy7p4tS9lf9aBBroMCDH/9akmYzYS4EHs0r OpI2/4/5Lk1/NnXfj3vrTXPkv5ImUDvk6a6j30QWX5/N5SnbZx8afVZ6SX03NiRHO2IBeggQGeoW glq3FqdgZhnhEatI0f9DqgMKjWz5w+K1kES6ke/S9TEHlJapR3GFgWMHZpfZF5yG3nNM2f9ac/jg KoXtw5hYhW2K6AKjiRQkb76EYniCBvGDclTVNxSJkiv+CVRXUxgA0Hdiqa7ffuoDJk8PBN+Bpflm wS7VKXIqObLdldZrVSPPYuAEkRIudWGDhAT2G/lhmN16w4hcPUMB0Oe/tBiXQZi8VTnoxQ0/1cmT vUDwO86wcgP4B7wry+ioDhq6FjQ9xE0ReAPsrVeW2rd54yTmdgSxxTVFWju8w4xjDVubqmG6O9n4 RoMOlwGBUWg4EIjVoPwtMXuP6ee9+koNHlXhYo5+KDiFu+FdEALl8DZZuJnk2QDkHsPJ30299jfy kwFLA+VZBEhpBs9LB7rLvs/OAYOqEWkIDDL/wP3guj5kVRJSMSPSAOcJhKNAU0Gfm8mx96Jidi2Q Z9JCroTrI7tMzYngqcmbk/fP7QBrxDj5xxM1wVBaexHzP8hFHPCDAdaoQ4NRXTh3DTqyBuRR3O8G ZlbV3wTAXRBFbi+vtR3K/oaHTRTivJkJkpALXQ27w5EJ/1fKpy8IODvUYiKGS80Pjx/LmBdOY9w+ ti3vr4WF6//TeiJmLU5kQFgQq9gRRzUvQYRqANsgwniGRSCDHDREp4J+/cb49SdtejuPWGM0Snmv P51SrPt2eH+ecSkqbJIkuYru2xbnnBzf0Kzt0yIiPV9m8823OmmPo9FVkH5bXmKG5I52J4UzoZO7 j7g60VwlO1kx7Rb+UUQaJyUyRg0OhTjEUuUdnBwGvDsyivGrnELt7ZzYQ/WV/ZnN5VWJXe5Hdn9u hFKjrfrC3nwpzKa63oCYYWpPS2CsT/q4uSC0Rdc5UxvBE1BBxGi5XiFntUowDaba9erGm+6Aoxed wmL2gSDHVLQoY+9LZ/QF227NXgXOn0ELOMYOhhxUi6zbAfoI5pC1XtTSp31FJjwzIpSXDQWwUxvd nYBEL49fb0kl6aHGF3JkryjbJh7Rt5p5EO+dVl96UpESOi7WX75JCnN48krcTUNBnleaR+IddVOz olSD4kwhiswIf6r9cevbF/80ycMpuqxoeS0zuaE8Jfj1oSeKLaccljJY/wBkqGgTiL7wbl71gI7S qDA26i9hms2E9uHuMLcaFdQW+tINMc04XqarJoGBctneBx7m3cD5Nnw0Bh9sOaAIC2uopBrVSA9Y cNHAezK53bsQagKD2HIQmOpdwdp5JIzxlmTrltrnDGeH5l7iEMdO041wf3FjWnqBr4MtJdJptrRV lepGecnqZgTkQPCCgWodXTQZVZKL+wokgVTme2qkjBpJn0yXikyJT9ateEduy5ahoB/AUCrLPsJH mREiPKt/kmLwbsUf9q6yvXIVHxOUTfGve4q4lnLA9NThzzbakzfkwYKWRZ4fgvDrJzdjBhP2bYCz aVYKSUxpcOgL1GVz1frJ3hbyRSlGrTi+5OUF7Owc587Z4atC5m9cAim/WUArAePTO17Ic4Nr3K4n 2ifs2zxsoRmcKu0b79lrMPOU6DJgUfFDL/AxYerggOpPaDUvm5UT2O85E2bHsXRWXGBH3ltvc9mA 84fQJ2IheAXAgWUDk7AOTDHhL+vcWEO0XEuPc4rX02zNUiPrI74UB23mKdw74DLdqr2EmmaDrOQz Qi0vkmdWMnPCKTnmaNf5N6QZUpvy5hKavJDygmJUNpgiVPjJFR57/e05Re0GOEqncwjCG2/VJH7n Rkq1/qcFV5IA0/EVs/g8f0A2DXheE0bvz1Wc7jY9s+L+Kmnfi2K2bTHycoFFQwy1NB6COZsPMNGU sGXFDfrhB9dBVmx44V5dfp4cbIsMyUGZvLo/NrP1WGCkKRqlVVpVPRiRnjFuwMaMMw1CpemHxQMA g4v8d3L04mSpaxoHylMvcBFdwlzc7vbRuWUwBoSht4CydzlKJ2VNTRN6cYlkcDWCSBPPjqzAc9YR DnspOcZOcnZWDTsUYnd9p3zv7riUTmOXyp69YS4KIXUa8ZkB7YooYERavzKnnQWwKzPrl/zJped+ di8IjMgTUuC3grjKTSjXKxPDeXXiI+tpRtOQ/KtuDsf1qpa/T46SbFSLn5HauHmkmRqG0E/r91b6 htHuABOVmS3ybs4IsDNc0cGuKcFKhSDJ9u0VUi5riUhCPLRsDBxyIZ5f4zVzzyukWXNoovSL0Dnu Aekz4F86LyP4STpbKZc3CBtlGy60y1fJVLUoTkcY/jtyfFyYxioipvxJhr12f5t/Wl3j8hC1vLGO yr/wlJqZ9WzusmmVoYc2flYRJVQzCUaewSZmpqf4traoL/I83M1X/eFFRbrOWkeZEoOYhMng6eg8 M5zTkBdvFL1HdT82WaZG2ssMRHwdYLtowhJ6TSGn7ZWrQ08k1c/oEYWJ46rkGWcxGE2mOdhDLGdF zGcusyp/DrsO1FFwW/c8IZMt6YgSCYC/s50KVASxQGS7FfucLgiii2zC4l7pv38b4MTIx6DIQkjx nP0ENitfBsX80+2SbqeOQ4WuqteTwzf+l0y0sfQIkEir6C0iBjeUThrUn8ZDA+Z3bGy7fy9sgVEX xxj0c+iB9rZNfUz8/r7GqEpGAbHPUBpEFFMaQ8XINXkSqgoYLuEpj6nq7b5goH7fSCkKxfMWp6pg D44TOMxO2pdPwHfVZyAAwCtgTNxLiv9BAoiU4Pqfp2MVxXBXAfMObIju/JD0JU3LRdQSMEUM9368 pvnuEkEkl2woAHZ34mXvh1JvrBP3kQA+9H/tP1RfP4moVLnR4cgNbtR2OKsHBuT3prHQkHVOHQhy jqpUDjHg2ekmFMTCulngsxZaZEqdtutQS7k7duY8QNHR7bvtFShXu3fcA5JE390ihWwTrmtcSWiU rJ9YeDWism+avE9bd3CvS8M8LlTdRfo1sDbFvdkGbOS9vG+qKHh8vicq8uYKGVuxxi7WkhQC5Hl7 jt7BEaIwe7aNpjWtQRfTU6VrHbETZ2EszaTLYfYp1C0R4rPTFD5cuA7BgHYjS4Lo3PkCKJlWYz8X szOIm0XZ3M4l/c+LudjSv09DivBTYwOvY8i1BCS0g/97I+NPpySuWrN3aNk9MH82RaIF8KbC9w+M Q8cATjpz8SZFV8LYOX9bsr8eVpYFXGqfpbfKo4AGnQDirEWez/jFr+r9r8W+Pbobi2uxTVwDGn5G wK9MviMybK02LbLUELB/IH15unCB/M3dsAaaaIRhIStg3nm9IqfPHIbeUVnPRrF2vBlrv0Fyhrwb p+yhv/ZqijZip9sI9dbdnZELEZOi7D5zeTljDmy99PziNvPh5U4W5oPH9tBKP0dfdMhZAWcaNEVt Crl7HqTQjw8I5PW89kKa6FMVRlk3vQhEHxQO7BndqWnnrNv6XTMcm0TGxbiRMNZc8a6agJJ23kzt 6A9Qgbzc+iTm58uWpH4q2peQmKYzxz7GyRuz6iycpU1l9o/wRXOIjUcu7FYlv53r0yGRhH2bMXRJ /+va704T8pJWGu+lYImbrWsfqyJUvWozYx7aQWZQoKEILtYDz1/zf4iIinkxevNaW0WlTkvLVMDx gZi8ZUte7gworKC/mW05nAk1eT27NagmYIswOTRUGeDSv+WlgjpmjKF57+du2kL3TXLthbHrVEPz XWmdkzl2A0GX8fAf5gSkmrx0SrYIk2tcJV+C/5N6RJGo9TLrzrYV90OJgiq527bs7D1XPb6fbd/o Qwd71D1LKAGV9GlsVl12W/xrAAFnjvf68ZrSdoevekXZS5aYJjb/t6vCrTWkAF5CpFouYstDGWfu Bdi0TPlYfGkFRMySoytaIjOlloBwXg0KnorZEUB9Z8lMVbt9N6saFKvgQE1rgF/bgriIayS87z7d taSugXm74keXC8WU3MiTHQ2qvWA5bApY7lAMu2nFYoS9VTDyhrDKj5x4WkWUqRqgJYRajnHHoCHV DzTkBpfUCamSRe8hgEW0tZ80KZXQD8oXwePrb0bYsdN6dVY57IeTXTW5qoOCB0diGMaXcE9wQSR0 L2FE5Iq7Hv1z1+2pljYcOYGVxgGippxQUO+E4gFTu3ezqiDU0pltvjJ+v44LRqrinmpoe5AHeiBU EC8kfa4UBjvbkYj1pqD0U0V772w8fXAtRC5iNoex+EyEQYTF2lhWNman3feW7e/HwcDoFgKjulZU bLO5bID0vCRMihth5X7H0m5yJqn1pdSsdG/kPulEy2dVdyqdmM6xbc0uCt6AWsmquhJJpjbVG8fW mT9/Kx1+J7u7W4Z9eajL4iGpgeHgJ//p3oJSzvEeRO9KFIuxt2FMjYah4FpeVA2QQoiDGWwqHe8b GSxAPkFX+Z9hSsV1D4b67TAIUR9lkfJpS09PETM4mdFMzx/hmU+wXNbJm5R+9wo2l+FJhWCQibgr RVe2oBlXfH9WsIiYLNoYM2fL3HpLcZzETmsi6dpuNum1X8R9/UavKFV2g6nie2IZNw6loxOumipf By8I46tLL0aUNqjqLpqAy1hL+offCseMYaV2WJOA1Ovmpael/CQCL53aY6tWxiJVSMUORIL/hA2B ni1uzH3zqTVUM8cElKGopxN41ZYPoi3gEd3Bd/erDs3GQHsEmsZpRuWzpQ0YG6j6yEst4tF7vjOV vDbArpErYBw4oOEZYuzBlfSVVCVF12DdxlujKeBBG98YDyaZJd8HCD0bwNYyzYh8G1WhbZlzmw6/ ZTG+CfaL9STKjUTmk2Im8L13yFqnqhewTYRLlNYGNt6yRGG5ockw6in4DIf5t7f2D1lH0YISxK9H 7DUv1TnMOSZXH4rb+78kACFGlNp2IvUY5LxNH2pB/HXg69xBGF6JTwRwDnBbsKVAmALs/i/v3YQY Ym97ptMROXUyq+mkgczSCetp8eon0AufAlgWXiApPQlm/d1QVxyL/AlTA4gcFyqefY2KTodDOaqU TaH4I+nDbSqZ6fNusVOANeeCceKVdLOHNCDMRv5RetIhJnP4V2/olOU8ZLhy8UU52vLOa7Jq5j4F qfrRPxhVO2Uw1Ak2ALzYQ6t2MgGAdVLyTBHPO4hG+HFIXani7fCyjNGr8QIfglMXtlIXaTnNBVj/ +o1xGeli+e4nh3c/QbvNndRq4K91CCjR/GP/QkOLvTKLlH9KeOYbn8Ca9WMYHL+8lL/b8sCDkofA 9YC6ahvf9+EABNApu82N4/XHw0CUp8bWNFeYISDHbsCROPLZYCj1b7yfM6SnIBA5GlRN6iQP0v6l AssVi9fzlByp6sHXfFVyHCHoSbzLlnWMPg8xz9+2UdA1UexlN/ePgVdU2qtFltU9O/7nYR5V5Rpb 1pHK5K/FC2+aNf/X+mhEayJ6b6S1jhR02Dmkv+Jrzx5Jw4jE7Qc2AOd//9adGUp31QXucK8En97P qn+J4vKpcHynypDSd78XHSP7PsokEGgoWRmrIqTEi0bNCE1DtRkbTDFBY6QlX8o8JjGN8FP4Rm19 pS4SHDkCO1uF9njjK+RIhCTn78vw30r0+H332LZTHdPb5aToAH6eMHk2wYQu2p9fNlZJI9Qvcjk5 ndJiXLXieZ9WJ3ijWA9maN96Fi95fINwkAcQciY5bsm+l1/gpkJpBBF7TWmuyvh5dqkmhaCE6bOJ uaN+e7T4NMU8dQlm3Gxebbkohg2Jl6N8KERb8B5LqKbI329fW6dIVokfJg6FN0KX3zK5kOc5mBP7 Bvb9LnhXDW0L35RUxEEegClNh52usfLiHGdTOI9xWfLCWv1aIaxOYo/bEtC9VDMhnNk/OQg7Sgo9 uDzabpWuN/+nqQ4pHmti95mi739HXlW+MAAfCb1NgxJIDqhkPwVkZ+f/7/N3TEYY+PPreiJ1CSQq i5vRcHa44sR+zcjEZLaZtcd+3aJbnG+IYufJ4MV9uuVOsFPVHAkvD3p+w1ruSaFyJ7KyTAOb43E4 gmszYbt2Dsk4deAdqitE4UWU+Z3gNO2sWpV4S481NLL3Vp5J6CHG+IvfH72xIlCbnzCXN2/KLc9m kW0hLKHWvtUHUOP4sI9dUSTs3AE1NCoI6YO6WGww20hlwp/jXNy6aIUZF0QmblBCZHTMslj38Rpb OvmSIWRUeMx+jExQ+y5pMs84V5BobxqaP1FzejBevFCp/fL5hrs/exMQBB0XtO1YFUZ2yqxfm/li gn7WsDoclfXQjt4694jxTSa7coC0zdZsLgdwlYJKz/ODtkX6xX1LoUBNsi8rkPdrrNX6VooyJsX8 gsGDiOlcrVM3mTRVdQpTxhLhDlhKerZWdVUcIgy/4Qq5W5yZNkAG2L11yKPMmALzS2qrITAIHgYi zEVGCJsyecj8aBcoq1hrFu9y5peNtCTQP0c5Xpy47zkhPELpJ3QSkc7IFqPkD0986QSxF0omFZ6/ Tar/7XCDsHNz1A0xLOSVmR08C2INnr3NWosSCyM378MjPZ5Du0cHT1/566d0v9N7oKSrKBmry/Kn oamjsWiPmJWylz/gj7e+fFRsa9p13rGt26xdLRjhveEc+SR98Fw0TvQ5vJoadc6tqtm4pK+HyaV3 J13seyn+4ozVHcUc2inve5jCGlgnSzl1OUsguCZu/1vOGUS00IVSX8wLofN14LWHJyljWlHtBlGz W8Mmo93y3LCZlE892SBkJlg0tnEzPZ2K0U7pNjpSe3XCNLz5JYLqH4ULtgRawaMlPNo+lS+I+JjD 6xWuMyda6C15YQgWib8RSjY5D44Q5aeWEJl47HEhHozr3wHAeLBndC7FZ6jFE0+f1vtoMEk/1UmB NJ8kdpUR5nKshRZ40bfda/jMvrX5dZp7YSeGVeaaB+uH+fNP/c95yvks1Cer/ePys035lHt921JY 4o2LOwkoxAUfzFsNYrqwQnd+75AvHYFK0gGhRSs+kEMbjvip3ptKN6ARASWDCMkTyuFxIjr4Pq4t S8wCJIURQ3DUhhROrTxVK6FBd2h+K5Wl2NSpWNMQep0J1I5XOi4GJ1rK3+UPuzVX/gShcdstYDQJ Zzx3O5r7yQJh3L9EXyrtcXob921BuHAJO0EaXsPnxeLEd+HqTO1bGB9bCQ4/Tn2iMm+aHsCn/Y6d GfS9M3npDuRK9HAF5KJJEEfKGx9Mp3uyhPxLtmr/K5zo9HzGTsdlEWgukKRJt2Wxorv5CCtvf6rh efzs9kCzOtx1EuISuQLArDTdCbizCbbOC4HL/OasM0a729IrwjC/hqMQaOnNDPiLmRVZhZTKOyui 72VQjdRS+AnKAvnY0GweFdd4vp8V7hKLojz2tSC9KBHzInRfsIM4OFKnttrZnXJnK3O3D6zfY2Ux UwymTcKXsFsW58iTQWXnCC9Bs6kecnH4FkrwfS4CVLm/Ffw/XAaUmsw4Nths8Urv5fTXVGrRVMZ/ tEdkcoGxEIDF8+kW921ZQCa4gOtJSQwWCaNSwiNHNDmviGIKRnRbtLrWFRnO1Otl/rDqPSW4OdA1 ilyCZfiAZ/Af4t1qGwCIIsc5XUwuCyiP/d9qqrvZ+FN4lM/OW8VJRwo+lMWII+SXy5PNdf5oGRY7 YZEvyVzhc1CmY/5K2ARImuCNCpG/OX7Jw6TpT8T94G5XhmCbj3QtgBYx1A7gRlUjBlvdTH5ma4W3 ixAWoaJdtmkQYlmNdSe96yYkIFk3yBsi0FFoH+/QaqV3aj6QUEue56N4iyCg1mrCrmlHk+L2+lmS bh8WoXE9Wjwra8PIkuTBHfnYJIoxJhdXg02wOMlBfgv4S4gN5Bj1t500vZ0nAe9fF/k4gCVIbCd0 XdmcAVyV43JBGdRWUlWB+nbGOXaScf7a/9jEW/YChbP/qitfJr4iHlsENPJj3WfgGq6JhmvJNcO+ vLh5tvPipekNcANIQGDerAx/FT9ynQaKhTAc0IqMrfyOO/0nUmmsGZIjY/c68bcDeuVJ8iumZtcK 3GkrfS2womFU92LZ/JRvUlz0pM9IYUhO6b5HF0qIVKKh3kIpe7ytTUHqh3DgZGLFJLh9j2OviSUJ 5+FyC0HGdIqqkJgc/hMue7MVH4ygyKxIyMwWBmqn+6QG04uwb0iBnY/7Xg/SAQZiuyOtslAtS3gb jh9mbgSqoHVNqBoDwwGzt/AhlpxXCX8QXPHcZbec98Hnert+i0EXJNJYXSDwSFMGRdNN32ZlpQ2D br4vAiGc1o53gHTwHMPMWpkxiYqZoO3PziGuBiVaP/Kfz2SVFpJCaNt+6WmzQC2irTjp/rO42qRe Ekamm44P4yJNfzp35UWYe8+ra2QRO4k//68iTgSKGjXlczge6+M6o6PIwYBFBGZ+L+ps6E6dnwcm Lz4CuNX26aFgLyhC5Jag/Fbv3XanrF1TWZ5wjbEt/ROEk5khL/ys4yj8tzGbSJASNeraZju4qnSH KduqCpLkzh0uxDuQ4aO1BMAezyvlJd1fM3TSA3gF76cb5DUCmEvP/YspfynIuTjUNJHBVyKvkiue L7ZSKdBIJn+BDXDB6Js73kseAMinTT972zo6QCSL8y2ZisJZO9OlJ2C2Q2O1W/PKlnwTJWvLDt99 nDVpavVU89wjY2CvHeyic9IszvRXp8hCt2EOk+r0dGDhO8pzXiKvwGusn5Y+PVh6bk0k0Ogo95Hd CsSWEbuA3UCD5oxSJn+C1+WoHHb6b2QC84q66lwHlk3QzAqswudF9CO38em0BNCoCXmtSnIEYI/7 PVzQtmwbrA7X5DVeVdvgEgZTxZLIZ945TIJ6lFitzWUFCWE9PRM/AWakXIc5aWwtaZZBJB2/nSNJ cyIlZ5Zxz03nfs1QJdqhW53UZqaI6ydh6IqQSaIU7JjBWCe1ZV3KF8wbf2OZnbGIuD/6iAojkiPY 0H4oiW2HJRAg/iNntvN9KzpGiIZrh2JO45+TVyeWQOlQQu5IS8knZ3Q2vWpB7dHzFmEC8bAL/wx3 pWs5OS8XD7AGiutCyIiRM6ExQPSHtlTu3WaGehhp5VCE2XEcIn2g8Cuwz/+PwoEqBbGnbWxEU2tq fkRyjwmdUS0SgDQ+movAtFky0sV24ia7FPwXKZzptS7gVeehuP0R+RsaqpiehgaKSafZkpwgRkwZ WfXBkE2Du8VTaghAfYKJFNjig/LfSV3zpGi1CjSA6Ge2j4jP0BIdsF2X3CtTBaRkMhd63F91S8Qt gLJlnjGi6q89J2ysI1zfCrIcw0/m8YusFv+Lj7KmDN7WgS1yu+t44iQO8toPjEsOi4y9W/M+xrs+ dvMi2lENUSwOQJ9ixaFXSBBMeJ6Wh8+GjAYKs6iFdlnHDoX+zoGweq1EcVp1mm+qEWvL+bBta7VC YMXJH8n4iOi3+8j8gDaqct9pxESZRe5j0hEVrvw0UtOAj1oS21HcMlckRKkH9iaSdTVkoE5TiXV9 4Zne83ORZ85OZhqufxKBADndN0qQ58Fm3lHQMiCDG1SOQHOgY1I0nc3+ZIqWIs5NibEYnPI5rB2E W7mi2/cQiJATTusTygNSE0TD63fKGrEqYLM8vDkg6Vqubc4iDYltjxBJ17brMS4ZgwL2EHlW/4+g CfYTMWDRqt9lpfRDR3xDlLcQZKRoZNqdN+7el1MeoskptXYoAecPFv16bR5MS7WTICrEZyGTpb8u Du4d+BqrTzLOk9UIGLqPkiGECHkMO2oxaU7c8PUyT+06Rut3RlX0wroCxO2+3X706sPS6zh3fYuo DNnp5PhDOy9pKXCCrYCWiLbLNTzwC3NVbOx/3quwJHXJxug+y+IeHI547SY/H3v0WA4gtjMQ1VQA 1i16C0RHuk+Zy3Jd0sC/hnctWve43jIdo70oGaQCAPacO4Zpi2dpGxetXz6XsVV5gMWaATbdq7/S gq+c/Q32cHWHo4SC2hMqq807nuJeu44D+6Nix2jS+mI9OntR4+K6gXZDAf0uUy5vcSNynaIXOGOf R8wDn+zGRkwss+lN65uqSf2tbBUeRUKUh9+Ywn9KCdT4sNOR0UImL6YkFDOpLZfDVnK8oxMVrCpr y4SgN01648WY+v64bqcBbjrTJYIy+pAGXMmo9fpa32qtE3sM+rB++PyQZ1lthjYex0JmUmJwFFFV Z83Yrw7Z71m1rnyj+4DUtJGMuldfnvUMgO+xT2Xy6g/8MkwWbtUSDy9L1KFBi8usxZpERyAEiSdw +/vaq1loMkD6LrNNQ87/J4hB5J1/d6SsFkzSB2vJva+MabhUz+nbYvHbR0Bov2LlWvX/oDeTOMWV zd0Rz1UHAXuavYH9IIg0MxIcIT2hmuzjHWFfEdd9t4d0/xVOdONCrq0mvyeQxW6/zib4w9iw6Ogf znvQP1/HgHmSEkOz14reciPNp9fPEuJErL0tuUcBP77wKJ618Rc3FJpmKjqQf02fh32wXpW38CyO a9fEjuwuUrPZyDyoJ4ivR7IZJmhVvrRTbN/BVNlUE+RgJaS5QH/MehabUjMSg/2smlkKgKQjHMVb L8gjNfRaxNYNOcxgkIPUrvf3ketxkVb073Y0hIYjBtH5o7UWANRGQ/7N2KYrBLF8JQJToMdnliyI +DKkgwjBifLyqVsA+yHDSPQYZT5U8cuMLrSfpPj3BNbe5i/C5FajyVo917+v6GU9CQWqnx7JuuYT x4sE1uOC6Cxz/QmiTtwvPCA2xrYojBmbWUczohaW6ALIjEItqvfVF75dlaUnMW/P51s38w/b0E9q hvY6rgabF6m9VmyAAJ80bSnU6dc3L7rZ63CNq5vn9xURK+WLdjRawE9ZvsDfvFYewFYjKI0OW0YJ cNy7ba307l32geZqYysSKdb8KAtbRJ2Am9O9WTMgQoq92V9pBEaZArIBsPVh8tL0ti2fLMzUWvaF i7n6RvSfpLkMm2LIMd+K8kbup5dKJKBoXGL3TGmfQdsG4FWOVh2xNRJnhh1P7Q17JewybGBAb957 2pAqshQaYl2k21sL4bbZk/W5ij1gSdxYPJzqNE7kKG1YdA9H3Rr/jiYv2p9w1WYa5J9XX2DxBYCV 9v1wrnL3AgogYLYU0OS7MNXnv/u+ipUzIsv+Wpw+zcG23z+XM7vtzqb2udHHkH4OWbbUXuuVD54Y mKCgB/igUhubOm6rWvo5Y/IjRXgciJJynCAye+hmw3zTw/YHFuxDpGDhtIAW2L9YG+uJlbGr5sqT cxxAQliRq5o+jqlZR7CtJWw8P5Aro/ELgFp73FW1OtVibmYEC62qu+NzecCViLcJLTd9SuC0sMuc FNoLUSIDWWayzFf2nQepQh/34hLypneuU9lZAO8chPzM8YsH2+/5NgG/zWGAW1rUeri/MIPTfmPW gxEG2Yc+qqc4V1SfsPDlqKyHeaoa9wEeRJiYc2q0La6bratGcV4lkoeaqmK9aax6qXGxcdePoZDt xtepie8Yv107mc51sE83yUZe6sX9cgFN/LZu+3/YyyQNTtaFPGPPrYIN9UyTicLOAPBkBdTMok0t ugckwqahqlOYag55scnsxwm8CJjnC+qdrUWdRgoUoho6phdKdeUVaJ8AHbu/SR7Rljp4QZywFvW2 /fUIKGivjYFDLtTrg409XoSYwSdADwmzjiRrZZH+fR+yNg0WM3/FCuJzwRHeHHHO3EZbpgvLVudX wIE83nFjINt9Cz6UDMGNYa6ifDOQdb2waH1ncXK+wGdwVUUh1skrUABcYnpvHI4uenJL85xcj9s9 j/YJVvXkVw2Qoxt50EPwvyj6BAOLIhX6xB3aXL4/iqGUOjjzkZEzslrTpEEm1Fk0SZ+MaDQd/B3p fJurGsk5UI9iXwiP6odj5j12at7hl/qG9VHr+6XHCzCkXPPIX3L06k37dvm6RARBDR9I3xdBaSlZ I0VnjHNMXCt5bwzhFyUOwyITp4foI25y7El2FC2GpG0NUbe5ODst5YNwGb7j9g2gaHhZg3S1qN2T 0aCeXzM7Ffu1pPA2NFpQ3Gm0ovnoFkJd8ND350wKlwXkW7ZbgcGNmEBO82V/kyRfEwfnDqEmjI7D 61rwPbiUhPHUah+yNlpNcN5s2GRr8SQN5hmqGOIOjqftwEbefYQF6dvYLodaKCgyHR7Vxqsbwxif RGlYtpUdM2uxnj9GqH9IZdZp5GWUoyl5T6OzsWWAXAInK/SIBpyufU5b2ZT6UED+BVjya2bJOEX/ lIPxt3QYETk3fcBERwURK6KP7r3lKxj/CxQYdFelxXRpIJTyv012afJyCyJ0ZFbQ/O86Oq4PkHPX NyNwZ9P8L/gxrOl5CC8H8JNow4Z3883Jh/KxDL8+6N7Y3WojOoukhZ/mVJrBbFzQOQIXRNfY6728 csvvGWxeDdU32ebT2Mxk/B0vfVTkLYfsvayGE+CcXrsNPPmzZNp2s4Vx3j/UqGLol4gSAckn/jiz ju6c1HubjuBkcqOwovrAV52RaFoNIJBpvobU057V4bEKkKgAOSjEvcch8jzsTNzSS6Vs+7oojDY8 kWh8spjOu3AT+lukPIk0aPIhUPYyOnvFNiAycp6uNpt3fMWbrvQlaXftwYQOA4elH4Hgn17dhED6 djYxF8X6FBWnOq7JPfNLHhsBhlVRnzh6gFU7YASIVaN94P9tTrDG/CYk1cLiyJvxkEJUPvlqvD7L cqSTalBvvpBdNhoYH2ZiZSzu4vF4y4dU62sQvGv9ifGP+dONPNmHf/W6PCuv8wlQspsAkX5Lnu8r YNmfwS2bQJqMuAqwDaNl0Z9+o1fQ+re38iQ2/+R3yslWpOTsY2U4Ogpc2Nn0rKls5dIyaIHfR2ND 2/tH7tQ7ZpT37ROqND1/p2QUAzv99APATKYciBN/myrF8HmJ11UkFly0VoWwxDmEshOk39qVoucH B40G0Pzm03Bv8RftfTlkuKrWASxoo3zkZEr+3zc2+C8E4DZC6TkXiX4ARmqpRRXQwRe+owm8iV4I ll4jdE/MI14PIzsNoyAbhNFop3U6rzqQ5Rp69f6zYO453xvFFkC8vnS6jp8rHJdzy6bog875Yh/l bn7xflrXiHB6xriwXmRi3IFOeU4nZZ/4k9jiYbt+7SD6IShZIwcCqfqsQiMuVpVQt+6r+OBDI4cd 6uxbtFqoBaWDnPj5aRp95LXSYUKiyTPEq8RHecoGNlNESdduLpQFr+IhfCLmXvhg4Zpm90AGC7MO S23c+eSaSL9HXrev/3SVgRNiRPNa5N7F5iBZTA5RwAYFiXBp7QieUka4MyWD6fkhKeqCmZoXlSfD YL4wm8CWG3usHBodVHB8RkkFlKuSRQ2lfK5+bQ09fW7iQnSbwGopYvSHoKvXnWGZq9YLHfKmqttP szMzJiqiDYd9QAxbxetzxwQdcqNFWM2YkhdgLoGNjqWvxU3c4sj4++ovV7gscopVsV/GbBBXehz2 Ahfv9syn1vHTpuwXUe5c6Qrb6vKDmagw70KmeQqzcWw+01ZAb5UF2mnXCkI6HjdXc+zIbJ7YrAtE VR9THZFmwXV0Ht7mGsWJxzQWTN4I4TNkPbP1KY59Vv5LGqJrcs2jp3RzAONBW62h5bXlpGvIvWE2 7Y/6bNXouB7kQ+0F2FKR6zenHjG++dzxoJ4dU1/tMBiGvaEfqbtA6BVOBIh0m8LrVoV6yqmUdgqu wXzpXV0W71Nl+hYTsRTFqUz3PDQ62gAZUBHHFyAM6g7B/CEoUk3or/Zw4NH2ZleVYjAOJ+MUzMPi uU+Tm7o166WZhtsKSTQeLzRwZG4QyULbPBF0RBoJzy8sZD7Eg8kE2YLZO2106YvMak7I6JCdFgHz MJERzPBMtPgUS7N6ZCATYfyfA5uG7YB44G3PD3N2UmT/cdQ62+irIgD7udfjrIgTv9Ar658IjGDn OLrnNxHwGJ7Dqgy3ug8ZGh1rRJo48WAyPbdt0dPDhFvilhdcQLOwMK+4MH8rQe6oDd4bPUorl/Ah dFw4qiS8QbeBVGfaWjlnHu3TNXkU6bnZY5P6cghAbLkk7bhuDyKTm099Z5HPoATfs6TECyrXyJdx LLIj4qA4kMKxDjjBcSULtfQjbLIU+OfozbN7LyRQFLsdRnIsdC5y8VqiEDtDk+4ZBI5Sh+awz05G MHJxJoXdLz3SoN0MAqT9I/CCgagBGm+dNszjDd/jRXgfBGKsyctDc9wZcJJnUYYfFPa065MzwPQR Df0p6jZzQpHImd2i6lHheaA8pcC8t2rXF2eojN1QeJZZzOLuW4ZjJJsGYgTlWBHH8t0BUEJtxwjm fUM8L6JGSX4UmBshRBSe5bDGBANINpvnpfZbiyo8aWa5yA6c9TAEFyvnswi/EwLuz1T5DhDhXn7w nGwp9WU6uDr8kRt2XHEdN3OzMITmE8PVvnBx9hcU/xveu96C7h/aQvlgDGrpVaR367rSCpa9riHM 92xzKAsbYwTONCVgsl38hXhRUdmbFWsZrUjRC8ZUnKbRyfcxR/6+SSOySh9ac8vbWOvTj9QGFSAO 5+mfhbqGTt8KDvm0hWCkLhIb0rX/5POPWu3bqjM7unzeqH2NQOBsG3T8MkBTA9qAkeJoCO7ppRBR TjBXIewJsLX1W8DTFZy/QD6F+tA7r3GeyCm4glysU28ww2mjeUU3I/SGbfWCwRsWSNnsw5qzwFCz yaXP4C42o2Ap32d4NE29eitIV5p/wBXSEv0bS0ysmEbc6Unxqtkh9Tf0tdz/JU8B/Nt881at7m2I DS2cV6/UD72LTf7BBdoXiRrCy/zT5i8CJfueIU3VlbpFcl1tXvZle79pdIBQs3I6ly7wE6KFBLBQ SGGmVAUkp+buiynwpOLXLMXjBcSrYe6yKekakEdo0MTcMYbWNQkhp81qTd6YYQp76nY6NxXp41vE ++ZWg216TmobIyjmlOJMT2zrQl5tlqE8422+WzbUSk2NuYqfeb9HJTSJHJvgehg81gtO9jx8BoPo e08ExWqRdc8Hi0rasbCSiDAekdflqFuEpT+jC5jjIpGDvYCJLBoao2gEQfONuUsakO+8QwMbzBvE CTdEVou+pVKQYEzip/fFyj3OrNvxSh66mQTF7iXNI0//GvfhHkJCHF968eZgz8MB2buX0O2sW8cE tECrLIqwsPgn4zg/aR0NYMy7IXcY1d+NqS3JcNazhQNzgGGfP4LQ/Gqb12VDpVZIlym4cbYPoCJQ wR8HF+D61Lt/sKjw4AfVE+WhyjSOc0msQCGVp28nS2uSM188LUCrvGfCw5EyXA5VY1fJVsrJUHct f+mJQ/yTcls9+CnkLdHX1Hzq7HcLpSJsV49cNcleTuYg+bF0aBPqj/7Zo1Y9Mv0ems6N4PuClaNK 9+KhjwK20cNF0haW+Ck/mZWP3kx4VZAmbzEme4Yv1nJww6zwDuU+vkobeAzrKgDNM98saF0Ky2pO 8GZNaARi9VzU+hejweHlYdAzuMHSX0M3Mpu3U/Ht6kQ1bqmeI/x55xZ0sUAsAvpPYEoDoQKTGWel 63skN0+pntIduNmdQJZqgEhKRkR+yaIfcox3g/S6JWfjdWAe7y0O2bqfXuzW45me0fHKYQC/1cqu PT4IZUUY4kGBBqM/AJrBpqPPRSEF1YeI4znmnrdq0dAFs1dumZLKB8bf4bdI29C4cP3YrNjuv0UP KCcFrUuVM3tvVd5nIHLeROQMT9l2s/sYDS+QbPiF0+vcMO9oFp88qpccGKqBcw32c1eqt0QpKybJ 56Wda1ymjqdB7fRSZvEHX17q3eLGHUGRVG1cBnce/Q+2n++L52eFrTPuqnsem/vlxFGykQZE1qhn VXl/jmA47F2D9YpZMZDzB0MRwp8gVoakmgicZWe4+OzTTh4peQtBcr1+40DB65TeCiaL2dqJBptw T2zdbww9DMlSwZ1tqnHLf6mhKi/4nA5KONcSnPeyE63RiOLlPhNRXWayfuKWAr0zfvmLYEDi9vzK bnDaL42mGbWQeAzdA7DPk9Uw8icg7gPplDP3ABDoogDosymc+rAirsL0GU3oDWEo117rRg6GaFI3 gLBUpsg/lGTBQAbgZfRVDvTwfIq3eFtw2xzbJcD3912Enqeur1uqCNohXY9Ki+OM0AfQB5gGURSp ZBD/abma1p674RjGh+ulkcDKBUFdQR/hEiNcfLgrF0eSbFLlRmLygfqrplPjAWxfxDYUDki/NbJk So2AXW8xeUFR2/bJVEjCW7uD/hNxwhDz/RKT16uz9agZwE3plscpH9cnnnfDOvI0Fc7PEmDVgM0T SKLAbzZp6WQU4Tprdq2dvBgwifDy+EMzQ+0cvz4pBl536WnZNW0sag5X+6h5fschmYb+QAVSVeHD DcdwfZXoxiFw5EiAM2HjOIrLyKgAb9vu5t9F31qZtltJbWKqFMul8Dt0goygakDoSTCKUFjbzGjH MGJQ47fxQL/l2oFz3ATv5qa/AJioi72VxQHvQwmHGB23HedBzrBNyM/RfJe3AHGKluxl0J4sMwU6 mLeQSD1lt9jCGDiB3zjanuCdzd8mWSbiMsLgwPZKvcWs3+JlcZMVzLxf5lzxO80ebiqNDjrwQZ66 5EN+nk+U3OnABoSWqyFiKk/dcOO27RIJnQsMWkXJzkMEiaj4M3LtVmqr7uaPV+yVLxQSEsQCt7du B6AAyGI8RA4Oba+1/1jeRYMBuCQYWz/3Aqp0L6vn3FJ85G4irq6L4k6OUiQrGEDa4xMpv43ZDrY8 LmhHW2Ys67sD/fY0154DzCj7zctnTxerYS7g3QjBuVXn2OFdyd9vROZ89nxfwNxBEh1kP5V9KyHY nMA76eQJ0rspUHmD2VVqv2RFGKbl9BZhuni1bxck9fsEMfc7VKL0SY459pHry30XjIJ64HBcpEr6 mTIINilE7vIi6IeOI1oOrRiTPtSG6WQmbPd0SLm1rTb6PzBcrs10mldYJSXJbad09N9d4dJ11QAb yS7uPUybBww0fORa6U0EkuUHmYJ4/3hhfW6xXv5fWrPB82NmcIr/qOkXFopcwwGNlkS5AJcUcCco rxnJFg5b3/NoqG/dmDrFmzP7nWh89H321ApafYXLGCtuq95b2JJc3HJbAyY4s4/lnUAbMvHd2ArZ KK2VeVEBR0PyG0VrS4YZ1mZK5izAP1FyVWmYYhcH6s+kQDfmap2bw2nGsxNcqRH7/5IdlmoxvqHJ cdv/8cRBISDoIfHTUlgNczL3KoipgB/0EruYGTMBqHWvnHLLAjS2ZbYdCYQTgDqa6ChqkxlnBsqc R5gNrx9rCYMb4KOHWy0M8pCTcuYKpJmp2IYu7xDxHtvoIKwhbgHH4YnegBCrDI1Rx8Mum4MZxwvv b/qFmIvHoRWJG45vw4L19R5xuIGQaK0cI+Blrs+OPSYn0wsjrurdAUGuTfAznlbiuEFkxXXEfZQ/ UaEPj4RPUYUdFVeK71APGD+0U5x4zrLB4+m53Q8Avr41pZLi7PS9I5zVbvzj77JIpl+k+VfoRrTb FWMEppzHabey/rStiqAqKDc5pAi9i6vo7dV+7tgKC0FHImEHgiYg94hyPmUiOUNANGwEt4VYQLft DXWt6/wK+Q0aDRlwKEcA7BRFBiCq3G7Yob4D/y+HTLEPmd4KVZ7SxBQxdZGeJOU/qEAd8bB5sUpx mHrA3oUZCEneWHV7lQ2xvwjSjs7sduq9I2VnIWugNrBb6/VQrbF5s76gwOEJnKJvk0LlUfJU+IH8 pBx73asqJFHLXXVJch9Avhr0jmzGv/UZli/EGtN2YOxQsoy9LydxcRjdhi4WnhTBx3PvamET5Sxq a4ZVHPrCagdwmmYNdMNjSoG6FZnRac0Lq9yf2HsZnpGTC4dPYdSPuMYX69VaUpHjVGR8pXO3vLIy 14k/SoPll8C/ekaodkDxlnAeQ+RV6VfH0R3FB1w5qAsFiTkk3eaIUaoXo3C+579Fn60xspGvWvLt 2j80Y+77oxfh4bdT4gsPoH+AUhktcXicBISHsXQsvkVcsZPCMVcBIVuSFtUIgAh57H1X/nNqqb8U RIvVoXnqQpsAxN7cIUXIfkKAmUvVf6w9ojkD9Tl2OX1oEOHMpa2txnJ6Y0RaGo9lDJ8+ok48pMw3 2AUE8wEDbiTSMrqKbXFAxDmFE56YJlXuuluiivaWUcotIqx0jyZnyT/U8TT8xRuk/lK7rT6Cdun7 3qp36Iybkulkav352bmt+W3kEoG5XICNetz1mm4inzh4Mb5j8qYf0AmFh7WI0ya2uAqZ8k2rO6Us hniC2oNoRVbUR9iCPZWN5j8/jSU+8SC1nJt0Tgworbt1jEha1P+UlDfaG27Pn8IiMNbhSR6fXrKf gFVUSjl2kcYvvYPiaZiILEqF/6Ni8KA66o88PF7uZX9HGTdorfNGxahwIzhvZ9e+N0/U7mGny0cL 3LK5S1XkHc9AjaMOWMJMgLXkbthe23QFIwtTNBAInTuiB+YT062hFqZqTDyn7Gy8+XvhGiY2OTwj 6Jfon474FtPIZlJg93Rw5Y7kPDTXQzDx1vt47S13359lLchCXmGULlo86xhwJRoM3Kz0haFMvBXJ 4Ry05n+REh7OyDqe5mgmOeIf0eegCvMuVgbD9yjvJWpMFDIZIvwDfTfP8FiCdvKQ7BazmKoRmZIw HEoqkz63+Qt1HhaTbR2MvXhfu6FRS5V4l5fv3QwJ/WLQ2j/YM6p3t1fSjq/xUvbw/v6Eaz/zIjPa XJlxbDp7PpTYuOaqK+zS2Unji1SjrsSE+bcbPr6gjNmmwdm5xZAknz6sbZneRzrKRq8M7sobrENy 9wCDua8KIoG07YDtjM2C/X9BR9nBZ06DTyGxCxugiXfiABc+bWinLHqD9ebEpb3oYRYbXEo4/bv+ 6TsUh+0rqFJ4jWrxytHAq6aghRLz9Nkqdr20sKDQ+WeA9tKf2ZIedi2uTEMGtfHD8Zk7lL3+mQka QETM1pnwbcQHKAUvoXVHjGSYLEJWr66ML2hShNCDOaJ5fHjwZXrdkQjs6jGp4FkQN6IGnYTQ/PVp MgAr1/7OwR8PdLos+Th5t7cS5hunvrS8vr5Dfm2XhUfHs92vwQDGX9Y9BoN0kOEFGoNvJuzp9xOH r3PS3ywtmKHcdA80aiQrNZ7gulUgQ43YK7cwfl8dxWOCKWQPM+UhV1jY97EegRUcg4lyYspHbCwP 7LkW00WmyzpSl+YKHj7FU7b+ZfvVqNZ8x1gFF0d9sDE32lx9LkFYrDOWSjYOKB1OcJgj7u6162Vw DdR9fytr5As9Xa+ea1nX4lK++LphfoZmOtf8c7oJd3ZJ8TTcFb9sz9i+tmM9me33skwSXSV0+eoL sYfzXl8UtXNqTtKk69ES4ruK2hkxntby01DitIkaRFl19wLs/CFtzES0FgFXrcjMIwrdLVzkhvDU 85KJWX5pY/lQzgny08iOZ2w+kI8gHyrPFCKPwKBnoj8MHQ4GO1Cbu4i0IFrzvVTyMfTtydfmZGsS pjwSgushXEnXcJZXQUvP9UFpha0Tm0Qkw3LzbPy1RyWvV4IoWl/auiRdkCnH6QmyFmT21ieiGrV6 zYP4zosgz0R0jEnAKDu1MvsJ8bDwXuCRSzQYmTSK1HaxpiSRx5MUS7V7cJVIXm5ng4+AZlH+l/N+ PKOcIdUY4JHe1SUkjr/SPBuoLI0K6HpoC2jOoNCXCj7VyyYutuWSizBRfG54qZCgsIkVSc/i9AOA gGlQgi1AwNnaqV5OzjgJ05T7dkZQsr2eCgZdMEo3CqLO/ixBrDPfYb6jmK65/FzPKVWzsLza9/in cPITw1So5rw2haEPWJ9aMEgqW/ykQfOyWdmIucfxedDfdfa8JhicpnzwXjHFqXauIY2iujYLJMZa 4n7AoqzkRXkKCU9bvkrxN2u/DPqSiWCvnpHtGN9XXNBOegO1apMrTTBuKjskoJk4VXJv9vih3P1m h2aPg4U/Xkv/z1gdas4VTmS1MljyVs5kszKglRywBPVhJDeMAvCM84QV7Y8RCS73enJCX0se51ex G5digMCtyVc/fypQYiHaNIFxXPojIBwjx0Z0wDDClYtqcDR+wHGijCkc4vqJAkMWB4xVAWYiheoa Q4BWn2PtruUNmwQBosMOZ1tEskLjN2zyndLkJ/rK4lSbUAfAaVFyAG3UxyvqTU9XQqHCnks/4Cen CRa9n8FldPjvggTyqIf/wcbq9HTwT6+NUwKnWEKCmowupPLyn7NXfrnTpw7OTHoi0R3D16aYnRTX 7r0MNbr4daW8pSS/4Hle34TyLeWHux59fM3eXO9ACpuoCTUJ/X6OMZnzHZ0AmPhfwlMIVQJsoEzx O6fKCreI9BmdzQAjAm4T4rx8aHqL8OjTauNwteeIgTyXPUHNZ105u1cYGSb/131T1zZ8y8tE/R2j 20Bvd2oo3BxIo2TFSm/qdCWZBljOPU4QJNqFei4usnOUt7hU2F2+be6ylVfhQQjrdYOWIvy09YVZ 4dj6uKItCW1sSJrvSVeQSLwmoic1N6Kf3Vq8zasB+g1PiNOTiUpBQkvT5gkpDy74IZ1+ihAYgeNU 5i7/Pj0hZKoqmBuBrh1H5mztbT8N8SWtI1UgJPxVxlm5AjHyHJ9cRizD79evoaEXpJL28kb3sBbs 0RZEZ4TzA4m7AaAyVo9jD8Qp7oKxntqDGpRb3Hb7W8A7x6wxizzM97tgdL4X9uvN/QcIu27QNJ2w qqe8X8gAai/JqqTE6IDQE+NEikKOrr4gDc+1/qqBVTIzqc0wHpSh9Qmgt3qVVhz0YbRXd0FgOQsA sPNZB/8vPYxLgLF2Ox8yYzGF9sz/xvNBGbH4L/AgOf0yjdv2zD3EEn6XFGPiXBxQDmsX7+gJTziw C1BR7/Bsx6CySLER4wlrrOjHloiV+iB4MqbKRkuMxqFuzvr0e82q1uUdkwLVEJqpsqwMkWZW1Wov KPuk95v5g0ncKWzMapxxH+JEwL+dBVKs2PBcCvV7evlzXfcuXsCcmZ81LLLyPV5SeoewJkXQGVSE Dz1Zr4yB9P/9JszPBnRJSUY3vm7s8j4y89jfbha/1X577bvSa64J+TiwrHlstMp8dCL5ZMNFMGMX f46AzW8lTG6esrxlR910za/rBVhEIxeoyJzHOVGx6PnD+AJsYd2KvDBHp0as3sKrW27ByEbRjFTI 2DcKPlga0rtja2i2f1HEnDMvJujJt1ktVrvtLd9x24TWYEKcnLLE9fn2HnXog1Ap2kKXtYd7cswU HDaTntJCJGenY84U+msGLDD0vyxiCiBo2oFgd/sCoiC68jGdV5ypx/6jEBA7nlebsBg15n2zC+MV p6kEuqqA3lKFr0H9vy1dTk6DCdL8c4N9cCqFOIgV5k9IfOzwMEicdw0dJcS16KBJKiXhGivwaX2l FAAVbV5v4sFlkDdL9LcOcHPvXt/fgAZhN4uEdO9TO/xdS8LPkASMmit54FTqw1azkd91OeJ3GOrE rH827mW7Krc16w5FO1+vVU09I0oTRj+gIJBAthlHHiS+ZbswpUJiHGMUM29ptixTGwOYFep76UNi I+unG7OLRxR1Aw3S2NAsEfMBva8Pa9nFcLj8QQj9xUhLgj6/JFJTPAFa524e1VIv3ZnFQiTQt/TD oQLzxmV7ildP1fRlc6N66NlDGn3IAF4Lm8uRopYFwXyy1Rm5Esi/wkN4Y+7GbFcVj7ELbIlZqvjZ Ym4GANNXM7LWUvhW7XGDJ4FEjfTC7CJTmIZ+CGr/Yb5ktNqbuL9ZAZ4fRPup/odinQj4DNFMx3lq A6zKwUD58weuQL1TZ1jiPUwsbcCrwtmHeIOf+HO73LtYm/mkqyPV2Fu8WtAMSxiRyB47srl9F2qY bTeV37313yoCRA5FH06CJWyojsAfYitvl0u7lFdeCpW351NvKjNo6Y39STCPqM4c7Tyso6dsTo+k T5hCvZbcnmZiC1hMOYczb7AOuyqh+/eE6/c3ChqAZy9wtrvhK+j9iKhlWjY8C53LGAgGTv642zMn Fdbvim44jcPPvBUZkMPkxKdtCnbkEqPY2dG1yb6QTwZEAk1jY2/IhoqofgKpep9syGj/jDUvfWDJ eYA8OIrAA7IEIDUImjcXp01GWAgb8hybsYr4umKLlVuMEp/lRy5d5lof/s/rte7U0ftlFZfj74Mj nCVrixg1ZTVonvI8zHTBUgPpkJ5/unfUq2KchCVpgYAp9of1jaj/do/ENVRPsFcppIqcaBbAzow/ nhty/+SYwzDhQ9GpIg7npMSy/YYCNn3JhZ9eJrAMdAC4HpqB7z3AnBlRDtjCxclVgsaDoWujblWD 9m4yy+LIejUpkteTu+64jSYoGua1wfD8tUIXluYlCZFiKnC4/uPkFI+z2J/DsVFLmvPZ/+1bWnOp HlSwTCcw6UwdAA9KSHL8JCUeq7Jkra8pQSYLbTGdd15/t+px0nAFysGZkCqdQo9IloSYetjEg+xK d60Rcw3JTi32UnEQFeZu2fHsz3aP8Vdes01lkxdh5BBLGG1c97Uke5AaTvA4Fo+HToGHXxT09iIM DvwJiIuxs+BWQWyS2CpfQFqRyrSUe7ceIusu+bv8WX9DfoDUVo5OhDdLlNkIuBkmxsNif7n8WEeV Db0Y8x9rIQtwcgSOzy9aK88YaoEMlwnCZXfSjK2sNQmnet7fLxz61olK7QQfv9XkZyou+YCGo1OK /z3CAWYO+IZOZb4PxHaXFtsCvAWfQfKkPt+HKSgwGm6JnUylIIU1FFLYTvbwQ2RMJA4ulj4lAfxB dsOZ/n1OQjsMwXDMjwM1TkYQRXpGGe7SJwphYDcFJQWHV0GbZ0K8i85CGyDwCQDna77aA+2Q2N35 3ztQWmUOFkOikga0pxucLmgCRfWmEGygskwtqnrHQhRuWvq8MrqWlJ1QsD+9xjqkiJqBv7SS+eRX ki6i6IJZ8/yBusik6uxr8mkxwvT569c+DEEdIeZtbDd6HjNb6hajbQmmgHAO2zCg9357/CgDG03n 5bDtosCfZJjNHgL6ELcfDfDF1N8L6nZl75Ih/MDDbfe8a1kMMzSSMo3yQ4Nri1lD8atDvLQjyxEy UMxSC/KoTcZQgMOTNxMHvO3gCFib8q3qfk663Tn9wfrlYAVKWaOHjinFKMO05rrfNH2dBQukBViq iWmimSZZZXSblUqXliWCgnZJp7lKgtKQO07x5nPDqyU+1M7Hj9QhcIbd/lyTHPc8Oasztk0MxIr9 4JDsPv8+nXZa95ub8PilcDei2Qq43UfhhbQUjvlLJPRAO5ggjvMJChbKrIDcAK01KRxdNVw9FXsH PP7QGI4OPX8Lt8aX6ZrbuIhlZkhh2TxOY9mpNZlPBvgPUUGH+EC3YAO4LU5BBE5u2nHDOjQl5uWS uoPCCvUQud7J2cX5wS9nHdv38fV93MAQhLarcH3pTL06VgqANWVroc9u+CztOkZ8jYv/v++MgYMT u2M4NDb6TvSSdlQrNB1rqzVqnoTyGpu4bPlkFp6+rqYuNcI98f6OWzQi5UOPX3KILcfS1y9e7XXc HuUTMHKiqdIkGHxezKrXEK3DUmlSTbAujk8OALNWOGwdZd+MyCqWZOpEck99LkWgLvbJ8wThpeSj cYY1kZnmLkb9pIEEMpSDdzvS/rvTNWFb2xs2Ho5doRsCIJE6ktO0P/fSEsI8cGtPCa+5B7xhMjDj Wme8P503EdaFhQaLwjMuD33PkzTt6gVzATiSXVMBJ46rCOXJtSe9eYdXkSsJfxlw07Edo/Znqmqz Hw+4nZBdR6/1xhzbIUv7aCn8Ku7IGKC1IoZBK5nvsG+VgeXaWxXrnrDa+XQPZB9eDY1/ROEo7pTn MEWFWt/sn0RKjtva5pH/bj+1OXfR+ByktCDeIaG6YSiFEVebMBaUwRU14pFSl52RNVq8xY8DJzVQ 5xlY0Ge0zMezjqCYHS6+44hABV/8xk9NXpjnMJj9C97vniaqVs35xbn6BwNsMUIJultW6cK0yd6z 8WX16+eYWk3/GlLFLVISKxJ5EVzj8Lzr6NNsr0v/alTgTCcTlwx7eZqCZaCQCqyPPcOmpIPWZp6Z d7NAZ1pL0HyK2mhPCQOb5XmOQ3ni6lE7jEPlDevp9+3Z2yZjKXZdwREGnKhwIjx5BwyXI/akru6i T1/aPLBPVz7pkxZ3+/Ope3J1rWat1ae8QCWn0U/jxzGfeKfVWwu2WE2afKLGKdw4lJEmCXUsYLE3 SBbUqxofBMwRMnNMAq33fClWBNTwXGBqCVX9pF1O1bnvWPVWdAHO15qfu58cnYIE8jd93f+cEHIM poICWu0NI+LHoPdI8H870gpj7OYYZxmdUKK0DuhMfgOjsd72pFImfNnwRkPDUyCsVTB6GiS32tZR qF0gfypRkA7RXPpi5c+Y5pNcZ2Bl5hUtHuSe5sa/7cMiQTGVjCg3ZvJcYe5jrAx2Aub4bGNetrZt oRpm+TCKSlpr/3EuIXSjlaDUvNmHclEiEILhR7UHqqhTfKzy4XJi9jhMniBYgc2sgq8KdSMa5lcz Z5MoTiRoq6d4/AZbIcrChaGdJIw5RRCiHYgLFMmT1YYcishrbt1+h6oqGzjSzpWwMQBB54nmaKOc bX9KJ+5GLwPyKkL16hUQwsF2ahUJ4xgqLqDc1/z+SwB91by89LLVg9l5LS25rtYoRIxyJhZaGRE3 ayDo0ZuhEBhAgdI+SWYa1r5Wi3zk4SkcSQjXzUwK4pzqCJ0woy9Pe+SUZkWM57lnCOzfOEqERV4O KDH3GK3rKlGQInQiUhLovs8w/y8hK5fkNCJ/LU9czDWETj7FpEXhRY7cNsTLIdJhyxlkOGMZhxaw zFS+57VAzlxWEMp4QeaHXocCZKLbp5yo5Jpu2QvgLGKayOSsi0GZDKH8HKRFZWdN0h8VV6P2ErBk aLVYSs+jOuxh6LsEWgVihNYZvB4Of0q1mY2PhSJVUrdTcdx1NexplECPNBc59yBDQ53IEvNxZVy0 dQbebdSAqA6g3qP2ywT46PoJw5MJy0LRk/4r8B0/bV2cK76dV4y/TIhT8jOjEae5KS9v/ODC0T7N V11/SYRsOO7vp4DI7S0pJdM8uUsa8gGfv9lsHd8kqe4OCXXL2O6LtZeNfBfSN+72Zt1McNfRLMTN 1e4++tT632rXmfzcgFSKHfBprvzRcILYfZOWKM67uZeUD9LUth5le5u1GCdOgtvA2Nw0fLd8Xj0z ym+TQd1HuC/JbNDiMC4/AHd7goPT58heip3sq2J1ExKjsfQiyYGN2EYqg5QVnaDx/zy/ZrSooTZ6 TteiwFB4u31mt/aVqk9GRzbbjbf1PT6RoeqcDLlYyhJChsqurKX6V9hqCzxL/l/gI9T+f6BVaZxa 7h/yxE20dq6jm6cTYNUuL5YBG2NpaWmXejnjNSw08mhcKWlqlw0M8Nvzd0LiI+ly90FfHz/PGun9 jJHw6X0iRZglxl78E1suaQZGYuv2SD53w1qb+qHpPtTQ0p/DuXldDEtcveTj8sQn4JZtSJvxvM94 yN95JTOIXnJg43sDZs29WRYhmGlxk70lslypKS3hfc5QdVyx4NtnAyrCfZ+26laHSsf7m5acCiA4 xJYEu4U6nKR87rOubwpq9vIiTpA5TJ2vUj5hTd1GhdO/sy0xV/kYaHVOLYQikvstTPdY91FG6om3 ekaGFhNp1M7z/6LRq7fm5zFt7MclAARtKKkh3IYWe3qYaTiGR0XhHQ8kjfcCJXkgegR6eXqpKKkw JFZo1m6LojwpZbOw/2hmFuPVmMOWz20GodG6S8DtKEvKMfARJoBjo5f8/+prbzELw/hPSsd8zLx8 YTJfqyGLGDRtWP5QMFhpPnA3AhzHIsIkGrFSj7r5eys3KD2deRyeBYCM37GKYgi+ZxMxXBiNakKC UmfyIrbhFw6KG5wJ+SvZYq26ginKkChy2y4ju7b2zl4Cv8912HeiO8lQcUsYCmO5fY5sWRk7Eu5w rfWZ9KL/UsQr1zR9+jkLVyqopaNU5FSEU+4SllRoLX1W4pheCoOajWRQ16xYfvjXuLof3JihJ6ib pTz3+vaNgg4ApEQXg821ZDSoiip/nLlG9r4WZj5X+tDzJ1E22R78qtkUcX0VUA346kwXtGIceD1K a2VhjTwJ/leqVMOG/yAJdvvHwqr9IHWUDmObvkfNC1qErFiGQuJ1Zb2JeP1gKymOzAD2KcUj2AfE kGOZtI8/DprtShTiNRyba+i1TwHMOv1I0KSGJu+y/YYLY0LTGbCRCrhXFdulEg/RUtHpaxZCYd/e 9sBiVKefwWa3kv292AnI9LsN4vZBtU/VD97Evkj1ttRWiQwq1IFSqXaKcp1eqkl8dK6hHU8YbUuo DhIYSAyPPrWg/u+MTEqd2N9U7/Hz2qmIrVD1U5+pYQ32dPJV6CITZvWwuv7BaqlXJpNqQ1L/2+lM 3JHR0ULce99at0Ai7mhCQyThEnHkWjWD32Pdm6Yk0MfEFZseD+7LBb4UwQUh2BwlC/f4kSmx2X8W mhasal7dcwdmLGfwsXtLwKhJi2U4RaYnaSS3tm66nPVleETC+eECTXYEM1lqQXe6/rTx+9lfMmdz Lw== `protect end_protected
mit
79cbb20162ce9b57f3edf4fb787f18e2
0.949804
1.820846
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/generic/rule_007_test_input.fixed_upper_with_lower_prefix_and_suffix.vhd
1
1,897
entity FIFO is generic ( G_WIDTH : integer := 256; G_DEPTH : integer := 32; prefix_GENERIC_suffix : integer := 20 ); port ( I_PORT1 : in std_logic; I_PORT2 : out std_logic ); end entity FIFO; entity FIFO is generic ( G_WIDTH : integer := 256; G_DEPTH : integer := 32; prefix_GENERIC_suffix : integer := 20 ); port ( I_PORT1 : in std_logic; I_PORT2 : out std_logic ); end entity FIFO; entity FIFO is generic ( G_WIDTH : integer := 256; G_DEPTH : integer := 32; prefix_GENERIC_suffix : integer := 20 ); port ( I_PORT1 : in std_logic; I_PORT2 : out std_logic ); end entity FIFO; entity FIFO is generic ( G_WIDTH : integer := 256; G_DEPTH : integer := 32; prefix_GENERIC_suffix : integer := 20 ); port ( I_PORT1 : in std_logic; I_PORT2 : out std_logic ); end entity FIFO; entity FIFO is generic(G_SIZE : integer := 10; G_WIDTH : integer := 256; G_DEPTH : integer := 32; prefix_GENERIC_suffix : integer := 20 ); port ( i_port1 : in std_logic := '0'; i_port2 : out std_logic :='1' ); end entity FIFO; entity FIFO is generic(G_SIZE : integer := 10; G_WIDTH : integer := 256; G_DEPTH : integer := 32; prefix_GENERIC_suffix : integer := 20 ); port ( i_port1 : in std_logic := '0'; i_port2 : out std_logic :='1' ); end entity FIFO; entity FIFO is generic(G_SIZE : integer := 10; G_WIDTH : integer := 256; G_DEPTH : integer := 32; prefix_GENERIC_suffix : integer := 20 ); port ( i_port1 : in std_logic := '0'; i_port2 : out std_logic :='1' ); end entity FIFO; entity FIFO is generic(G_SIZE : integer := 10; G_WIDTH : integer := 256; G_DEPTH : integer := 32; prefix_GENERIC_suffix : integer := 20 ); port ( i_port1 : in std_logic := '0'; i_port2 : out std_logic :='1' ); end entity FIFO;
gpl-3.0
5b9b99f5e5784ea564553579962de525
0.573537
3.120066
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/axi_dma_v7_1/hdl/src/vhdl/axi_dma.vhd
1
126,821
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------ ------------------------------------------------------------------------------- -- Filename: axi_dma.vhd -- Description: This entity is the top level entity for the AXI DMA core. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_dma.vhd -- |- axi_dma_pkg.vhd -- |- axi_dma_rst_module.vhd -- |- axi_dma_reg_module.vhd -- | |- axi_dma_lite_if.vhd -- | |- axi_dma_register.vhd (mm2s) -- | |- axi_dma_register.vhd (s2mm) -- |- axi_dma_mm2s_mngr.vhd -- | |- axi_dma_mm2s_sg_if.vhd -- | |- axi_dma_mm2s_sm.vhd -- | |- axi_dma_mm2s_cmdsts_if.vhd -- | |- axi_dma_mm2s_cntrl_strm.vhd -- | |- axi_dma_skid_buf.vhd -- | |- axi_dma_strm_rst.vhd -- |- axi_dma_s2mm_mngr.vhd -- | |- axi_dma_s2mm_sg_if.vhd -- | |- axi_dma_s2mm_sm.vhd -- | |- axi_dma_s2mm_cmdsts_if.vhd -- | |- axi_dma_s2mm_sts_strm.vhd -- | |- axi_dma_skid_buf.vhd -- |- axi_datamover_v3_00_a.axi_data_mover.vhd (FULL) -- |- axi_dma_strm_rst.vhd -- |- axi_dma_skid_buf.vhd -- |- axi_sg_v3_00_a.axi_sg.vhd -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_dma_v7_1; use axi_dma_v7_1.axi_dma_pkg.all; library axi_sg_v4_1; use axi_sg_v4_1.all; library axi_datamover_v5_1; use axi_datamover_v5_1.all; library proc_common_v4_0; use proc_common_v4_0.proc_common_pkg.max2; ------------------------------------------------------------------------------- entity axi_dma is generic( C_S_AXI_LITE_ADDR_WIDTH : integer range 2 to 32 := 10; -- Address width of the AXI Lite Interface C_S_AXI_LITE_DATA_WIDTH : integer range 32 to 32 := 32; -- Data width of the AXI Lite Interface C_DLYTMR_RESOLUTION : integer range 1 to 100000 := 125; -- Interrupt Delay Timer resolution in usec C_PRMRY_IS_ACLK_ASYNC : integer range 0 to 1 := 0; -- Primary MM2S/S2MM sync/async mode -- 0 = synchronous mode - all clocks are synchronous -- 1 = asynchronous mode - Any one of the 4 clock inputs is not -- synchronous to the other ----------------------------------------------------------------------- -- Scatter Gather Parameters ----------------------------------------------------------------------- C_INCLUDE_SG : integer range 0 to 1 := 1; -- Include or Exclude the Scatter Gather Engine -- 0 = Exclude SG Engine - Enables Simple DMA Mode -- 1 = Include SG Engine - Enables Scatter Gather Mode -- C_SG_INCLUDE_DESC_QUEUE : integer range 0 to 1 := 0; -- Include or Exclude Scatter Gather Descriptor Queuing -- 0 = Exclude SG Descriptor Queuing -- 1 = Include SG Descriptor Queuing C_SG_INCLUDE_STSCNTRL_STRM : integer range 0 to 1 := 1; -- Include or Exclude AXI Status and AXI Control Streams -- 0 = Exclude Status and Control Streams -- 1 = Include Status and Control Streams C_SG_USE_STSAPP_LENGTH : integer range 0 to 1 := 1; -- Enable or Disable use of Status Stream Rx Length. Only valid -- if C_SG_INCLUDE_STSCNTRL_STRM = 1 -- 0 = Don't use Rx Length -- 1 = Use Rx Length C_SG_LENGTH_WIDTH : integer range 8 to 23 := 14; -- Descriptor Buffer Length, Transferred Bytes, and Status Stream -- Rx Length Width. Indicates the least significant valid bits of -- descriptor buffer length, transferred bytes, or Rx Length value -- in the status word coincident with tlast. C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 32 := 32; -- Master AXI Memory Map Address Width for Scatter Gather R/W Port C_M_AXI_SG_DATA_WIDTH : integer range 32 to 32 := 32; -- Master AXI Memory Map Data Width for Scatter Gather R/W Port C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH : integer range 32 to 32 := 32; -- Master AXI Control Stream Data Width C_S_AXIS_S2MM_STS_TDATA_WIDTH : integer range 32 to 32 := 32; -- Slave AXI Status Stream Data Width ----------------------------------------------------------------------- -- Memory Map to Stream (MM2S) Parameters ----------------------------------------------------------------------- C_INCLUDE_MM2S : integer range 0 to 1 := 1; -- Include or exclude MM2S primary data path -- 0 = Exclude MM2S primary data path -- 1 = Include MM2S primary data path C_INCLUDE_MM2S_SF : integer range 0 to 1 := 1; -- This parameter specifies the inclusion/omission of the -- MM2S (Read) Store and Forward function -- 0 = Omit MM2S Store and Forward -- 1 = Include MM2S Store and Forward C_INCLUDE_MM2S_DRE : integer range 0 to 1 := 0; -- Include or exclude MM2S data realignment engine (DRE) -- 0 = Exclude MM2S DRE -- 1 = Include MM2S DRE C_MM2S_BURST_SIZE : integer range 2 to 256 := 16; -- Maximum burst size per burst request on MM2S Read Port C_M_AXI_MM2S_ADDR_WIDTH : integer range 32 to 32 := 32; -- Master AXI Memory Map Address Width for MM2S Read Port C_M_AXI_MM2S_DATA_WIDTH : integer range 32 to 1024 := 32; -- Master AXI Memory Map Data Width for MM2S Read Port C_M_AXIS_MM2S_TDATA_WIDTH : integer range 8 to 1024 := 32; -- Master AXI Stream Data Width for MM2S Channel ----------------------------------------------------------------------- -- Stream to Memory Map (S2MM) Parameters ----------------------------------------------------------------------- C_INCLUDE_S2MM : integer range 0 to 1 := 1; -- Include or exclude S2MM primary data path -- 0 = Exclude S2MM primary data path -- 1 = Include S2MM primary data path C_INCLUDE_S2MM_SF : integer range 0 to 1 := 1; -- This parameter specifies the inclusion/omission of the -- S2MM (Write) Store and Forward function -- 0 = Omit S2MM Store and Forward -- 1 = Include S2MM Store and Forward C_INCLUDE_S2MM_DRE : integer range 0 to 1 := 0; -- Include or exclude S2MM data realignment engine (DRE) -- 0 = Exclude S2MM DRE -- 1 = Include S2MM DRE C_S2MM_BURST_SIZE : integer range 2 to 256 := 16; -- Maximum burst size per burst request on S2MM Write Port C_M_AXI_S2MM_ADDR_WIDTH : integer range 32 to 32 := 32; -- Master AXI Memory Map Address Width for S2MM Write Port C_M_AXI_S2MM_DATA_WIDTH : integer range 32 to 1024 := 32; -- Master AXI Memory Map Data Width for MM2SS2MMWrite Port C_S_AXIS_S2MM_TDATA_WIDTH : integer range 8 to 1024 := 32; -- Slave AXI Stream Data Width for S2MM Channel C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0; -- Enable CACHE support, primarily for MCDMA C_NUM_S2MM_CHANNELS : integer range 1 to 16 := 1; -- Number of S2MM channels, primarily for MCDMA C_NUM_MM2S_CHANNELS : integer range 1 to 16 := 1; -- Number of MM2S channels, primarily for MCDMA C_FAMILY : string := "virtex7"; C_MICRO_DMA : integer range 0 to 1 := 0; -- Target FPGA Device Family C_INSTANCE : string := "axi_dma" ); port ( s_axi_lite_aclk : in std_logic := '0' ; -- m_axi_sg_aclk : in std_logic := '0' ; -- m_axi_mm2s_aclk : in std_logic := '0' ; -- m_axi_s2mm_aclk : in std_logic := '0' ; -- ----------------------------------------------------------------------- -- Primary Clock CDMA ----------------------------------------------------------------------- axi_resetn : in std_logic := '0' ; -- -- ----------------------------------------------------------------------- -- -- AXI Lite Control Interface -- ----------------------------------------------------------------------- -- -- AXI Lite Write Address Channel -- s_axi_lite_awvalid : in std_logic := '0' ; -- s_axi_lite_awready : out std_logic ; -- -- s_axi_lite_awaddr : in std_logic_vector -- -- (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) := (others => '0'); -- s_axi_lite_awaddr : in std_logic_vector -- (9 downto 0) := (others => '0'); -- -- -- AXI Lite Write Data Channel -- s_axi_lite_wvalid : in std_logic := '0' ; -- s_axi_lite_wready : out std_logic ; -- s_axi_lite_wdata : in std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); -- -- -- AXI Lite Write Response Channel -- s_axi_lite_bresp : out std_logic_vector(1 downto 0) ; -- s_axi_lite_bvalid : out std_logic ; -- s_axi_lite_bready : in std_logic := '0' ; -- -- -- AXI Lite Read Address Channel -- s_axi_lite_arvalid : in std_logic := '0' ; -- s_axi_lite_arready : out std_logic ; -- -- s_axi_lite_araddr : in std_logic_vector -- -- (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) := (others => '0'); -- s_axi_lite_araddr : in std_logic_vector -- (9 downto 0) := (others => '0'); -- s_axi_lite_rvalid : out std_logic ; -- s_axi_lite_rready : in std_logic := '0' ; -- s_axi_lite_rdata : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- s_axi_lite_rresp : out std_logic_vector(1 downto 0) ; -- -- ----------------------------------------------------------------------- -- -- AXI Scatter Gather Interface -- ----------------------------------------------------------------------- -- -- Scatter Gather Write Address Channel -- m_axi_sg_awaddr : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- m_axi_sg_awlen : out std_logic_vector(7 downto 0) ; -- m_axi_sg_awsize : out std_logic_vector(2 downto 0) ; -- m_axi_sg_awburst : out std_logic_vector(1 downto 0) ; -- m_axi_sg_awprot : out std_logic_vector(2 downto 0) ; -- m_axi_sg_awcache : out std_logic_vector(3 downto 0) ; -- m_axi_sg_awuser : out std_logic_vector(3 downto 0) ; -- m_axi_sg_awvalid : out std_logic ; -- m_axi_sg_awready : in std_logic := '0' ; -- -- -- Scatter Gather Write Data Channel -- m_axi_sg_wdata : out std_logic_vector -- (C_M_AXI_SG_DATA_WIDTH-1 downto 0) ; -- m_axi_sg_wstrb : out std_logic_vector -- ((C_M_AXI_SG_DATA_WIDTH/8)-1 downto 0); -- m_axi_sg_wlast : out std_logic ; -- m_axi_sg_wvalid : out std_logic ; -- m_axi_sg_wready : in std_logic := '0' ; -- -- -- Scatter Gather Write Response Channel -- m_axi_sg_bresp : in std_logic_vector(1 downto 0) := "00" ; -- m_axi_sg_bvalid : in std_logic := '0' ; -- m_axi_sg_bready : out std_logic ; -- -- -- Scatter Gather Read Address Channel -- m_axi_sg_araddr : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- m_axi_sg_arlen : out std_logic_vector(7 downto 0) ; -- m_axi_sg_arsize : out std_logic_vector(2 downto 0) ; -- m_axi_sg_arburst : out std_logic_vector(1 downto 0) ; -- m_axi_sg_arprot : out std_logic_vector(2 downto 0) ; -- m_axi_sg_arcache : out std_logic_vector(3 downto 0) ; -- m_axi_sg_aruser : out std_logic_vector(3 downto 0) ; -- m_axi_sg_arvalid : out std_logic ; -- m_axi_sg_arready : in std_logic := '0' ; -- -- -- Memory Map to Stream Scatter Gather Read Data Channel -- m_axi_sg_rdata : in std_logic_vector -- (C_M_AXI_SG_DATA_WIDTH-1 downto 0) := (others => '0'); -- m_axi_sg_rresp : in std_logic_vector(1 downto 0) := "00"; -- m_axi_sg_rlast : in std_logic := '0'; -- m_axi_sg_rvalid : in std_logic := '0'; -- m_axi_sg_rready : out std_logic ; -- -- -- ----------------------------------------------------------------------- -- -- AXI MM2S Channel -- ----------------------------------------------------------------------- -- -- Memory Map To Stream Read Address Channel -- m_axi_mm2s_araddr : out std_logic_vector -- (C_M_AXI_MM2S_ADDR_WIDTH-1 downto 0); -- m_axi_mm2s_arlen : out std_logic_vector(7 downto 0) ; -- m_axi_mm2s_arsize : out std_logic_vector(2 downto 0) ; -- m_axi_mm2s_arburst : out std_logic_vector(1 downto 0) ; -- m_axi_mm2s_arprot : out std_logic_vector(2 downto 0) ; -- m_axi_mm2s_arcache : out std_logic_vector(3 downto 0) ; -- m_axi_mm2s_aruser : out std_logic_vector(3 downto 0) ; -- m_axi_mm2s_arvalid : out std_logic ; -- m_axi_mm2s_arready : in std_logic := '0'; -- -- -- Memory Map to Stream Read Data Channel -- m_axi_mm2s_rdata : in std_logic_vector -- (C_M_AXI_MM2S_DATA_WIDTH-1 downto 0) := (others => '0'); -- m_axi_mm2s_rresp : in std_logic_vector(1 downto 0) := "00"; -- m_axi_mm2s_rlast : in std_logic := '0'; -- m_axi_mm2s_rvalid : in std_logic := '0'; -- m_axi_mm2s_rready : out std_logic ; -- -- -- Memory Map to Stream Stream Interface -- mm2s_prmry_reset_out_n : out std_logic ; -- CR573702 m_axis_mm2s_tdata : out std_logic_vector -- (C_M_AXIS_MM2S_TDATA_WIDTH-1 downto 0); -- m_axis_mm2s_tkeep : out std_logic_vector -- ((C_M_AXIS_MM2S_TDATA_WIDTH/8)-1 downto 0); -- m_axis_mm2s_tvalid : out std_logic ; -- m_axis_mm2s_tready : in std_logic := '0'; -- m_axis_mm2s_tlast : out std_logic ; -- m_axis_mm2s_tuser : out std_logic_vector (3 downto 0) ; -- m_axis_mm2s_tid : out std_logic_vector (4 downto 0) ; -- m_axis_mm2s_tdest : out std_logic_vector (4 downto 0) ; -- -- -- Memory Map to Stream Control Stream Interface -- mm2s_cntrl_reset_out_n : out std_logic ; -- CR573702 m_axis_mm2s_cntrl_tdata : out std_logic_vector -- (C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH-1 downto 0); -- m_axis_mm2s_cntrl_tkeep : out std_logic_vector -- ((C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH/8)-1 downto 0); -- m_axis_mm2s_cntrl_tvalid : out std_logic ; -- m_axis_mm2s_cntrl_tready : in std_logic := '0'; -- m_axis_mm2s_cntrl_tlast : out std_logic ; -- -- -- ----------------------------------------------------------------------- -- -- AXI S2MM Channel -- ----------------------------------------------------------------------- -- -- Stream to Memory Map Write Address Channel -- m_axi_s2mm_awaddr : out std_logic_vector -- (C_M_AXI_S2MM_ADDR_WIDTH-1 downto 0); -- m_axi_s2mm_awlen : out std_logic_vector(7 downto 0) ; -- m_axi_s2mm_awsize : out std_logic_vector(2 downto 0) ; -- m_axi_s2mm_awburst : out std_logic_vector(1 downto 0) ; -- m_axi_s2mm_awprot : out std_logic_vector(2 downto 0) ; -- m_axi_s2mm_awcache : out std_logic_vector(3 downto 0) ; -- m_axi_s2mm_awuser : out std_logic_vector(3 downto 0) ; -- m_axi_s2mm_awvalid : out std_logic ; -- m_axi_s2mm_awready : in std_logic := '0'; -- -- -- Stream to Memory Map Write Data Channel -- m_axi_s2mm_wdata : out std_logic_vector -- (C_M_AXI_S2MM_DATA_WIDTH-1 downto 0); -- m_axi_s2mm_wstrb : out std_logic_vector -- ((C_M_AXI_S2MM_DATA_WIDTH/8)-1 downto 0); -- m_axi_s2mm_wlast : out std_logic ; -- m_axi_s2mm_wvalid : out std_logic ; -- m_axi_s2mm_wready : in std_logic := '0'; -- -- -- Stream to Memory Map Write Response Channel -- m_axi_s2mm_bresp : in std_logic_vector(1 downto 0) := "00"; -- m_axi_s2mm_bvalid : in std_logic := '0'; -- m_axi_s2mm_bready : out std_logic ; -- -- -- Stream to Memory Map Steam Interface -- s2mm_prmry_reset_out_n : out std_logic ; -- CR573702 s_axis_s2mm_tdata : in std_logic_vector -- (C_S_AXIS_S2MM_TDATA_WIDTH-1 downto 0) := (others => '0'); -- s_axis_s2mm_tkeep : in std_logic_vector -- ((C_S_AXIS_S2MM_TDATA_WIDTH/8)-1 downto 0) := (others => '1'); -- s_axis_s2mm_tvalid : in std_logic := '0'; -- s_axis_s2mm_tready : out std_logic ; -- s_axis_s2mm_tlast : in std_logic := '0'; -- s_axis_s2mm_tuser : in std_logic_vector (3 downto 0) := "0000" ; -- s_axis_s2mm_tid : in std_logic_vector (4 downto 0) := "00000" ; -- s_axis_s2mm_tdest : in std_logic_vector (4 downto 0) := "00000" ; -- -- -- Stream to Memory Map Status Steam Interface -- s2mm_sts_reset_out_n : out std_logic ; -- CR573702 s_axis_s2mm_sts_tdata : in std_logic_vector -- (C_S_AXIS_S2MM_STS_TDATA_WIDTH-1 downto 0) := (others => '0'); -- s_axis_s2mm_sts_tkeep : in std_logic_vector -- ((C_S_AXIS_S2MM_STS_TDATA_WIDTH/8)-1 downto 0) := (others => '1'); -- s_axis_s2mm_sts_tvalid : in std_logic := '0'; -- s_axis_s2mm_sts_tready : out std_logic ; -- s_axis_s2mm_sts_tlast : in std_logic := '0'; -- -- -- MM2S and S2MM Channel Interrupts -- mm2s_introut : out std_logic ; -- s2mm_introut : out std_logic ; -- axi_dma_tstvec : out std_logic_vector(31 downto 0) -- ----------------------------------------------------------------------- -- Test Support for Xilinx internal use ----------------------------------------------------------------------- ); end axi_dma; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_dma is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- The FREQ are needed only for ASYNC mode, for SYNC mode these are irrelevant -- For Async, mm2s or s2mm >= sg >= lite constant C_S_AXI_LITE_ACLK_FREQ_HZ : integer := 100000000; -- AXI Lite clock frequency in hertz constant C_M_AXI_MM2S_ACLK_FREQ_HZ : integer := 100000000; -- AXI MM2S clock frequency in hertz constant C_M_AXI_S2MM_ACLK_FREQ_HZ : integer := 100000000; -- AXI S2MM clock frequency in hertz constant C_M_AXI_SG_ACLK_FREQ_HZ : integer := 100000000; -- Scatter Gather clock frequency in hertz ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_max -- -- Function Description: -- Returns the greater of two integers. -- ------------------------------------------------------------------- function funct_get_string (value_in_1 : integer) return string is Variable max_value : string (1 to 5) := "00000"; begin If (value_in_1 = 1) Then max_value := "11100"; else max_value := "11111"; End if; Return (max_value); end function funct_get_string; -- ------------------------------------------------------------------- -- -- -- -- ------------------------------------------------------------------- -- -- Function -- -- -- -- Function Name: funct_rnd2pwr_of_2 -- -- -- -- Function Description: -- -- Rounds the input value up to the nearest power of 2 between -- -- 128 and 8192. -- -- -- ------------------------------------------------------------------- -- function funct_rnd2pwr_of_2 (input_value : integer) return integer is -- -- Variable temp_pwr2 : Integer := 128; -- -- begin -- -- if (input_value <= 128) then -- -- temp_pwr2 := 128; -- -- elsif (input_value <= 256) then -- -- temp_pwr2 := 256; -- -- elsif (input_value <= 512) then -- -- temp_pwr2 := 512; -- -- elsif (input_value <= 1024) then -- -- temp_pwr2 := 1024; -- -- elsif (input_value <= 2048) then -- -- temp_pwr2 := 2048; -- -- elsif (input_value <= 4096) then -- -- temp_pwr2 := 4096; -- -- else -- -- temp_pwr2 := 8192; -- -- end if; -- -- -- Return (temp_pwr2); -- -- end function funct_rnd2pwr_of_2; -- ------------------------------------------------------------------- -- -- -- -- -- ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- Constant SOFT_RST_TIME_CLKS : integer := 8; -- Specifies the time of the soft reset assertion in -- m_axi_aclk clock periods. constant skid_enable : string := (funct_get_string(0)); -- Calculates the minimum needed depth of the CDMA Store and Forward FIFO -- Constant PIPEDEPTH_BURST_LEN_PROD : integer := -- (funct_get_max(4, 4)+2) -- * C_M_AXI_MAX_BURST_LEN; -- -- -- Assigns the depth of the CDMA Store and Forward FIFO to the nearest -- -- power of 2 -- Constant SF_FIFO_DEPTH : integer range 128 to 8192 := -- funct_rnd2pwr_of_2(PIPEDEPTH_BURST_LEN_PROD); -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- Scatter Gather Engine Configuration -- Number of Fetch Descriptors to Queue constant MCDMA : integer := (1 - C_ENABLE_MULTI_CHANNEL); constant DESC_QUEUE : integer := (1*MCDMA); constant STSCNTRL_ENABLE : integer := (C_SG_INCLUDE_STSCNTRL_STRM*MCDMA); constant APPLENGTH_ENABLE : integer := (C_SG_USE_STSAPP_LENGTH*MCDMA); constant C_SG_LENGTH_WIDTH_INT : integer := (C_SG_LENGTH_WIDTH*MCDMA + 23*C_ENABLE_MULTI_CHANNEL); -- Comment the foll 2 line to disable queuing for McDMA and uncomment the 3rd and 4th lines --constant SG_FTCH_DESC2QUEUE : integer := ((DESC_QUEUE * 4)*MCDMA + (2*C_ENABLE_MULTI_CHANNEL)) * C_SG_INCLUDE_DESC_QUEUE; -- Number of Update Descriptors to Queue --constant SG_UPDT_DESC2QUEUE : integer := ((DESC_QUEUE * 4)*MCDMA + (2*C_ENABLE_MULTI_CHANNEL)) * C_SG_INCLUDE_DESC_QUEUE; constant SG_FTCH_DESC2QUEUE : integer := ((DESC_QUEUE * 4)*MCDMA + (2*C_ENABLE_MULTI_CHANNEL)) * DESC_QUEUE; -- Number of Update Descriptors to Queue constant SG_UPDT_DESC2QUEUE : integer := ((DESC_QUEUE * 4)*MCDMA + (2*C_ENABLE_MULTI_CHANNEL)) * DESC_QUEUE; -- Number of fetch words per descriptor for channel 1 (MM2S) constant SG_CH1_WORDS_TO_FETCH : integer := 8 + (5 * STSCNTRL_ENABLE); -- Number of fetch words per descriptor for channel 2 (S2MM) constant SG_CH2_WORDS_TO_FETCH : integer := 8; -- Only need to fetch 1st 8wrds for s2mm -- Number of update words per descriptor for channel 1 (MM2S) constant SG_CH1_WORDS_TO_UPDATE : integer := 1; -- Only status needs update for mm2s -- Number of update words per descriptor for channel 2 (S2MM) constant SG_CH2_WORDS_TO_UPDATE : integer := 1 + (5 * STSCNTRL_ENABLE); -- First word offset (referenced to descriptor beginning) to update for channel 1 (MM2S) constant SG_CH1_FIRST_UPDATE_WORD : integer := 7; -- status word in descriptor -- First word offset (referenced to descriptor beginning) to update for channel 2 (MM2S) constant SG_CH2_FIRST_UPDATE_WORD : integer := 7; -- status word in descriptor -- Enable stale descriptor check for channel 1 constant SG_CH1_ENBL_STALE_ERROR : integer := 1; -- Enable stale descriptor check for channel 2 constant SG_CH2_ENBL_STALE_ERROR : integer := 1; -- Width of descriptor fetch bus constant M_AXIS_SG_TDATA_WIDTH : integer := 32; -- Width of descriptor update pointer bus constant S_AXIS_UPDPTR_TDATA_WIDTH : integer := 32; -- Width of descriptor update status bus constant S_AXIS_UPDSTS_TDATA_WIDTH : integer := 33; -- IOC (1 bit) & DescStatus (32 bits) -- Include SG Descriptor Updates constant INCLUDE_DESC_UPDATE : integer := 1; -- Include SG Interrupt Logic constant INCLUDE_INTRPT : integer := 1; -- Include SG Delay Interrupt constant INCLUDE_DLYTMR : integer := 1; -- Primary DataMover Configuration -- DataMover Command / Status FIFO Depth -- Note :Set maximum to the number of update descriptors to queue, to prevent lock up do to -- update data fifo full before --constant DM_CMDSTS_FIFO_DEPTH : integer := 1*C_ENABLE_MULTI_CHANNEL + (max2(1,SG_UPDT_DESC2QUEUE))*MCDMA; constant DM_CMDSTS_FIFO_DEPTH : integer := max2(1,SG_UPDT_DESC2QUEUE); constant DM_CMDSTS_FIFO_DEPTH_1 : integer := ((1-C_PRMRY_IS_ACLK_ASYNC)+C_PRMRY_IS_ACLK_ASYNC*DM_CMDSTS_FIFO_DEPTH); -- DataMover Include Status FIFO constant DM_INCLUDE_STS_FIFO : integer := 1; -- Enable indeterminate BTT on datamover when stscntrl stream not included or -- when use status app rx length is not enable or when in Simple DMA mode. constant DM_SUPPORT_INDET_BTT : integer := 1 - (STSCNTRL_ENABLE * APPLENGTH_ENABLE * C_INCLUDE_SG) - C_MICRO_DMA; -- Indterminate BTT Mode additional status vector width constant INDETBTT_ADDED_STS_WIDTH : integer := 24; -- Base status vector width constant BASE_STATUS_WIDTH : integer := 8; -- DataMover status width - is based on mode of operation constant DM_STATUS_WIDTH : integer := BASE_STATUS_WIDTH + (DM_SUPPORT_INDET_BTT * INDETBTT_ADDED_STS_WIDTH); -- DataMover outstanding address request fifo depth constant DM_ADDR_PIPE_DEPTH : integer := 1; -- AXI DataMover Full mode value constant AXI_FULL_MODE : integer := 1; -- AXI DataMover mode for MM2S Channel (0 if channel not included) constant MM2S_AXI_FULL_MODE : integer := (C_INCLUDE_MM2S) * AXI_FULL_MODE + C_MICRO_DMA*C_INCLUDE_MM2S; -- AXI DataMover mode for S2MM Channel (0 if channel not included) constant S2MM_AXI_FULL_MODE : integer := (C_INCLUDE_S2MM) * AXI_FULL_MODE + C_MICRO_DMA*C_INCLUDE_S2MM; -- Minimum value required for length width based on burst size and stream dwidth -- If user sets c_sg_length_width too small based on setting of burst size and -- dwidth then this will reset the width to a larger mimimum requirement. constant DM_BTT_LENGTH_WIDTH : integer := max2((required_btt_width(C_M_AXIS_MM2S_TDATA_WIDTH, C_MM2S_BURST_SIZE, C_SG_LENGTH_WIDTH_INT)*C_INCLUDE_MM2S), (required_btt_width(C_S_AXIS_S2MM_TDATA_WIDTH, C_S2MM_BURST_SIZE, C_SG_LENGTH_WIDTH_INT)*C_INCLUDE_S2MM)); -- Enable store and forward on datamover if data widths are mismatched (allows upsizers -- to be instantiated) or when enabled by user. constant DM_MM2S_INCLUDE_SF : integer := enable_snf(C_INCLUDE_MM2S_SF, C_M_AXI_MM2S_DATA_WIDTH, C_M_AXIS_MM2S_TDATA_WIDTH); -- Enable store and forward on datamover if data widths are mismatched (allows upsizers -- to be instantiated) or when enabled by user. constant DM_S2MM_INCLUDE_SF : integer := enable_snf(C_INCLUDE_S2MM_SF, C_M_AXI_S2MM_DATA_WIDTH, C_S_AXIS_S2MM_TDATA_WIDTH); -- Always allow datamover address requests constant ALWAYS_ALLOW : std_logic := '1'; -- Return correct freq_hz parameter depending on if sg engine is included constant M_AXI_SG_ACLK_FREQ_HZ :integer := hertz_prmtr_select(C_INCLUDE_SG, C_S_AXI_LITE_ACLK_FREQ_HZ, C_M_AXI_SG_ACLK_FREQ_HZ); -- Scatter / Gather is always configure for synchronous operation for AXI DMA constant SG_IS_SYNCHRONOUS : integer := 0; ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal axi_lite_aclk : std_logic := '1'; signal axi_sg_aclk : std_logic := '1'; signal m_axi_sg_aresetn : std_logic := '1'; -- SG Reset on sg aclk domain (Soft/Hard) signal dm_m_axi_sg_aresetn : std_logic := '1'; -- SG Reset on sg aclk domain (Soft/Hard) (Raw) signal m_axi_mm2s_aresetn : std_logic := '1'; -- MM2S Channel Reset on s2mm aclk domain (Soft/Hard)(Raw) signal m_axi_s2mm_aresetn : std_logic := '1'; -- S2MM Channel Reset on s2mm aclk domain (Soft/Hard)(Raw) signal mm2s_scndry_resetn : std_logic := '1'; -- MM2S Channel Reset on sg aclk domain (Soft/Hard) signal s2mm_scndry_resetn : std_logic := '1'; -- S2MM Channel Reset on sg aclk domain (Soft/Hard) signal mm2s_prmry_resetn : std_logic := '1'; -- MM2S Channel Reset on s2mm aclk domain (Soft/Hard) signal s2mm_prmry_resetn : std_logic := '1'; -- S2MM Channel Reset on s2mm aclk domain (Soft/Hard) signal axi_lite_reset_n : std_logic := '1'; -- AXI Lite Interface Reset (Hard Only) signal m_axi_sg_hrdresetn : std_logic := '1'; -- AXI Lite Interface Reset on SG clock domain (Hard Only) signal dm_mm2s_scndry_resetn : std_logic := '1'; -- MM2S Channel Reset on sg domain (Soft/Hard)(Raw) signal dm_s2mm_scndry_resetn : std_logic := '1'; -- S2MM Channel Reset on sg domain (Soft/Hard)(Raw) -- Register Module Signals signal mm2s_halted_clr : std_logic := '0'; signal mm2s_halted_set : std_logic := '0'; signal mm2s_idle_set : std_logic := '0'; signal mm2s_idle_clr : std_logic := '0'; signal mm2s_dma_interr_set : std_logic := '0'; signal mm2s_dma_slverr_set : std_logic := '0'; signal mm2s_dma_decerr_set : std_logic := '0'; signal mm2s_ioc_irq_set : std_logic := '0'; signal mm2s_dly_irq_set : std_logic := '0'; signal mm2s_irqdelay_status : std_logic_vector(7 downto 0) := (others => '0'); signal mm2s_irqthresh_status : std_logic_vector(7 downto 0) := (others => '0'); signal mm2s_new_curdesc_wren : std_logic := '0'; signal mm2s_new_curdesc : std_logic_vector(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal mm2s_tailpntr_updated : std_logic := '0'; signal mm2s_dmacr : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal mm2s_dmasr : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal mm2s_curdesc : std_logic_vector(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal mm2s_taildesc : std_logic_vector(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal mm2s_sa : std_logic_vector(C_M_AXI_MM2S_ADDR_WIDTH-1 downto 0) := (others => '0'); signal mm2s_length : std_logic_vector(C_SG_LENGTH_WIDTH_INT-1 downto 0) := (others => '0'); signal mm2s_length_wren : std_logic := '0'; signal mm2s_smpl_interr_set : std_logic := '0'; signal mm2s_smpl_slverr_set : std_logic := '0'; signal mm2s_smpl_decerr_set : std_logic := '0'; signal mm2s_smpl_done : std_logic := '0'; signal mm2s_packet_sof : std_logic := '0'; signal mm2s_packet_eof : std_logic := '0'; signal mm2s_all_idle : std_logic := '0'; signal mm2s_error : std_logic := '0'; signal mm2s_dlyirq_dsble : std_logic := '0'; -- CR605888 signal s2mm_halted_clr : std_logic := '0'; signal s2mm_halted_set : std_logic := '0'; signal s2mm_idle_set : std_logic := '0'; signal s2mm_idle_clr : std_logic := '0'; signal s2mm_dma_interr_set : std_logic := '0'; signal s2mm_dma_slverr_set : std_logic := '0'; signal s2mm_dma_decerr_set : std_logic := '0'; signal s2mm_ioc_irq_set : std_logic := '0'; signal s2mm_dly_irq_set : std_logic := '0'; signal s2mm_irqdelay_status : std_logic_vector(7 downto 0) := (others => '0'); signal s2mm_irqthresh_status : std_logic_vector(7 downto 0) := (others => '0'); signal s2mm_new_curdesc_wren : std_logic := '0'; signal s2mm_new_curdesc : std_logic_vector(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal s2mm_tailpntr_updated : std_logic := '0'; signal s2mm_dmacr : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_dmasr : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc : std_logic_vector(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc : std_logic_vector(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal s2mm_da : std_logic_vector(C_M_AXI_S2MM_ADDR_WIDTH-1 downto 0) := (others => '0'); signal s2mm_length : std_logic_vector(C_SG_LENGTH_WIDTH_INT-1 downto 0) := (others => '0'); signal s2mm_length_wren : std_logic := '0'; signal s2mm_bytes_rcvd : std_logic_vector(C_SG_LENGTH_WIDTH_INT-1 downto 0) := (others => '0'); signal s2mm_bytes_rcvd_wren : std_logic := '0'; signal s2mm_smpl_interr_set : std_logic := '0'; signal s2mm_smpl_slverr_set : std_logic := '0'; signal s2mm_smpl_decerr_set : std_logic := '0'; signal s2mm_smpl_done : std_logic := '0'; signal s2mm_packet_sof : std_logic := '0'; signal s2mm_packet_eof : std_logic := '0'; signal s2mm_all_idle : std_logic := '0'; signal s2mm_error : std_logic := '0'; signal s2mm_dlyirq_dsble : std_logic := '0'; -- CR605888 signal mm2s_stop : std_logic := '0'; signal s2mm_stop : std_logic := '0'; signal ftch_error : std_logic := '0'; signal ftch_error_addr : std_logic_vector(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal updt_error : std_logic := '0'; signal updt_error_addr : std_logic_vector(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); --********************************* -- MM2S Signals --********************************* -- MM2S DMA Controller Signals signal mm2s_desc_flush : std_logic := '0'; signal mm2s_ftch_idle : std_logic := '0'; signal mm2s_updt_idle : std_logic := '0'; signal mm2s_updt_ioc_irq_set : std_logic := '0'; signal mm2s_irqthresh_wren : std_logic := '0'; signal mm2s_irqdelay_wren : std_logic := '0'; signal mm2s_irqthresh_rstdsbl : std_logic := '0'; -- CR572013 -- SG MM2S Descriptor Fetch AXI Stream IN signal m_axis_mm2s_ftch_tdata_new : std_logic_vector(96 downto 0) := (others => '0'); signal m_axis_mm2s_ftch_tdata_mcdma_new : std_logic_vector(63 downto 0) := (others => '0'); signal m_axis_mm2s_ftch_tvalid_new : std_logic := '0'; signal m_axis_mm2s_ftch_tdata : std_logic_vector(M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0'); signal m_axis_mm2s_ftch_tvalid : std_logic := '0'; signal m_axis_mm2s_ftch_tready : std_logic := '0'; signal m_axis_mm2s_ftch_tlast : std_logic := '0'; -- SG MM2S Descriptor Update AXI Stream Out signal s_axis_mm2s_updtptr_tdata : std_logic_vector(S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0) := (others => '0'); signal s_axis_mm2s_updtptr_tvalid : std_logic := '0'; signal s_axis_mm2s_updtptr_tready : std_logic := '0'; signal s_axis_mm2s_updtptr_tlast : std_logic := '0'; signal s_axis_mm2s_updtsts_tdata : std_logic_vector(S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0) := (others => '0'); signal s_axis_mm2s_updtsts_tvalid : std_logic := '0'; signal s_axis_mm2s_updtsts_tready : std_logic := '0'; signal s_axis_mm2s_updtsts_tlast : std_logic := '0'; -- DataMover MM2S Command Stream Signals signal s_axis_mm2s_cmd_tvalid_split : std_logic := '0'; signal s_axis_mm2s_cmd_tready_split : std_logic := '0'; signal s_axis_mm2s_cmd_tdata_split : std_logic_vector ((2*C_M_AXI_MM2S_ADDR_WIDTH+CMD_BASE_WIDTH+46)-1 downto 0) := (others => '0'); signal s_axis_s2mm_cmd_tvalid_split : std_logic := '0'; signal s_axis_s2mm_cmd_tready_split : std_logic := '0'; signal s_axis_s2mm_cmd_tdata_split : std_logic_vector ((2*C_M_AXI_MM2S_ADDR_WIDTH+CMD_BASE_WIDTH+46)-1 downto 0) := (others => '0'); signal s_axis_mm2s_cmd_tvalid : std_logic := '0'; signal s_axis_mm2s_cmd_tready : std_logic := '0'; signal s_axis_mm2s_cmd_tdata : std_logic_vector ((C_M_AXI_MM2S_ADDR_WIDTH+CMD_BASE_WIDTH+(8*C_ENABLE_MULTI_CHANNEL))-1 downto 0) := (others => '0'); -- DataMover MM2S Status Stream Signals signal m_axis_mm2s_sts_tvalid : std_logic := '0'; signal m_axis_mm2s_sts_tvalid_int : std_logic := '0'; signal m_axis_mm2s_sts_tready : std_logic := '0'; signal m_axis_mm2s_sts_tdata : std_logic_vector(7 downto 0) := (others => '0'); signal m_axis_mm2s_sts_tdata_int : std_logic_vector(7 downto 0) := (others => '0'); signal m_axis_mm2s_sts_tkeep : std_logic_vector(0 downto 0) := (others => '0'); signal mm2s_err : std_logic := '0'; signal mm2s_halt : std_logic := '0'; signal mm2s_halt_cmplt : std_logic := '0'; -- S2MM DMA Controller Signals signal s2mm_desc_flush : std_logic := '0'; signal s2mm_ftch_idle : std_logic := '0'; signal s2mm_updt_idle : std_logic := '0'; signal s2mm_updt_ioc_irq_set : std_logic := '0'; signal s2mm_irqthresh_wren : std_logic := '0'; signal s2mm_irqdelay_wren : std_logic := '0'; signal s2mm_irqthresh_rstdsbl : std_logic := '0'; -- CR572013 -- SG S2MM Descriptor Fetch AXI Stream IN signal m_axis_s2mm_ftch_tdata_new : std_logic_vector(96 downto 0) := (others => '0'); signal m_axis_s2mm_ftch_tdata_mcdma_new : std_logic_vector(63 downto 0) := (others => '0'); signal m_axis_s2mm_ftch_tdata_mcdma_nxt : std_logic_vector(31 downto 0) := (others => '0'); signal m_axis_s2mm_ftch_tvalid_new : std_logic := '0'; signal m_axis_ftch2_desc_available, m_axis_ftch1_desc_available : std_logic; signal m_axis_s2mm_ftch_tdata : std_logic_vector(M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0'); signal m_axis_s2mm_ftch_tvalid : std_logic := '0'; signal m_axis_s2mm_ftch_tready : std_logic := '0'; signal m_axis_s2mm_ftch_tlast : std_logic := '0'; signal mm2s_axis_info : std_logic_vector(13 downto 0) := (others => '0'); -- SG S2MM Descriptor Update AXI Stream Out signal s_axis_s2mm_updtptr_tdata : std_logic_vector(S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0) := (others => '0'); signal s_axis_s2mm_updtptr_tvalid : std_logic := '0'; signal s_axis_s2mm_updtptr_tready : std_logic := '0'; signal s_axis_s2mm_updtptr_tlast : std_logic := '0'; signal s_axis_s2mm_updtsts_tdata : std_logic_vector(S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0) := (others => '0'); signal s_axis_s2mm_updtsts_tvalid : std_logic := '0'; signal s_axis_s2mm_updtsts_tready : std_logic := '0'; signal s_axis_s2mm_updtsts_tlast : std_logic := '0'; -- DataMover S2MM Command Stream Signals signal s_axis_s2mm_cmd_tvalid : std_logic := '0'; signal s_axis_s2mm_cmd_tready : std_logic := '0'; signal s_axis_s2mm_cmd_tdata : std_logic_vector ((C_M_AXI_S2MM_ADDR_WIDTH+CMD_BASE_WIDTH+(8*C_ENABLE_MULTI_CHANNEL))-1 downto 0) := (others => '0'); -- DataMover S2MM Status Stream Signals signal m_axis_s2mm_sts_tvalid : std_logic := '0'; signal m_axis_s2mm_sts_tvalid_int : std_logic := '0'; signal m_axis_s2mm_sts_tready : std_logic := '0'; signal m_axis_s2mm_sts_tdata : std_logic_vector(DM_STATUS_WIDTH - 1 downto 0) := (others => '0'); signal m_axis_s2mm_sts_tdata_int : std_logic_vector(DM_STATUS_WIDTH - 1 downto 0) := (others => '0'); signal m_axis_s2mm_sts_tkeep : std_logic_vector((DM_STATUS_WIDTH/8)-1 downto 0) := (others => '0'); signal s2mm_err : std_logic := '0'; signal s2mm_halt : std_logic := '0'; signal s2mm_halt_cmplt : std_logic := '0'; -- Error Status Control signal mm2s_ftch_interr_set : std_logic := '0'; signal mm2s_ftch_slverr_set : std_logic := '0'; signal mm2s_ftch_decerr_set : std_logic := '0'; signal mm2s_updt_interr_set : std_logic := '0'; signal mm2s_updt_slverr_set : std_logic := '0'; signal mm2s_updt_decerr_set : std_logic := '0'; signal mm2s_ftch_err_early : std_logic := '0'; signal mm2s_ftch_stale_desc : std_logic := '0'; signal s2mm_updt_interr_set : std_logic := '0'; signal s2mm_updt_slverr_set : std_logic := '0'; signal s2mm_updt_decerr_set : std_logic := '0'; signal s2mm_ftch_interr_set : std_logic := '0'; signal s2mm_ftch_slverr_set : std_logic := '0'; signal s2mm_ftch_decerr_set : std_logic := '0'; signal s2mm_ftch_err_early : std_logic := '0'; signal s2mm_ftch_stale_desc : std_logic := '0'; signal soft_reset_clr : std_logic := '0'; signal soft_reset : std_logic := '0'; signal s_axis_s2mm_tready_i : std_logic := '0'; signal s_axis_s2mm_tready_int : std_logic := '0'; signal m_axis_mm2s_tlast_i : std_logic := '0'; signal m_axis_mm2s_tlast_i_user : std_logic := '0'; signal m_axis_mm2s_tvalid_i : std_logic := '0'; signal sg_ctl : std_logic_vector (7 downto 0); signal s_axis_s2mm_tvalid_int : std_logic; signal s_axis_s2mm_tlast_int : std_logic; signal tdest_out_int : std_logic_vector (6 downto 0); signal same_tdest : std_logic; signal s2mm_eof_s2mm : std_logic; signal ch2_update_active : std_logic; signal s2mm_desc_info_in : std_logic_vector (13 downto 0); signal m_axis_mm2s_tlast_i_mcdma : std_logic; signal s2mm_run_stop_del : std_logic; signal s2mm_desc_flush_del : std_logic; signal s2mm_tvalid_latch : std_logic; signal s2mm_tvalid_latch_del : std_logic; signal clock_splt : std_logic; signal clock_splt_s2mm : std_logic; signal updt_cmpt : std_logic; signal cmpt_updt : std_logic_vector (1 downto 0); signal reset1, reset2 : std_logic; signal mm2s_cntrl_strm_stop : std_logic; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin -- AXI DMA Test Vector (For Xilinx Internal Use Only) axi_dma_tstvec(31 downto 6) <= (others => '0'); axi_dma_tstvec(5) <= s2mm_updt_ioc_irq_set; axi_dma_tstvec(4) <= mm2s_updt_ioc_irq_set; axi_dma_tstvec(3) <= s2mm_packet_eof; axi_dma_tstvec(2) <= s2mm_packet_sof; axi_dma_tstvec(1) <= mm2s_packet_eof; axi_dma_tstvec(0) <= mm2s_packet_sof; -- Primary MM2S Stream outputs (used internally to gen eof and sof for -- interrupt coalescing m_axis_mm2s_tlast <= m_axis_mm2s_tlast_i; m_axis_mm2s_tvalid <= m_axis_mm2s_tvalid_i; -- Primary S2MM Stream output (used internally to gen eof and sof for -- interrupt coalescing s_axis_s2mm_tready <= s_axis_s2mm_tready_i; GEN_INCLUDE_SG : if C_INCLUDE_SG = 1 generate axi_lite_aclk <= s_axi_lite_aclk; axi_sg_aclk <= m_axi_sg_aclk; end generate GEN_INCLUDE_SG; GEN_EXCLUDE_SG : if C_INCLUDE_SG = 0 generate axi_lite_aclk <= s_axi_lite_aclk; axi_sg_aclk <= s_axi_lite_aclk; end generate GEN_EXCLUDE_SG; ------------------------------------------------------------------------------- -- AXI DMA Reset Module ------------------------------------------------------------------------------- I_RST_MODULE : entity axi_dma_v7_1.axi_dma_rst_module generic map( C_INCLUDE_MM2S => C_INCLUDE_MM2S , C_INCLUDE_S2MM => C_INCLUDE_S2MM , C_PRMRY_IS_ACLK_ASYNC => C_PRMRY_IS_ACLK_ASYNC , C_M_AXI_MM2S_ACLK_FREQ_HZ => C_M_AXI_MM2S_ACLK_FREQ_HZ , C_M_AXI_S2MM_ACLK_FREQ_HZ => C_M_AXI_S2MM_ACLK_FREQ_HZ , C_M_AXI_SG_ACLK_FREQ_HZ => M_AXI_SG_ACLK_FREQ_HZ , C_SG_INCLUDE_STSCNTRL_STRM => STSCNTRL_ENABLE , C_INCLUDE_SG => C_INCLUDE_SG ) port map( -- Clock Sources s_axi_lite_aclk => axi_lite_aclk , m_axi_sg_aclk => axi_sg_aclk , m_axi_mm2s_aclk => m_axi_mm2s_aclk , m_axi_s2mm_aclk => m_axi_s2mm_aclk , ----------------------------------------------------------------------- -- Hard Reset ----------------------------------------------------------------------- axi_resetn => axi_resetn , ----------------------------------------------------------------------- -- Soft Reset ----------------------------------------------------------------------- soft_reset => soft_reset , soft_reset_clr => soft_reset_clr , mm2s_stop => mm2s_stop , mm2s_all_idle => mm2s_all_idle , mm2s_halt => mm2s_halt , mm2s_halt_cmplt => mm2s_halt_cmplt , s2mm_stop => s2mm_stop , s2mm_all_idle => s2mm_all_idle , s2mm_halt => s2mm_halt , s2mm_halt_cmplt => s2mm_halt_cmplt , ----------------------------------------------------------------------- -- MM2S Distributed Reset Out (m_axi_mm2s_aclk) ----------------------------------------------------------------------- dm_mm2s_prmry_resetn => m_axi_mm2s_aresetn , -- AXI DataMover Primary Reset (Raw) dm_mm2s_scndry_resetn => dm_mm2s_scndry_resetn , -- AXI DataMover Secondary Reset (Raw) mm2s_prmry_reset_out_n => mm2s_prmry_reset_out_n , -- AXI Stream Primary Reset Outputs mm2s_cntrl_reset_out_n => mm2s_cntrl_reset_out_n , -- AXI Stream Control Reset Outputs mm2s_scndry_resetn => mm2s_scndry_resetn , -- AXI Secondary Reset mm2s_prmry_resetn => mm2s_prmry_resetn , -- AXI Primary Reset ----------------------------------------------------------------------- -- S2MM Distributed Reset Out (m_axi_s2mm_aclk) ----------------------------------------------------------------------- dm_s2mm_prmry_resetn => m_axi_s2mm_aresetn , -- AXI DataMover Primary Reset (Raw) dm_s2mm_scndry_resetn => dm_s2mm_scndry_resetn , -- AXI DataMover Secondary Reset (Raw) s2mm_prmry_reset_out_n => s2mm_prmry_reset_out_n , -- AXI Stream Primary Reset Outputs s2mm_sts_reset_out_n => s2mm_sts_reset_out_n , -- AXI Stream Control Reset Outputs s2mm_scndry_resetn => s2mm_scndry_resetn , -- AXI Secondary Reset s2mm_prmry_resetn => s2mm_prmry_resetn , -- AXI Primary Reset ----------------------------------------------------------------------- -- Scatter Gather Distributed Reset Out (m_axi_sg_aclk) ----------------------------------------------------------------------- m_axi_sg_aresetn => m_axi_sg_aresetn , -- AXI Scatter Gather Reset Out dm_m_axi_sg_aresetn => dm_m_axi_sg_aresetn , -- AXI Scatter Gather Datamover Reset Out ----------------------------------------------------------------------- -- Hard Reset Out (s_axi_lite_aclk) ----------------------------------------------------------------------- m_axi_sg_hrdresetn => m_axi_sg_hrdresetn , -- AXI Lite Ingerface (sg aclk) (Hard Only) s_axi_lite_resetn => axi_lite_reset_n -- AXI Lite Interface reset (Hard Only) ); ------------------------------------------------------------------------------- -- AXI DMA Register Module ------------------------------------------------------------------------------- I_AXI_DMA_REG_MODULE : entity axi_dma_v7_1.axi_dma_reg_module generic map( C_INCLUDE_MM2S => C_INCLUDE_MM2S , C_INCLUDE_S2MM => C_INCLUDE_S2MM , C_INCLUDE_SG => C_INCLUDE_SG , C_SG_LENGTH_WIDTH => C_SG_LENGTH_WIDTH_INT , C_AXI_LITE_IS_ASYNC => C_PRMRY_IS_ACLK_ASYNC , C_S_AXI_LITE_ADDR_WIDTH => C_S_AXI_LITE_ADDR_WIDTH , C_S_AXI_LITE_DATA_WIDTH => C_S_AXI_LITE_DATA_WIDTH , C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_M_AXI_MM2S_ADDR_WIDTH => C_M_AXI_MM2S_ADDR_WIDTH , C_NUM_S2MM_CHANNELS => C_NUM_S2MM_CHANNELS , C_M_AXI_S2MM_ADDR_WIDTH => C_M_AXI_S2MM_ADDR_WIDTH , C_MICRO_DMA => C_MICRO_DMA , C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL ) port map( ----------------------------------------------------------------------- -- AXI Lite Control Interface ----------------------------------------------------------------------- s_axi_lite_aclk => axi_lite_aclk , axi_lite_reset_n => axi_lite_reset_n , m_axi_sg_aclk => axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , m_axi_sg_hrdresetn => m_axi_sg_hrdresetn , -- AXI Lite Write Address Channel s_axi_lite_awvalid => s_axi_lite_awvalid , s_axi_lite_awready => s_axi_lite_awready , s_axi_lite_awaddr => s_axi_lite_awaddr , -- AXI Lite Write Data Channel s_axi_lite_wvalid => s_axi_lite_wvalid , s_axi_lite_wready => s_axi_lite_wready , s_axi_lite_wdata => s_axi_lite_wdata , -- AXI Lite Write Response Channel s_axi_lite_bresp => s_axi_lite_bresp , s_axi_lite_bvalid => s_axi_lite_bvalid , s_axi_lite_bready => s_axi_lite_bready , -- AXI Lite Read Address Channel s_axi_lite_arvalid => s_axi_lite_arvalid , s_axi_lite_arready => s_axi_lite_arready , s_axi_lite_araddr => s_axi_lite_araddr , s_axi_lite_rvalid => s_axi_lite_rvalid , s_axi_lite_rready => s_axi_lite_rready , s_axi_lite_rdata => s_axi_lite_rdata , s_axi_lite_rresp => s_axi_lite_rresp , -- MM2S DMASR Status mm2s_stop => mm2s_stop , mm2s_halted_clr => mm2s_halted_clr , mm2s_halted_set => mm2s_halted_set , mm2s_idle_set => mm2s_idle_set , mm2s_idle_clr => mm2s_idle_clr , mm2s_dma_interr_set => mm2s_dma_interr_set , mm2s_dma_slverr_set => mm2s_dma_slverr_set , mm2s_dma_decerr_set => mm2s_dma_decerr_set , mm2s_ioc_irq_set => mm2s_ioc_irq_set , mm2s_dly_irq_set => mm2s_dly_irq_set , mm2s_irqthresh_wren => mm2s_irqthresh_wren , mm2s_irqdelay_wren => mm2s_irqdelay_wren , mm2s_irqthresh_rstdsbl => mm2s_irqthresh_rstdsbl , -- CR572013 mm2s_irqdelay_status => mm2s_irqdelay_status , mm2s_irqthresh_status => mm2s_irqthresh_status , mm2s_dlyirq_dsble => mm2s_dlyirq_dsble , -- CR605888 mm2s_ftch_interr_set => mm2s_ftch_interr_set , mm2s_ftch_slverr_set => mm2s_ftch_slverr_set , mm2s_ftch_decerr_set => mm2s_ftch_decerr_set , mm2s_updt_interr_set => mm2s_updt_interr_set , mm2s_updt_slverr_set => mm2s_updt_slverr_set , mm2s_updt_decerr_set => mm2s_updt_decerr_set , -- MM2S CURDESC Update mm2s_new_curdesc_wren => mm2s_new_curdesc_wren , mm2s_new_curdesc => mm2s_new_curdesc , -- MM2S TAILDESC Update mm2s_tailpntr_updated => mm2s_tailpntr_updated , -- MM2S Registers mm2s_dmacr => mm2s_dmacr , mm2s_dmasr => mm2s_dmasr , mm2s_curdesc => mm2s_curdesc , mm2s_taildesc => mm2s_taildesc , mm2s_sa => mm2s_sa , mm2s_length => mm2s_length , mm2s_length_wren => mm2s_length_wren , s2mm_sof => s2mm_packet_sof , s2mm_eof => s2mm_packet_eof , -- S2MM DMASR Status s2mm_stop => s2mm_stop , s2mm_halted_clr => s2mm_halted_clr , s2mm_halted_set => s2mm_halted_set , s2mm_idle_set => s2mm_idle_set , s2mm_idle_clr => s2mm_idle_clr , s2mm_dma_interr_set => s2mm_dma_interr_set , s2mm_dma_slverr_set => s2mm_dma_slverr_set , s2mm_dma_decerr_set => s2mm_dma_decerr_set , s2mm_ioc_irq_set => s2mm_ioc_irq_set , s2mm_dly_irq_set => s2mm_dly_irq_set , s2mm_irqthresh_wren => s2mm_irqthresh_wren , s2mm_irqdelay_wren => s2mm_irqdelay_wren , s2mm_irqthresh_rstdsbl => s2mm_irqthresh_rstdsbl , -- CR572013 s2mm_irqdelay_status => s2mm_irqdelay_status , s2mm_irqthresh_status => s2mm_irqthresh_status , s2mm_dlyirq_dsble => s2mm_dlyirq_dsble , -- CR605888 s2mm_ftch_interr_set => s2mm_ftch_interr_set , s2mm_ftch_slverr_set => s2mm_ftch_slverr_set , s2mm_ftch_decerr_set => s2mm_ftch_decerr_set , s2mm_updt_interr_set => s2mm_updt_interr_set , s2mm_updt_slverr_set => s2mm_updt_slverr_set , s2mm_updt_decerr_set => s2mm_updt_decerr_set , -- MM2S CURDESC Update s2mm_new_curdesc_wren => s2mm_new_curdesc_wren , s2mm_new_curdesc => s2mm_new_curdesc , s2mm_tvalid => s_axis_s2mm_tvalid , s2mm_tvalid_latch => s2mm_tvalid_latch , s2mm_tvalid_latch_del => s2mm_tvalid_latch_del , -- MM2S TAILDESC Update s2mm_tailpntr_updated => s2mm_tailpntr_updated , -- S2MM Registers s2mm_dmacr => s2mm_dmacr , s2mm_dmasr => s2mm_dmasr , s2mm_curdesc => s2mm_curdesc , s2mm_taildesc => s2mm_taildesc , s2mm_da => s2mm_da , s2mm_length => s2mm_length , s2mm_length_wren => s2mm_length_wren , s2mm_bytes_rcvd => s2mm_bytes_rcvd , s2mm_bytes_rcvd_wren => s2mm_bytes_rcvd_wren , tdest_in => tdest_out_int, --s_axis_s2mm_tdest , same_tdest_in => same_tdest, sg_ctl => sg_ctl , -- Soft reset and clear soft_reset => soft_reset , soft_reset_clr => soft_reset_clr , -- Fetch/Update error addresses ftch_error_addr => ftch_error_addr , updt_error_addr => updt_error_addr , -- DMA Interrupt Outputs mm2s_introut => mm2s_introut , s2mm_introut => s2mm_introut ); ------------------------------------------------------------------------------- -- Scatter Gather Mode (C_INCLUDE_SG = 1) ------------------------------------------------------------------------------- GEN_SG_ENGINE : if C_INCLUDE_SG = 1 generate begin -- reset1 <= dm_m_axi_sg_aresetn and s2mm_tvalid_latch; -- reset2 <= m_axi_sg_aresetn and s2mm_tvalid_latch; s2mm_run_stop_del <= s2mm_tvalid_latch_del and s2mm_dmacr(DMACR_RS_BIT); -- s2mm_run_stop_del <= (not (updt_cmpt)) and s2mm_dmacr(DMACR_RS_BIT); s2mm_desc_flush_del <= s2mm_desc_flush or (not s2mm_tvalid_latch); -- Scatter Gather Engine I_SG_ENGINE : entity axi_sg_v4_1.axi_sg generic map( C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_M_AXI_SG_DATA_WIDTH => C_M_AXI_SG_DATA_WIDTH , C_M_AXIS_SG_TDATA_WIDTH => M_AXIS_SG_TDATA_WIDTH , C_S_AXIS_UPDPTR_TDATA_WIDTH => S_AXIS_UPDPTR_TDATA_WIDTH , C_S_AXIS_UPDSTS_TDATA_WIDTH => S_AXIS_UPDSTS_TDATA_WIDTH , C_SG_FTCH_DESC2QUEUE => SG_FTCH_DESC2QUEUE , C_SG_UPDT_DESC2QUEUE => SG_UPDT_DESC2QUEUE , C_SG_CH1_WORDS_TO_FETCH => SG_CH1_WORDS_TO_FETCH , C_SG_CH1_WORDS_TO_UPDATE => SG_CH1_WORDS_TO_UPDATE , C_SG_CH1_FIRST_UPDATE_WORD => SG_CH1_FIRST_UPDATE_WORD , C_SG_CH1_ENBL_STALE_ERROR => SG_CH1_ENBL_STALE_ERROR , C_SG_CH2_WORDS_TO_FETCH => SG_CH2_WORDS_TO_FETCH , C_SG_CH2_WORDS_TO_UPDATE => SG_CH2_WORDS_TO_UPDATE , C_SG_CH2_FIRST_UPDATE_WORD => SG_CH2_FIRST_UPDATE_WORD , C_SG_CH2_ENBL_STALE_ERROR => SG_CH2_ENBL_STALE_ERROR , C_AXIS_IS_ASYNC => SG_IS_SYNCHRONOUS , C_ASYNC => C_PRMRY_IS_ACLK_ASYNC , C_INCLUDE_CH1 => C_INCLUDE_MM2S , C_INCLUDE_CH2 => C_INCLUDE_S2MM , C_INCLUDE_DESC_UPDATE => INCLUDE_DESC_UPDATE , C_INCLUDE_INTRPT => INCLUDE_INTRPT , C_INCLUDE_DLYTMR => INCLUDE_DLYTMR , C_DLYTMR_RESOLUTION => C_DLYTMR_RESOLUTION , C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL , C_ENABLE_EXTRA_FIELD => STSCNTRL_ENABLE , C_NUM_S2MM_CHANNELS => C_NUM_S2MM_CHANNELS , C_NUM_MM2S_CHANNELS => C_NUM_MM2S_CHANNELS , C_FAMILY => C_FAMILY ) port map( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk => axi_sg_aclk , m_axi_mm2s_aclk => m_axi_mm2s_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , dm_resetn => dm_m_axi_sg_aresetn , p_reset_n => mm2s_prmry_resetn , -- Scatter Gather Write Address Channel m_axi_sg_awaddr => m_axi_sg_awaddr , m_axi_sg_awlen => m_axi_sg_awlen , m_axi_sg_awsize => m_axi_sg_awsize , m_axi_sg_awburst => m_axi_sg_awburst , m_axi_sg_awprot => m_axi_sg_awprot , m_axi_sg_awcache => m_axi_sg_awcache , m_axi_sg_awuser => m_axi_sg_awuser , m_axi_sg_awvalid => m_axi_sg_awvalid , m_axi_sg_awready => m_axi_sg_awready , -- Scatter Gather Write Data Channel m_axi_sg_wdata => m_axi_sg_wdata , m_axi_sg_wstrb => m_axi_sg_wstrb , m_axi_sg_wlast => m_axi_sg_wlast , m_axi_sg_wvalid => m_axi_sg_wvalid , m_axi_sg_wready => m_axi_sg_wready , -- Scatter Gather Write Response Channel m_axi_sg_bresp => m_axi_sg_bresp , m_axi_sg_bvalid => m_axi_sg_bvalid , m_axi_sg_bready => m_axi_sg_bready , -- Scatter Gather Read Address Channel m_axi_sg_araddr => m_axi_sg_araddr , m_axi_sg_arlen => m_axi_sg_arlen , m_axi_sg_arsize => m_axi_sg_arsize , m_axi_sg_arburst => m_axi_sg_arburst , m_axi_sg_arprot => m_axi_sg_arprot , m_axi_sg_arcache => m_axi_sg_arcache , m_axi_sg_aruser => m_axi_sg_aruser , m_axi_sg_arvalid => m_axi_sg_arvalid , m_axi_sg_arready => m_axi_sg_arready , -- Memory Map to Stream Scatter Gather Read Data Channel m_axi_sg_rdata => m_axi_sg_rdata , m_axi_sg_rresp => m_axi_sg_rresp , m_axi_sg_rlast => m_axi_sg_rlast , m_axi_sg_rvalid => m_axi_sg_rvalid , m_axi_sg_rready => m_axi_sg_rready , sg_ctl => sg_ctl , -- Channel 1 Control and Status ch1_run_stop => mm2s_dmacr(DMACR_RS_BIT) , ch1_cyclic => mm2s_dmacr(CYCLIC_BIT) , ch1_desc_flush => mm2s_desc_flush , ch1_cntrl_strm_stop => mm2s_cntrl_strm_stop , ch1_ftch_idle => mm2s_ftch_idle , ch1_ftch_interr_set => mm2s_ftch_interr_set , ch1_ftch_slverr_set => mm2s_ftch_slverr_set , ch1_ftch_decerr_set => mm2s_ftch_decerr_set , ch1_ftch_err_early => mm2s_ftch_err_early , ch1_ftch_stale_desc => mm2s_ftch_stale_desc , ch1_updt_idle => mm2s_updt_idle , ch1_updt_ioc_irq_set => mm2s_updt_ioc_irq_set , ch1_updt_interr_set => mm2s_updt_interr_set , ch1_updt_slverr_set => mm2s_updt_slverr_set , ch1_updt_decerr_set => mm2s_updt_decerr_set , ch1_dma_interr_set => mm2s_dma_interr_set , ch1_dma_slverr_set => mm2s_dma_slverr_set , ch1_dma_decerr_set => mm2s_dma_decerr_set , ch1_tailpntr_enabled => mm2s_dmacr(DMACR_TAILPEN_BIT) , ch1_taildesc_wren => mm2s_tailpntr_updated , ch1_taildesc => mm2s_taildesc , ch1_curdesc => mm2s_curdesc , -- Channel 1 Interrupt Coalescing Signals --ch1_dlyirq_dsble => mm2s_dmasr(DMASR_DLYIRQ_BIT) , -- CR605888 ch1_dlyirq_dsble => mm2s_dlyirq_dsble , -- CR605888 ch1_irqthresh_rstdsbl => mm2s_irqthresh_rstdsbl , -- CR572013 ch1_irqdelay_wren => mm2s_irqdelay_wren , ch1_irqdelay => mm2s_dmacr(DMACR_IRQDELAY_MSB_BIT downto DMACR_IRQDELAY_LSB_BIT), ch1_irqthresh_wren => mm2s_irqthresh_wren , ch1_irqthresh => mm2s_dmacr(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT), ch1_packet_sof => mm2s_packet_sof , ch1_packet_eof => mm2s_packet_eof , ch1_ioc_irq_set => mm2s_ioc_irq_set , ch1_dly_irq_set => mm2s_dly_irq_set , ch1_irqdelay_status => mm2s_irqdelay_status , ch1_irqthresh_status => mm2s_irqthresh_status , -- Channel 1 AXI Fetch Stream Out m_axis_ch1_ftch_aclk => axi_sg_aclk , m_axis_ch1_ftch_tdata => m_axis_mm2s_ftch_tdata , m_axis_ch1_ftch_tvalid => m_axis_mm2s_ftch_tvalid , m_axis_ch1_ftch_tready => m_axis_mm2s_ftch_tready , m_axis_ch1_ftch_tlast => m_axis_mm2s_ftch_tlast , m_axis_ch1_ftch_tdata_new => m_axis_mm2s_ftch_tdata_new , m_axis_ch1_ftch_tdata_mcdma_new => m_axis_mm2s_ftch_tdata_mcdma_new , m_axis_ch1_ftch_tvalid_new => m_axis_mm2s_ftch_tvalid_new , m_axis_ftch1_desc_available => m_axis_ftch1_desc_available, -- Channel 1 AXI Update Stream In s_axis_ch1_updt_aclk => axi_sg_aclk , s_axis_ch1_updtptr_tdata => s_axis_mm2s_updtptr_tdata , s_axis_ch1_updtptr_tvalid => s_axis_mm2s_updtptr_tvalid , s_axis_ch1_updtptr_tready => s_axis_mm2s_updtptr_tready , s_axis_ch1_updtptr_tlast => s_axis_mm2s_updtptr_tlast , s_axis_ch1_updtsts_tdata => s_axis_mm2s_updtsts_tdata , s_axis_ch1_updtsts_tvalid => s_axis_mm2s_updtsts_tvalid , s_axis_ch1_updtsts_tready => s_axis_mm2s_updtsts_tready , s_axis_ch1_updtsts_tlast => s_axis_mm2s_updtsts_tlast , -- Channel 2 Control and Status ch2_run_stop => s2mm_run_stop_del , --s2mm_dmacr(DMACR_RS_BIT) , ch2_cyclic => s2mm_dmacr(CYCLIC_BIT) , ch2_desc_flush => s2mm_desc_flush_del, --s2mm_desc_flush , ch2_ftch_idle => s2mm_ftch_idle , ch2_ftch_interr_set => s2mm_ftch_interr_set , ch2_ftch_slverr_set => s2mm_ftch_slverr_set , ch2_ftch_decerr_set => s2mm_ftch_decerr_set , ch2_ftch_err_early => s2mm_ftch_err_early , ch2_ftch_stale_desc => s2mm_ftch_stale_desc , ch2_updt_idle => s2mm_updt_idle , ch2_updt_ioc_irq_set => s2mm_updt_ioc_irq_set , -- For TestVector ch2_updt_interr_set => s2mm_updt_interr_set , ch2_updt_slverr_set => s2mm_updt_slverr_set , ch2_updt_decerr_set => s2mm_updt_decerr_set , ch2_dma_interr_set => s2mm_dma_interr_set , ch2_dma_slverr_set => s2mm_dma_slverr_set , ch2_dma_decerr_set => s2mm_dma_decerr_set , ch2_tailpntr_enabled => s2mm_dmacr(DMACR_TAILPEN_BIT) , ch2_taildesc_wren => s2mm_tailpntr_updated , ch2_taildesc => s2mm_taildesc , ch2_curdesc => s2mm_curdesc , -- Channel 2 Interrupt Coalescing Signals --ch2_dlyirq_dsble => s2mm_dmasr(DMASR_DLYIRQ_BIT) , -- CR605888 ch2_dlyirq_dsble => s2mm_dlyirq_dsble , -- CR605888 ch2_irqthresh_rstdsbl => s2mm_irqthresh_rstdsbl , -- CR572013 ch2_irqdelay_wren => s2mm_irqdelay_wren , ch2_irqdelay => s2mm_dmacr(DMACR_IRQDELAY_MSB_BIT downto DMACR_IRQDELAY_LSB_BIT), ch2_irqthresh_wren => s2mm_irqthresh_wren , ch2_irqthresh => s2mm_dmacr(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT), ch2_packet_sof => s2mm_packet_sof , ch2_packet_eof => s2mm_packet_eof , ch2_ioc_irq_set => s2mm_ioc_irq_set , ch2_dly_irq_set => s2mm_dly_irq_set , ch2_irqdelay_status => s2mm_irqdelay_status , ch2_irqthresh_status => s2mm_irqthresh_status , ch2_update_active => ch2_update_active , -- Channel 2 AXI Fetch Stream Out m_axis_ch2_ftch_aclk => axi_sg_aclk , m_axis_ch2_ftch_tdata => m_axis_s2mm_ftch_tdata , m_axis_ch2_ftch_tvalid => m_axis_s2mm_ftch_tvalid , m_axis_ch2_ftch_tready => m_axis_s2mm_ftch_tready , m_axis_ch2_ftch_tlast => m_axis_s2mm_ftch_tlast , m_axis_ch2_ftch_tdata_new => m_axis_s2mm_ftch_tdata_new , m_axis_ch2_ftch_tdata_mcdma_new => m_axis_s2mm_ftch_tdata_mcdma_new , m_axis_ch2_ftch_tdata_mcdma_nxt => m_axis_s2mm_ftch_tdata_mcdma_nxt , m_axis_ch2_ftch_tvalid_new => m_axis_s2mm_ftch_tvalid_new , m_axis_ftch2_desc_available => m_axis_ftch2_desc_available, -- Channel 2 AXI Update Stream In s_axis_ch2_updt_aclk => axi_sg_aclk , s_axis_ch2_updtptr_tdata => s_axis_s2mm_updtptr_tdata , s_axis_ch2_updtptr_tvalid => s_axis_s2mm_updtptr_tvalid , s_axis_ch2_updtptr_tready => s_axis_s2mm_updtptr_tready , s_axis_ch2_updtptr_tlast => s_axis_s2mm_updtptr_tlast , s_axis_ch2_updtsts_tdata => s_axis_s2mm_updtsts_tdata , s_axis_ch2_updtsts_tvalid => s_axis_s2mm_updtsts_tvalid , s_axis_ch2_updtsts_tready => s_axis_s2mm_updtsts_tready , s_axis_ch2_updtsts_tlast => s_axis_s2mm_updtsts_tlast , -- Error addresses ftch_error => ftch_error , ftch_error_addr => ftch_error_addr , updt_error => updt_error , updt_error_addr => updt_error_addr , m_axis_mm2s_cntrl_tdata => m_axis_mm2s_cntrl_tdata , m_axis_mm2s_cntrl_tkeep => m_axis_mm2s_cntrl_tkeep , m_axis_mm2s_cntrl_tvalid => m_axis_mm2s_cntrl_tvalid , m_axis_mm2s_cntrl_tready => m_axis_mm2s_cntrl_tready , m_axis_mm2s_cntrl_tlast => m_axis_mm2s_cntrl_tlast ); end generate GEN_SG_ENGINE; ------------------------------------------------------------------------------- -- Exclude Scatter Gather Engine (Simple DMA Mode Enabled) ------------------------------------------------------------------------------- GEN_NO_SG_ENGINE : if C_INCLUDE_SG = 0 generate begin -- Scatter Gather AXI Master Interface Tie-Off m_axi_sg_awaddr <= (others => '0'); m_axi_sg_awlen <= (others => '0'); m_axi_sg_awsize <= (others => '0'); m_axi_sg_awburst <= (others => '0'); m_axi_sg_awprot <= (others => '0'); m_axi_sg_awcache <= (others => '0'); m_axi_sg_awvalid <= '0'; m_axi_sg_wdata <= (others => '0'); m_axi_sg_wstrb <= (others => '0'); m_axi_sg_wlast <= '0'; m_axi_sg_wvalid <= '0'; m_axi_sg_bready <= '0'; m_axi_sg_araddr <= (others => '0'); m_axi_sg_arlen <= (others => '0'); m_axi_sg_arsize <= (others => '0'); m_axi_sg_arburst <= (others => '0'); m_axi_sg_arcache <= (others => '0'); m_axi_sg_arprot <= (others => '0'); m_axi_sg_arvalid <= '0'; m_axi_sg_rready <= '0'; m_axis_mm2s_cntrl_tdata <= (others => '0'); m_axis_mm2s_cntrl_tkeep <= (others => '0'); m_axis_mm2s_cntrl_tvalid <= '0'; m_axis_mm2s_cntrl_tlast <= '0'; -- MM2S Signal Remapping/Tie Off for Simple DMA Mode m_axis_mm2s_ftch_tdata <= (others => '0'); m_axis_mm2s_ftch_tvalid <= '0'; m_axis_mm2s_ftch_tlast <= '0'; s_axis_mm2s_updtptr_tready <= '0'; s_axis_mm2s_updtsts_tready <= '0'; mm2s_ftch_idle <= '1'; mm2s_updt_idle <= '1'; mm2s_ftch_interr_set <= '0'; mm2s_ftch_slverr_set <= '0'; mm2s_ftch_decerr_set <= '0'; mm2s_ftch_err_early <= '0'; mm2s_ftch_stale_desc <= '0'; mm2s_updt_interr_set <= '0'; mm2s_updt_slverr_set <= '0'; mm2s_updt_decerr_set <= '0'; mm2s_updt_ioc_irq_set <= mm2s_smpl_done; -- For TestVector mm2s_dma_interr_set <= mm2s_smpl_interr_set; -- To DMASR mm2s_dma_slverr_set <= mm2s_smpl_slverr_set; -- To DMASR mm2s_dma_decerr_set <= mm2s_smpl_decerr_set; -- To DMASR -- S2MM Signal Remapping/Tie Off for Simple DMA Mode m_axis_s2mm_ftch_tdata <= (others => '0'); m_axis_s2mm_ftch_tvalid <= '0'; m_axis_s2mm_ftch_tlast <= '0'; s_axis_s2mm_updtptr_tready <= '0'; s_axis_s2mm_updtsts_tready <= '0'; s2mm_ftch_idle <= '1'; s2mm_updt_idle <= '1'; s2mm_ftch_interr_set <= '0'; s2mm_ftch_slverr_set <= '0'; s2mm_ftch_decerr_set <= '0'; s2mm_ftch_err_early <= '0'; s2mm_ftch_stale_desc <= '0'; s2mm_updt_interr_set <= '0'; s2mm_updt_slverr_set <= '0'; s2mm_updt_decerr_set <= '0'; s2mm_updt_ioc_irq_set <= s2mm_smpl_done; -- For TestVector s2mm_dma_interr_set <= s2mm_smpl_interr_set; -- To DMASR s2mm_dma_slverr_set <= s2mm_smpl_slverr_set; -- To DMASR s2mm_dma_decerr_set <= s2mm_smpl_decerr_set; -- To DMASR ftch_error <= '0'; ftch_error_addr <= (others => '0'); updt_error <= '0'; updt_error_addr <= (others=> '0'); -- CR595462 - Removed interrupt coalescing logic for Simple DMA mode and replaced -- with interrupt complete. mm2s_ioc_irq_set <= mm2s_smpl_done; mm2s_dly_irq_set <= '0'; mm2s_irqdelay_status <= (others => '0'); mm2s_irqthresh_status <= (others => '0'); s2mm_ioc_irq_set <= s2mm_smpl_done; s2mm_dly_irq_set <= '0'; s2mm_irqdelay_status <= (others => '0'); s2mm_irqthresh_status <= (others => '0'); end generate GEN_NO_SG_ENGINE; ------------------------------------------------------------------------------- -- MM2S DMA Controller ------------------------------------------------------------------------------- I_MM2S_DMA_MNGR : entity axi_dma_v7_1.axi_dma_mm2s_mngr generic map( C_PRMRY_IS_ACLK_ASYNC => C_PRMRY_IS_ACLK_ASYNC , C_PRMY_CMDFIFO_DEPTH => DM_CMDSTS_FIFO_DEPTH , C_INCLUDE_SG => C_INCLUDE_SG , C_SG_INCLUDE_STSCNTRL_STRM => STSCNTRL_ENABLE , C_SG_INCLUDE_DESC_QUEUE => DESC_QUEUE , C_SG_LENGTH_WIDTH => C_SG_LENGTH_WIDTH_INT , C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_M_AXIS_SG_TDATA_WIDTH => M_AXIS_SG_TDATA_WIDTH , C_S_AXIS_UPDPTR_TDATA_WIDTH => S_AXIS_UPDPTR_TDATA_WIDTH , C_S_AXIS_UPDSTS_TDATA_WIDTH => S_AXIS_UPDSTS_TDATA_WIDTH , C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH => C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH , C_INCLUDE_MM2S => C_INCLUDE_MM2S , C_M_AXI_MM2S_ADDR_WIDTH => C_M_AXI_MM2S_ADDR_WIDTH , C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL , C_MICRO_DMA => C_MICRO_DMA , C_FAMILY => C_FAMILY ) port map( -- Secondary Clock and Reset m_axi_sg_aclk => axi_sg_aclk , m_axi_sg_aresetn => mm2s_scndry_resetn , -- Primary Clock and Reset axi_prmry_aclk => m_axi_mm2s_aclk , p_reset_n => mm2s_prmry_resetn , soft_reset => soft_reset , -- MM2S Control and Status mm2s_run_stop => mm2s_dmacr(DMACR_RS_BIT) , mm2s_keyhole => mm2s_dmacr(DMACR_KH_BIT) , mm2s_halted => mm2s_dmasr(DMASR_HALTED_BIT) , mm2s_ftch_idle => mm2s_ftch_idle , mm2s_updt_idle => mm2s_updt_idle , mm2s_halt => mm2s_halt , mm2s_halt_cmplt => mm2s_halt_cmplt , mm2s_halted_clr => mm2s_halted_clr , mm2s_halted_set => mm2s_halted_set , mm2s_idle_set => mm2s_idle_set , mm2s_idle_clr => mm2s_idle_clr , mm2s_stop => mm2s_stop , mm2s_ftch_err_early => mm2s_ftch_err_early , mm2s_ftch_stale_desc => mm2s_ftch_stale_desc , mm2s_desc_flush => mm2s_desc_flush , cntrl_strm_stop => mm2s_cntrl_strm_stop , mm2s_tailpntr_enble => mm2s_dmacr(DMACR_TAILPEN_BIT) , mm2s_all_idle => mm2s_all_idle , mm2s_error => mm2s_error , s2mm_error => s2mm_error , -- Simple DMA Mode Signals mm2s_sa => mm2s_sa , mm2s_length => mm2s_length , mm2s_length_wren => mm2s_length_wren , mm2s_smple_done => mm2s_smpl_done , mm2s_interr_set => mm2s_smpl_interr_set , mm2s_slverr_set => mm2s_smpl_slverr_set , mm2s_decerr_set => mm2s_smpl_decerr_set , m_axis_mm2s_aclk => m_axi_mm2s_aclk, mm2s_strm_tlast => m_axis_mm2s_tlast_i_user, mm2s_strm_tready => m_axis_mm2s_tready, mm2s_axis_info => mm2s_axis_info, -- SG MM2S Descriptor Fetch AXI Stream In m_axis_mm2s_ftch_tdata => m_axis_mm2s_ftch_tdata , m_axis_mm2s_ftch_tvalid => m_axis_mm2s_ftch_tvalid , m_axis_mm2s_ftch_tready => m_axis_mm2s_ftch_tready , m_axis_mm2s_ftch_tlast => m_axis_mm2s_ftch_tlast , m_axis_mm2s_ftch_tdata_new => m_axis_mm2s_ftch_tdata_new , m_axis_mm2s_ftch_tdata_mcdma_new => m_axis_mm2s_ftch_tdata_mcdma_new , m_axis_mm2s_ftch_tvalid_new => m_axis_mm2s_ftch_tvalid_new , m_axis_ftch1_desc_available => m_axis_ftch1_desc_available, -- SG MM2S Descriptor Update AXI Stream Out s_axis_mm2s_updtptr_tdata => s_axis_mm2s_updtptr_tdata , s_axis_mm2s_updtptr_tvalid => s_axis_mm2s_updtptr_tvalid , s_axis_mm2s_updtptr_tready => s_axis_mm2s_updtptr_tready , s_axis_mm2s_updtptr_tlast => s_axis_mm2s_updtptr_tlast , s_axis_mm2s_updtsts_tdata => s_axis_mm2s_updtsts_tdata , s_axis_mm2s_updtsts_tvalid => s_axis_mm2s_updtsts_tvalid , s_axis_mm2s_updtsts_tready => s_axis_mm2s_updtsts_tready , s_axis_mm2s_updtsts_tlast => s_axis_mm2s_updtsts_tlast , -- Currently Being Processed Descriptor mm2s_new_curdesc => mm2s_new_curdesc , mm2s_new_curdesc_wren => mm2s_new_curdesc_wren , -- User Command Interface Ports (AXI Stream) s_axis_mm2s_cmd_tvalid => s_axis_mm2s_cmd_tvalid_split , s_axis_mm2s_cmd_tready => s_axis_mm2s_cmd_tready_split , s_axis_mm2s_cmd_tdata => s_axis_mm2s_cmd_tdata_split , -- User Status Interface Ports (AXI Stream) m_axis_mm2s_sts_tvalid => m_axis_mm2s_sts_tvalid , m_axis_mm2s_sts_tready => m_axis_mm2s_sts_tready , m_axis_mm2s_sts_tdata => m_axis_mm2s_sts_tdata , m_axis_mm2s_sts_tkeep => m_axis_mm2s_sts_tkeep , mm2s_err => mm2s_err , updt_error => updt_error , ftch_error => ftch_error , -- Memory Map to Stream Control Stream Interface m_axis_mm2s_cntrl_tdata => open, --m_axis_mm2s_cntrl_tdata , m_axis_mm2s_cntrl_tkeep => open, --m_axis_mm2s_cntrl_tkeep , m_axis_mm2s_cntrl_tvalid => open, --m_axis_mm2s_cntrl_tvalid , m_axis_mm2s_cntrl_tready => '0', --m_axis_mm2s_cntrl_tready , m_axis_mm2s_cntrl_tlast => open --m_axis_mm2s_cntrl_tlast ); m_axis_mm2s_tuser <= mm2s_axis_info (13 downto 10); m_axis_mm2s_tid <= mm2s_axis_info (9 downto 5); -- m_axis_mm2s_tdest <= mm2s_axis_info (4 downto 0) ; -- -- If MM2S channel included then include sof/eof generator INCLUDE_MM2S_SOF_EOF_GENERATOR : if C_INCLUDE_MM2S = 1 generate begin ------------------------------------------------------------------------------- -- MM2S SOF / EOF generation for interrupt coalescing ------------------------------------------------------------------------------- I_MM2S_SOFEOF_GEN : entity axi_dma_v7_1.axi_dma_sofeof_gen generic map( C_PRMRY_IS_ACLK_ASYNC => C_PRMRY_IS_ACLK_ASYNC ) port map( axi_prmry_aclk => m_axi_mm2s_aclk , p_reset_n => mm2s_prmry_resetn , m_axi_sg_aclk => axi_sg_aclk , m_axi_sg_aresetn => mm2s_scndry_resetn , axis_tready => m_axis_mm2s_tready , axis_tvalid => m_axis_mm2s_tvalid_i , axis_tlast => m_axis_mm2s_tlast_i , packet_sof => mm2s_packet_sof , packet_eof => mm2s_packet_eof ); end generate INCLUDE_MM2S_SOF_EOF_GENERATOR; -- If MM2S channel not included then exclude sof/eof generator EXCLUDE_MM2S_SOF_EOF_GENERATOR : if C_INCLUDE_MM2S = 0 generate begin mm2s_packet_sof <= '0'; mm2s_packet_eof <= '0'; end generate EXCLUDE_MM2S_SOF_EOF_GENERATOR; ------------------------------------------------------------------------------- -- S2MM DMA Controller ------------------------------------------------------------------------------- I_S2MM_DMA_MNGR : entity axi_dma_v7_1.axi_dma_s2mm_mngr generic map( C_PRMRY_IS_ACLK_ASYNC => C_PRMRY_IS_ACLK_ASYNC , C_PRMY_CMDFIFO_DEPTH => DM_CMDSTS_FIFO_DEPTH , C_DM_STATUS_WIDTH => DM_STATUS_WIDTH , C_INCLUDE_SG => C_INCLUDE_SG , C_SG_INCLUDE_STSCNTRL_STRM => STSCNTRL_ENABLE , C_SG_INCLUDE_DESC_QUEUE => DESC_QUEUE , C_SG_USE_STSAPP_LENGTH => APPLENGTH_ENABLE , C_SG_LENGTH_WIDTH => C_SG_LENGTH_WIDTH_INT , C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_M_AXIS_SG_TDATA_WIDTH => M_AXIS_SG_TDATA_WIDTH , C_S_AXIS_UPDPTR_TDATA_WIDTH => S_AXIS_UPDPTR_TDATA_WIDTH , C_S_AXIS_UPDSTS_TDATA_WIDTH => S_AXIS_UPDSTS_TDATA_WIDTH , C_S_AXIS_S2MM_STS_TDATA_WIDTH => C_S_AXIS_S2MM_STS_TDATA_WIDTH , C_INCLUDE_S2MM => C_INCLUDE_S2MM , C_M_AXI_S2MM_ADDR_WIDTH => C_M_AXI_S2MM_ADDR_WIDTH , C_NUM_S2MM_CHANNELS => C_NUM_S2MM_CHANNELS , C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL , C_MICRO_DMA => C_MICRO_DMA , C_FAMILY => C_FAMILY ) port map( -- Secondary Clock and Reset m_axi_sg_aclk => axi_sg_aclk , m_axi_sg_aresetn => s2mm_scndry_resetn , -- Primary Clock and Reset axi_prmry_aclk => m_axi_s2mm_aclk , p_reset_n => s2mm_prmry_resetn , soft_reset => soft_reset , -- S2MM Control and Status s2mm_run_stop => s2mm_dmacr(DMACR_RS_BIT) , s2mm_keyhole => s2mm_dmacr(DMACR_KH_BIT) , s2mm_halted => s2mm_dmasr(DMASR_HALTED_BIT) , s2mm_packet_eof_out => s2mm_eof_s2mm , s2mm_ftch_idle => s2mm_ftch_idle , s2mm_updt_idle => s2mm_updt_idle , s2mm_halted_clr => s2mm_halted_clr , s2mm_halted_set => s2mm_halted_set , s2mm_idle_set => s2mm_idle_set , s2mm_idle_clr => s2mm_idle_clr , s2mm_stop => s2mm_stop , s2mm_ftch_err_early => s2mm_ftch_err_early , s2mm_ftch_stale_desc => s2mm_ftch_stale_desc , s2mm_desc_flush => s2mm_desc_flush , s2mm_tailpntr_enble => s2mm_dmacr(DMACR_TAILPEN_BIT) , s2mm_all_idle => s2mm_all_idle , s2mm_halt => s2mm_halt , s2mm_halt_cmplt => s2mm_halt_cmplt , s2mm_error => s2mm_error , mm2s_error => mm2s_error , s2mm_desc_info_in => s2mm_desc_info_in , -- Simple DMA Mode Signals s2mm_da => s2mm_da , s2mm_length => s2mm_length , s2mm_length_wren => s2mm_length_wren , s2mm_smple_done => s2mm_smpl_done , s2mm_interr_set => s2mm_smpl_interr_set , s2mm_slverr_set => s2mm_smpl_slverr_set , s2mm_decerr_set => s2mm_smpl_decerr_set , s2mm_bytes_rcvd => s2mm_bytes_rcvd , s2mm_bytes_rcvd_wren => s2mm_bytes_rcvd_wren , -- SG S2MM Descriptor Fetch AXI Stream In m_axis_s2mm_ftch_tdata => m_axis_s2mm_ftch_tdata , m_axis_s2mm_ftch_tvalid => m_axis_s2mm_ftch_tvalid , m_axis_s2mm_ftch_tready => m_axis_s2mm_ftch_tready , m_axis_s2mm_ftch_tlast => m_axis_s2mm_ftch_tlast , m_axis_s2mm_ftch_tdata_new => m_axis_s2mm_ftch_tdata_new , m_axis_s2mm_ftch_tdata_mcdma_new => m_axis_s2mm_ftch_tdata_mcdma_new , m_axis_s2mm_ftch_tdata_mcdma_nxt => m_axis_s2mm_ftch_tdata_mcdma_nxt , m_axis_s2mm_ftch_tvalid_new => m_axis_s2mm_ftch_tvalid_new , m_axis_ftch2_desc_available => m_axis_ftch2_desc_available, -- SG S2MM Descriptor Update AXI Stream Out s_axis_s2mm_updtptr_tdata => s_axis_s2mm_updtptr_tdata , s_axis_s2mm_updtptr_tvalid => s_axis_s2mm_updtptr_tvalid , s_axis_s2mm_updtptr_tready => s_axis_s2mm_updtptr_tready , s_axis_s2mm_updtptr_tlast => s_axis_s2mm_updtptr_tlast , s_axis_s2mm_updtsts_tdata => s_axis_s2mm_updtsts_tdata , s_axis_s2mm_updtsts_tvalid => s_axis_s2mm_updtsts_tvalid , s_axis_s2mm_updtsts_tready => s_axis_s2mm_updtsts_tready , s_axis_s2mm_updtsts_tlast => s_axis_s2mm_updtsts_tlast , -- Currently Being Processed Descriptor s2mm_new_curdesc => s2mm_new_curdesc , s2mm_new_curdesc_wren => s2mm_new_curdesc_wren , -- User Command Interface Ports (AXI Stream) -- s_axis_s2mm_cmd_tvalid => s_axis_s2mm_cmd_tvalid_split , -- s_axis_s2mm_cmd_tready => s_axis_s2mm_cmd_tready_split , -- s_axis_s2mm_cmd_tdata => s_axis_s2mm_cmd_tdata_split , s_axis_s2mm_cmd_tvalid => s_axis_s2mm_cmd_tvalid_split , s_axis_s2mm_cmd_tready => s_axis_s2mm_cmd_tready_split , s_axis_s2mm_cmd_tdata => s_axis_s2mm_cmd_tdata_split , -- User Status Interface Ports (AXI Stream) m_axis_s2mm_sts_tvalid => m_axis_s2mm_sts_tvalid , m_axis_s2mm_sts_tready => m_axis_s2mm_sts_tready , m_axis_s2mm_sts_tdata => m_axis_s2mm_sts_tdata , m_axis_s2mm_sts_tkeep => m_axis_s2mm_sts_tkeep , s2mm_err => s2mm_err , updt_error => updt_error , ftch_error => ftch_error , -- Stream to Memory Map Status Stream Interface s_axis_s2mm_sts_tdata => s_axis_s2mm_sts_tdata , s_axis_s2mm_sts_tkeep => s_axis_s2mm_sts_tkeep , s_axis_s2mm_sts_tvalid => s_axis_s2mm_sts_tvalid , s_axis_s2mm_sts_tready => s_axis_s2mm_sts_tready , s_axis_s2mm_sts_tlast => s_axis_s2mm_sts_tlast ); -- If S2MM channel included then include sof/eof generator INCLUDE_S2MM_SOF_EOF_GENERATOR : if C_INCLUDE_S2MM = 1 generate begin ------------------------------------------------------------------------------- -- S2MM SOF / EOF generation for interrupt coalescing ------------------------------------------------------------------------------- I_S2MM_SOFEOF_GEN : entity axi_dma_v7_1.axi_dma_sofeof_gen generic map( C_PRMRY_IS_ACLK_ASYNC => C_PRMRY_IS_ACLK_ASYNC ) port map( axi_prmry_aclk => m_axi_s2mm_aclk , p_reset_n => s2mm_prmry_resetn , m_axi_sg_aclk => axi_sg_aclk , m_axi_sg_aresetn => s2mm_scndry_resetn , axis_tready => s_axis_s2mm_tready_i , axis_tvalid => s_axis_s2mm_tvalid , axis_tlast => s_axis_s2mm_tlast , packet_sof => s2mm_packet_sof , packet_eof => s2mm_packet_eof ); end generate INCLUDE_S2MM_SOF_EOF_GENERATOR; -- If S2MM channel not included then exclude sof/eof generator EXCLUDE_S2MM_SOF_EOF_GENERATOR : if C_INCLUDE_S2MM = 0 generate begin s2mm_packet_sof <= '0'; s2mm_packet_eof <= '0'; end generate EXCLUDE_S2MM_SOF_EOF_GENERATOR; INCLUDE_S2MM_GATE : if (C_ENABLE_MULTI_CHANNEL = 1 and C_INCLUDE_S2MM = 1) generate begin cmpt_updt <= m_axis_s2mm_sts_tvalid & s2mm_eof_s2mm; I_S2MM_GATE_GEN : entity axi_dma_v7_1.axi_dma_s2mm generic map ( C_FAMILY => C_FAMILY ) port map ( clk_in => m_axi_s2mm_aclk, sg_clk => axi_sg_aclk, resetn => s2mm_prmry_resetn, reset_sg => m_axi_sg_aresetn, s2mm_tvalid => s_axis_s2mm_tvalid, s2mm_tready => s_axis_s2mm_tready_i, s2mm_tlast => s_axis_s2mm_tlast, s2mm_tdest => s_axis_s2mm_tdest, s2mm_tuser => s_axis_s2mm_tuser, s2mm_tid => s_axis_s2mm_tid, desc_available => s_axis_s2mm_cmd_tvalid_split, -- s2mm_eof => s2mm_eof_s2mm, s2mm_eof_det => cmpt_updt, --m_axis_s2mm_sts_tvalid, --s2mm_eof_s2mm, ch2_update_active => ch2_update_active, tdest_out => tdest_out_int, same_tdest => same_tdest, -- to DM -- updt_cmpt => updt_cmpt, s2mm_desc_info => s2mm_desc_info_in, s2mm_tvalid_out => open, --s_axis_s2mm_tvalid_int, s2mm_tready_out => open, --s_axis_s2mm_tready_i, s2mm_tlast_out => open, --s_axis_s2mm_tlast_int, s2mm_tdest_out => open ); end generate INCLUDE_S2MM_GATE; INCLUDE_S2MM_NOGATE : if (C_ENABLE_MULTI_CHANNEL = 0 and C_INCLUDE_S2MM = 1) generate begin updt_cmpt <= '0'; tdest_out_int <= (others => '0'); same_tdest <= '0'; s_axis_s2mm_tvalid_int <= s_axis_s2mm_tvalid; s_axis_s2mm_tlast_int <= s_axis_s2mm_tlast; end generate INCLUDE_S2MM_NOGATE; MM2S_SPLIT : if (C_ENABLE_MULTI_CHANNEL = 1 and C_INCLUDE_MM2S = 1) generate begin CLOCKS : if (C_PRMRY_IS_ACLK_ASYNC = 1) generate begin clock_splt <= axi_sg_aclk; end generate CLOCKS; CLOCKS_SYNC : if (C_PRMRY_IS_ACLK_ASYNC = 0) generate begin clock_splt <= m_axi_mm2s_aclk; end generate CLOCKS_SYNC; I_COMMAND_MM2S_SPLITTER : entity axi_dma_v7_1.axi_dma_cmd_split generic map ( C_ADDR_WIDTH => C_M_AXI_MM2S_ADDR_WIDTH, C_INCLUDE_S2MM => 0, C_DM_STATUS_WIDTH => 8 ) port map ( clock => clock_splt, --axi_sg_aclk, sgresetn => m_axi_sg_aresetn, clock_sec => m_axi_mm2s_aclk, --axi_sg_aclk, aresetn => m_axi_mm2s_aresetn, -- MM2S command coming from MM2S_MNGR s_axis_cmd_tvalid => s_axis_mm2s_cmd_tvalid_split, s_axis_cmd_tready => s_axis_mm2s_cmd_tready_split, s_axis_cmd_tdata => s_axis_mm2s_cmd_tdata_split, -- MM2S split command to DM s_axis_cmd_tvalid_s => s_axis_mm2s_cmd_tvalid, s_axis_cmd_tready_s => s_axis_mm2s_cmd_tready, s_axis_cmd_tdata_s => s_axis_mm2s_cmd_tdata, tvalid_from_datamover => m_axis_mm2s_sts_tvalid_int, status_in => m_axis_mm2s_sts_tdata_int, tvalid_unsplit => m_axis_mm2s_sts_tvalid, status_out => m_axis_mm2s_sts_tdata, tlast_stream_data => m_axis_mm2s_tlast_i_mcdma, tready_stream_data => m_axis_mm2s_tready, tlast_unsplit => m_axis_mm2s_tlast_i, tlast_unsplit_user => m_axis_mm2s_tlast_i_user ); end generate MM2S_SPLIT; MM2S_SPLIT_NOMCDMA : if (C_ENABLE_MULTI_CHANNEL = 0 and C_INCLUDE_MM2S = 1) generate begin s_axis_mm2s_cmd_tvalid <= s_axis_mm2s_cmd_tvalid_split; s_axis_mm2s_cmd_tready_split <= s_axis_mm2s_cmd_tready; s_axis_mm2s_cmd_tdata <= s_axis_mm2s_cmd_tdata_split ((C_M_AXI_MM2S_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0); m_axis_mm2s_sts_tvalid <= m_axis_mm2s_sts_tvalid_int; m_axis_mm2s_sts_tdata <= m_axis_mm2s_sts_tdata_int; m_axis_mm2s_tlast_i <= m_axis_mm2s_tlast_i_mcdma; m_axis_mm2s_tlast_i_user <= '0'; end generate MM2S_SPLIT_NOMCDMA; S2MM_SPLIT : if (C_ENABLE_MULTI_CHANNEL = 1 and C_INCLUDE_S2MM = 1) generate begin CLOCKS_S2MM : if (C_PRMRY_IS_ACLK_ASYNC = 1) generate begin clock_splt_s2mm <= axi_sg_aclk; end generate CLOCKS_S2MM; CLOCKS_SYNC_S2MM : if (C_PRMRY_IS_ACLK_ASYNC = 0) generate begin clock_splt_s2mm <= m_axi_s2mm_aclk; end generate CLOCKS_SYNC_S2MM; I_COMMAND_S2MM_SPLITTER : entity axi_dma_v7_1.axi_dma_cmd_split generic map ( C_ADDR_WIDTH => C_M_AXI_S2MM_ADDR_WIDTH, C_INCLUDE_S2MM => C_INCLUDE_S2MM, C_DM_STATUS_WIDTH => DM_STATUS_WIDTH ) port map ( clock => clock_splt_s2mm, sgresetn => m_axi_sg_aresetn, clock_sec => m_axi_s2mm_aclk, --axi_sg_aclk, --m_axi_s2mm_aclk, aresetn => m_axi_s2mm_aresetn, -- S2MM command coming from S2MM_MNGR s_axis_cmd_tvalid => s_axis_s2mm_cmd_tvalid_split, s_axis_cmd_tready => s_axis_s2mm_cmd_tready_split, s_axis_cmd_tdata => s_axis_s2mm_cmd_tdata_split, -- S2MM split command to DM s_axis_cmd_tvalid_s => s_axis_s2mm_cmd_tvalid, s_axis_cmd_tready_s => s_axis_s2mm_cmd_tready, s_axis_cmd_tdata_s => s_axis_s2mm_cmd_tdata, tvalid_from_datamover => m_axis_s2mm_sts_tvalid_int, status_in => m_axis_s2mm_sts_tdata_int, tvalid_unsplit => m_axis_s2mm_sts_tvalid, status_out => m_axis_s2mm_sts_tdata, tlast_stream_data => '0', tready_stream_data => '0', tlast_unsplit => open, tlast_unsplit_user => open ); end generate S2MM_SPLIT; S2MM_SPLIT_NOMCDMA : if (C_ENABLE_MULTI_CHANNEL = 0 and C_INCLUDE_S2MM = 1) generate begin s_axis_s2mm_cmd_tvalid <= s_axis_s2mm_cmd_tvalid_split; s_axis_s2mm_cmd_tready_split <= s_axis_s2mm_cmd_tready; s_axis_s2mm_cmd_tdata <= s_axis_s2mm_cmd_tdata_split ((C_M_AXI_MM2S_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0); m_axis_s2mm_sts_tvalid <= m_axis_s2mm_sts_tvalid_int; m_axis_s2mm_sts_tdata <= m_axis_s2mm_sts_tdata_int; end generate S2MM_SPLIT_NOMCDMA; ------------------------------------------------------------------------------- -- Primary MM2S and S2MM DataMover ------------------------------------------------------------------------------- I_PRMRY_DATAMOVER : entity axi_datamover_v5_1.axi_datamover generic map( C_INCLUDE_MM2S => MM2S_AXI_FULL_MODE, C_M_AXI_MM2S_ADDR_WIDTH => C_M_AXI_MM2S_ADDR_WIDTH, C_M_AXI_MM2S_DATA_WIDTH => C_M_AXI_MM2S_DATA_WIDTH, C_M_AXIS_MM2S_TDATA_WIDTH => C_M_AXIS_MM2S_TDATA_WIDTH, C_INCLUDE_MM2S_STSFIFO => DM_INCLUDE_STS_FIFO, C_MM2S_STSCMD_FIFO_DEPTH => DM_CMDSTS_FIFO_DEPTH_1, C_MM2S_STSCMD_IS_ASYNC => C_PRMRY_IS_ACLK_ASYNC, C_INCLUDE_MM2S_DRE => C_INCLUDE_MM2S_DRE, C_MM2S_BURST_SIZE => C_MM2S_BURST_SIZE, C_MM2S_BTT_USED => DM_BTT_LENGTH_WIDTH, C_MM2S_ADDR_PIPE_DEPTH => DM_ADDR_PIPE_DEPTH, C_MM2S_INCLUDE_SF => DM_MM2S_INCLUDE_SF, C_ENABLE_CACHE_USER => C_ENABLE_MULTI_CHANNEL, C_ENABLE_SKID_BUF => skid_enable, --"11111", C_MICRO_DMA => C_MICRO_DMA, C_INCLUDE_S2MM => S2MM_AXI_FULL_MODE, C_M_AXI_S2MM_ADDR_WIDTH => C_M_AXI_S2MM_ADDR_WIDTH, C_M_AXI_S2MM_DATA_WIDTH => C_M_AXI_S2MM_DATA_WIDTH, C_S_AXIS_S2MM_TDATA_WIDTH => C_S_AXIS_S2MM_TDATA_WIDTH, C_INCLUDE_S2MM_STSFIFO => DM_INCLUDE_STS_FIFO, C_S2MM_STSCMD_FIFO_DEPTH => DM_CMDSTS_FIFO_DEPTH_1, C_S2MM_STSCMD_IS_ASYNC => C_PRMRY_IS_ACLK_ASYNC, C_INCLUDE_S2MM_DRE => C_INCLUDE_S2MM_DRE, C_S2MM_BURST_SIZE => C_S2MM_BURST_SIZE, C_S2MM_BTT_USED => DM_BTT_LENGTH_WIDTH, C_S2MM_SUPPORT_INDET_BTT => DM_SUPPORT_INDET_BTT, C_S2MM_ADDR_PIPE_DEPTH => DM_ADDR_PIPE_DEPTH, C_S2MM_INCLUDE_SF => DM_S2MM_INCLUDE_SF, C_FAMILY => C_FAMILY ) port map( -- MM2S Primary Clock / Reset input m_axi_mm2s_aclk => m_axi_mm2s_aclk , m_axi_mm2s_aresetn => m_axi_mm2s_aresetn , mm2s_halt => mm2s_halt , mm2s_halt_cmplt => mm2s_halt_cmplt , mm2s_err => mm2s_err , mm2s_allow_addr_req => ALWAYS_ALLOW , mm2s_addr_req_posted => open , mm2s_rd_xfer_cmplt => open , -- Memory Map to Stream Command FIFO and Status FIFO I/O -------------- m_axis_mm2s_cmdsts_aclk => axi_sg_aclk , m_axis_mm2s_cmdsts_aresetn => dm_mm2s_scndry_resetn , -- User Command Interface Ports (AXI Stream) s_axis_mm2s_cmd_tvalid => s_axis_mm2s_cmd_tvalid , s_axis_mm2s_cmd_tready => s_axis_mm2s_cmd_tready , s_axis_mm2s_cmd_tdata => s_axis_mm2s_cmd_tdata (((8*C_ENABLE_MULTI_CHANNEL)+ C_M_AXI_MM2S_ADDR_WIDTH+ CMD_BASE_WIDTH)-1 downto 0) , -- User Status Interface Ports (AXI Stream) m_axis_mm2s_sts_tvalid => m_axis_mm2s_sts_tvalid_int , m_axis_mm2s_sts_tready => m_axis_mm2s_sts_tready , m_axis_mm2s_sts_tdata => m_axis_mm2s_sts_tdata_int , m_axis_mm2s_sts_tkeep => m_axis_mm2s_sts_tkeep , m_axis_mm2s_sts_tlast => open , -- MM2S AXI Address Channel I/O -------------------------------------- m_axi_mm2s_arid => open , m_axi_mm2s_araddr => m_axi_mm2s_araddr , m_axi_mm2s_arlen => m_axi_mm2s_arlen , m_axi_mm2s_arsize => m_axi_mm2s_arsize , m_axi_mm2s_arburst => m_axi_mm2s_arburst , m_axi_mm2s_arprot => m_axi_mm2s_arprot , m_axi_mm2s_arcache => m_axi_mm2s_arcache , m_axi_mm2s_aruser => m_axi_mm2s_aruser , m_axi_mm2s_arvalid => m_axi_mm2s_arvalid , m_axi_mm2s_arready => m_axi_mm2s_arready , -- MM2S AXI MMap Read Data Channel I/O ------------------------------- m_axi_mm2s_rdata => m_axi_mm2s_rdata , m_axi_mm2s_rresp => m_axi_mm2s_rresp , m_axi_mm2s_rlast => m_axi_mm2s_rlast , m_axi_mm2s_rvalid => m_axi_mm2s_rvalid , m_axi_mm2s_rready => m_axi_mm2s_rready , -- MM2S AXI Master Stream Channel I/O -------------------------------- m_axis_mm2s_tdata => m_axis_mm2s_tdata , m_axis_mm2s_tkeep => m_axis_mm2s_tkeep , m_axis_mm2s_tlast => m_axis_mm2s_tlast_i_mcdma , m_axis_mm2s_tvalid => m_axis_mm2s_tvalid_i , m_axis_mm2s_tready => m_axis_mm2s_tready , -- Testing Support I/O mm2s_dbg_sel => (others => '0') , mm2s_dbg_data => open , -- S2MM Primary Clock/Reset input m_axi_s2mm_aclk => m_axi_s2mm_aclk , m_axi_s2mm_aresetn => m_axi_s2mm_aresetn , s2mm_halt => s2mm_halt , s2mm_halt_cmplt => s2mm_halt_cmplt , s2mm_err => s2mm_err , s2mm_allow_addr_req => ALWAYS_ALLOW , s2mm_addr_req_posted => open , s2mm_wr_xfer_cmplt => open , s2mm_ld_nxt_len => open , s2mm_wr_len => open , -- Stream to Memory Map Command FIFO and Status FIFO I/O -------------- m_axis_s2mm_cmdsts_awclk => axi_sg_aclk , m_axis_s2mm_cmdsts_aresetn => dm_s2mm_scndry_resetn , -- User Command Interface Ports (AXI Stream) s_axis_s2mm_cmd_tvalid => s_axis_s2mm_cmd_tvalid , s_axis_s2mm_cmd_tready => s_axis_s2mm_cmd_tready , s_axis_s2mm_cmd_tdata => s_axis_s2mm_cmd_tdata ( ((8*C_ENABLE_MULTI_CHANNEL)+ C_M_AXI_MM2S_ADDR_WIDTH+ CMD_BASE_WIDTH)-1 downto 0) , -- User Status Interface Ports (AXI Stream) m_axis_s2mm_sts_tvalid => m_axis_s2mm_sts_tvalid_int , m_axis_s2mm_sts_tready => m_axis_s2mm_sts_tready , m_axis_s2mm_sts_tdata => m_axis_s2mm_sts_tdata_int , m_axis_s2mm_sts_tkeep => m_axis_s2mm_sts_tkeep , m_axis_s2mm_sts_tlast => open , -- S2MM AXI Address Channel I/O -------------------------------------- m_axi_s2mm_awid => open , m_axi_s2mm_awaddr => m_axi_s2mm_awaddr , m_axi_s2mm_awlen => m_axi_s2mm_awlen , m_axi_s2mm_awsize => m_axi_s2mm_awsize , m_axi_s2mm_awburst => m_axi_s2mm_awburst , m_axi_s2mm_awprot => m_axi_s2mm_awprot , m_axi_s2mm_awcache => m_axi_s2mm_awcache , m_axi_s2mm_awuser => m_axi_s2mm_awuser , m_axi_s2mm_awvalid => m_axi_s2mm_awvalid , m_axi_s2mm_awready => m_axi_s2mm_awready , -- S2MM AXI MMap Write Data Channel I/O ------------------------------ m_axi_s2mm_wdata => m_axi_s2mm_wdata , m_axi_s2mm_wstrb => m_axi_s2mm_wstrb , m_axi_s2mm_wlast => m_axi_s2mm_wlast , m_axi_s2mm_wvalid => m_axi_s2mm_wvalid , m_axi_s2mm_wready => m_axi_s2mm_wready , -- S2MM AXI MMap Write response Channel I/O -------------------------- m_axi_s2mm_bresp => m_axi_s2mm_bresp , m_axi_s2mm_bvalid => m_axi_s2mm_bvalid , m_axi_s2mm_bready => m_axi_s2mm_bready , -- S2MM AXI Slave Stream Channel I/O --------------------------------- s_axis_s2mm_tdata => s_axis_s2mm_tdata , s_axis_s2mm_tkeep => s_axis_s2mm_tkeep , s_axis_s2mm_tlast => s_axis_s2mm_tlast , s_axis_s2mm_tvalid => s_axis_s2mm_tvalid , s_axis_s2mm_tready => s_axis_s2mm_tready_i , -- Testing Support I/O s2mm_dbg_sel => (others => '0') , s2mm_dbg_data => open ); end implementation;
bsd-2-clause
01ef18d166dca0b0e35fd3d10899e03b
0.43641
3.776795
false
false
false
false
Yarr/Yarr-fw
rtl/spartan6/rx-core/fei4_rx_channel.vhd
2
6,256
-- #################################### -- # Project: Yarr -- # Author: Timon Heim -- # E-Mail: timon.heim at cern.ch -- # Comments: RX channel -- # FE-I4 Style Rx Channel; Sync, Align & Decode -- #################################### library IEEE; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library decode_8b10b; entity fei4_rx_channel is port ( -- Sys connect rst_n_i : in std_logic; clk_160_i : in std_logic; clk_640_i : in std_logic; enable_i : in std_logic; -- Input rx_data_i : in std_logic; trig_tag_i : in std_logic_vector(31 downto 0); -- Output rx_data_o : out std_logic_vector(25 downto 0); rx_valid_o : out std_logic; rx_stat_o : out std_logic_vector(7 downto 0); rx_data_raw_o : out std_logic_vector(7 downto 0) ); end fei4_rx_channel; architecture behavioral of fei4_rx_channel is component cdr_serdes port ( -- clocks clk160 : in std_logic; clk640 : in std_logic; -- reset reset : in std_logic; -- data input din : in std_logic; -- data output data_value : out std_logic_vector(1 downto 0); data_valid : out std_logic_vector(1 downto 0); data_lock : out std_logic ); end component; component data_alignment port ( clk : in std_logic; reset : in std_logic; din : in std_logic_vector(1 downto 0); din_valid : in std_logic_vector(1 downto 0); dout : out std_logic_vector(9 downto 0); dout_valid : out std_logic; dout_sync : out std_logic ); end component; component decode_8b10b_wrapper port( CLK : IN std_logic; DIN : IN std_logic_vector(9 downto 0); CE : IN std_logic; SINIT : IN std_logic; DOUT : OUT std_logic_vector(7 downto 0); KOUT : OUT std_logic; CODE_ERR : OUT std_logic; DISP_ERR : OUT std_logic; ND : OUT std_logic ); end component; constant c_SOF : std_logic_vector(7 downto 0) := x"fc"; constant c_EOF : std_logic_vector(7 downto 0) := x"bc"; constant c_IDLE : std_logic_vector(7 downto 0) := x"3c"; signal data_raw_value : std_logic_vector(1 downto 0); signal data_raw_valid : std_logic_vector(1 downto 0); signal data_raw_lock : std_logic; signal data_enc_value : std_logic_vector(9 downto 0); signal data_enc_valid : std_logic; signal data_enc_sync : std_logic; signal data_enc_value_rev : std_logic_vector(9 downto 0); signal data_enc_valid_rev : std_logic; signal data_enc_valid_rev_d : std_logic; signal data_dec_value : std_logic_vector(7 downto 0); signal data_dec_valid : std_logic; signal data_dec_kchar : std_logic; signal data_dec_decerr : std_logic; signal data_dec_disperr : std_logic; signal data_fram_cnt : unsigned(1 downto 0); signal data_frame_flag : std_logic; signal data_frame_value : std_logic_vector(25 downto 0); signal data_frame_valid : std_logic; signal status : std_logic_vector(7 downto 0); begin -- Status Output rx_stat_o <= status; status(0) <= data_raw_lock; status(1) <= data_enc_sync; status(2) <= data_dec_decerr; status(3) <= data_dec_disperr; status(5 downto 4) <= data_raw_value; status(7 downto 6) <= data_raw_valid; rx_data_raw_o <= data_dec_value; -- Frame collector rx_data_o <= data_frame_value; rx_valid_o <= data_frame_valid and data_raw_lock and data_enc_sync and enable_i; framing_proc : process(clk_160_i, rst_n_i) begin if (rst_n_i = '0') then data_fram_cnt <= (others => '0'); data_frame_flag <= '0'; data_frame_value <= (others => '0'); data_frame_valid <= '0'; elsif rising_edge(clk_160_i) then -- Count bytes if (data_frame_flag = '1' and data_dec_valid = '1' and data_fram_cnt = 2) then data_fram_cnt <= (others => '0'); data_frame_valid <= '1'; elsif (data_frame_flag = '1' and data_dec_valid = '1' and data_fram_cnt < 2) then data_fram_cnt <= data_fram_cnt + 1; data_frame_valid <= '0'; elsif (data_frame_flag = '0') then data_fram_cnt <= (others => '0'); data_frame_valid <= '0'; else data_frame_valid <= '0'; end if; -- Mark Start and End of Frame if (data_dec_valid = '1' and data_dec_kchar = '1' and data_dec_value = c_SOF and data_enc_sync = '1') then data_frame_flag <= '1'; data_frame_value(25 downto 24) <= "01"; -- tag code data_frame_value(23 downto 0) <= trig_tag_i(23 downto 0); data_frame_valid <= '1'; elsif (data_dec_valid = '1' and data_dec_kchar = '1' and (data_dec_value = c_EOF or data_dec_value = c_IDLE)) then data_frame_flag <= '0'; end if; -- Build Frame if (data_frame_flag = '1' and data_dec_valid = '1' and data_dec_kchar = '0' ) then data_frame_value(25 downto 24) <= "00"; -- no special code data_frame_value(23 downto 16) <= data_frame_value(15 downto 8); data_frame_value(15 downto 8) <= data_frame_value(7 downto 0); data_frame_value(7 downto 0) <= data_dec_value; end if; end if; end process framing_proc; -- Reverse bit order to make it standard reverse_proc : process (clk_160_i, rst_n_i) begin if (rst_n_i = '0') then data_enc_value_rev <= (others => '0'); data_enc_valid_rev <= '0'; data_enc_valid_rev_d <= '0'; data_dec_valid <= '0'; elsif rising_edge(clk_160_i) then for I in 0 to 9 loop data_enc_value_rev(I) <= data_enc_value(9-I); end loop; data_enc_valid_rev <= data_enc_valid; data_enc_valid_rev_d <= data_enc_valid_rev; data_dec_valid <= data_enc_valid_rev_d; end if; end process reverse_proc; cmp_cdr_serdes : cdr_serdes port map ( clk160 => clk_160_i, clk640 => clk_640_i, reset => not rst_n_i, din => rx_data_i, data_value => data_raw_value, data_valid => data_raw_valid, data_lock => data_raw_lock ); cmp_data_align : data_alignment port map ( clk => clk_160_i, reset => not rst_n_i, din => data_raw_value, din_valid => data_raw_valid, dout => data_enc_value, dout_valid => data_enc_valid, dout_sync => data_enc_sync ); cmp_decoder: decode_8b10b_wrapper PORT MAP( CLK => clk_160_i, DIN => data_enc_value_rev, CE => data_enc_valid_rev, SINIT => '0', DOUT => data_dec_value, KOUT => data_dec_kchar, CODE_ERR => data_dec_decErr, DISP_ERR => data_dec_dispErr, ND => open ); end behavioral;
gpl-3.0
dec0edbe1dcaa19f5d4b1e3700207ae8
0.617008
2.723552
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_bram_ctrl_0_0/axi_bram_ctrl_v3_0/hdl/vhdl/wrap_brst.vhd
1
51,441
------------------------------------------------------------------------------- -- wrap_brst.vhd ------------------------------------------------------------------------------- -- -- -- (c) Copyright [2010 - 2011] Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ------------------------------------------------------------------------------- -- Filename: wrap_brst.vhd -- -- Description: Create sub module for logic to generate WRAP burst -- address for rd_chnl and wr_chnl. -- -- VHDL-Standard: VHDL'93 -- ------------------------------------------------------------------------------- -- Structure: -- axi_bram_ctrl.vhd (v1_03_a) -- | -- |-- full_axi.vhd -- | -- sng_port_arb.vhd -- | -- lite_ecc_reg.vhd -- | -- axi_lite_if.vhd -- | -- wr_chnl.vhd -- | -- wrap_brst.vhd -- | -- ua_narrow.vhd -- | -- checkbit_handler.vhd -- | -- xor18.vhd -- | -- parity.vhd -- | -- checkbit_handler_64.vhd -- | -- (same helper components as checkbit_handler) -- | -- parity.vhd -- | -- correct_one_bit.vhd -- | -- correct_one_bit_64.vhd -- | -- ecc_gen.vhd -- | -- | -- rd_chnl.vhd -- | -- wrap_brst.vhd -- | -- ua_narrow.vhd -- | -- checkbit_handler.vhd -- | -- xor18.vhd -- | -- parity.vhd -- | -- checkbit_handler_64.vhd -- | -- (same helper components as checkbit_handler) -- | -- parity.vhd -- | -- correct_one_bit.vhd -- | -- correct_one_bit_64.vhd -- | -- ecc_gen.vhd -- | -- |-- axi_lite.vhd -- | -- lite_ecc_reg.vhd -- | -- axi_lite_if.vhd -- | -- checkbit_handler.vhd -- | -- xor18.vhd -- | -- parity.vhd -- | -- correct_one_bit.vhd -- -- -- ------------------------------------------------------------------------------- -- -- History: -- -- ^^^^^^ -- JLJ 2/2/2011 v1.03a -- ~~~~~~ -- Migrate to v1.03a. -- Plus minor code cleanup. -- ^^^^^^ -- JLJ 2/4/2011 v1.03a -- ~~~~~~ -- Edit for scalability and support of 512 and 1024-bit data widths. -- Add axi_bram_ctrl_funcs package inclusion. -- ^^^^^^ -- JLJ 2/7/2011 v1.03a -- ~~~~~~ -- Remove axi_bram_ctrl_funcs package use. -- ^^^^^^ -- JLJ 3/15/2011 v1.03a -- ~~~~~~ -- Update multiply function on signal, wrap_burst_total_cmb, -- for timing path improvements. Replace with left shift operation. -- ^^^^^^ -- JLJ 3/17/2011 v1.03a -- ~~~~~~ -- Add comments as noted in Spyglass runs. And general code clean-up. -- ^^^^^^ -- JLJ 3/24/2011 v1.03a -- ~~~~~~ -- Add specific generate blocks based on C_AXI_DATA_WIDTH to calculate -- total WRAP burst size for improved FPGA resource utilization. -- ^^^^^^ -- JLJ 3/30/2011 v1.03a -- ~~~~~~ -- Clean up code. -- Re-code wrap_burst_total_cmb process blocks for each data width -- to improve and catch all false conditions in code coverage analysis. -- ^^^^^^ -- -- -- -- ------------------------------------------------------------------------------- -- Library declarations library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library work; use work.axi_bram_ctrl_funcs.all; ------------------------------------------------------------------------------ entity wrap_brst is generic ( C_AXI_ADDR_WIDTH : integer := 32; -- Width of AXI address bus (in bits) C_BRAM_ADDR_ADJUST_FACTOR : integer := 32; -- Adjust BRAM address width based on C_AXI_DATA_WIDTH C_AXI_DATA_WIDTH : integer := 32 -- Width of AXI data bus (in bits) ); port ( S_AXI_AClk : in std_logic; S_AXI_AResetn : in std_logic; curr_axlen : in std_logic_vector(7 downto 0) := (others => '0'); curr_axsize : in std_logic_vector(2 downto 0) := (others => '0'); curr_narrow_burst : in std_logic; narrow_bram_addr_inc_re : in std_logic; bram_addr_ld_en : in std_logic; bram_addr_ld : in std_logic_vector (C_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR) := (others => '0'); bram_addr_int : in std_logic_vector (C_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR) := (others => '0'); bram_addr_ld_wrap : out std_logic_vector (C_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR) := (others => '0'); max_wrap_burst_mod : out std_logic := '0' ); end entity wrap_brst; ------------------------------------------------------------------------------- architecture implementation of wrap_brst is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Constants ------------------------------------------------------------------------------- -- Reset active level (common through core) constant C_RESET_ACTIVE : std_logic := '0'; -- AXI Size Constants constant C_AXI_SIZE_1BYTE : std_logic_vector (2 downto 0) := "000"; -- 1 byte constant C_AXI_SIZE_2BYTE : std_logic_vector (2 downto 0) := "001"; -- 2 bytes constant C_AXI_SIZE_4BYTE : std_logic_vector (2 downto 0) := "010"; -- 4 bytes = max size for 32-bit BRAM constant C_AXI_SIZE_8BYTE : std_logic_vector (2 downto 0) := "011"; -- 8 bytes = max size for 64-bit BRAM constant C_AXI_SIZE_16BYTE : std_logic_vector (2 downto 0) := "100"; -- 16 bytes = max size for 128-bit BRAM constant C_AXI_SIZE_32BYTE : std_logic_vector (2 downto 0) := "101"; -- 32 bytes = max size for 256-bit BRAM constant C_AXI_SIZE_64BYTE : std_logic_vector (2 downto 0) := "110"; -- 64 bytes = max size for 512-bit BRAM constant C_AXI_SIZE_128BYTE : std_logic_vector (2 downto 0) := "111"; -- 128 bytes = max size for 1024-bit BRAM -- Determine the number of bytes based on the AXI data width. constant C_AXI_DATA_WIDTH_BYTES : integer := C_AXI_DATA_WIDTH/8; constant C_AXI_DATA_WIDTH_BYTES_LOG2 : integer := log2(C_AXI_DATA_WIDTH_BYTES); -- 8d = size of AxLEN vector constant C_MAX_LSHIFT_SIZE : integer := C_AXI_DATA_WIDTH_BYTES_LOG2 + 8; -- Constants for WRAP size decoding to simplify integer represenation. constant C_WRAP_SIZE_2 : std_logic_vector (2 downto 0) := "001"; constant C_WRAP_SIZE_4 : std_logic_vector (2 downto 0) := "010"; constant C_WRAP_SIZE_8 : std_logic_vector (2 downto 0) := "011"; constant C_WRAP_SIZE_16 : std_logic_vector (2 downto 0) := "100"; ------------------------------------------------------------------------------- -- Signals ------------------------------------------------------------------------------- signal max_wrap_burst : std_logic := '0'; signal save_init_bram_addr_ld : std_logic_vector (C_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR+1) := (others => '0'); -- signal curr_axsize_unsigned : unsigned (2 downto 0) := (others => '0'); -- signal curr_axsize_int : integer := 0; -- signal curr_axlen_unsigned : unsigned (7 downto 0) := (others => '0'); -- Holds burst length/size total (based on width of BRAM width) -- Max size = max length of burst (256 beats) -- signal wrap_burst_total_cmb : integer range 0 to 256 := 1; -- Max 256 (= 32768d / 128 bytes) -- signal wrap_burst_total : integer range 0 to 256 := 1; signal wrap_burst_total_cmb : std_logic_vector (2 downto 0) := (others => '0'); signal wrap_burst_total : std_logic_vector (2 downto 0) := (others => '0'); -- signal curr_axlen_unsigned_plus1 : unsigned (7 downto 0) := (others => '0'); -- signal curr_axlen_unsigned_plus1_lshift : unsigned (C_MAX_LSHIFT_SIZE downto 0) := (others => '0'); -- Max = 32768d ------------------------------------------------------------------------------- -- Architecture Body ------------------------------------------------------------------------------- begin --------------------------------------------------------------------------- -- Modify counter size based on size of current write burst operation -- For WRAP burst types, the counter value will roll over when the burst -- boundary is reached. -- Based on AxSIZE and AxLEN -- To minimize muxing on initial load of counter value -- Detect on WRAP burst types, when the max address is reached. -- When the max address is reached, re-load counter with lower -- address value. -- Save initial load address value. REG_INIT_BRAM_ADDR: process (S_AXI_AClk) begin if (S_AXI_AClk'event and S_AXI_AClk = '1') then if (S_AXI_AResetn = C_RESET_ACTIVE) then save_init_bram_addr_ld <= (others => '0'); elsif (bram_addr_ld_en = '1') then save_init_bram_addr_ld <= bram_addr_ld(C_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR+1); else save_init_bram_addr_ld <= save_init_bram_addr_ld; end if; end if; end process REG_INIT_BRAM_ADDR; --------------------------------------------------------------------------- -- v1.03a -- Calculate AXI size (integer) -- curr_axsize_unsigned <= unsigned (curr_axsize); -- curr_axsize_int <= to_integer (curr_axsize_unsigned); -- Calculate AXI length (integer) -- curr_axlen_unsigned <= unsigned (curr_axlen); -- curr_axlen_unsigned_plus1 <= curr_axlen_unsigned + "00000001"; -- WRAP = size * length (based on BRAM data width in bytes) -- -- Original multiply function: -- wrap_burst_total_cmb <= (size_bytes_int * len_int) / C_AXI_DATA_WIDTH_BYTES; -- For XST, modify integer multiply function to improve timing. -- Replace multiply of AxLEN * AxSIZE with a left shift function. -- LEN_LSHIFT: process (curr_axlen_unsigned_plus1, curr_axsize_int) -- begin -- -- for i in C_MAX_LSHIFT_SIZE downto 0 loop -- -- if (i >= curr_axsize_int + 8) then -- curr_axlen_unsigned_plus1_lshift (i) <= '0'; -- elsif (i >= curr_axsize_int) then -- curr_axlen_unsigned_plus1_lshift (i) <= curr_axlen_unsigned_plus1 (i - curr_axsize_int); -- else -- curr_axlen_unsigned_plus1_lshift (i) <= '0'; -- end if; -- -- end loop; -- -- end process LEN_LSHIFT; -- Final signal assignment for XST & timing improvements. -- wrap_burst_total_cmb <= to_integer (curr_axlen_unsigned_plus1_lshift) / C_AXI_DATA_WIDTH_BYTES; --------------------------------------------------------------------------- -- v1.03a -- For best FPGA resource implementation, hard code the generation of -- WRAP burst size based on each C_AXI_DATA_WIDTH possibility. --------------------------------------------------------------------------- -- Generate: GEN_32_WRAP_SIZE -- Purpose: These wrap size values only apply to 32-bit BRAM. --------------------------------------------------------------------------- GEN_32_WRAP_SIZE: if C_AXI_DATA_WIDTH = 32 generate begin WRAP_SIZE_CMB: process (curr_axlen, curr_axsize) begin -- v1.03a -- Attempt to re code this to improve conditional coverage checks. -- Use case statment to replace if/else with no priority enabled. -- Current size of transaction case (curr_axsize (2 downto 0)) is -- 4 bytes (full AXI size) when C_AXI_SIZE_4BYTE => case (curr_axlen (3 downto 0)) is when "0001" => wrap_burst_total_cmb <= C_WRAP_SIZE_2; when "0011" => wrap_burst_total_cmb <= C_WRAP_SIZE_4; when "0111" => wrap_burst_total_cmb <= C_WRAP_SIZE_8; when "1111" => wrap_burst_total_cmb <= C_WRAP_SIZE_16; when others => wrap_burst_total_cmb <= (others => '0'); end case; -- 2 bytes (1/2 AXI size) when C_AXI_SIZE_2BYTE => case (curr_axlen (3 downto 0)) is when "0011" => wrap_burst_total_cmb <= C_WRAP_SIZE_2; when "0111" => wrap_burst_total_cmb <= C_WRAP_SIZE_4; when "1111" => wrap_burst_total_cmb <= C_WRAP_SIZE_8; when others => wrap_burst_total_cmb <= (others => '0'); end case; -- 1 byte (1/4 AXI size) when C_AXI_SIZE_1BYTE => case (curr_axlen (3 downto 0)) is when "0111" => wrap_burst_total_cmb <= C_WRAP_SIZE_2; when "1111" => wrap_burst_total_cmb <= C_WRAP_SIZE_4; when others => wrap_burst_total_cmb <= (others => '0'); end case; when others => wrap_burst_total_cmb <= (others => '0'); end case; -- v1.03 Original HDL -- -- -- if ((curr_axlen (3 downto 0) = "0001") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_4BYTE)) or -- ((curr_axlen (3 downto 0) = "0011") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_2BYTE)) or -- ((curr_axlen (3 downto 0) = "0111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_1BYTE)) then -- -- wrap_burst_total_cmb <= C_WRAP_SIZE_2; -- -- elsif ((curr_axlen (3 downto 0) = "0011") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_4BYTE)) or -- ((curr_axlen (3 downto 0) = "0111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_2BYTE)) or -- ((curr_axlen (3 downto 0) = "1111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_1BYTE)) then -- -- wrap_burst_total_cmb <= C_WRAP_SIZE_4; -- -- elsif ((curr_axlen (3 downto 0) = "0111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_4BYTE)) or -- ((curr_axlen (3 downto 0) = "1111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_2BYTE)) then -- -- wrap_burst_total_cmb <= C_WRAP_SIZE_8; -- -- elsif ((curr_axlen (3 downto 0) = "1111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_4BYTE)) then -- -- wrap_burst_total_cmb <= C_WRAP_SIZE_16; -- -- else -- wrap_burst_total_cmb <= (others => '0'); -- end if; end process WRAP_SIZE_CMB; end generate GEN_32_WRAP_SIZE; --------------------------------------------------------------------------- -- Generate: GEN_64_WRAP_SIZE -- Purpose: These wrap size values only apply to 64-bit BRAM. --------------------------------------------------------------------------- GEN_64_WRAP_SIZE: if C_AXI_DATA_WIDTH = 64 generate begin WRAP_SIZE_CMB: process (curr_axlen, curr_axsize) begin -- v1.03a -- Attempt to re code this to improve conditional coverage checks. -- Use case statment to replace if/else with no priority enabled. -- Current size of transaction case (curr_axsize (2 downto 0)) is -- 8 bytes (full AXI size) when C_AXI_SIZE_8BYTE => case (curr_axlen (3 downto 0)) is when "0001" => wrap_burst_total_cmb <= C_WRAP_SIZE_2; when "0011" => wrap_burst_total_cmb <= C_WRAP_SIZE_4; when "0111" => wrap_burst_total_cmb <= C_WRAP_SIZE_8; when "1111" => wrap_burst_total_cmb <= C_WRAP_SIZE_16; when others => wrap_burst_total_cmb <= (others => '0'); end case; -- 4 bytes (1/2 AXI size) when C_AXI_SIZE_4BYTE => case (curr_axlen (3 downto 0)) is when "0011" => wrap_burst_total_cmb <= C_WRAP_SIZE_2; when "0111" => wrap_burst_total_cmb <= C_WRAP_SIZE_4; when "1111" => wrap_burst_total_cmb <= C_WRAP_SIZE_8; when others => wrap_burst_total_cmb <= (others => '0'); end case; -- 2 bytes (1/4 AXI size) when C_AXI_SIZE_2BYTE => case (curr_axlen (3 downto 0)) is when "0111" => wrap_burst_total_cmb <= C_WRAP_SIZE_2; when "1111" => wrap_burst_total_cmb <= C_WRAP_SIZE_4; when others => wrap_burst_total_cmb <= (others => '0'); end case; -- 1 byte (1/8 AXI size) when C_AXI_SIZE_1BYTE => case (curr_axlen (3 downto 0)) is when "1111" => wrap_burst_total_cmb <= C_WRAP_SIZE_2; when others => wrap_burst_total_cmb <= (others => '0'); end case; when others => wrap_burst_total_cmb <= (others => '0'); end case; -- v1.03 Original HDL -- -- -- if ((curr_axlen (3 downto 0) = "0001") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_8BYTE)) or -- ((curr_axlen (3 downto 0) = "0011") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_4BYTE)) or -- ((curr_axlen (3 downto 0) = "0111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_2BYTE)) or -- ((curr_axlen (3 downto 0) = "1111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_1BYTE)) then -- -- wrap_burst_total_cmb <= C_WRAP_SIZE_2; -- -- elsif ((curr_axlen (3 downto 0) = "0011") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_8BYTE)) or -- ((curr_axlen (3 downto 0) = "0111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_4BYTE)) or -- ((curr_axlen (3 downto 0) = "1111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_2BYTE)) then -- -- wrap_burst_total_cmb <= C_WRAP_SIZE_4; -- -- elsif ((curr_axlen (3 downto 0) = "0111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_8BYTE)) or -- ((curr_axlen (3 downto 0) = "1111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_4BYTE)) then -- -- wrap_burst_total_cmb <= C_WRAP_SIZE_8; -- -- elsif ((curr_axlen (3 downto 0) = "1111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_8BYTE)) then -- -- wrap_burst_total_cmb <= C_WRAP_SIZE_16; -- -- else -- wrap_burst_total_cmb <= (others => '0'); -- end if; end process WRAP_SIZE_CMB; end generate GEN_64_WRAP_SIZE; --------------------------------------------------------------------------- -- Generate: GEN_128_WRAP_SIZE -- Purpose: These wrap size values only apply to 128-bit BRAM. --------------------------------------------------------------------------- GEN_128_WRAP_SIZE: if C_AXI_DATA_WIDTH = 128 generate begin WRAP_SIZE_CMB: process (curr_axlen, curr_axsize) begin -- v1.03a -- Attempt to re code this to improve conditional coverage checks. -- Use case statment to replace if/else with no priority enabled. -- Current size of transaction case (curr_axsize (2 downto 0)) is -- 16 bytes (full AXI size) when C_AXI_SIZE_16BYTE => case (curr_axlen (3 downto 0)) is when "0001" => wrap_burst_total_cmb <= C_WRAP_SIZE_2; when "0011" => wrap_burst_total_cmb <= C_WRAP_SIZE_4; when "0111" => wrap_burst_total_cmb <= C_WRAP_SIZE_8; when "1111" => wrap_burst_total_cmb <= C_WRAP_SIZE_16; when others => wrap_burst_total_cmb <= (others => '0'); end case; -- 8 bytes (1/2 AXI size) when C_AXI_SIZE_8BYTE => case (curr_axlen (3 downto 0)) is when "0011" => wrap_burst_total_cmb <= C_WRAP_SIZE_2; when "0111" => wrap_burst_total_cmb <= C_WRAP_SIZE_4; when "1111" => wrap_burst_total_cmb <= C_WRAP_SIZE_8; when others => wrap_burst_total_cmb <= (others => '0'); end case; -- 4 bytes (1/4 AXI size) when C_AXI_SIZE_4BYTE => case (curr_axlen (3 downto 0)) is when "0111" => wrap_burst_total_cmb <= C_WRAP_SIZE_2; when "1111" => wrap_burst_total_cmb <= C_WRAP_SIZE_4; when others => wrap_burst_total_cmb <= (others => '0'); end case; -- 2 bytes (1/8 AXI size) when C_AXI_SIZE_2BYTE => case (curr_axlen (3 downto 0)) is when "1111" => wrap_burst_total_cmb <= C_WRAP_SIZE_2; when others => wrap_burst_total_cmb <= (others => '0'); end case; when others => wrap_burst_total_cmb <= (others => '0'); end case; -- v1.03 Original HDL -- -- if ((curr_axlen (3 downto 0) = "0001") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_16BYTE)) or -- ((curr_axlen (3 downto 0) = "0011") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_8BYTE)) or -- ((curr_axlen (3 downto 0) = "0111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_4BYTE)) or -- ((curr_axlen (3 downto 0) = "1111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_2BYTE)) then -- -- wrap_burst_total_cmb <= C_WRAP_SIZE_2; -- -- elsif ((curr_axlen (3 downto 0) = "0011") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_16BYTE)) or -- ((curr_axlen (3 downto 0) = "0111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_8BYTE)) or -- ((curr_axlen (3 downto 0) = "1111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_4BYTE)) then -- -- wrap_burst_total_cmb <= C_WRAP_SIZE_4; -- -- elsif ((curr_axlen (3 downto 0) = "0111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_16BYTE)) or -- ((curr_axlen (3 downto 0) = "1111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_8BYTE)) then -- -- wrap_burst_total_cmb <= C_WRAP_SIZE_8; -- -- elsif ((curr_axlen (3 downto 0) = "1111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_16BYTE)) then -- -- wrap_burst_total_cmb <= C_WRAP_SIZE_16; -- -- else -- wrap_burst_total_cmb <= (others => '0'); -- end if; end process WRAP_SIZE_CMB; end generate GEN_128_WRAP_SIZE; --------------------------------------------------------------------------- -- Generate: GEN_256_WRAP_SIZE -- Purpose: These wrap size values only apply to 256-bit BRAM. --------------------------------------------------------------------------- GEN_256_WRAP_SIZE: if C_AXI_DATA_WIDTH = 256 generate begin WRAP_SIZE_CMB: process (curr_axlen, curr_axsize) begin -- v1.03a -- Attempt to re code this to improve conditional coverage checks. -- Use case statment to replace if/else with no priority enabled. -- Current size of transaction case (curr_axsize (2 downto 0)) is -- 32 bytes (full AXI size) when C_AXI_SIZE_32BYTE => case (curr_axlen (3 downto 0)) is when "0001" => wrap_burst_total_cmb <= C_WRAP_SIZE_2; when "0011" => wrap_burst_total_cmb <= C_WRAP_SIZE_4; when "0111" => wrap_burst_total_cmb <= C_WRAP_SIZE_8; when "1111" => wrap_burst_total_cmb <= C_WRAP_SIZE_16; when others => wrap_burst_total_cmb <= (others => '0'); end case; -- 16 bytes (1/2 AXI size) when C_AXI_SIZE_16BYTE => case (curr_axlen (3 downto 0)) is when "0011" => wrap_burst_total_cmb <= C_WRAP_SIZE_2; when "0111" => wrap_burst_total_cmb <= C_WRAP_SIZE_4; when "1111" => wrap_burst_total_cmb <= C_WRAP_SIZE_8; when others => wrap_burst_total_cmb <= (others => '0'); end case; -- 8 bytes (1/4 AXI size) when C_AXI_SIZE_8BYTE => case (curr_axlen (3 downto 0)) is when "0111" => wrap_burst_total_cmb <= C_WRAP_SIZE_2; when "1111" => wrap_burst_total_cmb <= C_WRAP_SIZE_4; when others => wrap_burst_total_cmb <= (others => '0'); end case; -- 4 bytes (1/8 AXI size) when C_AXI_SIZE_4BYTE => case (curr_axlen (3 downto 0)) is when "1111" => wrap_burst_total_cmb <= C_WRAP_SIZE_2; when others => wrap_burst_total_cmb <= (others => '0'); end case; when others => wrap_burst_total_cmb <= (others => '0'); end case; -- v1.03 Original HDL -- -- if ((curr_axlen (3 downto 0) = "0001") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_32BYTE)) or -- ((curr_axlen (3 downto 0) = "0011") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_16BYTE)) or -- ((curr_axlen (3 downto 0) = "0111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_8BYTE)) or -- ((curr_axlen (3 downto 0) = "1111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_4BYTE)) then -- -- wrap_burst_total_cmb <= C_WRAP_SIZE_2; -- -- elsif ((curr_axlen (3 downto 0) = "0011") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_32BYTE)) or -- ((curr_axlen (3 downto 0) = "0111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_16BYTE)) or -- ((curr_axlen (3 downto 0) = "1111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_8BYTE)) then -- -- wrap_burst_total_cmb <= C_WRAP_SIZE_4; -- -- elsif ((curr_axlen (3 downto 0) = "0111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_32BYTE)) or -- ((curr_axlen (3 downto 0) = "1111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_16BYTE)) then -- -- wrap_burst_total_cmb <= C_WRAP_SIZE_8; -- -- elsif ((curr_axlen (3 downto 0) = "1111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_32BYTE)) then -- -- wrap_burst_total_cmb <= C_WRAP_SIZE_16; -- -- else -- wrap_burst_total_cmb <= (others => '0'); -- end if; end process WRAP_SIZE_CMB; end generate GEN_256_WRAP_SIZE; --------------------------------------------------------------------------- -- Generate: GEN_512_WRAP_SIZE -- Purpose: These wrap size values only apply to 512-bit BRAM. --------------------------------------------------------------------------- GEN_512_WRAP_SIZE: if C_AXI_DATA_WIDTH = 512 generate begin WRAP_SIZE_CMB: process (curr_axlen, curr_axsize) begin -- v1.03a -- Attempt to re code this to improve conditional coverage checks. -- Use case statment to replace if/else with no priority enabled. -- Current size of transaction case (curr_axsize (2 downto 0)) is -- 64 bytes (full AXI size) when C_AXI_SIZE_64BYTE => case (curr_axlen (3 downto 0)) is when "0001" => wrap_burst_total_cmb <= C_WRAP_SIZE_2; when "0011" => wrap_burst_total_cmb <= C_WRAP_SIZE_4; when "0111" => wrap_burst_total_cmb <= C_WRAP_SIZE_8; when "1111" => wrap_burst_total_cmb <= C_WRAP_SIZE_16; when others => wrap_burst_total_cmb <= (others => '0'); end case; -- 32 bytes (1/2 AXI size) when C_AXI_SIZE_32BYTE => case (curr_axlen (3 downto 0)) is when "0011" => wrap_burst_total_cmb <= C_WRAP_SIZE_2; when "0111" => wrap_burst_total_cmb <= C_WRAP_SIZE_4; when "1111" => wrap_burst_total_cmb <= C_WRAP_SIZE_8; when others => wrap_burst_total_cmb <= (others => '0'); end case; -- 16 bytes (1/4 AXI size) when C_AXI_SIZE_16BYTE => case (curr_axlen (3 downto 0)) is when "0111" => wrap_burst_total_cmb <= C_WRAP_SIZE_2; when "1111" => wrap_burst_total_cmb <= C_WRAP_SIZE_4; when others => wrap_burst_total_cmb <= (others => '0'); end case; -- 8 bytes (1/8 AXI size) when C_AXI_SIZE_8BYTE => case (curr_axlen (3 downto 0)) is when "1111" => wrap_burst_total_cmb <= C_WRAP_SIZE_2; when others => wrap_burst_total_cmb <= (others => '0'); end case; when others => wrap_burst_total_cmb <= (others => '0'); end case; -- v1.03 Original HDL -- -- -- if ((curr_axlen (3 downto 0) = "0001") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_64BYTE)) or -- ((curr_axlen (3 downto 0) = "0011") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_32BYTE)) or -- ((curr_axlen (3 downto 0) = "0111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_16BYTE)) or -- ((curr_axlen (3 downto 0) = "1111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_8BYTE)) then -- -- wrap_burst_total_cmb <= C_WRAP_SIZE_2; -- -- elsif ((curr_axlen (3 downto 0) = "0011") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_64BYTE)) or -- ((curr_axlen (3 downto 0) = "0111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_32BYTE)) or -- ((curr_axlen (3 downto 0) = "1111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_16BYTE)) then -- -- wrap_burst_total_cmb <= C_WRAP_SIZE_4; -- -- elsif ((curr_axlen (3 downto 0) = "0111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_64BYTE)) or -- ((curr_axlen (3 downto 0) = "1111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_32BYTE)) then -- -- wrap_burst_total_cmb <= C_WRAP_SIZE_8; -- -- elsif ((curr_axlen (3 downto 0) = "1111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_64BYTE)) then -- -- wrap_burst_total_cmb <= C_WRAP_SIZE_16; -- -- else -- wrap_burst_total_cmb <= (others => '0'); -- end if; end process WRAP_SIZE_CMB; end generate GEN_512_WRAP_SIZE; --------------------------------------------------------------------------- -- Generate: GEN_1024_WRAP_SIZE -- Purpose: These wrap size values only apply to 1024-bit BRAM. --------------------------------------------------------------------------- GEN_1024_WRAP_SIZE: if C_AXI_DATA_WIDTH = 1024 generate begin WRAP_SIZE_CMB: process (curr_axlen, curr_axsize) begin -- v1.03a -- Attempt to re code this to improve conditional coverage checks. -- Use case statment to replace if/else with no priority enabled. -- Current size of transaction case (curr_axsize (2 downto 0)) is -- 128 bytes (full AXI size) when C_AXI_SIZE_128BYTE => case (curr_axlen (3 downto 0)) is when "0001" => wrap_burst_total_cmb <= C_WRAP_SIZE_2; when "0011" => wrap_burst_total_cmb <= C_WRAP_SIZE_4; when "0111" => wrap_burst_total_cmb <= C_WRAP_SIZE_8; when "1111" => wrap_burst_total_cmb <= C_WRAP_SIZE_16; when others => wrap_burst_total_cmb <= (others => '0'); end case; -- 64 bytes (1/2 AXI size) when C_AXI_SIZE_64BYTE => case (curr_axlen (3 downto 0)) is when "0011" => wrap_burst_total_cmb <= C_WRAP_SIZE_2; when "0111" => wrap_burst_total_cmb <= C_WRAP_SIZE_4; when "1111" => wrap_burst_total_cmb <= C_WRAP_SIZE_8; when others => wrap_burst_total_cmb <= (others => '0'); end case; -- 32 bytes (1/4 AXI size) when C_AXI_SIZE_32BYTE => case (curr_axlen (3 downto 0)) is when "0111" => wrap_burst_total_cmb <= C_WRAP_SIZE_2; when "1111" => wrap_burst_total_cmb <= C_WRAP_SIZE_4; when others => wrap_burst_total_cmb <= (others => '0'); end case; -- 16 bytes (1/8 AXI size) when C_AXI_SIZE_16BYTE => case (curr_axlen (3 downto 0)) is when "1111" => wrap_burst_total_cmb <= C_WRAP_SIZE_2; when others => wrap_burst_total_cmb <= (others => '0'); end case; when others => wrap_burst_total_cmb <= (others => '0'); end case; -- v1.03 Original HDL -- -- -- if ((curr_axlen (3 downto 0) = "0001") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_128BYTE)) or -- ((curr_axlen (3 downto 0) = "0011") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_64BYTE)) or -- ((curr_axlen (3 downto 0) = "0111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_32BYTE)) or -- ((curr_axlen (3 downto 0) = "1111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_16BYTE)) then -- -- wrap_burst_total_cmb <= C_WRAP_SIZE_2; -- -- elsif ((curr_axlen (3 downto 0) = "0011") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_128BYTE)) or -- ((curr_axlen (3 downto 0) = "0111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_64BYTE)) or -- ((curr_axlen (3 downto 0) = "1111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_32BYTE)) then -- -- wrap_burst_total_cmb <= C_WRAP_SIZE_4; -- -- elsif ((curr_axlen (3 downto 0) = "0111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_128BYTE)) or -- ((curr_axlen (3 downto 0) = "1111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_64BYTE)) then -- -- wrap_burst_total_cmb <= C_WRAP_SIZE_8; -- -- elsif ((curr_axlen (3 downto 0) = "1111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_128BYTE)) then -- -- wrap_burst_total_cmb <= C_WRAP_SIZE_16; -- -- else -- wrap_burst_total_cmb <= (others => '0'); -- end if; end process WRAP_SIZE_CMB; end generate GEN_1024_WRAP_SIZE; --------------------------------------------------------------------------- -- Early decode to determine size of WRAP transfer -- Goal to break up long timing path to generate max_wrap_burst signal. REG_WRAP_TOTAL: process (S_AXI_AClk) begin if (S_AXI_AClk'event and S_AXI_AClk = '1') then if (S_AXI_AResetn = C_RESET_ACTIVE) then wrap_burst_total <= (others => '0'); elsif (bram_addr_ld_en = '1') then wrap_burst_total <= wrap_burst_total_cmb; else wrap_burst_total <= wrap_burst_total; end if; end if; end process REG_WRAP_TOTAL; --------------------------------------------------------------------------- CHECK_WRAP_MAX : process ( wrap_burst_total, bram_addr_int, save_init_bram_addr_ld ) begin -- Check BRAM address value if max value is reached. -- Max value is based on burst size/length for operation. -- Address bits to check vary based on C_S_AXI_DATA_WIDTH and burst size/length. -- (use signal, wrap_burst_total, based on current WRAP burst size/length/data width). case wrap_burst_total is when C_WRAP_SIZE_2 => if (bram_addr_int (C_BRAM_ADDR_ADJUST_FACTOR) = '1') then max_wrap_burst <= '1'; else max_wrap_burst <= '0'; end if; -- Use saved BRAM load value bram_addr_ld_wrap (C_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR+1) <= save_init_bram_addr_ld (C_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR+1); -- Reset lower order address bits to zero (to wrap address) bram_addr_ld_wrap (C_BRAM_ADDR_ADJUST_FACTOR) <= '0'; when C_WRAP_SIZE_4 => if (bram_addr_int (C_BRAM_ADDR_ADJUST_FACTOR + 1 downto C_BRAM_ADDR_ADJUST_FACTOR) = "11") then max_wrap_burst <= '1'; else max_wrap_burst <= '0'; end if; -- Use saved BRAM load value bram_addr_ld_wrap (C_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR+2) <= save_init_bram_addr_ld (C_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR+2); -- Reset lower order address bits to zero (to wrap address) bram_addr_ld_wrap (C_BRAM_ADDR_ADJUST_FACTOR + 1 downto C_BRAM_ADDR_ADJUST_FACTOR ) <= "00"; when C_WRAP_SIZE_8 => if (bram_addr_int (C_BRAM_ADDR_ADJUST_FACTOR + 2 downto C_BRAM_ADDR_ADJUST_FACTOR) = "111") then max_wrap_burst <= '1'; else max_wrap_burst <= '0'; end if; -- Use saved BRAM load value bram_addr_ld_wrap (C_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR+3) <= save_init_bram_addr_ld (C_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR+3); -- Reset lower order address bits to zero (to wrap address) bram_addr_ld_wrap (C_BRAM_ADDR_ADJUST_FACTOR + 2 downto C_BRAM_ADDR_ADJUST_FACTOR ) <= "000"; when C_WRAP_SIZE_16 => if (bram_addr_int (C_BRAM_ADDR_ADJUST_FACTOR + 3 downto C_BRAM_ADDR_ADJUST_FACTOR) = "1111") then max_wrap_burst <= '1'; else max_wrap_burst <= '0'; end if; -- Use saved BRAM load value bram_addr_ld_wrap (C_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR+4) <= save_init_bram_addr_ld (C_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR+4); -- Reset lower order address bits to zero (to wrap address) bram_addr_ld_wrap (C_BRAM_ADDR_ADJUST_FACTOR + 3 downto C_BRAM_ADDR_ADJUST_FACTOR ) <= "0000"; when others => max_wrap_burst <= '0'; bram_addr_ld_wrap(C_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR+1) <= save_init_bram_addr_ld; -- Reset lower order address bits to zero (to wrap address) bram_addr_ld_wrap (C_BRAM_ADDR_ADJUST_FACTOR) <= '0'; end case; end process CHECK_WRAP_MAX; --------------------------------------------------------------------------- -- Move outside of CHECK_WRAP_MAX process. -- Account for narrow burst operations. -- -- Currently max_wrap_burst is getting asserted at the first address beat to BRAM -- that indicates the maximum WRAP burst boundary. Must wait for the completion of the -- narrow wrap burst counter to assert max_wrap_burst. -- -- Indicates when narrow burst address counter hits max (all zeros value) -- narrow_bram_addr_inc_re max_wrap_burst_mod <= max_wrap_burst when (curr_narrow_burst = '0') else (max_wrap_burst and narrow_bram_addr_inc_re); --------------------------------------------------------------------------- end architecture implementation;
bsd-2-clause
603db52f4d371a5a8bb8d337a08f6cce
0.409868
4.42351
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/fifo_generator_v11_0/ramfifo/dc_ss.vhd
2
8,726
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block CQfQuP2Vn0fVR/euDVNik1h/3tRifR3CceOPQ+YpUdgbrk2D99jxZBBiDIC1WCbxbICuTMnSOkxs tl6DZGvUcQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block YGuCIWMtB67MkBDYpgFXoAAKUu4hfOKbs5bs1+ef2Ug1/Rl+pwffP7EKUItX5BCuzRhBGpl+JqgA 77NtTpH10Crmquu+f9QevyNBxEF9TpoOL43c2pwjXMRdiSudl3dC8AXZOm+5obMh5OtSHFPjYDpP yNWQ4292/idiVxLUtB0= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 2aHoySse40a/bZE6BifyqNy/KcIhm2NQKRBhKBgyjxX2mkmpb9mcNariDBBoGgvH38NSHWySwiJd i+GSKkH7dxYflTLCfuyTnP8ZsiYFcHA//9FFx2QbYwBFeqBI7DOznGBerHrc4Hzvfijuzv3c0B0R okpQrfozDo4JTHZ02lJD0d+Mk8xb2VctbK501Mj1l83aT5SCCNBzccJN5ZHUAYlOYt31Xi9fDW+7 3hbdKMvLai1A6zFGc7o9jDqLLsNWUlavTrUcWpQoLBg2lPSuCX2vKtD5d+vUCGt6WVtoT/uVfWTM C2u3zzu44fgm2tAy7omdt8/ZnbP+WQH+lOXEpw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block fw3n275XbefQcdbu5POSbgQLcozakyeBmMV6+h5ErECHWWOvDHlhCf7UinwPb1INiaOD2FEexoSz ZleTc+DTFxwf07DTZBBp3zGdGzioWWx3xk+KJd8SA4USD9Bn56phg7gAp4ayKOjRWoeXOpHyEQgC FoVkkysuOkpc+fbv96o= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block M2FTHOLS/7bv/XVJi4IN6E5NOcRefsKFmeHh3B+hewN0ufjIq5J9thxbfHrhQ/qY0jtP9yCKiZC/ m4+cTi9uzqhOs5BszEuWzhJ4W0JLRe+bo9OddgTlPFLURMUM/10v4+DhBFRwn3hXW9Tl3kcRN39b lDpTaZPJuPDsM3xPKGlv7Jiip26BO+dWpRoBbDCHdIT2N02VE1lryhUMjC63PD70dSg4iympvsMD 0lK9oisDo1y5mzYJNadvDSzNwUrP5Ag0S05l6gl8czSXhojoat0xUHcdlxL3c12WdmM12oMeap2D YyQ7FmgPvyvvo/wJHaLORhy3uTiJLUSheN3tRQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4720) `protect data_block 8SrUVsGkf1GdHn0ifnyi2Jy4NM9wxXxHdP61gzVJflibqUrMJKJRByJeYw/qQ/9ZL3XkTjMWNWhs ioUg+j87MEqJGdSUPD+jdTGAsEw6m8/CgoIukoD+SwJxfHU53J1uHaadYKz3nIvT5IUFsVoU2hOn I/N2lGRwKPcmr/1KxOXmeUSx+HZbS/TAeQX6tvTmJdTecoMtPzn0yFeh2sz6dddbSji3J4D2f1um BBSvWpJHWqrfqtwp+BSygcc2oFzlNRLck3VNpNTQgrG6p89vIf3QySwygjNn3CIospMs3blHp8Ax bk87N16aN3Xh4BzGL9BDZ7rp2ceXyNnVbYLcTC3ppaSeJ7sO1rs/E9H9nHmMvT7rdUcjPZKBnwaJ YT18ZO0uxkJPRzhu7OA98t63Aqh2pZNTsCAOdHA/AkFOdcExw4XuOV57ho6OCifThKLS8pOvMUOT hkNG0G3WHNjAKSbn5Z8VNBTGoW9avvSfgmMjRr4M1Rd6bz5WutumSMMcyverZeJZXrSSgICtPzlE /y0ZdmojXhf9MwQRtfRXssYyW7xw5ItulpcRCCDIjDO7PHVgf4W0PrsolRVTLJUDAlyLwxM7SKJm HwojUwPKqoh5y6nwC0U6gBhWZsD+/cKo/pICV9rF5ktqev3bkh5EHAzQGA9QetbcQjoNTsy2ADgp GaAxOtOO0EB5KSPqc0iWLdE0TCgal46twk7x5xplYEmmGA7nOi698Hg9DnmSel2Zxijb/R+1L1zA kSP8s/lLTbEMv3qLM3WhAsbKOrY8CCmo640F5PGUNLQREowyISrxbFz2e+1rCJZVh+TfcPRO01gC l0mMozoT28EiOByh61v6nGSzr1EuRCZtbE35Xv8jEpB3VvcER5igHpnGrAT3q+H9vQnO77w32qe7 Qii2Yffd8Hu+0mjE09pJj1XnQUmVsF3nOlDBg54oVTrDRobmwmPlos58g3f8YB4T29nPFG5Wk8MM GkkIrp7QcPf1MNK1r7SLY4VcDd5W8f8uQKwkDr/NzjMNHaeLwsOEtIfjHcaz/59OzJCTzkRlIIwz wIu5pkWll6Krg3jY6fSOxy397ZnJyLaxxyWCQOM19HaAmfWBuGYQ5ussXm1b1+umV6ynaZ9KtFrE rz6OV+eY4RaQuCpnz42oSehFdwqM5/uUAYBvxhKxfsanG6j9xA+bzPnoLiLcvrHAnQObKgrW79SL WNTMiSt09yWQwXkqLKjrj2scX0sgm1/pcPxaJXLT50L7swEJtGjEm+fW6+LFIh5NmBeP447MlqTY 5ox9B5kUqg+9BfC+Qr4BcqKpUveskqttYzFVR3eAA9M1B9bHGUSNClML6lgLh9kEfAOm4uLJAtJa vk9LZcMUXoEhwcdgMKQLkY4fLcyfRUJDPqKiaEMSF1QF6MQP0AZDbEcNE5e9j9B3/dVkuBKoYwEI HA2r9FxJMtFtnjSBz9rXuDjyCaecGZ7oaZqRY6/FK3Vbm/NqvlBbA1/xD/dQi+Eyaq8k+ru8LiJK 42PlZV8XVmeIeddygla+npNjIvWc7endt8Sil8DsFGdxayawVvNHaUaJ/W0gLqFyc0W4Cip7dKRj sStrJPxuljbwLxHCYPiERh0b3ncdwEBLSDcPiJWwshTJdxIVsMDGmPqEmHgovPQyAnnmHBcdTuDL 0OMl3G8psSlCYNIS/grD3y0Y3jPxCA8Mmr6i0aYnvaIm+i266CnkAI25LQh5ZaIaH4N9TajF+6FE a6+T3Adx5UAtmrCYpRKSIDg0zana+TosAv+UAAGDYzq9XiutQZnPawTIlqhzcAxzXEhUEtVfJhko /BeTJHiWvasqyHIvyvIkakhX/B3AaXFFgOxsTz3zNIC/yezH50TyfLBgCsUhW0sZVreb48bDSdyX DM+FjyRL/Ic+jeqPN7FiV+geUpNLbwrAmD4Rj/Rp0huvzihDLZ3GnIVPIOuMLE6Gle2YM+ze1aCY 86ZiUcix60ODIy/6MawvendPIedHjYktqTnUx+EzAOio4M0thJSHtYkr4QX9XGYeGKPw6/KPU+Pe 5fYtUhVl1h8TDr5/YNh+yXu/nNlUPi5pXP1vpwGrTv43ACZEvihw15cvqvbTGUvdwkpZIia/mrqW qT2mKzik1YjF8ed+Ww8fz7lYezT/rX1Y/dft/16qcs18bLcn6zMeARRLTwyx0uj9JLSl5ndvwq95 bW2UykweidnN+8XVqtSZGy36yWBHEMiU3lB930C6Arx/vdridnZActomX+xFskQSD9BaaRqvlaUi UyXBsAGPE2P653LoH/NxFGUZv6QV0R0/u+Dik+ONUJdiJgGM1NQhJ3M0GUE7udN1CJ6TL+hvSUr3 /9YMmQ2kiJLZx84oq6Yb+S9qjiaKtXziB5c49xGCZEyCvOhRT9zeYeHIWmSl+5J0mJipaA6lBbnO eQok99W1ojtTmkSKNs2Wk5btIO63QQiFvaehPx7betpXQDTmn+ww2evWjV26+VHBHiBPO1JrRca6 Q7a/g3cGkbeGHAR+TuTxj/iu2wrqJNEkUj00/O2CkgBGZtnaaC11Jb0fERToZu7xs4ERzoUB0+6v MmR9xY/UtcuUy1XH3amUtnWdpVs5bAM1FRT5Tn3Vwn7dCe3Ms0TeiAMiBtyNNQVuNIqo/Z93gRLZ tQZfH7dfPnsxj6Td0kWCZRWDeryYOTwNhTCuFJyzhKBwt5oMV84qMD9GOb5ASdn7qnTIkxvpfb1t /VF1F0rJaNxDPSgw8oWn71OM9ud2VBou+yz8rcXC5aCu3AoGh2U9HElONMPZQTNrFPg3mjknvtVB 4roAorLGBrNrP/rioNlIBzau4DeggdaEIAZIwEPEYY+o2Z46G2NbFgtWNIh3v+oTGyUsjd10CXMU Ai5yUOv6MIFZFWt6ZmzcKrBvcIICevjS7sLIUCJTXdnf9V25UCpNL4xWGHjOi8TzeyCyNpL13hzi pETX1QmtNA3Ocxpef7kE6MYAMlPGuWXQsKJmydUPZsTlhtMRSqm5uUigqPy3PTxby5HwlyT63wx9 SGLTH9NmmngnwZyvbwGJkbXrE+N6UxpwyZOy5xWM2/nE1vlFW/Tn4DsSBIidRNfGijcIWGK5vEsP dAEcYncNW+Baoj4uehJnT+jIoeBIGbD3t31pyJ2CTMeZ8n6p64wS+qVt6wODXGJRXLtdH5z21KGa iwbUUvc6fwNYj0v1c9U0Kp25EYF/bRYJXc44cRaJJ3MB1ceEPMX/uc8Qt65/YjZuNPJfNydqBOpc 4YldL+IvqRPSr2XEgCeaeVkelLMyaNztgE3PFt7Ho3p2nD5+SmNSMTvNtc8xHkI72Of0IoFcIrk+ u25MjpbLoZEW00LgrKSlutEC0vUzQ6vV2fA0JswIzBcXPfiEweJZNHbsFYvvs3wuhluX1+NM+h/c 9XIyU+YUEfQdI8Mqj8fwT8JqhpG5bbuFY8sPi6ZGcLpeQDvgUgzuHoczq6Hl4dutw0I+bwnd4LBc PoJaTMMf33fEMZ+cKIS7xbZdfoTvcd8tda6YHmzJfkUydBQcFgThXi8bv/z1qpxx2RyU7FXNQsPM UQbzrtTJJ4qm04s7G5zWpufwkuVdo4sU5+9R4SFGNIocqHFn9srIszVMEFVJf0II8BF5W56y7v99 MbjzPnmdimdR8xPbcn6Y5VzQfYI7TJ7y5oGllSHofVVeadAYzl+u3jD3W8tglSaeb5QLenose7Ul FibmGJZZ92XpgNGo523nXX5DoWehtYthcsgUCe1g32pfbDODhiUfedHdwHOzlvNCygqVWeHsN2bp v37sAKZcA0vNN1sCGpkCuQbM7bkeVGiG4K7l0ivaHm/oz4ak0Y4Gh6mmT5obbWeMWGUzn6c8niEW +YftDBq/9fsicUKqIxhemnnFbqnlMZeMIvatmLXOvjrjh3lMP/0hj+UnTBJAdoZNRg4yKT/9j//v 3KVjZf1ANJWsm4kb97Jk7kHYo1INTFdFXOWuccJaJJ/Tb1Sz9bM/Z+jcwL/ViW7UwqsOQaxe8tPZ rtOoFgODzLXcABv8O4Mif6jijnQYEQhEO1fJoL3vvQ5U2ITGaj9IYYgLFjITgms11LtVlaxFXxxE /IjAIiea2rBIYbWEs1kV/1usCC8MWOeOK/0rRrPmb96UP19WpOyQ8wSw2ggc+890NjmVfz4txi05 4JRz1ATEpSKIepckRwDj+61pejgAmCpNBkxBkPZy63AfJ69s6zYyJyQRm1VyYHHztu5gfQc5hxXt pENZlTIc2ALe8tVqOjEvgtm9n1rUXdbSsosT2xL5FcgFFyCvKBivMu8EK+lh/3V1q+XB0MUpqqda gyLA4YYoTEItda2F4qe+McUVpf2r/aH/5PMDiKLJRQ8Q8DyUpxuHCwChtV0+BBTL75nkD76ah9EO tYij1ODBUnChjewb+24ZwFTLSCdJMtov7CCq8jwZyCewRviKSVz9Qf8XK9WZ0cqwZE9pJKN/mMYC KtH7eex+6tk7VFfpiAbtqvWgqq61kh7FT+xcttMbM0AFpPBmvVh7/v7aRca/aw83ELcWDZ7iJDjx swW3XNg27hBHZnQ12zwLllDV4x+e7t3CVAfOPxPuLzBXsWbWt9xSaRvT4nrfc8E0a/oV8t91xq95 X1Mqirsv7zGTubynGi02m8tNzFwgPw9/dIVC2eUhzhFkywIF7mqyiBDR9CSm6YX5uaqXYJikg5Fv 1A3rR0p64tHHiUPWpXlkPnPeTmctsbUdP5cfdCjzbGKezPP2SdZglGxEaZWXVUz0xF00cL4R7PqT b056lqSEFhbYj82W/IvNjuJzTyz/ReBu3aOkHaMjwK/c7gSPb4iPmEifuRQAl8gzKOV16SdNbMnd MPDR9BV0mZweeY0j7S2TI4gkE8XGtT62/ve94lltJyjOR5fM3dvwl0bJishBDr0I6aNQWBw3wzgb jnDFpL/IkKH3GrrCnjxyGdGB6ytHvAQ/plBylpdBkY7xZMkIYFRhFlXtTSeDMRr4DLbDtv/kR4OP TvIIVYF2mLCEZkO3nub0BmErI1RKQbCTShoGf/1UCYf2XjwWVWxrDVrODbGjtOQhSESe6B7kCYFc A6Rutc8n9ii6QFtLXI/7GgaXp7rNuTTEmcFe9S7x7yDsRf9lM2OMC2pyvaa+sRy76U9JhHAiPFjo UtPOcq2myaa6Q4kdRrayhIXfKlvw6ivg2UKKLGTlJLc/qPicnQJHuG+uWZ040hbupyTVAJAXdSdm tX/X9ZmDEpl6UkKf0U21qK7x3NLJzJoygl1z4tZ9Dx4CZ39eYFnoptVKJg0CWkOSKVBKGkq4ny5r lKOCK6qGy+hcv41vH6d7vJTsNnMo9ZN44Q0cs9F5TxJgkOcP/u/xihXdCXsJYLss1nV2Q9A03VdZ 7Bg6dDFSi36Lm+e32iuObcZpOhBVdB8O7L7MAEehYeRQNjifgDPeTTfTf5u6QHVICUM0D1nLZ2xQ Icn3oxaigiEI2GG02XP2oPsVtkpULnWsm8fOuX9/gKSzJgqC3ehuoZCZyR1mf+O3GkHZkwDtolB9 XebMVtyf26xI7A1H29jWpxDHwhCOxCMLOiThwpzXgG/5giDKYOfMc4JvY/uu5vaukXzyY3kiZCzK uhLmIUNSLzBD9QEh9nOVaHUBYvW5iDBTaZh/4tzExitysib3Ti/NGYK6JkH/pAnxjf6DBxZArADo xZXtAfEHQzsnCp8Hj2hqi8+1PkzCBU5REohpuu+MHn8nSlwHxEWtwCVrtyeZulD69/K+4Bhc8vcz U61pLRGjMrEC5/cQfU+K0RorMLyy1qYmvjVFhs+eLWGqHTxfj5ETmUcwnAwqxsGiwqv1fxI0A0J7 oqEsxSwJegKFtcFWZBR04s88nnppdYb2qVZX/IEIMCut75OJpd2PSvT4tMWf2AntkEPKnVKSO0tM gHDU5QxqHfFDMJHNQTUqcflu1MMQE4ka7JfRA84+glUr8q61/0ueQePdM8jahVjC8MV8C5+gsuG+ +TeQnuD7YSauvDN8s8pVfO4k2VfCLpoRq0Axuk0V9YHlNlwhRx1VJ5ntCtAecl0ynAE7KjdqNCGz OdQjSJlJwGHH0pUmNYL0usnLNiwazNFwTHwetJMXqzG6Xl4klwt01k8VrNgEIhJm+40x4oZDlNSI gosSMjoiVefKUa86xqnn/mgeu8t4c3dGFw+ZVCxRUjJYSUW7g+4gZ/pm21GZdHvbx4JEBcB+EzAZ ufC+wl0ekeBM0I21fy+1Ksy3u4q5txC09vWYSRB+Ulb6q9+hJaQsArmIKsH4Og== `protect end_protected
bsd-2-clause
ae85a440a3a6f4f08506cf7fd4f29bff
0.919665
1.928398
false
false
false
false
Yarr/Yarr-fw
rtl/spartan6/rx-core/decode_8b10b/decode_8b10b_pkg.vhd
3
9,683
--------------------------------------------------------------------------- -- -- Module : decode_8b10b_pkg.vhd -- -- Version : 1.1 -- -- Last Update : 2008-10-31 -- -- Project : 8b/10b Decoder Reference Design -- -- Description : 8b/10b Decoder package file -- -- Company : Xilinx, Inc. -- -- DISCLAIMER OF LIABILITY -- -- This file contains proprietary and confidential information of -- Xilinx, Inc. ("Xilinx"), that is distributed under a license -- from Xilinx, and may be used, copied and/or disclosed only -- pursuant to the terms of a valid license agreement with Xilinx. -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION -- ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER -- EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT -- LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, -- MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx -- does not warrant that functions included in the Materials will -- meet the requirements of Licensee, or that the operation of the -- Materials will be uninterrupted or error-free, or that defects -- in the Materials will be corrected. Furthermore, Xilinx does -- not warrant or make any representations regarding use, or the -- results of the use, of the Materials in terms of correctness, -- accuracy, reliability or otherwise. -- -- Xilinx products are not designed or intended to be fail-safe, -- or for use in any application requiring fail-safe performance, -- such as life-support or safety devices or systems, Class III -- medical devices, nuclear facilities, applications related to -- the deployment of airbags, or any other applications that could -- lead to death, personal injury or severe property or -- environmental damage (individually and collectively, "critical -- applications"). Customer assumes the sole risk and liability -- of any use of Xilinx products in critical applications, -- subject only to applicable laws and regulations governing -- limitations on product liability. -- -- Copyright 2000, 2001, 2002, 2003, 2004, 2005, 2008 Xilinx, Inc. -- All rights reserved. -- -- This disclaimer and copyright notice must be retained as part -- of this file at all times. -- --------------------------------------------------------------------------- -- -- History -- -- Date Version Description -- -- 10/31/2008 1.1 Initial release -- ------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; ------------------------------------------------------------------------------- -- Package Declaration ------------------------------------------------------------------------------- PACKAGE decode_8b10b_pkg IS ------------------------------------------------------------------------------- -- Constant Declarations ------------------------------------------------------------------------------- CONSTANT TFF : TIME := 2 ns; CONSTANT CONST_NEG : STRING (1 TO 2) := "00"; CONSTANT CONST_POS : STRING (1 TO 2) := "01"; ------------------------------------------------------------------------------- -- Function Declarations ------------------------------------------------------------------------------- FUNCTION int_to_str_1bit ( bit : INTEGER ) RETURN STRING; FUNCTION bint_2_sl ( X : INTEGER ) RETURN STD_LOGIC; FUNCTION concat_sinit ( integer_run_disp : INTEGER; integer_kout : INTEGER; string_dout : STRING ) RETURN STRING; FUNCTION str_to_slv( bitsin : STRING; nbits : INTEGER ) RETURN STD_LOGIC_VECTOR; FUNCTION calc_init_val_rd ( SINIT_VAL : STRING(10 DOWNTO 1) ) RETURN INTEGER; FUNCTION has_bport ( C_HAS_BPORTS : INTEGER; has_aport : INTEGER ) RETURN INTEGER; END decode_8b10b_pkg; ------------------------------------------------------------------------------- -- Package Body ------------------------------------------------------------------------------- PACKAGE BODY decode_8b10b_pkg IS ------------------------------------------------------------------------------- -- Convert binary integer (0 or 1) into a single-character-string ("0" or "1") ------------------------------------------------------------------------------- FUNCTION int_to_str_1bit(bit : INTEGER) RETURN STRING IS BEGIN IF (bit = 1) THEN RETURN "1"; ELSE RETURN "0"; END IF; END int_to_str_1bit; ------------------------------------------------------------------------------- -- Convert binary integer (0 or 1) into std_logic ('0' or '1') ------------------------------------------------------------------------------- FUNCTION bint_2_sl (X : INTEGER) RETURN STD_LOGIC IS BEGIN IF (X = 0) THEN RETURN '0'; ELSE RETURN '1'; END IF; END bint_2_sl; ------------------------------------------------------------------------------- -- Calculate the SINIT value for the inferred BRAM using the generics: -- C_SINIT_DOUT, C_SINIT_KOUT, and C_SINIT_RUN_DISP ------------------------------------------------------------------------------- FUNCTION concat_sinit( integer_run_disp : INTEGER; integer_kout : INTEGER; string_dout : STRING) RETURN STRING IS VARIABLE tmp_sym_disp : STRING(1 TO 2); CONSTANT TMP_CODE_ERR : STRING(1 TO 1) := "0"; CONSTANT TMP_KOUT_0 : STRING(1 TO 1) := "0"; CONSTANT TMP_KOUT_1 : STRING(1 TO 1) := "1"; VARIABLE tmp_str : STRING(1 TO 14); BEGIN IF (integer_run_disp = 1) THEN tmp_sym_disp := CONST_POS; -- D0.0+ has sym_disp of pos ELSE tmp_sym_disp := CONST_NEG; -- D0.0- has sym_disp of neg END IF; IF (integer_kout = 1) THEN tmp_str := tmp_sym_disp & tmp_sym_disp & TMP_CODE_ERR & TMP_KOUT_1 & string_dout; ELSE tmp_str := tmp_sym_disp & tmp_sym_disp & TMP_CODE_ERR & TMP_KOUT_0 & string_dout; END IF; RETURN tmp_str; END concat_sinit; ----------------------------------------------------------------------------- -- Convert a string containing 1's and 0's into a std_logic_vector of -- width nbits ----------------------------------------------------------------------------- FUNCTION str_to_slv( bitsin : STRING; nbits : INTEGER) RETURN STD_LOGIC_VECTOR IS VARIABLE ret : STD_LOGIC_VECTOR(bitsin'range); VARIABLE ret0s : STD_LOGIC_VECTOR(1 TO nbits) := (OTHERS => '0'); VARIABLE retpadded : STD_LOGIC_VECTOR(1 TO nbits) := (OTHERS => '0'); VARIABLE offset : INTEGER := 0; BEGIN IF(bitsin'length = 0) THEN -- Make all '0's RETURN ret0s; END IF; IF(bitsin'length < nbits) THEN -- pad MSBs with '0's offset := nbits - bitsin'length; FOR i IN bitsin'range LOOP IF bitsin(i) = '1' THEN retpadded(i+offset) := '1'; ELSIF (bitsin(i) = 'X' OR bitsin(i) = 'x') THEN retpadded(i+offset) := 'X'; ELSIF (bitsin(i) = 'Z' OR bitsin(i) = 'z') THEN retpadded(i+offset) := 'Z'; ELSIF (bitsin(i) = '0') THEN retpadded(i+offset) := '0'; END IF; END LOOP; retpadded(1 TO offset) := (OTHERS => '0'); RETURN retpadded; END IF; FOR i IN bitsin'range LOOP IF bitsin(i) = '1' THEN ret(i) := '1'; ELSIF (bitsin(i) = 'X' OR bitsin(i) = 'x') THEN ret(i) := 'X'; ELSIF (bitsin(i) = 'Z' OR bitsin(i) = 'z') THEN ret(i) := 'Z'; ELSIF (bitsin(i) = '0') THEN ret(i) := '0'; END IF; END LOOP; RETURN ret; END str_to_slv; ----------------------------------------------------------------------------- -- Translate the SINIT string value from the core wrapper to -- C_SINIT_RUN_DISP ----------------------------------------------------------------------------- FUNCTION calc_init_val_rd (SINIT_VAL : STRING(10 DOWNTO 1)) RETURN INTEGER IS VARIABLE tmp_init_val : INTEGER; BEGIN CASE SINIT_VAL IS WHEN "0000000001" => tmp_init_val := 1; --D.0.0 (pos) WHEN "0000000000" => tmp_init_val := 0; --D.0.0 (neg) WHEN "0100101001" => tmp_init_val := 1; --D.10.2 (pos) WHEN "0100101000" => tmp_init_val := 0; --D.10.2 (neg) WHEN "1011010101" => tmp_init_val := 1; --D.21.5 (pos) WHEN "1011010100" => tmp_init_val := 0; --D.21.5 (neg) WHEN OTHERS => tmp_init_val := 0; --invalid init value END CASE; RETURN tmp_init_val; END calc_init_val_rd; ----------------------------------------------------------------------------- -- If C_HAS_BPORTS = 1, then the optional B ports are configured the -- same as the optional A ports -- If C_HAS_BPORTS = 0, then the optional B ports are disabled (= 0) ----------------------------------------------------------------------------- FUNCTION has_bport ( C_HAS_BPORTS : INTEGER; has_aport : INTEGER) RETURN INTEGER IS VARIABLE has_bport : INTEGER; BEGIN IF (C_HAS_BPORTS = 1) THEN has_bport := has_aport; ELSE has_bport := 0; END IF; RETURN has_bport; END has_bport; END decode_8b10b_pkg;
gpl-3.0
9bfb1d26abdd4163bd4dcc301eac2ea9
0.473407
4.332438
false
false
false
false
rjarzmik/mips_processor
WB/Writeback.vhd
1
6,600
------------------------------------------------------------------------------- -- Title : Writeback instruction's result -- Project : Source files in two directories, custom library name, VHDL'87 ------------------------------------------------------------------------------- -- File : Writeback.vhd -- Author : Robert Jarzmik <[email protected]> -- Company : -- Created : 2016-11-16 -- Last update: 2017-01-04 -- Platform : -- Standard : VHDL'93/02 ------------------------------------------------------------------------------- -- Description: Writes back a MIPS instruction result into the register file ------------------------------------------------------------------------------- -- Copyright (c) 2016 ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2016-11-16 1.0 rj Created ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use work.cpu_defs.all; use work.instruction_defs.all; ------------------------------------------------------------------------------- entity Writeback is generic ( ADDR_WIDTH : integer := 32; DATA_WIDTH : integer := 32; NB_REGISTERS : positive := 34 ); port ( clk : in std_logic; rst : in std_logic; stall_req : in std_logic; -- stall current instruction kill_req : in std_logic; -- kill current instruction i_reg1 : in register_port_type; i_reg2 : in register_port_type; i_jump_target : in std_logic_vector(ADDR_WIDTH - 1 downto 0); i_is_jump : in std_logic; o_reg1 : out register_port_type; o_reg2 : out register_port_type; o_is_jump : out std_logic; o_jump_target : out std_logic_vector(ADDR_WIDTH - 1 downto 0); -- Carry-over signals i_instr_tag : in instr_tag_t; o_instr_tag : out instr_tag_t; -- Debug signal i_dbg_wb_pc : in std_logic_vector(ADDR_WIDTH - 1 downto 0); o_dbg_wb_pc : out std_logic_vector(ADDR_WIDTH - 1 downto 0) ); end entity Writeback; ------------------------------------------------------------------------------- architecture rtl of Writeback is ----------------------------------------------------------------------------- -- Internal signal declarations ----------------------------------------------------------------------------- signal reg1 : register_port_type; signal reg2 : register_port_type; signal is_nop : boolean; signal last_is_jump : std_logic; signal last_jump_target : std_logic_vector(ADDR_WIDTH - 1 downto 0); signal last_instr_tag : instr_tag_t; begin -- architecture rtl ----------------------------------------------------------------------------- -- Component instantiations ----------------------------------------------------------------------------- is_nop <= true when i_reg1.we = '0' and i_reg2.we = '0' and not i_instr_tag.is_branch and not i_instr_tag.is_ja and not i_instr_tag.is_jr else false; process(rst, clk, stall_req) begin if rst = '1' then reg1.we <= '0'; reg2.we <= '0'; o_instr_tag <= INSTR_TAG_NONE; last_instr_tag <= INSTR_TAG_NONE; last_is_jump <= '0'; last_jump_target <= (others => 'X'); elsif rising_edge(clk) then if kill_req = '1' then reg1.we <= '0'; reg2.we <= '0'; o_is_jump <= '0'; o_jump_target <= (others => 'X'); o_instr_tag <= INSTR_TAG_NONE; elsif stall_req = '0' then if not is_nop then last_instr_tag <= get_instr_change_is_branch_taken(i_instr_tag, i_is_jump = '1'); last_is_jump <= i_is_jump; last_jump_target <= i_jump_target; end if; -- Branch delay slot of 1 : --- If branch or jump, delay setting o_is_jump and o_jump_target to --- the next no-NOP instruction --- If not, forward as is. if (last_instr_tag.is_branch or last_instr_tag.is_ja or last_instr_tag.is_jr) and not is_nop then -- Falsify the outputs to fake the jump/branch happens on the next -- after jump/branch instruction, ie. delay slot of 1. -- Transfer the jump and the writeback instruction together -- The o_instr_tag is changed from the instruction just after the -- branch to the instruction branch, for branch prediction. o_is_jump <= last_is_jump; o_jump_target <= last_jump_target; o_instr_tag <= get_instr_change_is_branch_taken( get_instr_change_is_branch( get_instr_change_is_ja( get_instr_change_is_jr(i_instr_tag, last_instr_tag.is_jr), last_instr_tag.is_ja), last_instr_tag.is_branch), last_instr_tag.is_branch_taken); elsif (i_instr_tag.is_branch or i_instr_tag.is_ja or i_instr_tag.is_jr) then -- As the jump information is kept in last_*, wipe out any -- jump/branch sign from this instruction, as it will be reapplied on -- the next one. o_is_jump <= '0'; o_jump_target <= (others => 'X'); o_instr_tag <= get_instr_change_is_branch_taken( get_instr_change_is_branch( get_instr_change_is_ja( get_instr_change_is_jr(i_instr_tag, false), false), false), false); else -- Here there wasn't a "jump/branch" kept nor on the input -- instruction, so forward normally everything. o_is_jump <= i_is_jump; o_jump_target <= i_jump_target; o_instr_tag <= i_instr_tag; end if; reg1 <= i_reg1; reg2 <= i_reg2; end if; end if; end process; debug : process(rst, clk, stall_req, kill_req) begin if rst = '1' then o_dbg_wb_pc <= (others => 'X'); elsif rising_edge(clk) and kill_req = '1' then o_dbg_wb_pc <= (others => 'X'); elsif rising_edge(clk) and stall_req = '1' then elsif rising_edge(clk) then o_dbg_wb_pc <= i_dbg_wb_pc; end if; end process debug; o_reg1 <= reg1; o_reg2 <= reg2; end architecture rtl; -------------------------------------------------------------------------------
gpl-3.0
8cb8e45ada5c1e2410d2768d347581a9
0.468636
3.942652
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/if_statement/rule_028_test_input.fixed_upper.vhd
1
566
architecture RTL of FIFO is begin process begin if a = '1' then b <= '0'; elsif c = '1' then b <= '1'; else if x = '1' then z <= '0'; elsif x = '0' then z <= '1'; else z <= 'Z'; END if; END if; -- Violations below if a = '1' then b <= '0'; elsif c = '1' then b <= '1'; else if x = '1' then z <= '0'; elsif x = '0' then z <= '1'; else z <= 'Z'; END if; END if; end process; end architecture RTL;
gpl-3.0
2b1c522c80b2abb0c476605e80b7eea3
0.379859
3.19774
false
false
false
false
Yarr/Yarr-fw
rtl/i2c-master/i2c_master_wb_top.vhd
2
9,181
-- ================================================================== -- >>>>>>>>>>>>>>>>>>>>>>> COPYRIGHT NOTICE <<<<<<<<<<<<<<<<<<<<<<<<< -- ------------------------------------------------------------------ -- Copyright (c) 2013 by Lattice Semiconductor Corporation -- ALL RIGHTS RESERVED -- ------------------------------------------------------------------ -- -- Permission: -- -- Lattice SG Pte. Ltd. grants permission to use this code -- pursuant to the terms of the Lattice Reference Design License Agreement. -- -- -- Disclaimer: -- -- This VHDL or Verilog source code is intended as a design reference -- which illustrates how these types of functions can be implemented. -- It is the user's responsibility to verify their design for -- consistency and functionality through the use of formal -- verification methods. Lattice provides no warranty -- regarding the use or functionality of this code. -- -- -------------------------------------------------------------------- -- -- Lattice SG Pte. Ltd. -- 101 Thomson Road, United Square #07-02 -- Singapore 307591 -- -- -- TEL: 1-800-Lattice (USA and Canada) -- +65-6631-2000 (Singapore) -- +1-503-268-8001 (other locations) -- -- web: http:--www.latticesemi.com/ -- email: [email protected] -- -- -------------------------------------------------------------------- -- Code Revision History : -- -------------------------------------------------------------------- -- Ver: | Author |Mod. Date |Changes Made: -- V1.0 |K.P. | 7/09 | Initial ver for VHDL -- | converted from LSC ref design RD1046 -- -------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity i2c_master_wb_top is generic ( ARST_LVL : integer := 0 ); port ( wb_clk_i : in std_logic; wb_rst_i : in std_logic; arst_i : in std_logic; wb_adr_i : in std_logic_vector(2 downto 0); wb_dat_i : in std_logic_vector(7 downto 0); wb_dat_o : out std_logic_vector(7 downto 0); wb_we_i : in std_logic; wb_stb_i : in std_logic; wb_cyc_i : in std_logic; wb_ack_o : out std_logic; wb_inta_o: out std_logic; scl : inout std_logic; sda : inout std_logic ); end; architecture arch of i2c_master_wb_top is component i2c_master_bit_ctrl port ( clk : in std_logic; rst : in std_logic; nReset : in std_logic; clk_cnt : in std_logic_vector(15 downto 0); -- clock prescale value ena : in std_logic; -- core enable signal cmd : in std_logic_vector(3 downto 0); cmd_ack : out std_logic; -- command complete acknowledge busy : out std_logic; -- i2c bus busy al : out std_logic; -- i2c bus arbitration lost din : in std_logic; dout : out std_logic; scl_i : in std_logic; -- i2c clock line input scl_o : out std_logic; -- i2c clock line output scl_oen : out std_logic; -- i2c clock line output enable (active low) sda_i : in std_logic; -- i2c data line input sda_o : out std_logic; -- i2c data line output sda_oen : out std_logic -- i2c data line output enable (active low) ); end component; component i2c_master_byte_ctrl port ( clk : in std_logic; -- master clock rst : in std_logic; -- synchronous active high reset nReset : in std_logic; -- asynchronous active low reset clk_cnt : in std_logic_vector(15 downto 0); -- 4x SCL -- control inputs start : in std_logic; stop : in std_logic; read : in std_logic; write : in std_logic; ack_in : in std_logic; din : in std_logic_vector(7 downto 0); -- status outputs cmd_ack : out std_logic; ack_out : out std_logic; -- i2c clock line input dout : out std_logic_vector(7 downto 0); i2c_al : in std_logic; -- signals for bit_controller core_cmd : out std_logic_vector(3 downto 0); core_txd : out std_logic; core_rxd : in std_logic; core_ack : in std_logic ); end component; component i2c_master_registers port ( wb_clk_i : in std_logic; rst_i : in std_logic; wb_rst_i : in std_logic; wb_dat_i : in std_logic_vector(7 downto 0); wb_adr_i : in std_logic_vector(2 downto 0); wb_wacc : in std_logic; i2c_al : in std_logic; i2c_busy : in std_logic; done : in std_logic; irxack : in std_logic; prer : out std_logic_vector(15 downto 0); -- clock prescale register ctr : out std_logic_vector(7 downto 0); -- control register txr : out std_logic_vector(7 downto 0); -- transmit register cr : out std_logic_vector(7 downto 0); -- command register sr : out std_logic_vector(7 downto 0) -- status register ); end component; signal prer : std_logic_vector(15 downto 0); signal ctr : std_logic_vector(7 downto 0); signal txr : std_logic_vector(7 downto 0); signal rxr : std_logic_vector(7 downto 0); signal cr : std_logic_vector(7 downto 0); signal sr : std_logic_vector(7 downto 0); signal done : std_logic; signal core_en : std_logic; signal ien : std_logic; signal irxack : std_logic; signal irq_flag : std_logic; signal i2c_busy : std_logic; signal i2c_al : std_logic; signal core_cmd : std_logic_vector(3 downto 0); signal core_txd : std_logic; signal core_ack, core_rxd : std_logic; signal scl_pad_i : std_logic; signal scl_pad_o : std_logic; signal scl_padoen_o : std_logic; signal sda_pad_i : std_logic; signal sda_pad_o : std_logic; signal sda_padoen_o : std_logic; signal rst_i : std_logic; signal sta : std_logic; signal sto : std_logic; signal rd : std_logic; signal wr : std_logic; signal ack : std_logic; signal iack : std_logic; signal wb_ack_o_int : std_logic; signal wb_wacc : std_logic; signal acki : std_logic; begin scl_pad_i <= scl; sda_pad_i <= sda; rst_i <= arst_i when (ARST_LVL = 0) else NOT(arst_i); wb_wacc <= wb_cyc_i AND wb_stb_i AND wb_we_i; sta <= cr(7); sto <= cr(6); rd <= cr(5); wr <= cr(4); ack <= cr(3); acki <= cr(0); core_en <= ctr(7); ien <= ctr(6); process(wb_clk_i) begin if rising_edge(wb_clk_i) then wb_ack_o_int <= wb_cyc_i AND wb_stb_i AND NOT(wb_ack_o_int); end if; end process; wb_ack_o <= wb_ack_o_int; process(wb_clk_i) begin if rising_edge(wb_clk_i) then case (wb_adr_i) is when "000" => wb_dat_o <= prer(7 downto 0); when "001" => wb_dat_o <= prer(15 downto 8); when "010" => wb_dat_o <= ctr; when "011" => wb_dat_o <= rxr; when "100" => wb_dat_o <= sr; when "101" => wb_dat_o <= txr; when "110" => wb_dat_o <= cr; when "111" => wb_dat_o <= "00000000"; when others => NULL; end case; end if; end process; process(wb_clk_i,rst_i) begin if (rst_i = '0') then wb_inta_o <= '0'; elsif rising_edge(wb_clk_i) then wb_inta_o <= sr(0) AND ien; end if; end process; byte_controller: i2c_master_byte_ctrl port map( clk => wb_clk_i, rst => wb_rst_i, nReset => rst_i, clk_cnt => prer, start => sta, stop => sto, read => rd, write => wr, ack_in => ack, din => txr, cmd_ack => done, ack_out => irxack, dout => rxr, i2c_al => i2c_al, core_cmd => core_cmd, core_ack => core_ack, core_txd => core_txd, core_rxd => core_rxd); bit_controller: i2c_master_bit_ctrl port map( clk => wb_clk_i, rst => wb_rst_i, nReset => rst_i, ena => core_en, clk_cnt => prer, cmd => core_cmd, cmd_ack => core_ack, busy => i2c_busy, al => i2c_al, din => core_txd, dout => core_rxd, scl_i => scl_pad_i, scl_o => scl_pad_o, scl_oen => scl_padoen_o, sda_i => sda_pad_i, sda_o => sda_pad_o, sda_oen => sda_padoen_o); registers: i2c_master_registers port map( wb_clk_i => wb_clk_i, rst_i => rst_i, wb_rst_i => wb_rst_i, wb_dat_i => wb_dat_i, wb_wacc => wb_wacc, wb_adr_i => wb_adr_i, i2c_al => i2c_al, i2c_busy => i2c_busy, done => done, irxack => irxack, prer => prer, ctr => ctr, txr => txr, cr => cr, sr => sr); scl <= scl_pad_o when (scl_padoen_o = '0') else 'Z'; sda <= sda_pad_o when (sda_padoen_o = '0') else 'Z'; end arch;
gpl-3.0
d8c4e224dd05b88afbf3b8162fd3949e
0.509531
3.002289
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/exponent/rule_500_test_input.fixed_upper.vhd
1
373
architecture rtl of fifo is constant con_1 : natural := 20E10; constant con_2 : natural := 20.56E10; constant con_3 : natural := 20E-10; constant con_4 : natural := 20.56E-10; constant con_5 : natural := 20E10; constant con_6 : natural := 20.56E10; constant con_7 : natural := 20E-10; constant con_8 : natural := 20.56E-10; begin end architecture rtl;
gpl-3.0
1e1682c89695478c1b9e18c318e92b45
0.659517
3.008065
false
false
false
false
eurobotics/aversive4dspic
modules/devices/encoders/encoders_eirbot/xilinx_vhdl/compteur.vhd
1
1,595
LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE ieee.numeric_std.ALL; --USE IEEE.STD_LOGIC_ARITH.ALL; --USE IEEE.STD_LOGIC_UNSIGNED.ALL; --USE IEEE.STD_LOGIC_SIGNED.ALL; ENTITY compteur IS GENERIC ( Nb_bascules : natural := 1 ); PORT ( AB : IN unsigned(1 DOWNTO 0); cpt : OUT unsigned(7 DOWNTO 0); clk : IN std_ulogic; INV : IN std_ulogic ); END compteur; ARCHITECTURE Behavioral OF compteur IS TYPE tableau IS ARRAY (Nb_bascules downto 0) OF unsigned(1 DOWNTO 0); SIGNAL A_B : tableau; SIGNAL tmp : unsigned(7 DOWNTO 0); BEGIN -- double latch des codeurs copie : PROCESS BEGIN WAIT UNTIL rising_edge(clk) ; A_B(0)<= AB(1) & (AB(0) XOR INV); FOR i IN 1 TO Nb_bascules LOOP A_B(i) <= A_B(i-1); END LOOP; END PROCESS copie; -- decodage de la quadrature et comptage comptage: PROCESS BEGIN WAIT UNTIL falling_edge(clk) ; IF (A_B(Nb_bascules-1) = "00" and A_B(Nb_bascules) = "01") OR (A_B(Nb_bascules-1) = "01" AND A_B(Nb_bascules) = "11") OR (A_B(Nb_bascules-1) = "11" AND A_B(Nb_bascules) = "10") OR (A_B(Nb_bascules-1) = "10" AND A_B(Nb_bascules) = "00") THEN tmp <= tmp - 1; ELSIF (A_B(Nb_bascules) = "00" and A_B(Nb_bascules-1) = "01") OR (A_B(Nb_bascules) = "01" AND A_B(Nb_bascules-1) = "11") OR (A_B(Nb_bascules) = "11" AND A_B(Nb_bascules-1) = "10") OR (A_B(Nb_bascules) = "10" AND A_B(Nb_bascules-1) = "00") THEN tmp <= tmp + 1; END IF; END PROCESS comptage; cpt <= tmp; END Behavioral;
gpl-3.0
6fc6cc8bffa992ef7fe0ed0065d724ae
0.579937
2.645108
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/concurrent/rule_011_test_input.fixed_new_line_after_assign_no.vhd
1
369
architecture RTL of FIFO is begin -- These are passing a <= b or d; a <= '0' when c = '0' else '1' when d = '1' else 'Z'; -- Failing variations a <= b or d; a <= '0' when c = '0' else '1' when d = '1' else 'Z'; -- Arrays should be ignored a <= ( b => 0, c => 6 ); end architecture RTL;
gpl-3.0
d4704f0c401c493bd1cfa4c063c3e298
0.439024
3.127119
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/component/rule_017_test_input.fixed_seperate_generic.vhd
1
705
architecture RTl of FIFO is component fifo is generic ( gen_dec1 : integer := 0; -- Comment gen_dec2 : integer := 1; -- Comment gen_dec3 : integer := 2 -- Comment ); port ( sig1 : std_logic; -- Comment sig2 : std_logic; -- Comment sig3 : std_logic -- Comment ); end component fifo; -- Failures below component fifo is generic ( gen_dec1 : integer := 0; -- Comment gen_dec2 : integer := 1; -- Comment gen_dec3 : integer := 2 -- Comment ); port ( sig1 : std_logic; -- Comment sig2 : std_logic; -- Comment sig3 : std_logic -- Comment ); end component fifo; begin end architecture RTL;
gpl-3.0
c02ddcdcb0de8d80b5d89be8919d530d
0.551773
3.671875
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/after/rule_001_test_input.vhd
1
2,507
architecture ARCH of ENTITY is begin CLK_PROC : process (reset, clk) is begin if (reset = '1') then a <= '0'; b <= '1'; c <= '0'; d <= '1'; elsif (clk'event and clk = '1') then a <= b after 1 ns; b <= c after 1 ns; c <= d after 1 ns; d <= e after 1 ns; end if; end process CLK_PROC; -- This process checks for a clock process without a reset CLK_PROC : process (reset, clk) is begin if (falling_edge(clk)) then a <= b after 1 ns; b <= c after 1 ns; c <= d after 1 ns; d <= e after 1 ns; end if; end process CLK_PROC; -- This process checks for a clock process without a reset CLK_PROC : process (reset, clk) is begin if (rising_edge(clk)) then a <= b after 1 ns; b <= c after 1 ns; c <= d after 1 ns; d <= e after 1 ns; end if; end process CLK_PROC; -- This process checks for a clock process without a reset CLK_PROC : process (reset, clk) is begin if (clk'event and clk = '1') then a <= b after 1 ns; b <= c after 1 ns; c <= d after 1 ns; d <= e after 1 ns; end if; end process CLK_PROC; -- This checks detection of after outside clock processes a <= b after 10 ns; -- Violations below ----------------------------------- -- This process checks for missing after statements CLK_PROC : process (reset, clk) is begin if (reset = '1') then a <= '0'; b <= '1'; c <= '0'; d <= '1'; elsif (clk'event and clk = '1') then a <= b after 1 ns; b <= c; c <= d; d <= e after 1 ns; end if; end process CLK_PROC; -- This process checks for a clock process without a reset CLK_PROC : process (reset, clk) is begin if (falling_edge(clk)) then a <= b; b <= c after 1 ns; c <= d; d <= e after 1 ns; end if; end process CLK_PROC; -- This process checks for a clock process without a reset CLK_PROC : process (reset, clk) is begin if (rising_edge(clk)) then a <= b; b <= c; c <= d after 1 ns; d <= e after 1 ns; end if; end process CLK_PROC; -- This process checks for a clock process without a reset CLK_PROC : process (reset, clk) is begin if (clk'event and clk = '1') then a <= b after 1 ns; b <= c after 1 ns; c <= d; d <= e; end if; end process CLK_PROC; end architecture ARCH;
gpl-3.0
0d1cde2f06c00d83c8556d5012ebb7e6
0.523734
3.521067
false
false
false
false
lvd2/zxevo
unsupported/solegstar/fpga/current/sim_models/T80_ALU.vhd
15
11,889
-- **** -- T80(b) core. In an effort to merge and maintain bug fixes .... -- -- -- Ver 301 parity flag is just parity for 8080, also overflow for Z80, by Sean Riddle -- Ver 300 started tidyup -- MikeJ March 2005 -- Latest version from www.fpgaarcade.com (original www.opencores.org) -- -- **** -- -- Z80 compatible microprocessor core -- -- Version : 0247 -- -- Copyright (c) 2001-2002 Daniel Wallner ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t80/ -- -- Limitations : -- -- File history : -- -- 0214 : Fixed mostly flags, only the block instructions now fail the zex regression test -- -- 0238 : Fixed zero flag for 16 bit SBC and ADC -- -- 0240 : Added GB operations -- -- 0242 : Cleanup -- -- 0247 : Cleanup -- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity T80_ALU is generic( Mode : integer := 0; Flag_C : integer := 0; Flag_N : integer := 1; Flag_P : integer := 2; Flag_X : integer := 3; Flag_H : integer := 4; Flag_Y : integer := 5; Flag_Z : integer := 6; Flag_S : integer := 7 ); port( Arith16 : in std_logic; Z16 : in std_logic; ALU_Op : in std_logic_vector(3 downto 0); IR : in std_logic_vector(5 downto 0); ISet : in std_logic_vector(1 downto 0); BusA : in std_logic_vector(7 downto 0); BusB : in std_logic_vector(7 downto 0); F_In : in std_logic_vector(7 downto 0); Q : out std_logic_vector(7 downto 0); F_Out : out std_logic_vector(7 downto 0) ); end T80_ALU; architecture rtl of T80_ALU is procedure AddSub(A : std_logic_vector; B : std_logic_vector; Sub : std_logic; Carry_In : std_logic; signal Res : out std_logic_vector; signal Carry : out std_logic) is variable B_i : unsigned(A'length - 1 downto 0); variable Res_i : unsigned(A'length + 1 downto 0); begin if Sub = '1' then B_i := not unsigned(B); else B_i := unsigned(B); end if; Res_i := unsigned("0" & A & Carry_In) + unsigned("0" & B_i & "1"); Carry <= Res_i(A'length + 1); Res <= std_logic_vector(Res_i(A'length downto 1)); end; -- AddSub variables (temporary signals) signal UseCarry : std_logic; signal Carry7_v : std_logic; signal Overflow_v : std_logic; signal HalfCarry_v : std_logic; signal Carry_v : std_logic; signal Q_v : std_logic_vector(7 downto 0); signal BitMask : std_logic_vector(7 downto 0); begin with IR(5 downto 3) select BitMask <= "00000001" when "000", "00000010" when "001", "00000100" when "010", "00001000" when "011", "00010000" when "100", "00100000" when "101", "01000000" when "110", "10000000" when others; UseCarry <= not ALU_Op(2) and ALU_Op(0); AddSub(BusA(3 downto 0), BusB(3 downto 0), ALU_Op(1), ALU_Op(1) xor (UseCarry and F_In(Flag_C)), Q_v(3 downto 0), HalfCarry_v); AddSub(BusA(6 downto 4), BusB(6 downto 4), ALU_Op(1), HalfCarry_v, Q_v(6 downto 4), Carry7_v); AddSub(BusA(7 downto 7), BusB(7 downto 7), ALU_Op(1), Carry7_v, Q_v(7 downto 7), Carry_v); -- bug fix - parity flag is just parity for 8080, also overflow for Z80 process (Carry_v, Carry7_v, Q_v) begin if(Mode=2) then OverFlow_v <= not (Q_v(0) xor Q_v(1) xor Q_v(2) xor Q_v(3) xor Q_v(4) xor Q_v(5) xor Q_v(6) xor Q_v(7)); else OverFlow_v <= Carry_v xor Carry7_v; end if; end process; process (Arith16, ALU_OP, F_In, BusA, BusB, IR, Q_v, Carry_v, HalfCarry_v, OverFlow_v, BitMask, ISet, Z16) variable Q_t : std_logic_vector(7 downto 0); variable DAA_Q : unsigned(8 downto 0); begin Q_t := "--------"; F_Out <= F_In; DAA_Q := "---------"; case ALU_Op is when "0000" | "0001" | "0010" | "0011" | "0100" | "0101" | "0110" | "0111" => F_Out(Flag_N) <= '0'; F_Out(Flag_C) <= '0'; case ALU_OP(2 downto 0) is when "000" | "001" => -- ADD, ADC Q_t := Q_v; F_Out(Flag_C) <= Carry_v; F_Out(Flag_H) <= HalfCarry_v; F_Out(Flag_P) <= OverFlow_v; when "010" | "011" | "111" => -- SUB, SBC, CP Q_t := Q_v; F_Out(Flag_N) <= '1'; F_Out(Flag_C) <= not Carry_v; F_Out(Flag_H) <= not HalfCarry_v; F_Out(Flag_P) <= OverFlow_v; when "100" => -- AND Q_t(7 downto 0) := BusA and BusB; F_Out(Flag_H) <= '1'; when "101" => -- XOR Q_t(7 downto 0) := BusA xor BusB; F_Out(Flag_H) <= '0'; when others => -- OR "110" Q_t(7 downto 0) := BusA or BusB; F_Out(Flag_H) <= '0'; end case; if ALU_Op(2 downto 0) = "111" then -- CP F_Out(Flag_X) <= BusB(3); F_Out(Flag_Y) <= BusB(5); else F_Out(Flag_X) <= Q_t(3); F_Out(Flag_Y) <= Q_t(5); end if; if Q_t(7 downto 0) = "00000000" then F_Out(Flag_Z) <= '1'; if Z16 = '1' then F_Out(Flag_Z) <= F_In(Flag_Z); -- 16 bit ADC,SBC end if; else F_Out(Flag_Z) <= '0'; end if; F_Out(Flag_S) <= Q_t(7); case ALU_Op(2 downto 0) is when "000" | "001" | "010" | "011" | "111" => -- ADD, ADC, SUB, SBC, CP when others => F_Out(Flag_P) <= not (Q_t(0) xor Q_t(1) xor Q_t(2) xor Q_t(3) xor Q_t(4) xor Q_t(5) xor Q_t(6) xor Q_t(7)); end case; if Arith16 = '1' then F_Out(Flag_S) <= F_In(Flag_S); F_Out(Flag_Z) <= F_In(Flag_Z); F_Out(Flag_P) <= F_In(Flag_P); end if; when "1100" => -- DAA F_Out(Flag_H) <= F_In(Flag_H); F_Out(Flag_C) <= F_In(Flag_C); DAA_Q(7 downto 0) := unsigned(BusA); DAA_Q(8) := '0'; if F_In(Flag_N) = '0' then -- After addition -- Alow > 9 or H = 1 if DAA_Q(3 downto 0) > 9 or F_In(Flag_H) = '1' then if (DAA_Q(3 downto 0) > 9) then F_Out(Flag_H) <= '1'; else F_Out(Flag_H) <= '0'; end if; DAA_Q := DAA_Q + 6; end if; -- new Ahigh > 9 or C = 1 if DAA_Q(8 downto 4) > 9 or F_In(Flag_C) = '1' then DAA_Q := DAA_Q + 96; -- 0x60 end if; else -- After subtraction if DAA_Q(3 downto 0) > 9 or F_In(Flag_H) = '1' then if DAA_Q(3 downto 0) > 5 then F_Out(Flag_H) <= '0'; end if; DAA_Q(7 downto 0) := DAA_Q(7 downto 0) - 6; end if; if unsigned(BusA) > 153 or F_In(Flag_C) = '1' then DAA_Q := DAA_Q - 352; -- 0x160 end if; end if; F_Out(Flag_X) <= DAA_Q(3); F_Out(Flag_Y) <= DAA_Q(5); F_Out(Flag_C) <= F_In(Flag_C) or DAA_Q(8); Q_t := std_logic_vector(DAA_Q(7 downto 0)); if DAA_Q(7 downto 0) = "00000000" then F_Out(Flag_Z) <= '1'; else F_Out(Flag_Z) <= '0'; end if; F_Out(Flag_S) <= DAA_Q(7); F_Out(Flag_P) <= not (DAA_Q(0) xor DAA_Q(1) xor DAA_Q(2) xor DAA_Q(3) xor DAA_Q(4) xor DAA_Q(5) xor DAA_Q(6) xor DAA_Q(7)); when "1101" | "1110" => -- RLD, RRD Q_t(7 downto 4) := BusA(7 downto 4); if ALU_Op(0) = '1' then Q_t(3 downto 0) := BusB(7 downto 4); else Q_t(3 downto 0) := BusB(3 downto 0); end if; F_Out(Flag_H) <= '0'; F_Out(Flag_N) <= '0'; F_Out(Flag_X) <= Q_t(3); F_Out(Flag_Y) <= Q_t(5); if Q_t(7 downto 0) = "00000000" then F_Out(Flag_Z) <= '1'; else F_Out(Flag_Z) <= '0'; end if; F_Out(Flag_S) <= Q_t(7); F_Out(Flag_P) <= not (Q_t(0) xor Q_t(1) xor Q_t(2) xor Q_t(3) xor Q_t(4) xor Q_t(5) xor Q_t(6) xor Q_t(7)); when "1001" => -- BIT Q_t(7 downto 0) := BusB and BitMask; F_Out(Flag_S) <= Q_t(7); if Q_t(7 downto 0) = "00000000" then F_Out(Flag_Z) <= '1'; F_Out(Flag_P) <= '1'; else F_Out(Flag_Z) <= '0'; F_Out(Flag_P) <= '0'; end if; F_Out(Flag_H) <= '1'; F_Out(Flag_N) <= '0'; F_Out(Flag_X) <= '0'; F_Out(Flag_Y) <= '0'; if IR(2 downto 0) /= "110" then F_Out(Flag_X) <= BusB(3); F_Out(Flag_Y) <= BusB(5); end if; when "1010" => -- SET Q_t(7 downto 0) := BusB or BitMask; when "1011" => -- RES Q_t(7 downto 0) := BusB and not BitMask; when "1000" => -- ROT case IR(5 downto 3) is when "000" => -- RLC Q_t(7 downto 1) := BusA(6 downto 0); Q_t(0) := BusA(7); F_Out(Flag_C) <= BusA(7); when "010" => -- RL Q_t(7 downto 1) := BusA(6 downto 0); Q_t(0) := F_In(Flag_C); F_Out(Flag_C) <= BusA(7); when "001" => -- RRC Q_t(6 downto 0) := BusA(7 downto 1); Q_t(7) := BusA(0); F_Out(Flag_C) <= BusA(0); when "011" => -- RR Q_t(6 downto 0) := BusA(7 downto 1); Q_t(7) := F_In(Flag_C); F_Out(Flag_C) <= BusA(0); when "100" => -- SLA Q_t(7 downto 1) := BusA(6 downto 0); Q_t(0) := '0'; F_Out(Flag_C) <= BusA(7); when "110" => -- SLL (Undocumented) / SWAP if Mode = 3 then Q_t(7 downto 4) := BusA(3 downto 0); Q_t(3 downto 0) := BusA(7 downto 4); F_Out(Flag_C) <= '0'; else Q_t(7 downto 1) := BusA(6 downto 0); Q_t(0) := '1'; F_Out(Flag_C) <= BusA(7); end if; when "101" => -- SRA Q_t(6 downto 0) := BusA(7 downto 1); Q_t(7) := BusA(7); F_Out(Flag_C) <= BusA(0); when others => -- SRL Q_t(6 downto 0) := BusA(7 downto 1); Q_t(7) := '0'; F_Out(Flag_C) <= BusA(0); end case; F_Out(Flag_H) <= '0'; F_Out(Flag_N) <= '0'; F_Out(Flag_X) <= Q_t(3); F_Out(Flag_Y) <= Q_t(5); F_Out(Flag_S) <= Q_t(7); if Q_t(7 downto 0) = "00000000" then F_Out(Flag_Z) <= '1'; else F_Out(Flag_Z) <= '0'; end if; F_Out(Flag_P) <= not (Q_t(0) xor Q_t(1) xor Q_t(2) xor Q_t(3) xor Q_t(4) xor Q_t(5) xor Q_t(6) xor Q_t(7)); if ISet = "00" then F_Out(Flag_P) <= F_In(Flag_P); F_Out(Flag_S) <= F_In(Flag_S); F_Out(Flag_Z) <= F_In(Flag_Z); end if; when others => null; end case; Q <= Q_t; end process; end;
gpl-3.0
9b8aca443e4b6dee8acfc8d7b6826d0e
0.537051
2.621032
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/fifo_generator_v11_0/simulation/fifo_generator_v11_0.vhd
2
376,161
------------------------------------------------------------------------------- -- -- FIFO Generator - VHDL Behavioral Model -- ------------------------------------------------------------------------------- -- (c) Copyright 1995 - 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- -- Filename: fifo_generator_v11_0.vhd -- -- Author : Xilinx -- ------------------------------------------------------------------------------- -- Structure: -- -- fifo_generator_v11_0.vhd -- | -- +-fifo_generator_v11_0_conv -- | -- +-fifo_generator_v11_0_bhv_as -- | -- +-fifo_generator_v11_0_bhv_ss -- | -- +-fifo_generator_v11_0_bhv_preload0 -- ------------------------------------------------------------------------------- -- Description: -- -- The VHDL behavioral model for the FIFO Generator. -- -- The behavioral model has three parts: -- - The behavioral model for independent clocks FIFOs (_as) -- - The behavioral model for common clock FIFOs (_ss) -- - The "preload logic" block which implements First-word Fall-through -- ------------------------------------------------------------------------------- --############################################################################# --############################################################################# -- Independent Clocks FIFO Behavioral Model --############################################################################# --############################################################################# ------------------------------------------------------------------------------- -- Library Declaration ------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.std_logic_arith.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ------------------------------------------------------------------------------- -- Independent Clocks Entity Declaration - This is NOT the top-level entity ------------------------------------------------------------------------------- ENTITY fifo_generator_v11_0_bhv_as IS GENERIC ( --------------------------------------------------------------------------- -- Generic Declarations --------------------------------------------------------------------------- C_DIN_WIDTH : integer := 8; C_DOUT_RST_VAL : string := ""; C_DOUT_WIDTH : integer := 8; C_FULL_FLAGS_RST_VAL : integer := 1; C_HAS_ALMOST_EMPTY : integer := 0; C_HAS_ALMOST_FULL : integer := 0; C_HAS_OVERFLOW : integer := 0; C_HAS_RD_DATA_COUNT : integer := 2; C_HAS_RST : integer := 1; C_HAS_UNDERFLOW : integer := 0; C_HAS_VALID : integer := 0; C_HAS_WR_ACK : integer := 0; C_HAS_WR_DATA_COUNT : integer := 2; C_MEMORY_TYPE : integer := 1; C_OVERFLOW_LOW : integer := 0; C_PRELOAD_LATENCY : integer := 1; C_PRELOAD_REGS : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL : integer := 0; C_PROG_EMPTY_THRESH_NEGATE_VAL : integer := 0; C_PROG_EMPTY_TYPE : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL : integer := 0; C_PROG_FULL_THRESH_NEGATE_VAL : integer := 0; C_PROG_FULL_TYPE : integer := 0; C_RD_DATA_COUNT_WIDTH : integer := 0; C_RD_DEPTH : integer := 256; C_RD_PNTR_WIDTH : integer := 8; C_UNDERFLOW_LOW : integer := 0; C_USE_DOUT_RST : integer := 0; C_USE_ECC : integer := 0; C_USE_EMBEDDED_REG : integer := 0; C_USE_FWFT_DATA_COUNT : integer := 0; C_VALID_LOW : integer := 0; C_WR_ACK_LOW : integer := 0; C_WR_DATA_COUNT_WIDTH : integer := 0; C_WR_DEPTH : integer := 256; C_WR_PNTR_WIDTH : integer := 8; C_TCQ : time := 100 ps; C_ENABLE_RST_SYNC : integer := 1; C_ERROR_INJECTION_TYPE : integer := 0; C_FIFO_TYPE : integer := 0; C_SYNCHRONIZER_STAGE : integer := 2 ); PORT( --------------------------------------------------------------------------- -- Input and Output Declarations --------------------------------------------------------------------------- RST : IN std_logic; RST_FULL_GEN : IN std_logic := '0'; RST_FULL_FF : IN std_logic := '0'; WR_RST : IN std_logic; RD_RST : IN std_logic; DIN : IN std_logic_vector(C_DIN_WIDTH-1 DOWNTO 0); RD_CLK : IN std_logic; RD_EN : IN std_logic; RD_EN_USER : IN std_logic; WR_CLK : IN std_logic; WR_EN : IN std_logic; PROG_EMPTY_THRESH : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0); PROG_EMPTY_THRESH_ASSERT : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0); PROG_EMPTY_THRESH_NEGATE : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0); PROG_FULL_THRESH : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0); PROG_FULL_THRESH_ASSERT : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0); PROG_FULL_THRESH_NEGATE : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0); INJECTDBITERR : IN std_logic := '0'; INJECTSBITERR : IN std_logic := '0'; USER_EMPTY_FB : IN std_logic := '1'; EMPTY : OUT std_logic := '1'; FULL : OUT std_logic := '0'; ALMOST_EMPTY : OUT std_logic := '1'; ALMOST_FULL : OUT std_logic := '0'; PROG_EMPTY : OUT std_logic := '1'; PROG_FULL : OUT std_logic := '0'; DOUT : OUT std_logic_vector(C_DOUT_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); VALID : OUT std_logic := '0'; WR_ACK : OUT std_logic := '0'; UNDERFLOW : OUT std_logic := '0'; OVERFLOW : OUT std_logic := '0'; RD_DATA_COUNT : OUT std_logic_vector(C_RD_DATA_COUNT_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); WR_DATA_COUNT : OUT std_logic_vector(C_WR_DATA_COUNT_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SBITERR : OUT std_logic := '0'; DBITERR : OUT std_logic := '0' ); END fifo_generator_v11_0_bhv_as; ------------------------------------------------------------------------------- -- Architecture Heading ------------------------------------------------------------------------------- ARCHITECTURE behavioral OF fifo_generator_v11_0_bhv_as IS ----------------------------------------------------------------------------- -- FUNCTION actual_fifo_depth -- Returns the actual depth of the FIFO (may differ from what the user -- specified) -- -- The FIFO depth is always represented as 2^n (16,32,64,128,256) -- However, the ACTUAL fifo depth may be 2^n+1 or 2^n-1 depending on certain -- options. This function returns the actual depth of the fifo, as seen by -- the user. ------------------------------------------------------------------------------- FUNCTION actual_fifo_depth( C_FIFO_DEPTH : integer; C_PRELOAD_REGS : integer; C_PRELOAD_LATENCY : integer) RETURN integer IS BEGIN RETURN C_FIFO_DEPTH - 1; END actual_fifo_depth; ----------------------------------------------------------------------------- -- FUNCTION div_roundup -- Returns data_value / divisor, with the result rounded-up (if fractional) ------------------------------------------------------------------------------- FUNCTION divroundup ( data_value : integer; divisor : integer) RETURN integer IS VARIABLE div : integer; BEGIN div := data_value/divisor; IF ( (data_value MOD divisor) /= 0) THEN div := div+1; END IF; RETURN div; END divroundup; ----------------------------------------------------------------------------- -- FUNCTION int_2_std_logic -- Returns a single bit (as std_logic) from an integer 1/0 value. ------------------------------------------------------------------------------- FUNCTION int_2_std_logic(value : integer) RETURN std_logic IS BEGIN IF (value=1) THEN RETURN '1'; ELSE RETURN '0'; END IF; END int_2_std_logic; ----------------------------------------------------------------------------- -- FUNCTION if_then_else -- Returns a true case or flase case based on the condition ------------------------------------------------------------------------------- FUNCTION if_then_else ( condition : boolean; true_case : integer; false_case : integer) RETURN integer IS VARIABLE retval : integer := 0; BEGIN IF NOT condition THEN retval:=false_case; ELSE retval:=true_case; END IF; RETURN retval; END if_then_else; FUNCTION if_then_else ( condition : boolean; true_case : std_logic; false_case : std_logic) RETURN std_logic IS VARIABLE retval : std_logic := '0'; BEGIN IF NOT condition THEN retval:=false_case; ELSE retval:=true_case; END IF; RETURN retval; END if_then_else; ----------------------------------------------------------------------------- -- FUNCTION hexstr_to_std_logic_vec -- Returns a std_logic_vector for a hexadecimal string ------------------------------------------------------------------------------- FUNCTION hexstr_to_std_logic_vec( arg1 : string; size : integer ) RETURN std_logic_vector IS VARIABLE result : std_logic_vector(size-1 DOWNTO 0) := (OTHERS => '0'); VARIABLE bin : std_logic_vector(3 DOWNTO 0); VARIABLE index : integer := 0; BEGIN FOR i IN arg1'reverse_range LOOP CASE arg1(i) IS WHEN '0' => bin := (OTHERS => '0'); WHEN '1' => bin := (0 => '1', OTHERS => '0'); WHEN '2' => bin := (1 => '1', OTHERS => '0'); WHEN '3' => bin := (0 => '1', 1 => '1', OTHERS => '0'); WHEN '4' => bin := (2 => '1', OTHERS => '0'); WHEN '5' => bin := (0 => '1', 2 => '1', OTHERS => '0'); WHEN '6' => bin := (1 => '1', 2 => '1', OTHERS => '0'); WHEN '7' => bin := (3 => '0', OTHERS => '1'); WHEN '8' => bin := (3 => '1', OTHERS => '0'); WHEN '9' => bin := (0 => '1', 3 => '1', OTHERS => '0'); WHEN 'A' => bin := (0 => '0', 2 => '0', OTHERS => '1'); WHEN 'a' => bin := (0 => '0', 2 => '0', OTHERS => '1'); WHEN 'B' => bin := (2 => '0', OTHERS => '1'); WHEN 'b' => bin := (2 => '0', OTHERS => '1'); WHEN 'C' => bin := (0 => '0', 1 => '0', OTHERS => '1'); WHEN 'c' => bin := (0 => '0', 1 => '0', OTHERS => '1'); WHEN 'D' => bin := (1 => '0', OTHERS => '1'); WHEN 'd' => bin := (1 => '0', OTHERS => '1'); WHEN 'E' => bin := (0 => '0', OTHERS => '1'); WHEN 'e' => bin := (0 => '0', OTHERS => '1'); WHEN 'F' => bin := (OTHERS => '1'); WHEN 'f' => bin := (OTHERS => '1'); WHEN OTHERS => FOR j IN 0 TO 3 LOOP bin(j) := 'X'; END LOOP; END CASE; FOR j IN 0 TO 3 LOOP IF (index*4)+j < size THEN result((index*4)+j) := bin(j); END IF; END LOOP; index := index + 1; END LOOP; RETURN result; END hexstr_to_std_logic_vec; ----------------------------------------------------------------------------- -- FUNCTION get_lesser -- Returns a minimum value ------------------------------------------------------------------------------- FUNCTION get_lesser(a: INTEGER; b: INTEGER) RETURN INTEGER IS BEGIN IF (a < b) THEN RETURN a; ELSE RETURN b; END IF; END FUNCTION; ----------------------------------------------------------------------------- -- Derived Constants ----------------------------------------------------------------------------- CONSTANT C_FIFO_WR_DEPTH : integer := actual_fifo_depth(C_WR_DEPTH, C_PRELOAD_REGS, C_PRELOAD_LATENCY); CONSTANT C_FIFO_RD_DEPTH : integer := actual_fifo_depth(C_RD_DEPTH, C_PRELOAD_REGS, C_PRELOAD_LATENCY); CONSTANT C_SMALLER_DATA_WIDTH : integer := get_lesser(C_DIN_WIDTH, C_DOUT_WIDTH); CONSTANT C_DEPTH_RATIO_WR : integer := if_then_else( (C_WR_DEPTH > C_RD_DEPTH), (C_WR_DEPTH/C_RD_DEPTH), 1); CONSTANT C_DEPTH_RATIO_RD : integer := if_then_else( (C_RD_DEPTH > C_WR_DEPTH), (C_RD_DEPTH/C_WR_DEPTH), 1); -- "Extra Words" is the number of write words which fit into the two -- first-word fall-through output register stages (if using FWFT). -- For ratios of 1:4 and 1:8, the fractional result is rounded up to 1. -- This value is used to calculate the adjusted PROG_FULL threshold -- value for FWFT. -- EXTRA_WORDS = 2 * C_DEPTH_RATIO_WR / C_DEPTH_RATIO_RD -- WR_DEPTH : RD_DEPTH = 1:2 => EXTRA_WORDS = 1 -- WR_DEPTH : RD_DEPTH = 1:4 => EXTRA_WORDS = 1 (rounded to ceiling) -- WR_DEPTH : RD_DEPTH = 2:1 => EXTRA_WORDS = 4 -- WR_DEPTH : RD_DEPTH = 4:1 => EXTRA_WORDS = 8 CONSTANT EXTRA_WORDS : integer := divroundup(2 * C_DEPTH_RATIO_WR, C_DEPTH_RATIO_RD); -- "Extra words dc" is used for calculating the adjusted WR_DATA_COUNT -- value for the core when using FWFT. -- extra_words_dc = 2 * C_DEPTH_RATIO_WR / C_DEPTH_RATIO_RD -- C_DEPTH_RATIO_WR | C_DEPTH_RATIO_RD | C_PNTR_WIDTH | EXTRA_WORDS_DC -- -----------------|------------------|-----------------|--------------- -- 1 | 8 | C_RD_PNTR_WIDTH | 2 -- 1 | 4 | C_RD_PNTR_WIDTH | 2 -- 1 | 2 | C_RD_PNTR_WIDTH | 2 -- 1 | 1 | C_WR_PNTR_WIDTH | 2 -- 2 | 1 | C_WR_PNTR_WIDTH | 4 -- 4 | 1 | C_WR_PNTR_WIDTH | 8 -- 8 | 1 | C_WR_PNTR_WIDTH | 16 CONSTANT EXTRA_WORDS_DC : integer := if_then_else ((C_DEPTH_RATIO_WR = 1),2, (2 * C_DEPTH_RATIO_WR/C_DEPTH_RATIO_RD)); CONSTANT C_PE_THR_ASSERT_ADJUSTED : integer :=if_then_else((C_PRELOAD_REGS=1 and C_PRELOAD_LATENCY=0), C_PROG_EMPTY_THRESH_ASSERT_VAL - 2, --FWFT C_PROG_EMPTY_THRESH_ASSERT_VAL ); --NO FWFT CONSTANT C_PE_THR_NEGATE_ADJUSTED : integer :=if_then_else((C_PRELOAD_REGS=1 and C_PRELOAD_LATENCY=0), C_PROG_EMPTY_THRESH_NEGATE_VAL - 2, --FWFT C_PROG_EMPTY_THRESH_NEGATE_VAL); --NO FWFT CONSTANT C_PE_THR_ASSERT_VAL_I : integer := C_PE_THR_ASSERT_ADJUSTED; CONSTANT C_PE_THR_NEGATE_VAL_I : integer := C_PE_THR_NEGATE_ADJUSTED; CONSTANT C_PF_THR_ASSERT_ADJUSTED : integer :=if_then_else((C_PRELOAD_REGS=1 and C_PRELOAD_LATENCY=0), C_PROG_FULL_THRESH_ASSERT_VAL - EXTRA_WORDS_DC, --FWFT C_PROG_FULL_THRESH_ASSERT_VAL ); --NO FWFT CONSTANT C_PF_THR_NEGATE_ADJUSTED : integer :=if_then_else((C_PRELOAD_REGS=1 and C_PRELOAD_LATENCY=0), C_PROG_FULL_THRESH_NEGATE_VAL - EXTRA_WORDS_DC, --FWFT C_PROG_FULL_THRESH_NEGATE_VAL); --NO FWFT -- NO_ERR_INJECTION will be 1 if ECC is OFF or ECC is ON but no error -- injection is selected. CONSTANT NO_ERR_INJECTION : integer := if_then_else(C_USE_ECC = 0,1, if_then_else(C_ERROR_INJECTION_TYPE = 0,1,0)); -- SBIT_ERR_INJECTION will be 1 if ECC is ON and single bit error injection -- is selected. CONSTANT SBIT_ERR_INJECTION : integer := if_then_else((C_USE_ECC = 1 AND C_ERROR_INJECTION_TYPE = 1),1,0); -- DBIT_ERR_INJECTION will be 1 if ECC is ON and double bit error injection -- is selected. CONSTANT DBIT_ERR_INJECTION : integer := if_then_else((C_USE_ECC = 1 AND C_ERROR_INJECTION_TYPE = 2),1,0); -- BOTH_ERR_INJECTION will be 1 if ECC is ON and both single and double bit -- error injection are selected. CONSTANT BOTH_ERR_INJECTION : integer := if_then_else((C_USE_ECC = 1 AND C_ERROR_INJECTION_TYPE = 3),1,0); CONSTANT C_DATA_WIDTH : integer := if_then_else(NO_ERR_INJECTION = 1, C_DIN_WIDTH, C_DIN_WIDTH+2); CONSTANT OF_INIT_VAL : std_logic := if_then_else((C_HAS_OVERFLOW = 1 AND C_OVERFLOW_LOW = 1),'1','0'); CONSTANT UF_INIT_VAL : std_logic := if_then_else((C_HAS_UNDERFLOW = 1 AND C_UNDERFLOW_LOW = 1),'1','0'); TYPE wr_sync_array IS ARRAY (C_SYNCHRONIZER_STAGE-1 DOWNTO 0) OF std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0); TYPE rd_sync_array IS ARRAY (C_SYNCHRONIZER_STAGE-1 DOWNTO 0) OF std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0); SIGNAL wr_pntr_q : wr_sync_array := (OTHERS => (OTHERS => '0')); SIGNAL rd_pntr_q : rd_sync_array := (OTHERS => (OTHERS => '0')); ------------------------------------------------------------------------------- -- Signals Declaration ------------------------------------------------------------------------------- SIGNAL wr_point : integer := 0; SIGNAL rd_point : integer := 0; SIGNAL wr_point_d1 : integer := 0; SIGNAL rd_point_d1 : integer := 0; SIGNAL num_wr_words : integer := 0; SIGNAL num_rd_words : integer := 0; SIGNAL adj_wr_point : integer := 0; SIGNAL adj_rd_point : integer := 0; SIGNAL adj_wr_point_d1: integer := 0; SIGNAL adj_rd_point_d1: integer := 0; SIGNAL wr_rst_i : std_logic := '0'; SIGNAL rd_rst_i : std_logic := '0'; SIGNAL wr_rst_d1 : std_logic := '0'; SIGNAL wr_ack_i : std_logic := '0'; SIGNAL overflow_i : std_logic := OF_INIT_VAL; SIGNAL valid_i : std_logic := '0'; SIGNAL valid_d1 : std_logic := '0'; SIGNAL valid_out : std_logic := '0'; SIGNAL underflow_i : std_logic := UF_INIT_VAL; SIGNAL prog_full_reg : std_logic := '0'; SIGNAL prog_empty_reg : std_logic := '1'; SIGNAL dout_i : std_logic_vector(C_DOUT_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL width_gt1 : std_logic := '0'; SIGNAL sbiterr_i : std_logic := '0'; SIGNAL dbiterr_i : std_logic := '0'; SIGNAL wr_pntr : std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS=>'0'); SIGNAL wr_pntr_rd1 : std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS=>'0'); SIGNAL wr_pntr_rd2 : std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS=>'0'); SIGNAL wr_pntr_rd3 : std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS=>'0'); SIGNAL wr_pntr_rd : std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS=>'0'); SIGNAL adj_wr_pntr_rd : std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS=>'0'); SIGNAL wr_data_count_int : std_logic_vector(C_WR_PNTR_WIDTH DOWNTO 0) := (OTHERS=>'0'); SIGNAL wdc_fwft_ext_as : std_logic_vector(C_WR_PNTR_WIDTH DOWNTO 0) := (OTHERS=>'0'); SIGNAL rdc_fwft_ext_as : std_logic_vector (C_RD_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0'); SIGNAL rd_pntr : std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS=>'0'); SIGNAL rd_pntr_wr_d1 : std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS=>'0'); SIGNAL rd_pntr_wr_d2 : std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS=>'0'); SIGNAL rd_pntr_wr_d3 : std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS=>'0'); SIGNAL rd_pntr_wr_d4 : std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS=>'0'); SIGNAL rd_pntr_wr : std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS=>'0'); SIGNAL adj_rd_pntr_wr : std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS=>'0'); SIGNAL rd_data_count_int : std_logic_vector(C_RD_PNTR_WIDTH DOWNTO 0) := (OTHERS=>'0'); SIGNAL empty_int : boolean := TRUE; SIGNAL empty_comb : std_logic := '1'; SIGNAL ram_rd_en : std_logic := '0'; SIGNAL ram_rd_en_d1 : std_logic := '0'; SIGNAL empty_comb_d1 : std_logic := '1'; SIGNAL almost_empty_int : boolean := TRUE; SIGNAL full_int : boolean := FALSE; SIGNAL full_comb : std_logic := '0'; SIGNAL ram_wr_en : std_logic := '0'; SIGNAL almost_full_int : boolean := FALSE; SIGNAL rd_fwft_cnt : std_logic_vector(3 downto 0) := (others=>'0'); SIGNAL stage1_valid : std_logic := '0'; SIGNAL stage2_valid : std_logic := '0'; SIGNAL diff_pntr_wr : integer := 0; SIGNAL diff_pntr_rd : integer := 0; SIGNAL pf_input_thr_assert_val : std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS=>'0'); SIGNAL pf_input_thr_negate_val : std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS=>'0'); ------------------------------------------------------------------------------- --Linked List types ------------------------------------------------------------------------------- TYPE listtyp; TYPE listptr IS ACCESS listtyp; TYPE listtyp IS RECORD data : std_logic_vector(C_SMALLER_DATA_WIDTH + 1 DOWNTO 0); older : listptr; newer : listptr; END RECORD; ------------------------------------------------------------------------------- --Processes for linked list implementation. The functions are --1. "newlist" - Create a new linked list --2. "add" - Add a data element to a linked list --3. "read" - Read the data from the tail of the linked list --4. "remove" - Remove the tail from the linked list --5. "sizeof" - Calculate the size of the linked list ------------------------------------------------------------------------------- --1. Create a new linked list PROCEDURE newlist ( head : INOUT listptr; tail : INOUT listptr; cntr : INOUT integer) IS BEGIN head := NULL; tail := NULL; cntr := 0; END; --2. Add a data element to a linked list PROCEDURE add ( head : INOUT listptr; tail : INOUT listptr; data : IN std_logic_vector; cntr : INOUT integer; inj_err : IN std_logic_vector(2 DOWNTO 0) ) IS VARIABLE oldhead : listptr; VARIABLE newhead : listptr; VARIABLE corrupted_data : std_logic_vector(1 DOWNTO 0); BEGIN -------------------------------------------------------------------------- --a. Create a pointer to the existing head, if applicable --b. Create a new node for the list --c. Make the new node point to the old head --d. Make the old head point back to the new node (for doubly-linked list) --e. Put the data into the new head node --f. If the new head we just created is the only node in the list, -- make the tail point to it --g. Return the new head pointer -------------------------------------------------------------------------- IF (head /= NULL) THEN oldhead := head; END IF; newhead := NEW listtyp; newhead.older := oldhead; IF (head /= NULL) THEN oldhead.newer := newhead; END IF; CASE inj_err(1 DOWNTO 0) IS -- For both error injection, pass only the double bit error injection -- as dbit error has priority over single bit error injection WHEN "11" => newhead.data := inj_err(1) & '0' & data; WHEN "10" => newhead.data := inj_err(1) & '0' & data; WHEN "01" => newhead.data := '0' & inj_err(0) & data; WHEN OTHERS => newhead.data := '0' & '0' & data; END CASE; -- Increment the counter when data is added to the list cntr := cntr + 1; IF (newhead.older = NULL) THEN tail := newhead; END IF; head := newhead; END; --3. Read the data from the tail of the linked list PROCEDURE read ( tail : INOUT listptr; data : OUT std_logic_vector; err_type : OUT std_logic_vector(1 DOWNTO 0) ) IS VARIABLE data_int : std_logic_vector(C_SMALLER_DATA_WIDTH + 1 DOWNTO 0) := (OTHERS => '0'); VARIABLE err_type_int : std_logic_vector(1 DOWNTO 0) := (OTHERS => '0'); BEGIN data_int := tail.data; -- MSB two bits carry the error injection type. err_type_int := data_int(data_int'high DOWNTO C_SMALLER_DATA_WIDTH); IF (err_type_int(1) = '0') THEN data := data_int(C_SMALLER_DATA_WIDTH - 1 DOWNTO 0); ELSIF (C_DOUT_WIDTH = 2) THEN data := NOT data_int(C_SMALLER_DATA_WIDTH - 1 DOWNTO 0); ELSIF (C_DOUT_WIDTH > 2) THEN data := NOT data_int(data_int'high-2) & NOT data_int(data_int'high-3) & data_int(data_int'high-4 DOWNTO 0); ELSE data := data_int(C_SMALLER_DATA_WIDTH - 1 DOWNTO 0); END IF; err_type := err_type_int; END; --4. Remove the tail from the linked list PROCEDURE remove ( head : INOUT listptr; tail : INOUT listptr; cntr : INOUT integer) IS VARIABLE oldtail : listptr; VARIABLE newtail : listptr; BEGIN -------------------------------------------------------------------------- --Make a copy of the old tail pointer --a. If there is no newer node, then set the tail pointer to nothing -- (list is empty) -- otherwise, make the next newer node the new tail, and make it point -- to nothing older --b. Clean up the memory for the old tail node --c. If the new tail is nothing, then we have an empty list, and head -- should also be set to nothing --d. Return the new tail -------------------------------------------------------------------------- oldtail := tail; IF (oldtail.newer = NULL) THEN newtail := NULL; ELSE newtail := oldtail.newer; newtail.older := NULL; END IF; DEALLOCATE(oldtail); IF (newtail = NULL) THEN head := NULL; END IF; tail := newtail; -- Decrement the counter when data is removed from the list cntr := cntr - 1; END; --5. Calculate the size of the linked list PROCEDURE sizeof (head : INOUT listptr; size : OUT integer) IS VARIABLE curlink : listptr; VARIABLE tmpsize : integer := 0; BEGIN -------------------------------------------------------------------------- --a. If head is null, then there is nothing in the list to traverse -- start with the head node (which implies at least one node exists) -- Loop through each node until you find the one that points to nothing -- (the tail) --b. Return the number of nodes -------------------------------------------------------------------------- IF (head /= NULL) THEN curlink := head; tmpsize := 1; WHILE (curlink.older /= NULL) LOOP tmpsize := tmpsize + 1; curlink := curlink.older; END LOOP; END IF; size := tmpsize; END; ----------------------------------------------------------------------------- -- converts integer to specified length std_logic_vector : dropping least -- significant bits if integer is bigger than what can be represented by -- the vector ----------------------------------------------------------------------------- FUNCTION count( fifo_count : IN integer; pointer_width : IN integer; counter_width : IN integer) RETURN std_logic_vector IS VARIABLE temp : std_logic_vector(pointer_width-1 DOWNTO 0) := (OTHERS => '0'); VARIABLE output : std_logic_vector(counter_width - 1 DOWNTO 0) := (OTHERS => '0'); BEGIN temp := CONV_STD_LOGIC_VECTOR(fifo_count, pointer_width); IF (counter_width <= pointer_width) THEN output := temp(pointer_width - 1 DOWNTO pointer_width - counter_width); ELSE output := temp(counter_width - 1 DOWNTO 0); END IF; RETURN output; END count; ------------------------------------------------------------------------------- -- architecture begins here ------------------------------------------------------------------------------- BEGIN ------------------------------------------------------------------------------- -- Asynchronous FIFO ------------------------------------------------------------------------------- gnll_afifo: IF (C_FIFO_TYPE /= 3) GENERATE wr_pntr <= conv_std_logic_vector(wr_point,C_WR_PNTR_WIDTH); rd_pntr <= conv_std_logic_vector(rd_point,C_RD_PNTR_WIDTH); wr_rst_i <= WR_RST; rd_rst_i <= RD_RST; ------------------------------------------------------------------------------- -- calculate number of words in wr and rd domain according to the deepest port -- -- These steps circumvent the linked-list data structure and keep track of -- wr_point and rd_point pointers much like the core itself does. The behavioral -- model uses these to calculate WR_DATA_COUNT and RD_DATA_COUNT. This was done -- because the sizeof() function always returns the exact number of words in -- the linked list, and can not account for delays when crossing clock domains. ------------------------------------------------------------------------------- adj_wr_point <= wr_point * C_DEPTH_RATIO_RD; adj_rd_point <= rd_point * C_DEPTH_RATIO_WR; adj_wr_point_d1<= wr_point_d1 * C_DEPTH_RATIO_RD; adj_rd_point_d1<= rd_point_d1 * C_DEPTH_RATIO_WR; width_gt1 <= '1' WHEN (C_DIN_WIDTH = 2) ELSE '0'; PROCESS (adj_wr_point, adj_wr_point_d1, adj_rd_point, adj_rd_point_d1) BEGIN IF (adj_wr_point >= adj_rd_point_d1) THEN num_wr_words <= adj_wr_point - adj_rd_point_d1; ELSE num_wr_words <= C_WR_DEPTH*C_DEPTH_RATIO_RD + adj_wr_point - adj_rd_point_d1; END IF; IF (adj_wr_point_d1 >= adj_rd_point) THEN num_rd_words <= adj_wr_point_d1 - adj_rd_point; ELSE num_rd_words <= C_RD_DEPTH*C_DEPTH_RATIO_WR + adj_wr_point_d1 - adj_rd_point; END IF; END PROCESS; ------------------------------------------------------------------------------- --Calculate WR_ACK based on C_WR_ACK_LOW parameters ------------------------------------------------------------------------------- gwalow : IF (C_WR_ACK_LOW = 0) GENERATE WR_ACK <= wr_ack_i; END GENERATE gwalow; gwahgh : IF (C_WR_ACK_LOW = 1) GENERATE WR_ACK <= NOT wr_ack_i; END GENERATE gwahgh; ------------------------------------------------------------------------------- --Calculate OVERFLOW based on C_OVERFLOW_LOW parameters ------------------------------------------------------------------------------- govlow : IF (C_OVERFLOW_LOW = 0) GENERATE OVERFLOW <= overflow_i; END GENERATE govlow; govhgh : IF (C_OVERFLOW_LOW = 1) GENERATE OVERFLOW <= NOT overflow_i; END GENERATE govhgh; ------------------------------------------------------------------------------- --Calculate VALID based on C_VALID_LOW ------------------------------------------------------------------------------- gnvl : IF (C_VALID_LOW = 0) GENERATE VALID <= valid_out; END GENERATE gnvl; gnvh : IF (C_VALID_LOW = 1) GENERATE VALID <= NOT valid_out; END GENERATE gnvh; ------------------------------------------------------------------------------- --Calculate UNDERFLOW based on C_UNDERFLOW_LOW ------------------------------------------------------------------------------- gnul : IF (C_UNDERFLOW_LOW = 0) GENERATE UNDERFLOW <= underflow_i; END GENERATE gnul; gnuh : IF (C_UNDERFLOW_LOW = 1) GENERATE UNDERFLOW <= NOT underflow_i; END GENERATE gnuh; ------------------------------------------------------------------------------- --Assign PROG_FULL and PROG_EMPTY ------------------------------------------------------------------------------- PROG_FULL <= prog_full_reg; PROG_EMPTY <= prog_empty_reg; ------------------------------------------------------------------------------- --Assign RD_DATA_COUNT and WR_DATA_COUNT ------------------------------------------------------------------------------- rdc: IF (C_HAS_RD_DATA_COUNT=1) GENERATE grdc_fwft_ext: IF (C_USE_FWFT_DATA_COUNT = 1) GENERATE RD_DATA_COUNT <= rdc_fwft_ext_as(C_RD_PNTR_WIDTH DOWNTO C_RD_PNTR_WIDTH+1-C_RD_DATA_COUNT_WIDTH); END GENERATE grdc_fwft_ext; gnrdc_fwft_ext: IF (C_USE_FWFT_DATA_COUNT = 0) GENERATE RD_DATA_COUNT <= rd_data_count_int(C_RD_PNTR_WIDTH DOWNTO C_RD_PNTR_WIDTH+1-C_RD_DATA_COUNT_WIDTH); END GENERATE gnrdc_fwft_ext; END GENERATE rdc; nrdc: IF (C_HAS_RD_DATA_COUNT=0) GENERATE RD_DATA_COUNT <= (OTHERS=>'0'); END GENERATE nrdc; wdc: IF (C_HAS_WR_DATA_COUNT = 1) GENERATE gwdc_fwft_ext: IF (C_USE_FWFT_DATA_COUNT = 1) GENERATE WR_DATA_COUNT <= wdc_fwft_ext_as(C_WR_PNTR_WIDTH DOWNTO C_WR_PNTR_WIDTH+1-C_WR_DATA_COUNT_WIDTH); END GENERATE gwdc_fwft_ext; gnwdc_fwft_ext: IF (C_USE_FWFT_DATA_COUNT = 0) GENERATE WR_DATA_COUNT <= wr_data_count_int(C_WR_PNTR_WIDTH DOWNTO C_WR_PNTR_WIDTH+1-C_WR_DATA_COUNT_WIDTH); END GENERATE gnwdc_fwft_ext; END GENERATE wdc; nwdc: IF (C_HAS_WR_DATA_COUNT=0) GENERATE WR_DATA_COUNT <= (OTHERS=>'0'); END GENERATE nwdc; ------------------------------------------------------------------------------- -- Write data count calculation if Use Extra Logic option is used ------------------------------------------------------------------------------- wdc_fwft_ext: IF (C_HAS_WR_DATA_COUNT = 1 AND C_USE_FWFT_DATA_COUNT = 1) GENERATE CONSTANT C_PNTR_WIDTH : integer := if_then_else ((C_WR_PNTR_WIDTH>=C_RD_PNTR_WIDTH), C_WR_PNTR_WIDTH, C_RD_PNTR_WIDTH); SIGNAL adjusted_wr_pntr : std_logic_vector (C_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL adjusted_rd_pntr : std_logic_vector (C_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); CONSTANT EXTRA_WORDS : std_logic_vector (C_PNTR_WIDTH DOWNTO 0) := conv_std_logic_vector( if_then_else ((C_DEPTH_RATIO_WR=1),2 ,(2 * C_DEPTH_RATIO_WR/C_DEPTH_RATIO_RD)) ,C_PNTR_WIDTH+1); SIGNAL diff_wr_rd_tmp : std_logic_vector (C_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL diff_wr_rd : std_logic_vector (C_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0'); SIGNAL wr_data_count_i : std_logic_vector (C_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0'); BEGIN ----------------------------------------------------------------------------- --Adjust write and read pointer to the deepest port width ----------------------------------------------------------------------------- --C_PNTR_WIDTH=C_WR_PNTR_WIDTH gpadr: IF (C_WR_PNTR_WIDTH > C_RD_PNTR_WIDTH) GENERATE adjusted_wr_pntr <= wr_pntr; adjusted_rd_pntr(C_PNTR_WIDTH-1 DOWNTO C_PNTR_WIDTH-C_RD_PNTR_WIDTH) <= rd_pntr_wr; adjusted_rd_pntr(C_PNTR_WIDTH-C_RD_PNTR_WIDTH-1 DOWNTO 0)<=(OTHERS=>'0'); END GENERATE gpadr; --C_PNTR_WIDTH=C_RD_PNTR_WIDTH gpadw: IF (C_WR_PNTR_WIDTH < C_RD_PNTR_WIDTH) GENERATE adjusted_wr_pntr(C_PNTR_WIDTH-1 DOWNTO C_PNTR_WIDTH-C_WR_PNTR_WIDTH) <= wr_pntr; adjusted_wr_pntr(C_PNTR_WIDTH-C_WR_PNTR_WIDTH-1 DOWNTO 0)<=(OTHERS=>'0'); adjusted_rd_pntr <= rd_pntr_wr; END GENERATE gpadw; --C_PNTR_WIDTH=C_WR_PNTR_WIDTH=C_RD_PNTR_WIDTH ngpad: IF (C_WR_PNTR_WIDTH = C_RD_PNTR_WIDTH) GENERATE adjusted_wr_pntr <= wr_pntr; adjusted_rd_pntr <= rd_pntr_wr; END GENERATE ngpad; ----------------------------------------------------------------------------- --Calculate words in write domain ----------------------------------------------------------------------------- --Subtract the pointers to get the number of words in the RAM, *THEN* pad --the result diff_wr_rd_tmp <= adjusted_wr_pntr - adjusted_rd_pntr; diff_wr_rd <= '0' & diff_wr_rd_tmp; pwdc : PROCESS (WR_CLK, wr_rst_i) BEGIN IF (wr_rst_i = '1') THEN wr_data_count_i <= (OTHERS=>'0'); ELSIF (WR_CLK'event AND WR_CLK = '1') THEN wr_data_count_i <= diff_wr_rd + extra_words; END IF; END PROCESS pwdc; gdc0: IF (C_WR_PNTR_WIDTH >= C_RD_PNTR_WIDTH) GENERATE wdc_fwft_ext_as <= wr_data_count_i(C_PNTR_WIDTH DOWNTO 0); END GENERATE gdc0; gdc1: IF (C_WR_PNTR_WIDTH < C_RD_PNTR_WIDTH) GENERATE wdc_fwft_ext_as <= wr_data_count_i(C_PNTR_WIDTH DOWNTO C_RD_PNTR_WIDTH-C_WR_PNTR_WIDTH); END GENERATE gdc1; END GENERATE wdc_fwft_ext; ------------------------------------------------------------------------------- -- Read data count calculation if Use Extra Logic option is used ------------------------------------------------------------------------------- rdc_fwft_ext: IF (C_HAS_RD_DATA_COUNT = 1 AND C_USE_FWFT_DATA_COUNT = 1) GENERATE SIGNAL diff_wr_rd_tmp : std_logic_vector (C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL diff_wr_rd : std_logic_vector (C_RD_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0'); SIGNAL zero : std_logic_vector (C_RD_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0'); SIGNAL one : std_logic_vector (C_RD_PNTR_WIDTH DOWNTO 0) := conv_std_logic_vector(1, C_RD_PNTR_WIDTH+1); SIGNAL two : std_logic_vector (C_RD_PNTR_WIDTH DOWNTO 0) := conv_std_logic_vector(2, C_RD_PNTR_WIDTH+1); SIGNAL adjusted_wr_pntr_r : std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS=>'0'); BEGIN ---------------------------------------------------------------------------- -- If write depth is smaller than read depth, pad write pointer. -- If write depth is bigger than read depth, trim write pointer. ---------------------------------------------------------------------------- gpad : IF (C_RD_PNTR_WIDTH>C_WR_PNTR_WIDTH) GENERATE adjusted_wr_pntr_r(C_RD_PNTR_WIDTH-1 DOWNTO C_RD_PNTR_WIDTH-C_WR_PNTR_WIDTH) <= WR_PNTR_RD; adjusted_wr_pntr_r(C_RD_PNTR_WIDTH-C_WR_PNTR_WIDTH-1 DOWNTO 0) <= (OTHERS => '0'); END GENERATE gpad; gtrim : IF (C_RD_PNTR_WIDTH<=C_WR_PNTR_WIDTH) GENERATE adjusted_wr_pntr_r <= WR_PNTR_RD(C_WR_PNTR_WIDTH-1 DOWNTO C_WR_PNTR_WIDTH-C_RD_PNTR_WIDTH); END GENERATE gtrim; ----------------------------------------------------------------------------- -- This accounts for preload 0 by explicitly handling the preload states -- which do not have both output stages filled. As a result, the rd_data_count -- produced will always accurately reflect the number of READABLE words at -- a given time. ----------------------------------------------------------------------------- diff_wr_rd_tmp <= adjusted_wr_pntr_r - RD_PNTR; diff_wr_rd <= '0' & diff_wr_rd_tmp; prdc : PROCESS (RD_CLK, rd_rst_i) BEGIN IF (rd_rst_i = '1') THEN rdc_fwft_ext_as <= zero; ELSIF (RD_CLK'event AND RD_CLK = '1') THEN IF (stage2_valid = '0') THEN rdc_fwft_ext_as <= zero; ELSIF (stage2_valid = '1' AND stage1_valid = '0') THEN rdc_fwft_ext_as <= one; ELSE rdc_fwft_ext_as <= diff_wr_rd + two; END IF; END IF; END PROCESS prdc; END GENERATE rdc_fwft_ext; ------------------------------------------------------------------------------- -- Write pointer adjustment based on pointers width for EMPTY/ALMOST_EMPTY generation ------------------------------------------------------------------------------- gpad : IF (C_RD_PNTR_WIDTH > C_WR_PNTR_WIDTH) GENERATE adj_wr_pntr_rd(C_RD_PNTR_WIDTH-1 DOWNTO C_RD_PNTR_WIDTH-C_WR_PNTR_WIDTH) <= wr_pntr_rd; adj_wr_pntr_rd(C_RD_PNTR_WIDTH-C_WR_PNTR_WIDTH-1 DOWNTO 0) <= (OTHERS => '0'); END GENERATE gpad; gtrim : IF (C_RD_PNTR_WIDTH<=C_WR_PNTR_WIDTH) GENERATE adj_wr_pntr_rd <= wr_pntr_rd(C_WR_PNTR_WIDTH-1 DOWNTO C_WR_PNTR_WIDTH-C_RD_PNTR_WIDTH); END GENERATE gtrim; ------------------------------------------------------------------------------- -- Generate Empty ------------------------------------------------------------------------------- -- ram_rd_en used to determine EMPTY should depend on the EMPTY. ram_rd_en <= RD_EN AND (NOT empty_comb); empty_int <= ((adj_wr_pntr_rd = rd_pntr) OR (ram_rd_en = '1' AND (adj_wr_pntr_rd = conv_std_logic_vector((conv_integer(rd_pntr)+1),C_RD_PNTR_WIDTH)))); ------------------------------------------------------------------------------- -- Generate Almost Empty ------------------------------------------------------------------------------- almost_empty_int <= ((adj_wr_pntr_rd = conv_std_logic_vector((conv_integer(rd_pntr)+1),C_RD_PNTR_WIDTH)) OR (ram_rd_en = '1' AND (adj_wr_pntr_rd = conv_std_logic_vector((conv_integer(rd_pntr)+2),C_RD_PNTR_WIDTH)))); ------------------------------------------------------------------------------- -- Registering Empty & Almost Empty -- Generate read data count if Use Extra Logic is not selected. ------------------------------------------------------------------------------- empty_proc : PROCESS (RD_CLK, rd_rst_i) BEGIN IF (rd_rst_i = '1') THEN empty_comb <= '1' AFTER C_TCQ; empty_comb_d1 <= '1' AFTER C_TCQ; ALMOST_EMPTY <= '1' AFTER C_TCQ; rd_data_count_int <= (OTHERS => '0') AFTER C_TCQ; ELSIF (RD_CLK'event AND RD_CLK = '1') THEN rd_data_count_int <= ((adj_wr_pntr_rd(C_RD_PNTR_WIDTH-1 DOWNTO 0) - rd_pntr(C_RD_PNTR_WIDTH-1 DOWNTO 0)) & '0') AFTER C_TCQ; empty_comb_d1 <= empty_comb AFTER C_TCQ; IF (empty_int) THEN empty_comb <= '1' AFTER C_TCQ; ELSE empty_comb <= '0' AFTER C_TCQ; END IF; IF (empty_comb = '0') THEN IF (almost_empty_int) THEN ALMOST_EMPTY <= '1' AFTER C_TCQ; ELSE ALMOST_EMPTY <= '0' AFTER C_TCQ; END IF; END IF; END IF; END PROCESS empty_proc; ------------------------------------------------------------------------------- -- Read pointer adjustment based on pointers width for FULL/ALMOST_FULL generation ------------------------------------------------------------------------------- gfpad : IF (C_WR_PNTR_WIDTH > C_RD_PNTR_WIDTH) GENERATE adj_rd_pntr_wr (C_WR_PNTR_WIDTH-1 DOWNTO C_WR_PNTR_WIDTH-C_RD_PNTR_WIDTH) <= rd_pntr_wr; adj_rd_pntr_wr(C_WR_PNTR_WIDTH-C_RD_PNTR_WIDTH-1 DOWNTO 0) <= (OTHERS => '0'); END GENERATE gfpad; gftrim : IF (C_WR_PNTR_WIDTH <= C_RD_PNTR_WIDTH) GENERATE adj_rd_pntr_wr <= rd_pntr_wr(C_RD_PNTR_WIDTH-1 DOWNTO C_RD_PNTR_WIDTH-C_WR_PNTR_WIDTH); END GENERATE gftrim; ------------------------------------------------------------------------------- -- Generate Full ------------------------------------------------------------------------------- -- ram_wr_en used to determine FULL should depend on the FULL. ram_wr_en <= WR_EN AND (NOT full_comb); full_int <= ((adj_rd_pntr_wr = conv_std_logic_vector((conv_integer(wr_pntr)+1),C_WR_PNTR_WIDTH)) OR (ram_wr_en = '1' AND (adj_rd_pntr_wr = conv_std_logic_vector((conv_integer(wr_pntr)+2),C_WR_PNTR_WIDTH)))); ------------------------------------------------------------------------------- -- Generate Almost Full ------------------------------------------------------------------------------- almost_full_int <= ((adj_rd_pntr_wr = conv_std_logic_vector((conv_integer(wr_pntr)+2),C_WR_PNTR_WIDTH)) OR (ram_wr_en = '1' AND (adj_rd_pntr_wr = conv_std_logic_vector((conv_integer(wr_pntr)+3),C_WR_PNTR_WIDTH)))); ------------------------------------------------------------------------------- -- Registering Full & Almost Full -- Generate write data count if Use Extra Logic is not selected. ------------------------------------------------------------------------------- full_proc : PROCESS (WR_CLK, RST_FULL_FF) BEGIN IF (RST_FULL_FF = '1') THEN full_comb <= int_2_std_logic(C_FULL_FLAGS_RST_VAL) AFTER C_TCQ; ALMOST_FULL <= int_2_std_logic(C_FULL_FLAGS_RST_VAL) AFTER C_TCQ; wr_data_count_int <= (OTHERS => '0') AFTER C_TCQ; ELSIF (WR_CLK'event AND WR_CLK = '1') THEN wr_data_count_int <= ((wr_pntr(C_WR_PNTR_WIDTH-1 DOWNTO 0) - adj_rd_pntr_wr(C_WR_PNTR_WIDTH-1 DOWNTO 0)) & '0') AFTER C_TCQ; IF (full_int) THEN full_comb <= '1' AFTER C_TCQ; ELSE full_comb <= '0' AFTER C_TCQ; END IF; IF (RST_FULL_GEN = '1') THEN ALMOST_FULL <= '0' AFTER C_TCQ; ELSIF (full_comb = '0') THEN IF (almost_full_int) THEN ALMOST_FULL <= '1' AFTER C_TCQ; ELSE ALMOST_FULL <= '0' AFTER C_TCQ; END IF; END IF; END IF; END PROCESS full_proc; ------------------------------------------------------------------------------- -- Counter that determines the FWFT read duration. ------------------------------------------------------------------------------- -- C_PRELOAD_LATENCY will be 0 for Non-Built-in FIFO with FWFT. grd_fwft: IF (C_PRELOAD_LATENCY = 0) GENERATE SIGNAL user_empty_fb_d1 : std_logic := '1'; BEGIN grd_fwft_proc : PROCESS (RD_CLK, rd_rst_i) BEGIN IF (rd_rst_i = '1') THEN rd_fwft_cnt <= (others => '0'); user_empty_fb_d1 <= '1'; stage1_valid <= '0'; stage2_valid <= '0'; ELSIF (RD_CLK'event AND RD_CLK = '1') THEN user_empty_fb_d1 <= USER_EMPTY_FB; IF (user_empty_fb_d1 = '0' AND USER_EMPTY_FB = '1') THEN rd_fwft_cnt <= (others => '0') AFTER C_TCQ; ELSIF (empty_comb = '0') THEN IF (RD_EN = '1' AND rd_fwft_cnt < X"5") THEN rd_fwft_cnt <= rd_fwft_cnt + "1" AFTER C_TCQ; END IF; END IF; IF (stage1_valid = '0' AND stage2_valid = '0') THEN IF (empty_comb = '0') THEN stage1_valid <= '1' AFTER C_TCQ; ELSE stage1_valid <= '0' AFTER C_TCQ; END IF; ELSIF (stage1_valid = '1' AND stage2_valid = '0') THEN IF (empty_comb = '1') THEN stage1_valid <= '0' AFTER C_TCQ; stage2_valid <= '1' AFTER C_TCQ; ELSE stage1_valid <= '1' AFTER C_TCQ; stage2_valid <= '1' AFTER C_TCQ; END IF; ELSIF (stage1_valid = '0' AND stage2_valid = '1') THEN IF (empty_comb = '1' AND RD_EN_USER = '1') THEN stage1_valid <= '0' AFTER C_TCQ; stage2_valid <= '0' AFTER C_TCQ; ELSIF (empty_comb = '0' AND RD_EN_USER = '1') THEN stage1_valid <= '1' AFTER C_TCQ; stage2_valid <= '0' AFTER C_TCQ; ELSIF (empty_comb = '0' AND RD_EN_USER = '0') THEN stage1_valid <= '1' AFTER C_TCQ; stage2_valid <= '1' AFTER C_TCQ; ELSE stage1_valid <= '0' AFTER C_TCQ; stage2_valid <= '1' AFTER C_TCQ; END IF; ELSIF (stage1_valid = '1' AND stage2_valid = '1') THEN IF (empty_comb = '1' AND RD_EN_USER = '1') THEN stage1_valid <= '0' AFTER C_TCQ; stage2_valid <= '1' AFTER C_TCQ; ELSE stage1_valid <= '1' AFTER C_TCQ; stage2_valid <= '1' AFTER C_TCQ; END IF; ELSE stage1_valid <= '0' AFTER C_TCQ; stage2_valid <= '0' AFTER C_TCQ; END IF; END IF; END PROCESS grd_fwft_proc; END GENERATE grd_fwft; gnrd_fwft: IF (C_PRELOAD_LATENCY > 0) GENERATE rd_fwft_cnt <= X"2"; END GENERATE gnrd_fwft; ------------------------------------------------------------------------------- -- Assign FULL, EMPTY, ALMOST_FULL and ALMOST_EMPTY ------------------------------------------------------------------------------- FULL <= full_comb; EMPTY <= empty_comb; ------------------------------------------------------------------------------- -- Asynchronous FIFO using linked lists ------------------------------------------------------------------------------- FIFO_PROC : PROCESS (WR_CLK, RD_CLK, rd_rst_i, wr_rst_i) --Declare the linked-list head/tail pointers and the size value VARIABLE head : listptr; VARIABLE tail : listptr; VARIABLE size : integer := 0; VARIABLE cntr : integer := 0; VARIABLE cntr_size_var_int : integer := 0; --Data is the internal version of the DOUT bus VARIABLE data : std_logic_vector(c_dout_width - 1 DOWNTO 0) := hexstr_to_std_logic_vec( C_DOUT_RST_VAL, c_dout_width); VARIABLE err_type : std_logic_vector(1 DOWNTO 0) := (OTHERS => '0'); --Temporary values for calculating adjusted prog_empty/prog_full thresholds VARIABLE prog_empty_actual_assert_thresh : integer := 0; VARIABLE prog_empty_actual_negate_thresh : integer := 0; VARIABLE prog_full_actual_assert_thresh : integer := 0; VARIABLE prog_full_actual_negate_thresh : integer := 0; VARIABLE diff_pntr : integer := 0; BEGIN -- Calculate the current contents of the FIFO (size) -- Warning: This value should only be calculated once each time this -- process is entered. -- It is updated instantaneously for both write and read operations, -- so it is not ideal to use for signals which must consider the -- latency of crossing clock domains. -- cntr_size_var_int is updated only once when the process is entered -- This variable is used in the conditions instead of cntr which has the -- latest value. cntr_size_var_int := cntr; -- RESET CONDITIONS IF wr_rst_i = '1' THEN wr_point <= 0 after C_TCQ; wr_point_d1 <= 0 after C_TCQ; wr_pntr_rd1 <= (OTHERS => '0') after C_TCQ; rd_pntr_wr <= (OTHERS => '0') after C_TCQ; rd_pntr_q <= (OTHERS => (OTHERS => '0')) after C_TCQ; --Create new linked list newlist(head, tail,cntr); diff_pntr := 0; --------------------------------------------------------------------------- -- Write to FIFO --------------------------------------------------------------------------- ELSIF WR_CLK'event AND WR_CLK = '1' THEN rd_pntr_q <= rd_pntr_q(C_SYNCHRONIZER_STAGE-2 downto 0) & rd_pntr_wr_d1; -- Delay the write pointer before passing to RD_CLK domain to accommodate -- the binary to gray converion wr_pntr_rd1 <= wr_pntr after C_TCQ; rd_pntr_wr <= rd_pntr_q(C_SYNCHRONIZER_STAGE-1) after C_TCQ; wr_point_d1 <= wr_point after C_TCQ; --The following IF statement setup default values of full_i and almost_full_i. --The values might be overwritten in the next IF statement. --If writing, then it is not possible to predict how many --words will actually be in the FIFO after the write concludes --(because the number of reads which happen in this time can -- not be determined). --Therefore, treat it pessimistically and always assume that -- the write will happen without a read (assume the FIFO is -- C_DEPTH_RATIO_RD fuller than it is). --Note: --1. cntr_size_var_int is the deepest depth between write depth and read depth -- cntr_size_var_int/C_DEPTH_RATIO_RD is number of words in the write domain. --2. cntr_size_var_int+C_DEPTH_RATIO_RD: number of write words in the next clock cycle -- if wr_en=1 (C_DEPTH_RATIO_RD=one write word) --3. For asymmetric FIFO, if write width is narrower than read width. Don't -- have to consider partial words. --4. For asymmetric FIFO, if read width is narrower than write width, -- the worse case that FIFO is going to full is depicted in the following -- diagram. Both rd_pntr_a and rd_pntr_b will cause FIFO full. rd_pntr_a -- is the worse case. Therefore, in the calculation, actual FIFO depth is -- substarcted to one write word and added one read word. -- ------- -- | | | -- wr_pntr-->| |--- -- | | | -- ---|--- -- | | | -- | |--- -- | | | -- ---|--- -- | | |<--rd_pntr_a -- | |--- -- | | |<--rd_pntr_b -- ---|--- -- Update full_i and almost_full_i if user is writing to the FIFO. -- Assign overflow and wr_ack. IF WR_EN = '1' THEN IF full_comb /= '1' THEN -- User is writing to a FIFO which is NOT reporting FULL IF cntr_size_var_int/C_DEPTH_RATIO_RD = C_FIFO_WR_DEPTH THEN -- FIFO really is Full --Report Overflow and do not acknowledge the write ELSIF cntr_size_var_int/C_DEPTH_RATIO_RD + 1 = C_FIFO_WR_DEPTH THEN -- FIFO is almost full -- This write will succeed, and FIFO will go FULL FOR h IN C_DEPTH_RATIO_RD DOWNTO 1 LOOP add(head, tail, DIN((C_SMALLER_DATA_WIDTH*h)-1 DOWNTO C_SMALLER_DATA_WIDTH*(h-1)),cntr, (width_gt1 & INJECTDBITERR & INJECTSBITERR)); END LOOP; wr_point <= (wr_point + 1) MOD C_WR_DEPTH after C_TCQ; ELSIF cntr_size_var_int/C_DEPTH_RATIO_RD + 2 = C_FIFO_WR_DEPTH THEN -- FIFO is one away from almost full -- This write will succeed, and FIFO will go almost_full_i FOR h IN C_DEPTH_RATIO_RD DOWNTO 1 LOOP add(head, tail, DIN((C_SMALLER_DATA_WIDTH*h)-1 DOWNTO C_SMALLER_DATA_WIDTH*(h-1)),cntr, (width_gt1 & INJECTDBITERR & INJECTSBITERR)); END LOOP; wr_point <= (wr_point + 1) MOD C_WR_DEPTH after C_TCQ; ELSE -- FIFO is no where near FULL --Write will succeed, no change in status FOR h IN C_DEPTH_RATIO_RD DOWNTO 1 LOOP add(head, tail, DIN((C_SMALLER_DATA_WIDTH*h)-1 DOWNTO C_SMALLER_DATA_WIDTH*(h-1)),cntr, (width_gt1 & INJECTDBITERR & INJECTSBITERR)); END LOOP; wr_point <= (wr_point + 1) MOD C_WR_DEPTH after C_TCQ; END IF; ELSE --IF full_i = '1' -- User is writing to a FIFO which IS reporting FULL --Write will fail END IF; --full_i ELSE --WR_EN/='1' --No write attempted, so neither overflow or acknowledge END IF; --WR_EN END IF; --WR_CLK --------------------------------------------------------------------------- -- Read from FIFO --------------------------------------------------------------------------- IF rd_rst_i = '1' THEN -- Whenever user is attempting to read from -- an EMPTY FIFO, the core should report an underflow error, even if -- the core is in a RESET condition. rd_point <= 0 after C_TCQ; rd_point_d1 <= 0 after C_TCQ; rd_pntr_wr_d1 <= (OTHERS => '0') after C_TCQ; wr_pntr_rd <= (OTHERS => '0') after C_TCQ; wr_pntr_q <= (OTHERS => (OTHERS => '0')) after C_TCQ; -- DRAM resets asynchronously IF (C_MEMORY_TYPE = 2 AND C_USE_DOUT_RST = 1) THEN data := hexstr_to_std_logic_vec(C_DOUT_RST_VAL, C_DOUT_WIDTH); END IF; -- BRAM resets synchronously IF (C_MEMORY_TYPE < 2 AND C_USE_DOUT_RST = 1) THEN IF (RD_CLK'event AND RD_CLK = '1') THEN data := hexstr_to_std_logic_vec(C_DOUT_RST_VAL, C_DOUT_WIDTH); END IF; END IF; -- Reset only if ECC is not selected as ECC does not support reset. IF (C_USE_ECC = 0) THEN err_type := (OTHERS => '0'); END IF ; ELSIF RD_CLK'event AND RD_CLK = '1' THEN wr_pntr_q <= wr_pntr_q(C_SYNCHRONIZER_STAGE-2 downto 0) & wr_pntr_rd1; -- Delay the read pointer before passing to WR_CLK domain to accommodate -- the binary to gray converion rd_pntr_wr_d1 <= rd_pntr after C_TCQ; wr_pntr_rd <= wr_pntr_q(C_SYNCHRONIZER_STAGE-1) after C_TCQ; rd_point_d1 <= rd_point after C_TCQ; --------------------------------------------------------------------------- -- Read Latency 1 --------------------------------------------------------------------------- --The following IF statement setup default values of empty_i and --almost_empty_i. The values might be overwritten in the next IF statement. --Note: --cntr_size_var_int/C_DEPTH_RATIO_WR : number of words in read domain. IF (ram_rd_en = '1') THEN IF empty_comb /= '1' THEN IF cntr_size_var_int/C_DEPTH_RATIO_WR = 2 THEN --FIFO is going almost empty FOR h IN C_DEPTH_RATIO_WR DOWNTO 1 LOOP read(tail, data((C_SMALLER_DATA_WIDTH*h)-1 DOWNTO C_SMALLER_DATA_WIDTH*(h-1)), err_type); remove(head, tail,cntr); END LOOP; rd_point <= (rd_point + 1) MOD C_RD_DEPTH after C_TCQ; ELSIF cntr_size_var_int/C_DEPTH_RATIO_WR = 1 THEN --FIFO is going empty FOR h IN C_DEPTH_RATIO_WR DOWNTO 1 LOOP read(tail, data((C_SMALLER_DATA_WIDTH*h)-1 DOWNTO C_SMALLER_DATA_WIDTH*(h-1)), err_type); remove(head, tail,cntr); END LOOP; rd_point <= (rd_point + 1) MOD C_RD_DEPTH after C_TCQ; ELSIF cntr_size_var_int/C_DEPTH_RATIO_WR = 0 THEN --FIFO is empty ELSE --FIFO is not empty FOR h IN C_DEPTH_RATIO_WR DOWNTO 1 LOOP read(tail, data((C_SMALLER_DATA_WIDTH*h)-1 DOWNTO C_SMALLER_DATA_WIDTH*(h-1)), err_type); remove(head, tail,cntr); END LOOP; rd_point <= (rd_point + 1) MOD C_RD_DEPTH after C_TCQ; END IF; ELSE --FIFO is empty END IF; END IF; --RD_EN END IF; --RD_CLK dout_i <= data after C_TCQ; sbiterr_i <= err_type(0) after C_TCQ; dbiterr_i <= err_type(1) after C_TCQ; END PROCESS; ----------------------------------------------------------------------------- -- Programmable FULL flags ----------------------------------------------------------------------------- proc_pf_input: PROCESS(PROG_FULL_THRESH, PROG_FULL_THRESH_ASSERT,PROG_FULL_THRESH_NEGATE) BEGIN IF (C_PRELOAD_REGS = 1 AND C_PRELOAD_LATENCY = 0) THEN -- FWFT IF (C_PROG_FULL_TYPE = 3) THEN -- Single threshold input pf_input_thr_assert_val <= PROG_FULL_THRESH - conv_integer(EXTRA_WORDS_DC); ELSE -- Multiple threshold inputs pf_input_thr_assert_val <= PROG_FULL_THRESH_ASSERT - conv_std_logic_vector(EXTRA_WORDS_DC,C_WR_PNTR_WIDTH); pf_input_thr_negate_val <= PROG_FULL_THRESH_NEGATE - conv_std_logic_vector(EXTRA_WORDS_DC,C_WR_PNTR_WIDTH); END IF; ELSE -- STD IF (C_PROG_FULL_TYPE = 3) THEN -- Single threshold input pf_input_thr_assert_val <= PROG_FULL_THRESH; ELSE -- Multiple threshold inputs pf_input_thr_assert_val <= PROG_FULL_THRESH_ASSERT; pf_input_thr_negate_val <= PROG_FULL_THRESH_NEGATE; END IF; END IF; END PROCESS proc_pf_input; proc_pf: PROCESS(WR_CLK, RST_FULL_FF) BEGIN IF (RST_FULL_FF = '1') THEN prog_full_reg <= int_2_std_logic(C_FULL_FLAGS_RST_VAL); diff_pntr_wr <= 0; ELSIF (WR_CLK'event AND WR_CLK = '1') THEN IF (ram_wr_en = '0') THEN diff_pntr_wr <= conv_integer(wr_pntr - adj_rd_pntr_wr) after C_TCQ; ELSIF (ram_wr_en = '1') THEN diff_pntr_wr <= conv_integer(wr_pntr - adj_rd_pntr_wr) + 1 after C_TCQ; END IF; IF (RST_FULL_GEN = '1') THEN prog_full_reg <= '0' after C_TCQ; ELSIF (C_PROG_FULL_TYPE = 1) THEN IF (full_comb = '0') THEN IF (diff_pntr_wr >= C_PF_THR_ASSERT_ADJUSTED) THEN prog_full_reg <= '1' after C_TCQ; ELSE prog_full_reg <= '0' after C_TCQ; END IF; ELSE prog_full_reg <= prog_full_reg after C_TCQ; END IF; ELSIF (C_PROG_FULL_TYPE = 2) THEN IF (full_comb = '0') THEN IF (diff_pntr_wr >= C_PF_THR_ASSERT_ADJUSTED) THEN prog_full_reg <= '1' after C_TCQ; ELSIF (diff_pntr_wr < C_PF_THR_NEGATE_ADJUSTED) THEN prog_full_reg <= '0' after C_TCQ; ELSE prog_full_reg <= prog_full_reg after C_TCQ; END IF; ELSE prog_full_reg <= prog_full_reg after C_TCQ; END IF; ELSIF (C_PROG_FULL_TYPE = 3) THEN IF (full_comb = '0') THEN IF (diff_pntr_wr >= conv_integer(pf_input_thr_assert_val)) THEN prog_full_reg <= '1' after C_TCQ; ELSE prog_full_reg <= '0' after C_TCQ; END IF; ELSE prog_full_reg <= prog_full_reg after C_TCQ; END IF; ELSIF (C_PROG_FULL_TYPE = 4) THEN IF (full_comb = '0') THEN IF (diff_pntr_wr >= conv_integer(pf_input_thr_assert_val)) THEN prog_full_reg <= '1' after C_TCQ; ELSIF (diff_pntr_wr < conv_integer(pf_input_thr_negate_val)) THEN prog_full_reg <= '0' after C_TCQ; ELSE prog_full_reg <= prog_full_reg after C_TCQ; END IF; ELSE prog_full_reg <= prog_full_reg after C_TCQ; END IF; END IF; --C_PROG_FULL_TYPE END IF; -- WR_CLK END PROCESS proc_pf; --------------------------------------------------------------------------- -- Programmable EMPTY Flags --------------------------------------------------------------------------- proc_pe: PROCESS(RD_CLK, rd_rst_i) VARIABLE pe_thr_assert_val : std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); VARIABLE pe_thr_negate_val : std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); BEGIN IF (rd_rst_i = '1') THEN diff_pntr_rd <= 0; prog_empty_reg <= '1'; pe_thr_assert_val := (OTHERS => '0'); pe_thr_negate_val := (OTHERS => '0'); ELSIF (RD_CLK'event AND RD_CLK = '1') THEN IF (ram_rd_en = '0') THEN diff_pntr_rd <= conv_integer(adj_wr_pntr_rd - rd_pntr) after C_TCQ; ELSIF (ram_rd_en = '1') THEN diff_pntr_rd <= conv_integer(adj_wr_pntr_rd - rd_pntr) - 1 after C_TCQ; ELSE diff_pntr_rd <= diff_pntr_rd after C_TCQ; END IF; IF (C_PROG_EMPTY_TYPE = 1) THEN IF (empty_comb = '0') THEN IF (diff_pntr_rd <= C_PE_THR_ASSERT_VAL_I) THEN prog_empty_reg <= '1' after C_TCQ; ELSE prog_empty_reg <= '0' after C_TCQ; END IF; ELSE prog_empty_reg <= prog_empty_reg after C_TCQ; END IF; ELSIF (C_PROG_EMPTY_TYPE = 2) THEN IF (empty_comb = '0') THEN IF (diff_pntr_rd <= C_PE_THR_ASSERT_VAL_I) THEN prog_empty_reg <= '1' after C_TCQ; ELSIF (diff_pntr_rd > C_PE_THR_NEGATE_VAL_I) THEN prog_empty_reg <= '0' after C_TCQ; ELSE prog_empty_reg <= prog_empty_reg after C_TCQ; END IF; ELSE prog_empty_reg <= prog_empty_reg after C_TCQ; END IF; ELSIF (C_PROG_EMPTY_TYPE = 3) THEN -- If empty input threshold is selected, then subtract 2 for FWFT to -- compensate the FWFT stage, otherwise assign the input value. IF (C_PRELOAD_REGS = 1 AND C_PRELOAD_LATENCY = 0) THEN -- FWFT pe_thr_assert_val := PROG_EMPTY_THRESH - "10"; ELSE pe_thr_assert_val := PROG_EMPTY_THRESH; END IF; IF (empty_comb = '0') THEN IF (diff_pntr_rd <= pe_thr_assert_val) THEN prog_empty_reg <= '1' after C_TCQ; ELSE prog_empty_reg <= '0' after C_TCQ; END IF; ELSE prog_empty_reg <= prog_empty_reg after C_TCQ; END IF; ELSIF (C_PROG_EMPTY_TYPE = 4) THEN -- If empty input threshold is selected, then subtract 2 for FWFT to -- compensate the FWFT stage, otherwise assign the input value. IF (C_PRELOAD_REGS = 1 AND C_PRELOAD_LATENCY = 0) THEN -- FWFT pe_thr_assert_val := PROG_EMPTY_THRESH_ASSERT - "10"; pe_thr_negate_val := PROG_EMPTY_THRESH_NEGATE - "10"; ELSE pe_thr_assert_val := PROG_EMPTY_THRESH_ASSERT; pe_thr_negate_val := PROG_EMPTY_THRESH_NEGATE; END IF; IF (empty_comb = '0') THEN IF (diff_pntr_rd <= conv_integer(pe_thr_assert_val)) THEN prog_empty_reg <= '1' after C_TCQ; ELSIF (diff_pntr_rd > conv_integer(pe_thr_negate_val)) THEN prog_empty_reg <= '0' after C_TCQ; ELSE prog_empty_reg <= prog_empty_reg after C_TCQ; END IF; ELSE prog_empty_reg <= prog_empty_reg after C_TCQ; END IF; END IF; --C_PROG_EMPTY_TYPE END IF; -- RD_CLK END PROCESS proc_pe; ----------------------------------------------------------------------------- -- overflow_i generation: Asynchronous FIFO ----------------------------------------------------------------------------- govflw: IF (C_HAS_OVERFLOW = 1) GENERATE povflw: PROCESS (WR_CLK) BEGIN IF WR_CLK'event AND WR_CLK = '1' THEN overflow_i <= full_comb AND WR_EN after C_TCQ; END IF; END PROCESS povflw; END GENERATE govflw; ----------------------------------------------------------------------------- -- underflow_i generation: Asynchronous FIFO ----------------------------------------------------------------------------- gunflw: IF (C_HAS_UNDERFLOW = 1) GENERATE punflw: PROCESS (RD_CLK) BEGIN IF RD_CLK'event AND RD_CLK = '1' THEN underflow_i <= empty_comb and RD_EN after C_TCQ; END IF; END PROCESS punflw; END GENERATE gunflw; ----------------------------------------------------------------------------- -- wr_ack_i generation: Asynchronous FIFO ----------------------------------------------------------------------------- gwack: IF (C_HAS_WR_ACK = 1) GENERATE pwack: PROCESS (WR_CLK,wr_rst_i) BEGIN IF wr_rst_i = '1' THEN wr_ack_i <= '0' after C_TCQ; ELSIF WR_CLK'event AND WR_CLK = '1' THEN wr_ack_i <= '0' after C_TCQ; IF WR_EN = '1' THEN IF full_comb /= '1' THEN wr_ack_i <= '1' after C_TCQ; END IF; END IF; END IF; END PROCESS pwack; END GENERATE gwack; ---------------------------------------------------------------------------- -- valid_i generation: Asynchronous FIFO ---------------------------------------------------------------------------- gvld_i: IF (C_HAS_VALID = 1) GENERATE PROCESS (rd_rst_i , RD_CLK ) BEGIN IF rd_rst_i = '1' THEN valid_i <= '0' after C_TCQ; ELSIF RD_CLK'event AND RD_CLK = '1' THEN valid_i <= '0' after C_TCQ; IF RD_EN = '1' THEN IF empty_comb /= '1' THEN valid_i <= '1' after C_TCQ; END IF; END IF; END IF; END PROCESS; ----------------------------------------------------------------- -- Delay valid_d1 --if C_MEMORY_TYPE=0 or 1, C_USE_EMBEDDED_REG=1 ----------------------------------------------------------------- gv0_as: IF (C_USE_EMBEDDED_REG=1 AND (C_MEMORY_TYPE=0 OR C_MEMORY_TYPE=1)) GENERATE PROCESS (rd_rst_i , RD_CLK ) BEGIN IF rd_rst_i = '1' THEN valid_d1 <= '0' after C_TCQ; ELSIF RD_CLK'event AND RD_CLK = '1' THEN valid_d1 <= valid_i after C_TCQ; END IF; END PROCESS; END GENERATE gv0_as; gv1_as: IF NOT (C_USE_EMBEDDED_REG=1 AND (C_MEMORY_TYPE=0 OR C_MEMORY_TYPE=1)) GENERATE valid_d1 <= valid_i; END GENERATE gv1_as; END GENERATE gvld_i; ----------------------------------------------------------------------------- --Use delayed Valid AND DOUT if we have a LATENCY=2 configurations -- ( if C_MEMORY_TYPE=0 or 1, C_PRELOAD_REGS=0, C_USE_EMBEDDED_REG=1 ) --Otherwise, connect the valid and DOUT values up directly, with no --additional latency. ----------------------------------------------------------------------------- gv0: IF (C_PRELOAD_LATENCY=2 AND (C_MEMORY_TYPE=0 OR C_MEMORY_TYPE=1)) GENERATE gv1: IF (C_HAS_VALID = 1) GENERATE valid_out <= valid_d1; END GENERATE gv1; PROCESS (rd_rst_i , RD_CLK ) BEGIN IF (rd_rst_i = '1') THEN -- BRAM resets synchronously IF (C_USE_DOUT_RST = 1) THEN IF (RD_CLK 'event AND RD_CLK = '1') THEN DOUT <= hexstr_to_std_logic_vec(C_DOUT_RST_VAL, C_DOUT_WIDTH) after C_TCQ; END IF; END IF; IF (C_USE_ECC = 0) THEN SBITERR <= '0' after C_TCQ; DBITERR <= '0' after C_TCQ; END IF; ram_rd_en_d1 <= '0' after C_TCQ; ELSIF (RD_CLK 'event AND RD_CLK = '1') THEN ram_rd_en_d1 <= ram_rd_en after C_TCQ; IF (ram_rd_en_d1 = '1') THEN DOUT <= dout_i after C_TCQ; SBITERR <= sbiterr_i after C_TCQ; DBITERR <= dbiterr_i after C_TCQ; END IF; END IF; END PROCESS; END GENERATE gv0; gv1: IF NOT (C_PRELOAD_LATENCY=2 AND (C_MEMORY_TYPE=0 OR C_MEMORY_TYPE=1)) GENERATE gv2a: IF (C_HAS_VALID = 1) GENERATE valid_out <= valid_i; END GENERATE gv2a; DOUT <= dout_i; SBITERR <= sbiterr_i after C_TCQ; DBITERR <= dbiterr_i after C_TCQ; END GENERATE gv1; END GENERATE gnll_afifo; ------------------------------------------------------------------------------- -- Low Latency Asynchronous FIFO ------------------------------------------------------------------------------- gll_afifo: IF (C_FIFO_TYPE = 3) GENERATE TYPE mem_array IS ARRAY (0 TO C_WR_DEPTH-1) OF STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); SIGNAL memory : mem_array := (OTHERS => (OTHERS => '0')); SIGNAL write_allow : std_logic := '0'; SIGNAL read_allow : std_logic := '0'; SIGNAL wr_pntr_ll_afifo : std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS=>'0'); SIGNAL rd_pntr_ll_afifo : std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS=>'0'); SIGNAL rd_pntr_ll_afifo_q : std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS=>'0'); SIGNAL ll_afifo_full : std_logic := '0'; SIGNAL ll_afifo_empty : std_logic := '1'; SIGNAL wr_pntr_eq_rd_pntr : std_logic := '0'; SIGNAL wr_pntr_eq_rd_pntr_plus1 : std_logic := '0'; SIGNAL rd_pntr_eq_wr_pntr_plus1 : std_logic := '0'; SIGNAL rd_pntr_eq_wr_pntr_plus2 : std_logic := '0'; BEGIN wr_rst_i <= WR_RST; rd_rst_i <= RD_RST; write_allow <= WR_EN AND (NOT ll_afifo_full); read_allow <= RD_EN AND (NOT ll_afifo_empty); wrptr_proc : PROCESS (WR_CLK,wr_rst_i) BEGIN IF (wr_rst_i = '1') THEN wr_pntr_ll_afifo <= (OTHERS => '0'); ELSIF (WR_CLK'event AND WR_CLK = '1') THEN IF (write_allow = '1') THEN wr_pntr_ll_afifo <= wr_pntr_ll_afifo + "1" AFTER C_TCQ; END IF; END IF; END PROCESS wrptr_proc; ------------------------------------------------------------------------------- -- Fill the Memory ------------------------------------------------------------------------------- wr_mem : PROCESS (WR_CLK) BEGIN IF (WR_CLK'event AND WR_CLK = '1') THEN IF (write_allow = '1') THEN memory(conv_integer(wr_pntr_ll_afifo)) <= DIN AFTER C_TCQ; END IF; END IF; END PROCESS wr_mem; rdptr_proc : PROCESS (RD_CLK, rd_rst_i) BEGIN IF (rd_rst_i = '1') THEN rd_pntr_ll_afifo_q <= (OTHERS => '0'); ELSIF (RD_CLK'event AND RD_CLK = '1') THEN rd_pntr_ll_afifo_q <= rd_pntr_ll_afifo AFTER C_TCQ; END IF; END PROCESS rdptr_proc; rd_pntr_ll_afifo <= rd_pntr_ll_afifo_q + "1" WHEN (read_allow = '1') ELSE rd_pntr_ll_afifo_q; ------------------------------------------------------------------------------- -- Generate DOUT for DRAM ------------------------------------------------------------------------------- rd_mem : PROCESS (RD_CLK) BEGIN IF (RD_CLK'event AND RD_CLK = '1') THEN DOUT <= memory(conv_integer(rd_pntr_ll_afifo)) AFTER C_TCQ; END IF; END PROCESS rd_mem; ------------------------------------------------------------------------------- -- Generate EMPTY ------------------------------------------------------------------------------- wr_pntr_eq_rd_pntr <= '1' WHEN (wr_pntr_ll_afifo = rd_pntr_ll_afifo_q) ELSE '0'; wr_pntr_eq_rd_pntr_plus1 <= '1' WHEN (wr_pntr_ll_afifo = conv_std_logic_vector( (conv_integer(rd_pntr_ll_afifo_q)+1), C_RD_PNTR_WIDTH)) ELSE '0'; proc_empty : PROCESS (RD_CLK, rd_rst_i) BEGIN IF (rd_rst_i = '1') THEN ll_afifo_empty <= '1'; ELSIF (RD_CLK'event AND RD_CLK = '1') THEN ll_afifo_empty <= wr_pntr_eq_rd_pntr OR (read_allow AND wr_pntr_eq_rd_pntr_plus1) AFTER C_TCQ; END IF; END PROCESS proc_empty; ------------------------------------------------------------------------------- -- Generate FULL ------------------------------------------------------------------------------- rd_pntr_eq_wr_pntr_plus1 <= '1' WHEN (rd_pntr_ll_afifo_q = conv_std_logic_vector( (conv_integer(wr_pntr_ll_afifo)+1), C_WR_PNTR_WIDTH)) ELSE '0'; rd_pntr_eq_wr_pntr_plus2 <= '1' WHEN (rd_pntr_ll_afifo_q = conv_std_logic_vector( (conv_integer(wr_pntr_ll_afifo)+2), C_WR_PNTR_WIDTH)) ELSE '0'; proc_full : PROCESS (WR_CLK, wr_rst_i) BEGIN IF (wr_rst_i = '1') THEN ll_afifo_full <= '1'; ELSIF (WR_CLK'event AND WR_CLK = '1') THEN ll_afifo_full <= rd_pntr_eq_wr_pntr_plus1 OR (write_allow AND rd_pntr_eq_wr_pntr_plus2) AFTER C_TCQ; END IF; END PROCESS proc_full; EMPTY <= ll_afifo_empty; FULL <= ll_afifo_full; END GENERATE gll_afifo; END behavioral; --############################################################################# --############################################################################# -- Common Clock FIFO Behavioral Model --############################################################################# --############################################################################# ------------------------------------------------------------------------------- -- Library Declaration ------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.std_logic_arith.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ------------------------------------------------------------------------------- -- Common-Clock Entity Declaration - This is NOT the top-level entity ------------------------------------------------------------------------------- ENTITY fifo_generator_v11_0_bhv_ss IS GENERIC ( -------------------------------------------------------------------------------- -- Generic Declarations (alphabetical) -------------------------------------------------------------------------------- C_DATA_COUNT_WIDTH : integer := 2; C_DIN_WIDTH : integer := 8; C_DOUT_RST_VAL : string := ""; C_DOUT_WIDTH : integer := 8; C_FULL_FLAGS_RST_VAL : integer := 1; C_HAS_ALMOST_EMPTY : integer := 0; C_HAS_ALMOST_FULL : integer := 0; C_HAS_DATA_COUNT : integer := 0; C_HAS_OVERFLOW : integer := 0; C_HAS_RST : integer := 0; C_HAS_SRST : integer := 0; C_HAS_UNDERFLOW : integer := 0; C_HAS_VALID : integer := 0; C_HAS_WR_ACK : integer := 0; C_MEMORY_TYPE : integer := 1; C_OVERFLOW_LOW : integer := 0; C_PRELOAD_LATENCY : integer := 1; C_PRELOAD_REGS : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL : integer := 0; C_PROG_EMPTY_THRESH_NEGATE_VAL : integer := 0; C_PROG_EMPTY_TYPE : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL : integer := 0; C_PROG_FULL_THRESH_NEGATE_VAL : integer := 0; C_PROG_FULL_TYPE : integer := 0; C_RD_DEPTH : integer := 256; C_RD_PNTR_WIDTH : integer := 8; C_UNDERFLOW_LOW : integer := 0; C_USE_DOUT_RST : integer := 0; C_USE_ECC : integer := 0; C_USE_EMBEDDED_REG : integer := 0; C_VALID_LOW : integer := 0; C_WR_ACK_LOW : integer := 0; C_WR_DEPTH : integer := 256; C_WR_PNTR_WIDTH : integer := 8; C_TCQ : time := 100 ps; C_ENABLE_RST_SYNC : integer := 1; C_ERROR_INJECTION_TYPE : integer := 0; C_FIFO_TYPE : integer := 0 ); PORT( -------------------------------------------------------------------------------- -- Input and Output Declarations -------------------------------------------------------------------------------- CLK : IN std_logic := '0'; RST : IN std_logic := '0'; SRST : IN std_logic := '0'; RST_FULL_GEN : IN std_logic := '0'; RST_FULL_FF : IN std_logic := '0'; DIN : IN std_logic_vector(C_DIN_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); RD_EN : IN std_logic := '0'; WR_EN : IN std_logic := '0'; PROG_EMPTY_THRESH : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); PROG_EMPTY_THRESH_ASSERT : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); PROG_EMPTY_THRESH_NEGATE : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); PROG_FULL_THRESH : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); PROG_FULL_THRESH_ASSERT : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); PROG_FULL_THRESH_NEGATE : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); INJECTDBITERR : IN std_logic := '0'; INJECTSBITERR : IN std_logic := '0'; DOUT : OUT std_logic_vector(C_DOUT_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); EMPTY : OUT std_logic := '1'; FULL : OUT std_logic := '0'; ALMOST_EMPTY : OUT std_logic := '1'; ALMOST_FULL : OUT std_logic := '0'; PROG_EMPTY : OUT std_logic := '1'; PROG_FULL : OUT std_logic := '0'; OVERFLOW : OUT std_logic := '0'; WR_ACK : OUT std_logic := '0'; VALID : OUT std_logic := '0'; UNDERFLOW : OUT std_logic := '0'; DATA_COUNT : OUT std_logic_vector(C_DATA_COUNT_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SBITERR : OUT std_logic := '0'; DBITERR : OUT std_logic := '0' ); END fifo_generator_v11_0_bhv_ss; ------------------------------------------------------------------------------- -- Architecture Heading ------------------------------------------------------------------------------- ARCHITECTURE behavioral OF fifo_generator_v11_0_bhv_ss IS ----------------------------------------------------------------------------- -- FUNCTION actual_fifo_depth -- Returns the actual depth of the FIFO (may differ from what the user -- specified) -- -- The FIFO depth is always represented as 2^n (16,32,64,128,256) -- However, the ACTUAL fifo depth may be 2^n+1 or 2^n-1 depending on certain -- options. This function returns the actual depth of the fifo, as seen by -- the user. ------------------------------------------------------------------------------- FUNCTION actual_fifo_depth( C_FIFO_DEPTH : integer; C_PRELOAD_REGS : integer; C_PRELOAD_LATENCY : integer; C_COMMON_CLOCK : integer) RETURN integer IS BEGIN RETURN C_FIFO_DEPTH; END actual_fifo_depth; ----------------------------------------------------------------------------- -- FUNCTION int_2_std_logic -- Returns a single bit (as std_logic) from an integer 1/0 value. ------------------------------------------------------------------------------- FUNCTION int_2_std_logic(value : integer) RETURN std_logic IS BEGIN IF (value=1) THEN RETURN '1'; ELSE RETURN '0'; END IF; END int_2_std_logic; ----------------------------------------------------------------------------- -- FUNCTION hexstr_to_std_logic_vec -- Returns a std_logic_vector for a hexadecimal string ------------------------------------------------------------------------------- FUNCTION hexstr_to_std_logic_vec( arg1 : string; size : integer ) RETURN std_logic_vector IS VARIABLE result : std_logic_vector(size-1 DOWNTO 0) := (OTHERS => '0'); VARIABLE bin : std_logic_vector(3 DOWNTO 0); VARIABLE index : integer := 0; BEGIN FOR i IN arg1'reverse_range LOOP CASE arg1(i) IS WHEN '0' => bin := (OTHERS => '0'); WHEN '1' => bin := (0 => '1', OTHERS => '0'); WHEN '2' => bin := (1 => '1', OTHERS => '0'); WHEN '3' => bin := (0 => '1', 1 => '1', OTHERS => '0'); WHEN '4' => bin := (2 => '1', OTHERS => '0'); WHEN '5' => bin := (0 => '1', 2 => '1', OTHERS => '0'); WHEN '6' => bin := (1 => '1', 2 => '1', OTHERS => '0'); WHEN '7' => bin := (3 => '0', OTHERS => '1'); WHEN '8' => bin := (3 => '1', OTHERS => '0'); WHEN '9' => bin := (0 => '1', 3 => '1', OTHERS => '0'); WHEN 'A' => bin := (0 => '0', 2 => '0', OTHERS => '1'); WHEN 'a' => bin := (0 => '0', 2 => '0', OTHERS => '1'); WHEN 'B' => bin := (2 => '0', OTHERS => '1'); WHEN 'b' => bin := (2 => '0', OTHERS => '1'); WHEN 'C' => bin := (0 => '0', 1 => '0', OTHERS => '1'); WHEN 'c' => bin := (0 => '0', 1 => '0', OTHERS => '1'); WHEN 'D' => bin := (1 => '0', OTHERS => '1'); WHEN 'd' => bin := (1 => '0', OTHERS => '1'); WHEN 'E' => bin := (0 => '0', OTHERS => '1'); WHEN 'e' => bin := (0 => '0', OTHERS => '1'); WHEN 'F' => bin := (OTHERS => '1'); WHEN 'f' => bin := (OTHERS => '1'); WHEN OTHERS => FOR j IN 0 TO 3 LOOP bin(j) := 'X'; END LOOP; END CASE; FOR j IN 0 TO 3 LOOP IF (index*4)+j < size THEN result((index*4)+j) := bin(j); END IF; END LOOP; index := index + 1; END LOOP; RETURN result; END hexstr_to_std_logic_vec; ----------------------------------------------------------------------------- -- FUNCTION get_lesser -- Returns a minimum value ------------------------------------------------------------------------------- FUNCTION get_lesser(a: INTEGER; b: INTEGER) RETURN INTEGER IS BEGIN IF (a < b) THEN RETURN a; ELSE RETURN b; END IF; END FUNCTION; ----------------------------------------------------------------------------- -- FUNCTION if_then_else -- Returns a true case or flase case based on the condition ------------------------------------------------------------------------------- FUNCTION if_then_else ( condition : boolean; true_case : integer; false_case : integer) RETURN integer IS VARIABLE retval : integer := 0; BEGIN IF NOT condition THEN retval:=false_case; ELSE retval:=true_case; END IF; RETURN retval; END if_then_else; FUNCTION if_then_else ( condition : boolean; true_case : std_logic; false_case : std_logic) RETURN std_logic IS VARIABLE retval : std_logic := '0'; BEGIN IF NOT condition THEN retval:=false_case; ELSE retval:=true_case; END IF; RETURN retval; END if_then_else; -------------------------------------------------------------------------------- -- Constant Declaration -------------------------------------------------------------------------------- CONSTANT C_FIFO_WR_DEPTH : integer := actual_fifo_depth(C_WR_DEPTH, C_PRELOAD_REGS, C_PRELOAD_LATENCY, 1); CONSTANT C_SMALLER_DATA_WIDTH : integer := get_lesser(C_DIN_WIDTH, C_DOUT_WIDTH); CONSTANT C_FIFO_DEPTH : integer := C_WR_DEPTH; CONSTANT C_DATA_WIDTH : integer := if_then_else((C_USE_ECC = 1 AND C_ERROR_INJECTION_TYPE /= 0), C_DIN_WIDTH+2, C_DIN_WIDTH); CONSTANT OF_INIT_VAL : std_logic := if_then_else((C_HAS_OVERFLOW = 1 AND C_OVERFLOW_LOW = 1),'1','0'); CONSTANT UF_INIT_VAL : std_logic := if_then_else((C_HAS_UNDERFLOW = 1 AND C_UNDERFLOW_LOW = 1),'1','0'); TYPE mem_array IS ARRAY (0 TO C_FIFO_DEPTH-1) OF STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); ------------------------------------------------------------------------------- -- Internal Signals ------------------------------------------------------------------------------- SIGNAL memory : mem_array := (OTHERS => (OTHERS => '0')); SIGNAL wr_pntr : std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL rd_pntr : std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL write_allow : std_logic := '0'; SIGNAL read_allow : std_logic := '0'; SIGNAL empty_i : std_logic := '1'; SIGNAL full_i : std_logic := '0'; SIGNAL almost_empty_i : std_logic := '1'; SIGNAL almost_full_i : std_logic := '0'; SIGNAL rst_asreg : std_logic := '0'; SIGNAL rst_asreg_d1 : std_logic := '0'; SIGNAL rst_asreg_d2 : std_logic := '0'; SIGNAL rst_comb : std_logic := '0'; SIGNAL rst_reg : std_logic := '0'; SIGNAL rst_i : std_logic := '0'; SIGNAL srst_i : std_logic := '0'; SIGNAL diff_count : std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL wr_ack_i : std_logic := '0'; SIGNAL overflow_i : std_logic := OF_INIT_VAL; SIGNAL valid_i : std_logic := '0'; SIGNAL valid_d1 : std_logic := '0'; SIGNAL underflow_i : std_logic := UF_INIT_VAL; --The delayed reset is used to deassert prog_full SIGNAL rst_q : std_logic := '0'; SIGNAL prog_full_reg : std_logic := '0'; SIGNAL prog_full_noreg : std_logic := '0'; SIGNAL prog_empty_reg : std_logic := '1'; SIGNAL prog_empty_noreg: std_logic := '1'; SIGNAL dout_i : std_logic_vector(C_DOUT_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL sbiterr_i : std_logic := '0'; SIGNAL dbiterr_i : std_logic := '0'; SIGNAL ram_rd_en_d1 : std_logic := '0'; SIGNAL mem_pntr : integer := 0; SIGNAL ram_wr_en_i : std_logic := '0'; SIGNAL ram_rd_en_i : std_logic := '0'; SIGNAL comp1 : std_logic := '0'; SIGNAL comp0 : std_logic := '0'; SIGNAL going_full : std_logic := '0'; SIGNAL leaving_full : std_logic := '0'; SIGNAL ram_full_comb : std_logic := '0'; SIGNAL ecomp1 : std_logic := '0'; SIGNAL ecomp0 : std_logic := '0'; SIGNAL going_empty : std_logic := '0'; SIGNAL leaving_empty : std_logic := '0'; SIGNAL ram_empty_comb : std_logic := '0'; ------------------------------------------------------------------------------- -- architecture begins here ------------------------------------------------------------------------------- BEGIN rst_i <= RST; --SRST gsrst : IF (C_HAS_SRST=1) GENERATE srst_i <= SRST; END GENERATE gsrst; --No SRST nosrst : IF (C_HAS_SRST=0) GENERATE srst_i <= '0'; END GENERATE nosrst; gdc : IF (C_HAS_DATA_COUNT = 1) GENERATE SIGNAL diff_count : std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); BEGIN diff_count <= wr_pntr - rd_pntr; gdcb : IF (C_DATA_COUNT_WIDTH > C_RD_PNTR_WIDTH) GENERATE DATA_COUNT(C_RD_PNTR_WIDTH-1 DOWNTO 0) <= diff_count; DATA_COUNT(C_DATA_COUNT_WIDTH-1) <= '0' ; END GENERATE; gdcs : IF (C_DATA_COUNT_WIDTH <= C_RD_PNTR_WIDTH) GENERATE DATA_COUNT <= diff_count(C_RD_PNTR_WIDTH-1 DOWNTO C_RD_PNTR_WIDTH-C_DATA_COUNT_WIDTH); END GENERATE; END GENERATE gdc; gndc : IF (C_HAS_DATA_COUNT = 0) GENERATE DATA_COUNT <= (OTHERS => '0'); END GENERATE gndc; ------------------------------------------------------------------------------- --Calculate WR_ACK based on C_WR_ACK_LOW parameters ------------------------------------------------------------------------------- gwalow : IF (C_WR_ACK_LOW = 0) GENERATE WR_ACK <= wr_ack_i; END GENERATE gwalow; gwahgh : IF (C_WR_ACK_LOW = 1) GENERATE WR_ACK <= NOT wr_ack_i; END GENERATE gwahgh; ------------------------------------------------------------------------------- --Calculate OVERFLOW based on C_OVERFLOW_LOW parameters ------------------------------------------------------------------------------- govlow : IF (C_OVERFLOW_LOW = 0) GENERATE OVERFLOW <= overflow_i; END GENERATE govlow; govhgh : IF (C_OVERFLOW_LOW = 1) GENERATE OVERFLOW <= NOT overflow_i; END GENERATE govhgh; ------------------------------------------------------------------------------- --Calculate VALID based on C_PRELOAD_LATENCY and C_VALID_LOW settings ------------------------------------------------------------------------------- gvlat1 : IF (C_PRELOAD_LATENCY = 1 OR C_PRELOAD_LATENCY=2) GENERATE gnvl : IF (C_VALID_LOW = 0) GENERATE VALID <= valid_d1; END GENERATE gnvl; gnvh : IF (C_VALID_LOW = 1) GENERATE VALID <= NOT valid_d1; END GENERATE gnvh; END GENERATE gvlat1; ------------------------------------------------------------------------------- -- Calculate UNDERFLOW based on C_PRELOAD_LATENCY and C_UNDERFLOW_LOW settings ------------------------------------------------------------------------------- guflat1 : IF (C_PRELOAD_LATENCY = 1 OR C_PRELOAD_LATENCY=2) GENERATE gnul : IF (C_UNDERFLOW_LOW = 0) GENERATE UNDERFLOW <= underflow_i; END GENERATE gnul; gnuh : IF (C_UNDERFLOW_LOW = 1) GENERATE UNDERFLOW <= NOT underflow_i; END GENERATE gnuh; END GENERATE guflat1; FULL <= full_i; ALMOST_FULL <= almost_full_i; EMPTY <= empty_i; ALMOST_EMPTY <= almost_empty_i; write_allow <= WR_EN AND (NOT full_i); read_allow <= RD_EN AND (NOT empty_i); wrptr_proc : PROCESS (CLK, RST_FULL_FF) BEGIN IF (RST_FULL_FF = '1') THEN wr_pntr <= (OTHERS => '0'); ELSIF (CLK'event AND CLK = '1') THEN IF (srst_i = '1') THEN wr_pntr <= (OTHERS => '0') AFTER C_TCQ; ELSIF (write_allow = '1') THEN wr_pntr <= wr_pntr + "1" AFTER C_TCQ; END IF; END IF; END PROCESS wrptr_proc; gecc_mem: IF (C_USE_ECC = 1 AND C_ERROR_INJECTION_TYPE /= 0) GENERATE wr_mem : PROCESS (CLK) BEGIN IF (CLK'event AND CLK = '1') THEN IF (write_allow = '1') THEN memory(conv_integer(wr_pntr)) <= INJECTDBITERR & INJECTSBITERR & DIN AFTER C_TCQ; END IF; END IF; END PROCESS wr_mem; END GENERATE gecc_mem; gnecc_mem: IF NOT (C_USE_ECC = 1 AND C_ERROR_INJECTION_TYPE /= 0) GENERATE wr_mem : PROCESS (CLK) BEGIN IF (CLK'event AND CLK = '1') THEN IF (write_allow = '1') THEN memory(conv_integer(wr_pntr)) <= DIN AFTER C_TCQ; END IF; END IF; END PROCESS wr_mem; END GENERATE gnecc_mem; rdptr_proc : PROCESS (CLK, RST_FULL_FF) BEGIN IF (RST_FULL_FF = '1') THEN rd_pntr <= (OTHERS => '0'); ELSIF (CLK'event AND CLK = '1') THEN IF (srst_i = '1') THEN rd_pntr <= (OTHERS => '0') AFTER C_TCQ; ELSIF (read_allow = '1') THEN rd_pntr <= rd_pntr + "1" AFTER C_TCQ; END IF; END IF; END PROCESS rdptr_proc; ------------------------------------------------------------------------------- -- Generate DOUT for common clock low latency FIFO ------------------------------------------------------------------------------- gll_dout: IF(C_FIFO_TYPE = 2) GENERATE SIGNAL dout_q : STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); BEGIN dout_i <= memory(conv_integer(rd_pntr)) when (read_allow = '1') else dout_q; dout_reg : PROCESS (CLK) BEGIN IF (CLK'event AND CLK = '1') THEN dout_q <= dout_i AFTER C_TCQ; END IF; END PROCESS dout_reg; END GENERATE gll_dout; gnll_dout: IF (C_FIFO_TYPE < 2) GENERATE ------------------------------------------------------------------------------- -- Generate DOUT for BRAM ------------------------------------------------------------------------------- gbm_dout: IF (C_MEMORY_TYPE < 2) GENERATE BEGIN rd_mem : PROCESS (CLK) VARIABLE dout_tmp : STD_LOGIC_VECTOR(C_DATA_WIDTH - 1 DOWNTO 0) := (OTHERS => '0'); BEGIN IF (CLK'event AND CLK = '1') THEN IF (RST_FULL_FF = '1' OR srst_i = '1') THEN IF (C_USE_DOUT_RST = 1) THEN dout_i <= hexstr_to_std_logic_vec(C_DOUT_RST_VAL, C_DOUT_WIDTH) AFTER C_TCQ; END IF; ELSIF (read_allow = '1') THEN dout_tmp := memory(conv_integer(rd_pntr)); IF (C_USE_ECC = 1 AND C_ERROR_INJECTION_TYPE /= 0) THEN IF (dout_tmp(dout_tmp'high) = '1') THEN IF (C_DOUT_WIDTH > 2) THEN dout_i <= dout_tmp(C_DOUT_WIDTH-1 DOWNTO 2) & NOT dout_tmp(1 DOWNTO 0) AFTER C_TCQ; ELSIF (C_DOUT_WIDTH = 2) THEN dout_i <= NOT dout_tmp(1 DOWNTO 0) AFTER C_TCQ; ELSE dout_i(0) <= dout_tmp(0) AFTER C_TCQ; END IF; dbiterr_i <= dout_tmp(dout_tmp'high) AFTER C_TCQ; sbiterr_i <= '0' AFTER C_TCQ; ELSE dout_i <= dout_tmp(C_DOUT_WIDTH-1 DOWNTO 0) AFTER C_TCQ; sbiterr_i <= dout_tmp(dout_tmp'high-1) AFTER C_TCQ; dbiterr_i <= '0' AFTER C_TCQ; END IF; ELSE dout_i <= dout_tmp AFTER C_TCQ; END IF; END IF; END IF; END PROCESS rd_mem; END GENERATE gbm_dout; ------------------------------------------------------------------------------- -- Generate DOUT for DRAM ------------------------------------------------------------------------------- gdm_dout: IF (C_MEMORY_TYPE = 2 OR C_MEMORY_TYPE = 3) GENERATE rd_mem : PROCESS (CLK, RST_FULL_FF) BEGIN IF (RST_FULL_FF = '1') THEN IF (C_USE_DOUT_RST = 1) THEN dout_i <= hexstr_to_std_logic_vec(C_DOUT_RST_VAL, C_DOUT_WIDTH); END IF; ELSIF (CLK'event AND CLK = '1') THEN IF (srst_i = '1') THEN IF (C_USE_DOUT_RST = 1) THEN dout_i <= hexstr_to_std_logic_vec(C_DOUT_RST_VAL, C_DOUT_WIDTH) AFTER C_TCQ; END IF; ELSIF (read_allow = '1') THEN dout_i <= memory(conv_integer(rd_pntr)) AFTER C_TCQ; END IF; END IF; END PROCESS rd_mem; END GENERATE gdm_dout; END GENERATE gnll_dout; ------------------------------------------------------------------------------- -- Generate FULL flag ------------------------------------------------------------------------------- comp1 <= '1' WHEN (rd_pntr = (wr_pntr + "1")) ELSE '0'; comp0 <= '1' WHEN (rd_pntr = wr_pntr) ELSE '0'; going_full <= (comp1 AND write_allow AND NOT read_allow); leaving_full <= (comp0 AND read_allow) OR RST_FULL_GEN; ram_full_comb <= going_full OR (NOT leaving_full AND full_i); full_proc : PROCESS (CLK, RST_FULL_FF) BEGIN IF (RST_FULL_FF = '1') THEN full_i <= int_2_std_logic(C_FULL_FLAGS_RST_VAL); ELSIF (CLK'event AND CLK = '1') THEN IF (srst_i = '1') THEN full_i <= int_2_std_logic(C_FULL_FLAGS_RST_VAL) AFTER C_TCQ; ELSE full_i <= ram_full_comb AFTER C_TCQ; END IF; END IF; END PROCESS full_proc; ------------------------------------------------------------------------------- -- Generate ALMOST_FULL flag ------------------------------------------------------------------------------- gaf_ss: IF (C_HAS_ALMOST_FULL = 1 OR C_PROG_FULL_TYPE > 2 OR C_PROG_EMPTY_TYPE > 2) GENERATE SIGNAL fcomp2 : std_logic := '0'; SIGNAL going_afull : std_logic := '0'; SIGNAL leaving_afull : std_logic := '0'; SIGNAL ram_afull_comb : std_logic := '0'; BEGIN fcomp2 <= '1' WHEN (rd_pntr = (wr_pntr + "10")) ELSE '0'; going_afull <= (fcomp2 AND write_allow AND NOT read_allow); leaving_afull <= (comp1 AND read_allow AND NOT write_allow) OR RST_FULL_GEN; ram_afull_comb <= going_afull OR (NOT leaving_afull AND almost_full_i); af_proc : PROCESS (CLK, RST_FULL_FF) BEGIN IF (RST_FULL_FF = '1') THEN almost_full_i <= int_2_std_logic(C_FULL_FLAGS_RST_VAL); ELSIF (CLK'event AND CLK = '1') THEN IF (srst_i = '1') THEN almost_full_i <= int_2_std_logic(C_FULL_FLAGS_RST_VAL) AFTER C_TCQ; ELSE almost_full_i <= ram_afull_comb AFTER C_TCQ; END IF; END IF; END PROCESS af_proc; END GENERATE gaf_ss; ------------------------------------------------------------------------------- -- Generate EMPTY flag ------------------------------------------------------------------------------- ecomp1 <= '1' WHEN (wr_pntr = (rd_pntr + "1")) ELSE '0'; ecomp0 <= '1' WHEN (wr_pntr = rd_pntr) ELSE '0'; going_empty <= (ecomp1 AND NOT write_allow AND read_allow); leaving_empty <= (ecomp0 AND write_allow); ram_empty_comb <= going_empty OR (NOT leaving_empty AND empty_i); empty_proc : PROCESS (CLK, RST_FULL_FF) BEGIN IF (RST_FULL_FF = '1') THEN empty_i <= '1'; ELSIF (CLK'event AND CLK = '1') THEN IF (srst_i = '1') THEN empty_i <= '1' AFTER C_TCQ; ELSE empty_i <= ram_empty_comb AFTER C_TCQ; END IF; END IF; END PROCESS empty_proc; ------------------------------------------------------------------------------- -- Generate ALMOST_EMPTY flag ------------------------------------------------------------------------------- gae_ss: IF (C_HAS_ALMOST_EMPTY = 1) GENERATE SIGNAL ecomp2 : std_logic := '0'; SIGNAL going_aempty : std_logic := '0'; SIGNAL leaving_aempty : std_logic := '0'; SIGNAL ram_aempty_comb : std_logic := '1'; BEGIN ecomp2 <= '1' WHEN (wr_pntr = (rd_pntr + "10")) ELSE '0'; going_aempty <= (ecomp2 AND NOT write_allow AND read_allow); leaving_aempty <= (ecomp1 AND write_allow AND NOT read_allow); ram_aempty_comb <= going_aempty OR (NOT leaving_aempty AND almost_empty_i); ae_proc : PROCESS (CLK, RST_FULL_FF) BEGIN IF (RST_FULL_FF = '1') THEN almost_empty_i <= '1'; ELSIF (CLK'event AND CLK = '1') THEN IF (srst_i = '1') THEN almost_empty_i <= '1' AFTER C_TCQ; ELSE almost_empty_i <= ram_aempty_comb AFTER C_TCQ; END IF; END IF; END PROCESS ae_proc; END GENERATE gae_ss; ------------------------------------------------------------------------------- -- Generate PROG_FULL and PROG_EMPTY flags ------------------------------------------------------------------------------- gpf_pe: IF (C_PROG_FULL_TYPE /= 0 OR C_PROG_EMPTY_TYPE /= 0) GENERATE SIGNAL diff_pntr : std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL diff_pntr_pe : std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL write_allow_q : std_logic := '0'; SIGNAL read_allow_q : std_logic := '0'; SIGNAL write_only : std_logic := '0'; SIGNAL write_only_q : std_logic := '0'; SIGNAL read_only : std_logic := '0'; SIGNAL read_only_q : std_logic := '0'; SIGNAL prog_full_i : std_logic := int_2_std_logic(C_FULL_FLAGS_RST_VAL); SIGNAL prog_empty_i : std_logic := '1'; CONSTANT C_PF_ASSERT_VAL : integer := if_then_else(C_PRELOAD_LATENCY = 0, C_PROG_FULL_THRESH_ASSERT_VAL - 2, -- FWFT C_PROG_FULL_THRESH_ASSERT_VAL); -- STD CONSTANT C_PF_NEGATE_VAL : integer := if_then_else(C_PRELOAD_LATENCY = 0, C_PROG_FULL_THRESH_NEGATE_VAL - 2, -- FWFT C_PROG_FULL_THRESH_NEGATE_VAL); -- STD CONSTANT C_PE_ASSERT_VAL : integer := if_then_else(C_PRELOAD_LATENCY = 0, C_PROG_EMPTY_THRESH_ASSERT_VAL - 2, C_PROG_EMPTY_THRESH_ASSERT_VAL); CONSTANT C_PE_NEGATE_VAL : integer := if_then_else(C_PRELOAD_LATENCY = 0, C_PROG_EMPTY_THRESH_NEGATE_VAL - 2, C_PROG_EMPTY_THRESH_NEGATE_VAL); BEGIN write_only <= write_allow AND NOT read_allow; write_only_q <= write_allow_q AND NOT read_allow_q; read_only <= read_allow AND NOT write_allow; read_only_q <= read_allow_q AND NOT write_allow_q; wr_rd_q_proc : PROCESS (CLK, RST_FULL_FF) BEGIN IF (RST_FULL_FF = '1') THEN write_allow_q <= '0'; read_allow_q <= '0'; diff_pntr <= (OTHERS => '0'); diff_pntr_pe <= (OTHERS => '0'); ELSIF (CLK'event AND CLK = '1') THEN IF (srst_i = '1') THEN write_allow_q <= '0' AFTER C_TCQ; read_allow_q <= '0' AFTER C_TCQ; diff_pntr <= (OTHERS => '0') AFTER C_TCQ; diff_pntr_pe <= (OTHERS => '0') AFTER C_TCQ; ELSE write_allow_q <= write_allow AFTER C_TCQ; read_allow_q <= read_allow AFTER C_TCQ; -- Add 1 to the difference pointer value when only write happens. IF (write_only = '1') THEN diff_pntr <= wr_pntr - rd_pntr + "1" AFTER C_TCQ; ELSE diff_pntr <= wr_pntr - rd_pntr AFTER C_TCQ; END IF; -- Add 1 to the difference pointer value when write or both write & read or no write & read happen. IF (read_only = '1') THEN diff_pntr_pe <= wr_pntr - rd_pntr - "1" AFTER C_TCQ; ELSE diff_pntr_pe <= wr_pntr - rd_pntr AFTER C_TCQ; END IF; END IF; END IF; END PROCESS wr_rd_q_proc; ------------------------------------------------------------------------------- -- Generate PROG_FULL flag ------------------------------------------------------------------------------- gpf: IF (C_PROG_FULL_TYPE /= 0) GENERATE ------------------------------------------------------------------------------- -- Generate PROG_FULL for single programmable threshold constant ------------------------------------------------------------------------------- gpf1: IF (C_PROG_FULL_TYPE = 1) GENERATE pf1_proc : PROCESS (CLK, RST_FULL_FF) BEGIN IF (RST_FULL_FF = '1') THEN prog_full_i <= int_2_std_logic(C_FULL_FLAGS_RST_VAL); ELSIF (CLK'event AND CLK = '1') THEN IF (srst_i = '1') THEN prog_full_i <= int_2_std_logic(C_FULL_FLAGS_RST_VAL) AFTER C_TCQ; ELSIF (RST_FULL_GEN = '1') THEN prog_full_i <= '0' AFTER C_TCQ; ELSIF ((conv_integer(diff_pntr) = C_PF_ASSERT_VAL) AND write_only_q = '1') THEN prog_full_i <= '1' AFTER C_TCQ; ELSIF ((conv_integer(diff_pntr) = C_PF_ASSERT_VAL) AND read_only_q = '1') THEN prog_full_i <= '0' AFTER C_TCQ; ELSE prog_full_i <= prog_full_i AFTER C_TCQ; END IF; END IF; END PROCESS pf1_proc; END GENERATE gpf1; ------------------------------------------------------------------------------- -- Generate PROG_FULL for multiple programmable threshold constants ------------------------------------------------------------------------------- gpf2: IF (C_PROG_FULL_TYPE = 2) GENERATE pf2_proc : PROCESS (CLK, RST_FULL_FF) BEGIN IF (RST_FULL_FF = '1') THEN prog_full_i <= int_2_std_logic(C_FULL_FLAGS_RST_VAL); ELSIF (CLK'event AND CLK = '1') THEN IF (srst_i = '1') THEN prog_full_i <= int_2_std_logic(C_FULL_FLAGS_RST_VAL) AFTER C_TCQ; ELSIF (RST_FULL_GEN = '1') THEN prog_full_i <= '0' AFTER C_TCQ; ELSIF ((conv_integer(diff_pntr) = C_PF_ASSERT_VAL) AND write_only_q = '1') THEN prog_full_i <= '1' AFTER C_TCQ; ELSIF ((conv_integer(diff_pntr) = C_PF_NEGATE_VAL) AND read_only_q = '1') THEN prog_full_i <= '0' AFTER C_TCQ; ELSE prog_full_i <= prog_full_i AFTER C_TCQ; END IF; END IF; END PROCESS pf2_proc; END GENERATE gpf2; ------------------------------------------------------------------------------- -- Generate PROG_FULL for single programmable threshold input port ------------------------------------------------------------------------------- gpf3: IF (C_PROG_FULL_TYPE = 3) GENERATE SIGNAL pf_assert_val : std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); BEGIN pf_assert_val <= PROG_FULL_THRESH - "10" WHEN (C_PRELOAD_LATENCY = 0) ELSE PROG_FULL_THRESH; pf3_proc : PROCESS (CLK, RST_FULL_FF) BEGIN IF (RST_FULL_FF = '1') THEN prog_full_i <= int_2_std_logic(C_FULL_FLAGS_RST_VAL); ELSIF (CLK'event AND CLK = '1') THEN IF (srst_i = '1') THEN prog_full_i <= int_2_std_logic(C_FULL_FLAGS_RST_VAL) AFTER C_TCQ; ELSIF (RST_FULL_GEN = '1') THEN prog_full_i <= '0' AFTER C_TCQ; ELSIF (almost_full_i = '0') THEN IF (conv_integer(diff_pntr) > pf_assert_val) THEN prog_full_i <= '1' AFTER C_TCQ; ELSIF (conv_integer(diff_pntr) = pf_assert_val) THEN IF (read_only_q = '1') THEN prog_full_i <= '0' AFTER C_TCQ; ELSE prog_full_i <= '1' AFTER C_TCQ; END IF; ELSE prog_full_i <= '0' AFTER C_TCQ; END IF; ELSE prog_full_i <= prog_full_i AFTER C_TCQ; END IF; END IF; END PROCESS pf3_proc; END GENERATE gpf3; ------------------------------------------------------------------------------- -- Generate PROG_FULL for multiple programmable threshold input ports ------------------------------------------------------------------------------- gpf4: IF (C_PROG_FULL_TYPE = 4) GENERATE SIGNAL pf_assert_val : std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL pf_negate_val : std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); BEGIN pf_assert_val <= PROG_FULL_THRESH_ASSERT - "10" WHEN (C_PRELOAD_LATENCY = 0) ELSE PROG_FULL_THRESH_ASSERT; pf_negate_val <= PROG_FULL_THRESH_NEGATE - "10" WHEN (C_PRELOAD_LATENCY = 0) ELSE PROG_FULL_THRESH_NEGATE; pf4_proc : PROCESS (CLK, RST_FULL_FF) BEGIN IF (RST_FULL_FF = '1') THEN prog_full_i <= int_2_std_logic(C_FULL_FLAGS_RST_VAL); ELSIF (CLK'event AND CLK = '1') THEN IF (srst_i = '1') THEN prog_full_i <= int_2_std_logic(C_FULL_FLAGS_RST_VAL) AFTER C_TCQ; ELSIF (RST_FULL_GEN = '1') THEN prog_full_i <= '0' AFTER C_TCQ; ELSIF (almost_full_i = '0') THEN IF (conv_integer(diff_pntr) >= pf_assert_val) THEN prog_full_i <= '1' AFTER C_TCQ; ELSIF (((conv_integer(diff_pntr) = pf_negate_val) AND read_only_q = '1') OR (conv_integer(diff_pntr) < pf_negate_val)) THEN prog_full_i <= '0' AFTER C_TCQ; ELSE prog_full_i <= prog_full_i AFTER C_TCQ; END IF; ELSE prog_full_i <= prog_full_i AFTER C_TCQ; END IF; END IF; END PROCESS pf4_proc; END GENERATE gpf4; PROG_FULL <= prog_full_i; END GENERATE gpf; ------------------------------------------------------------------------------- -- Generate PROG_EMPTY flag ------------------------------------------------------------------------------- gpe: IF (C_PROG_EMPTY_TYPE /= 0) GENERATE ------------------------------------------------------------------------------- -- Generate PROG_EMPTY for single programmable threshold constant ------------------------------------------------------------------------------- gpe1: IF (C_PROG_EMPTY_TYPE = 1) GENERATE pe1_proc : PROCESS (CLK, rst_i) BEGIN IF (rst_i = '1') THEN prog_empty_i <= '1'; ELSIF (CLK'event AND CLK = '1') THEN IF (srst_i = '1') THEN prog_empty_i <= '1' AFTER C_TCQ; ELSIF ((conv_integer(diff_pntr_pe) = C_PE_ASSERT_VAL) AND read_only_q = '1') THEN prog_empty_i <= '1' AFTER C_TCQ; ELSIF ((conv_integer(diff_pntr_pe) = C_PE_ASSERT_VAL) AND write_only_q = '1') THEN prog_empty_i <= '0' AFTER C_TCQ; ELSE prog_empty_i <= prog_empty_i AFTER C_TCQ; END IF; END IF; END PROCESS pe1_proc; END GENERATE gpe1; ------------------------------------------------------------------------------- -- Generate PROG_EMPTY for multiple programmable threshold constants ------------------------------------------------------------------------------- gpe2: IF (C_PROG_EMPTY_TYPE = 2) GENERATE pe2_proc : PROCESS (CLK, rst_i) BEGIN IF (rst_i = '1') THEN prog_empty_i <= '1'; ELSIF (CLK'event AND CLK = '1') THEN IF (srst_i = '1') THEN prog_empty_i <= '1' AFTER C_TCQ; ELSIF ((conv_integer(diff_pntr_pe) = C_PE_ASSERT_VAL) AND read_only_q = '1') THEN prog_empty_i <= '1' AFTER C_TCQ; ELSIF ((conv_integer(diff_pntr_pe) = C_PE_NEGATE_VAL) AND write_only_q = '1') THEN prog_empty_i <= '0' AFTER C_TCQ; ELSE prog_empty_i <= prog_empty_i AFTER C_TCQ; END IF; END IF; END PROCESS pe2_proc; END GENERATE gpe2; ------------------------------------------------------------------------------- -- Generate PROG_EMPTY for single programmable threshold input port ------------------------------------------------------------------------------- gpe3: IF (C_PROG_EMPTY_TYPE = 3) GENERATE SIGNAL pe_assert_val : std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); BEGIN pe_assert_val <= PROG_EMPTY_THRESH - "10" WHEN (C_PRELOAD_LATENCY = 0) ELSE PROG_EMPTY_THRESH; pe3_proc : PROCESS (CLK, rst_i) BEGIN IF (rst_i = '1') THEN prog_empty_i <= '1'; ELSIF (CLK'event AND CLK = '1') THEN IF (srst_i = '1') THEN prog_empty_i <= '1' AFTER C_TCQ; ELSIF (almost_full_i = '0') THEN IF (conv_integer(diff_pntr_pe) < pe_assert_val) THEN prog_empty_i <= '1' AFTER C_TCQ; ELSIF (conv_integer(diff_pntr_pe) = pe_assert_val) THEN IF (write_only_q = '1') THEN prog_empty_i <= '0' AFTER C_TCQ; ELSE prog_empty_i <= '1' AFTER C_TCQ; END IF; ELSE prog_empty_i <= '0' AFTER C_TCQ; END IF; ELSE prog_empty_i <= prog_empty_i AFTER C_TCQ; END IF; END IF; END PROCESS pe3_proc; END GENERATE gpe3; ------------------------------------------------------------------------------- -- Generate PROG_EMPTY for multiple programmable threshold input ports ------------------------------------------------------------------------------- gpe4: IF (C_PROG_EMPTY_TYPE = 4) GENERATE SIGNAL pe_assert_val : std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL pe_negate_val : std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); BEGIN pe_assert_val <= PROG_EMPTY_THRESH_ASSERT - "10" WHEN (C_PRELOAD_LATENCY = 0) ELSE PROG_EMPTY_THRESH_ASSERT; pe_negate_val <= PROG_EMPTY_THRESH_NEGATE - "10" WHEN (C_PRELOAD_LATENCY = 0) ELSE PROG_EMPTY_THRESH_NEGATE; pe4_proc : PROCESS (CLK, rst_i) BEGIN IF (rst_i = '1') THEN prog_empty_i <= '1'; ELSIF (CLK'event AND CLK = '1') THEN IF (srst_i = '1') THEN prog_empty_i <= '1' AFTER C_TCQ; ELSIF (almost_full_i = '0') THEN IF (conv_integer(diff_pntr_pe) <= pe_assert_val) THEN prog_empty_i <= '1' AFTER C_TCQ; ELSIF (((conv_integer(diff_pntr_pe) = pe_negate_val) AND write_only_q = '1') OR (conv_integer(diff_pntr_pe) > pe_negate_val)) THEN prog_empty_i <= '0' AFTER C_TCQ; ELSE prog_empty_i <= prog_empty_i AFTER C_TCQ; END IF; ELSE prog_empty_i <= prog_empty_i AFTER C_TCQ; END IF; END IF; END PROCESS pe4_proc; END GENERATE gpe4; PROG_EMPTY <= prog_empty_i; END GENERATE gpe; END GENERATE gpf_pe; ------------------------------------------------------------------------------- -- overflow_i generation: Synchronous FIFO ------------------------------------------------------------------------------- govflw: IF (C_HAS_OVERFLOW = 1) GENERATE povflw: PROCESS (CLK) BEGIN IF CLK'event AND CLK = '1' THEN overflow_i <= full_i AND WR_EN after C_TCQ; END IF; END PROCESS povflw; END GENERATE govflw; ------------------------------------------------------------------------------- -- underflow_i generation: Synchronous FIFO ------------------------------------------------------------------------------- gunflw: IF (C_HAS_UNDERFLOW = 1) GENERATE punflw: PROCESS (CLK) BEGIN IF CLK'event AND CLK = '1' THEN underflow_i <= empty_i and RD_EN after C_TCQ; END IF; END PROCESS punflw; END GENERATE gunflw; ------------------------------------------------------------------------------- -- wr_ack_i generation: Synchronous FIFO ------------------------------------------------------------------------------- gwack: IF (C_HAS_WR_ACK = 1) GENERATE pwack: PROCESS (CLK,rst_i) BEGIN IF rst_i = '1' THEN wr_ack_i <= '0' after C_TCQ; ELSIF CLK'event AND CLK = '1' THEN wr_ack_i <= '0' after C_TCQ; IF srst_i = '1' THEN wr_ack_i <= '0' after C_TCQ; ELSIF WR_EN = '1' THEN IF full_i /= '1' THEN wr_ack_i <= '1' after C_TCQ; END IF; END IF; END IF; END PROCESS pwack; END GENERATE gwack; ----------------------------------------------------------------------------- -- valid_i generation: Synchronous FIFO ----------------------------------------------------------------------------- gvld_i: IF (C_HAS_VALID = 1) GENERATE PROCESS (rst_i , CLK ) BEGIN IF rst_i = '1' THEN valid_i <= '0' after C_TCQ; ELSIF CLK'event AND CLK = '1' THEN IF srst_i = '1' THEN valid_i <= '0' after C_TCQ; ELSE --srst_i=0 -- Setup default value for underflow and valid valid_i <= '0' after C_TCQ; IF RD_EN = '1' THEN IF empty_i /= '1' THEN valid_i <= '1' after C_TCQ; END IF; END IF; END IF; END IF; END PROCESS; END GENERATE gvld_i; ----------------------------------------------------------------------------- --Delay Valid AND DOUT --if C_MEMORY_TYPE=0 or 1, C_USE_EMBEDDED_REG=1, STD ----------------------------------------------------------------------------- gnll_fifo1: IF (C_FIFO_TYPE < 2) GENERATE gv0: IF (C_USE_EMBEDDED_REG=1 AND (NOT (C_PRELOAD_REGS = 1 AND C_PRELOAD_LATENCY = 0)) AND (C_MEMORY_TYPE=0 OR C_MEMORY_TYPE=1)) GENERATE PROCESS (rst_i , CLK ) BEGIN IF (rst_i = '1') THEN IF (C_USE_DOUT_RST = 1) THEN IF (CLK'event AND CLK = '1') THEN DOUT <= hexstr_to_std_logic_vec(C_DOUT_RST_VAL, C_DOUT_WIDTH) after C_TCQ; END IF; END IF; IF (C_USE_ECC = 0) THEN SBITERR <= '0' after C_TCQ; DBITERR <= '0' after C_TCQ; END IF; ram_rd_en_d1 <= '0' after C_TCQ; valid_d1 <= '0' after C_TCQ; ELSIF (CLK 'event AND CLK = '1') THEN ram_rd_en_d1 <= RD_EN AND (NOT empty_i) after C_TCQ; valid_d1 <= valid_i after C_TCQ; IF (srst_i = '1') THEN IF (C_USE_DOUT_RST = 1) THEN DOUT <= hexstr_to_std_logic_vec(C_DOUT_RST_VAL, C_DOUT_WIDTH) after C_TCQ; END IF; ram_rd_en_d1 <= '0' after C_TCQ; valid_d1 <= '0' after C_TCQ; ELSIF (ram_rd_en_d1 = '1') THEN DOUT <= dout_i after C_TCQ; SBITERR <= sbiterr_i after C_TCQ; DBITERR <= dbiterr_i after C_TCQ; END IF; END IF; END PROCESS; END GENERATE gv0; END GENERATE gnll_fifo1; gv1: IF (C_FIFO_TYPE = 2 OR (NOT(C_USE_EMBEDDED_REG=1 AND (NOT (C_PRELOAD_REGS = 1 AND C_PRELOAD_LATENCY = 0)) AND (C_MEMORY_TYPE=0 OR C_MEMORY_TYPE=1)))) GENERATE valid_d1 <= valid_i; DOUT <= dout_i; SBITERR <= sbiterr_i; DBITERR <= dbiterr_i; END GENERATE gv1; END behavioral; --############################################################################# --############################################################################# -- Preload Latency 0 (First-Word Fall-Through) Module --############################################################################# --############################################################################# LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.std_logic_arith.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY fifo_generator_v11_0_bhv_preload0 IS GENERIC ( C_DOUT_RST_VAL : string := ""; C_DOUT_WIDTH : integer := 8; C_HAS_RST : integer := 0; C_HAS_SRST : integer := 0; C_USE_DOUT_RST : integer := 0; C_USE_ECC : integer := 0; C_USERVALID_LOW : integer := 0; C_USERUNDERFLOW_LOW : integer := 0; C_TCQ : time := 100 ps; C_ENABLE_RST_SYNC : integer := 1; C_ERROR_INJECTION_TYPE : integer := 0; C_MEMORY_TYPE : integer := 0; C_FIFO_TYPE : integer := 0 ); PORT ( RD_CLK : IN std_logic; RD_RST : IN std_logic; SRST : IN std_logic; RD_EN : IN std_logic; FIFOEMPTY : IN std_logic; FIFODATA : IN std_logic_vector(C_DOUT_WIDTH-1 DOWNTO 0); FIFOSBITERR : IN std_logic; FIFODBITERR : IN std_logic; USERDATA : OUT std_logic_vector(C_DOUT_WIDTH-1 DOWNTO 0); USERVALID : OUT std_logic; USERUNDERFLOW : OUT std_logic; USEREMPTY : OUT std_logic; USERALMOSTEMPTY : OUT std_logic; RAMVALID : OUT std_logic; FIFORDEN : OUT std_logic; USERSBITERR : OUT std_logic := '0'; USERDBITERR : OUT std_logic := '0'; STAGE2_REG_EN : OUT std_logic; VALID_STAGES : OUT std_logic_vector(1 DOWNTO 0) := (OTHERS => '0') ); END fifo_generator_v11_0_bhv_preload0; ARCHITECTURE behavioral OF fifo_generator_v11_0_bhv_preload0 IS ----------------------------------------------------------------------------- -- FUNCTION hexstr_to_std_logic_vec -- Returns a std_logic_vector for a hexadecimal string ------------------------------------------------------------------------------- FUNCTION hexstr_to_std_logic_vec( arg1 : string; size : integer ) RETURN std_logic_vector IS VARIABLE result : std_logic_vector(size-1 DOWNTO 0) := (OTHERS => '0'); VARIABLE bin : std_logic_vector(3 DOWNTO 0); VARIABLE index : integer := 0; BEGIN FOR i IN arg1'reverse_range LOOP CASE arg1(i) IS WHEN '0' => bin := (OTHERS => '0'); WHEN '1' => bin := (0 => '1', OTHERS => '0'); WHEN '2' => bin := (1 => '1', OTHERS => '0'); WHEN '3' => bin := (0 => '1', 1 => '1', OTHERS => '0'); WHEN '4' => bin := (2 => '1', OTHERS => '0'); WHEN '5' => bin := (0 => '1', 2 => '1', OTHERS => '0'); WHEN '6' => bin := (1 => '1', 2 => '1', OTHERS => '0'); WHEN '7' => bin := (3 => '0', OTHERS => '1'); WHEN '8' => bin := (3 => '1', OTHERS => '0'); WHEN '9' => bin := (0 => '1', 3 => '1', OTHERS => '0'); WHEN 'A' => bin := (0 => '0', 2 => '0', OTHERS => '1'); WHEN 'a' => bin := (0 => '0', 2 => '0', OTHERS => '1'); WHEN 'B' => bin := (2 => '0', OTHERS => '1'); WHEN 'b' => bin := (2 => '0', OTHERS => '1'); WHEN 'C' => bin := (0 => '0', 1 => '0', OTHERS => '1'); WHEN 'c' => bin := (0 => '0', 1 => '0', OTHERS => '1'); WHEN 'D' => bin := (1 => '0', OTHERS => '1'); WHEN 'd' => bin := (1 => '0', OTHERS => '1'); WHEN 'E' => bin := (0 => '0', OTHERS => '1'); WHEN 'e' => bin := (0 => '0', OTHERS => '1'); WHEN 'F' => bin := (OTHERS => '1'); WHEN 'f' => bin := (OTHERS => '1'); WHEN OTHERS => FOR j IN 0 TO 3 LOOP bin(j) := 'X'; END LOOP; END CASE; FOR j IN 0 TO 3 LOOP IF (index*4)+j < size THEN result((index*4)+j) := bin(j); END IF; END LOOP; index := index + 1; END LOOP; RETURN result; END hexstr_to_std_logic_vec; SIGNAL USERDATA_int : std_logic_vector(C_DOUT_WIDTH-1 DOWNTO 0) := hexstr_to_std_logic_vec(C_DOUT_RST_VAL, C_DOUT_WIDTH); SIGNAL preloadstage1 : std_logic := '0'; SIGNAL preloadstage2 : std_logic := '0'; SIGNAL ram_valid_i : std_logic := '0'; SIGNAL read_data_valid_i : std_logic := '0'; SIGNAL ram_regout_en : std_logic := '0'; SIGNAL ram_rd_en : std_logic := '0'; SIGNAL empty_i : std_logic := '1'; SIGNAL empty_q : std_logic := '1'; SIGNAL rd_en_q : std_logic := '0'; SIGNAL almost_empty_i : std_logic := '1'; SIGNAL almost_empty_q : std_logic := '1'; SIGNAL rd_rst_i : std_logic := '0'; SIGNAL srst_i : std_logic := '0'; BEGIN -- behavioral grst: IF (C_HAS_RST = 1 OR C_ENABLE_RST_SYNC = 0) GENERATE rd_rst_i <= RD_RST; end generate grst; ngrst: IF (C_HAS_RST = 0 AND C_ENABLE_RST_SYNC = 1) GENERATE rd_rst_i <= '0'; END GENERATE ngrst; --SRST gsrst : IF (C_HAS_SRST=1) GENERATE srst_i <= SRST; END GENERATE gsrst; --SRST ngsrst : IF (C_HAS_SRST=0) GENERATE srst_i <= '0'; END GENERATE ngsrst; gnll_fifo: IF (C_FIFO_TYPE /= 2) GENERATE CONSTANT INVALID : std_logic_vector (1 downto 0) := "00"; CONSTANT STAGE1_VALID : std_logic_vector (1 downto 0) := "10"; CONSTANT STAGE2_VALID : std_logic_vector (1 downto 0) := "01"; CONSTANT BOTH_STAGES_VALID : std_logic_vector (1 downto 0) := "11"; SIGNAL curr_fwft_state : std_logic_vector (1 DOWNTO 0) := INVALID; SIGNAL next_fwft_state : std_logic_vector (1 DOWNTO 0) := INVALID; BEGIN proc_fwft_fsm : PROCESS ( curr_fwft_state, RD_EN, FIFOEMPTY) BEGIN CASE curr_fwft_state IS WHEN INVALID => IF (FIFOEMPTY = '0') THEN next_fwft_state <= STAGE1_VALID; ELSE --FIFOEMPTY = '1' next_fwft_state <= INVALID; END IF; WHEN STAGE1_VALID => IF (FIFOEMPTY = '1') THEN next_fwft_state <= STAGE2_VALID; ELSE -- FIFOEMPTY = '0' next_fwft_state <= BOTH_STAGES_VALID; END IF; WHEN STAGE2_VALID => IF (FIFOEMPTY = '1' AND RD_EN = '1') THEN next_fwft_state <= INVALID; ELSIF (FIFOEMPTY = '0' AND RD_EN = '1') THEN next_fwft_state <= STAGE1_VALID; ELSIF (FIFOEMPTY = '0' AND RD_EN = '0') THEN next_fwft_state <= BOTH_STAGES_VALID; ELSE -- FIFOEMPTY = '1' AND RD_EN = '0' next_fwft_state <= STAGE2_VALID; END IF; WHEN BOTH_STAGES_VALID => IF (FIFOEMPTY = '1' AND RD_EN = '1') THEN next_fwft_state <= STAGE2_VALID; ELSIF (FIFOEMPTY = '0' AND RD_EN = '1') THEN next_fwft_state <= BOTH_STAGES_VALID; ELSE -- RD_EN = '0' next_fwft_state <= BOTH_STAGES_VALID; END IF; WHEN OTHERS => next_fwft_state <= INVALID; END CASE; END PROCESS proc_fwft_fsm; proc_fsm_reg: PROCESS (rd_rst_i, RD_CLK) BEGIN IF (rd_rst_i = '1') THEN curr_fwft_state <= INVALID; ELSIF (RD_CLK'event AND RD_CLK='1') THEN IF (srst_i = '1') THEN curr_fwft_state <= INVALID AFTER C_TCQ; ELSE curr_fwft_state <= next_fwft_state AFTER C_TCQ; END IF; END IF; END PROCESS proc_fsm_reg; proc_regen: PROCESS (curr_fwft_state, FIFOEMPTY, RD_EN) BEGIN CASE curr_fwft_state IS WHEN INVALID => STAGE2_REG_EN <= '0'; WHEN STAGE1_VALID => STAGE2_REG_EN <= '1'; WHEN STAGE2_VALID => STAGE2_REG_EN <= '0'; WHEN BOTH_STAGES_VALID => IF (RD_EN = '1') THEN STAGE2_REG_EN <= '1'; ELSE STAGE2_REG_EN <= '0'; END IF; WHEN OTHERS => STAGE2_REG_EN <= '0'; END CASE; END PROCESS proc_regen; VALID_STAGES <= curr_fwft_state; -------------------------------------------------------------------------------- -- preloadstage2 indicates that stage2 needs to be updated. This is true -- whenever read_data_valid is false, and RAM_valid is true. -------------------------------------------------------------------------------- preloadstage2 <= ram_valid_i AND (NOT read_data_valid_i OR RD_EN); -------------------------------------------------------------------------------- -- preloadstage1 indicates that stage1 needs to be updated. This is true -- whenever the RAM has data (RAM_EMPTY is false), and either RAM_Valid is -- false (indicating that Stage1 needs updating), or preloadstage2 is active -- (indicating that Stage2 is going to update, so Stage1, therefore, must -- also be updated to keep it valid. -------------------------------------------------------------------------------- preloadstage1 <= (((NOT ram_valid_i) OR preloadstage2) AND (NOT FIFOEMPTY)); -------------------------------------------------------------------------------- -- Calculate RAM_REGOUT_EN -- The output registers are controlled by the ram_regout_en signal. -- These registers should be updated either when the output in Stage2 is -- invalid (preloadstage2), OR when the user is reading, in which case the -- Stage2 value will go invalid unless it is replenished. -------------------------------------------------------------------------------- ram_regout_en <= preloadstage2; -------------------------------------------------------------------------------- -- Calculate RAM_RD_EN -- RAM_RD_EN will be asserted whenever the RAM needs to be read in order to -- update the value in Stage1. -- One case when this happens is when preloadstage1=true, which indicates -- that the data in Stage1 or Stage2 is invalid, and needs to automatically -- be updated. -- The other case is when the user is reading from the FIFO, which guarantees -- that Stage1 or Stage2 will be invalid on the next clock cycle, unless it is -- replinished by data from the memory. So, as long as the RAM has data in it, -- a read of the RAM should occur. -------------------------------------------------------------------------------- ram_rd_en <= (RD_EN AND NOT FIFOEMPTY) OR preloadstage1; END GENERATE gnll_fifo; gll_fifo: IF (C_FIFO_TYPE = 2) GENERATE SIGNAL empty_d1 : STD_LOGIC := '1'; SIGNAL fe_of_empty : STD_LOGIC := '0'; SIGNAL curr_state : STD_LOGIC := '0'; SIGNAL next_state : STD_LOGIC := '0'; SIGNAL leaving_empty_fwft : STD_LOGIC := '0'; SIGNAL going_empty_fwft : STD_LOGIC := '0'; BEGIN fsm_proc: PROCESS (curr_state, FIFOEMPTY, RD_EN) BEGIN CASE curr_state IS WHEN '0' => IF (FIFOEMPTY = '0') THEN next_state <= '1'; ELSE next_state <= '0'; END IF; WHEN '1' => IF (FIFOEMPTY = '1' AND RD_EN = '1') THEN next_state <= '0'; ELSE next_state <= '1'; END IF; WHEN OTHERS => next_state <= '0'; END CASE; END PROCESS fsm_proc; empty_reg: PROCESS (RD_CLK, rd_rst_i) BEGIN IF (rd_rst_i = '1') THEN empty_d1 <= '1'; empty_i <= '1'; ram_valid_i <= '0'; curr_state <= '0'; ELSIF (RD_CLK'event AND RD_CLK='1') THEN IF (srst_i = '1') THEN empty_d1 <= '1' AFTER C_TCQ; empty_i <= '1' AFTER C_TCQ; ram_valid_i <= '0' AFTER C_TCQ; curr_state <= '0' AFTER C_TCQ; ELSE empty_d1 <= FIFOEMPTY AFTER C_TCQ; curr_state <= next_state AFTER C_TCQ; empty_i <= going_empty_fwft OR (NOT leaving_empty_fwft AND empty_i) AFTER C_TCQ; ram_valid_i <= next_state AFTER C_TCQ; END IF; END IF; END PROCESS empty_reg; fe_of_empty <= empty_d1 AND (NOT FIFOEMPTY); prege: PROCESS (curr_state, FIFOEMPTY, RD_EN) BEGIN CASE curr_state IS WHEN '0' => IF (FIFOEMPTY = '0') THEN ram_regout_en <= '1'; ram_rd_en <= '1'; ELSE ram_regout_en <= '0'; ram_rd_en <= '0'; END IF; WHEN '1' => IF (FIFOEMPTY = '0' AND RD_EN = '1') THEN ram_regout_en <= '1'; ram_rd_en <= '1'; ELSE ram_regout_en <= '0'; ram_rd_en <= '0'; END IF; WHEN OTHERS => ram_regout_en <= '0'; ram_rd_en <= '0'; END CASE; END PROCESS prege; ple: PROCESS (curr_state, fe_of_empty) -- Leaving Empty BEGIN CASE curr_state IS WHEN '0' => leaving_empty_fwft <= fe_of_empty; WHEN '1' => leaving_empty_fwft <= '1'; WHEN OTHERS => leaving_empty_fwft <= '0'; END CASE; END PROCESS ple; pge: PROCESS (curr_state, FIFOEMPTY, RD_EN) -- Going Empty BEGIN CASE curr_state IS WHEN '1' => IF (FIFOEMPTY = '1' AND RD_EN = '1') THEN going_empty_fwft <= '1'; ELSE going_empty_fwft <= '0'; END IF; WHEN OTHERS => going_empty_fwft <= '0'; END CASE; END PROCESS pge; END GENERATE gll_fifo; -------------------------------------------------------------------------------- -- Calculate ram_valid -- ram_valid indicates that the data in Stage1 is valid. -- -- If the RAM is being read from on this clock cycle (ram_rd_en=1), then -- ram_valid is certainly going to be true. -- If the RAM is not being read from, but the output registers are being -- updated to fill Stage2 (ram_regout_en=1), then Stage1 will be emptying, -- therefore causing ram_valid to be false. -- Otherwise, ram_valid will remain unchanged. -------------------------------------------------------------------------------- gvalid: IF (C_FIFO_TYPE < 2) GENERATE regout_valid: PROCESS (RD_CLK, rd_rst_i) BEGIN -- PROCESS regout_valid IF rd_rst_i = '1' THEN -- asynchronous reset (active high) ram_valid_i <= '0' after C_TCQ; ELSIF RD_CLK'event AND RD_CLK = '1' THEN -- rising clock edge IF srst_i = '1' THEN -- synchronous reset (active high) ram_valid_i <= '0' after C_TCQ; ELSE IF ram_rd_en = '1' THEN ram_valid_i <= '1' after C_TCQ; ELSE IF ram_regout_en = '1' THEN ram_valid_i <= '0' after C_TCQ; ELSE ram_valid_i <= ram_valid_i after C_TCQ; END IF; END IF; END IF; END IF; END PROCESS regout_valid; END GENERATE gvalid; -------------------------------------------------------------------------------- -- Calculate READ_DATA_VALID -- READ_DATA_VALID indicates whether the value in Stage2 is valid or not. -- Stage2 has valid data whenever Stage1 had valid data and ram_regout_en_i=1, -- such that the data in Stage1 is propogated into Stage2. -------------------------------------------------------------------------------- regout_dvalid : PROCESS (RD_CLK, rd_rst_i) BEGIN IF (rd_rst_i='1') THEN read_data_valid_i <= '0' after C_TCQ; ELSIF (RD_CLK'event AND RD_CLK='1') THEN IF (srst_i='1') THEN read_data_valid_i <= '0' after C_TCQ; ELSE read_data_valid_i <= ram_valid_i OR (read_data_valid_i AND NOT RD_EN) after C_TCQ; END IF; END IF; --RD_CLK END PROCESS regout_dvalid; ------------------------------------------------------------------------------- -- Calculate EMPTY -- Defined as the inverse of READ_DATA_VALID -- -- Description: -- -- If read_data_valid_i indicates that the output is not valid, -- and there is no valid data on the output of the ram to preload it -- with, then we will report empty. -- -- If there is no valid data on the output of the ram and we are -- reading, then the FIFO will go empty. -- ------------------------------------------------------------------------------- gempty: IF (C_FIFO_TYPE < 2) GENERATE regout_empty : PROCESS (RD_CLK, rd_rst_i) --This is equivalent to (NOT read_data_valid_i) BEGIN IF (rd_rst_i='1') THEN empty_i <= '1' after C_TCQ; ELSIF (RD_CLK'event AND RD_CLK='1') THEN IF (srst_i='1') THEN empty_i <= '1' after C_TCQ; ELSE empty_i <= (NOT ram_valid_i AND NOT read_data_valid_i) OR (NOT ram_valid_i AND RD_EN) after C_TCQ; END IF; END IF; --RD_CLK END PROCESS regout_empty; END GENERATE gempty; regout_empty_q: PROCESS (RD_CLK) BEGIN -- PROCESS regout_rd_en IF RD_CLK'event AND RD_CLK = '1' THEN -- empty_q <= empty_i after C_TCQ; END IF; END PROCESS regout_empty_q; regout_rd_en: PROCESS (RD_CLK) BEGIN -- PROCESS regout_rd_en IF RD_CLK'event AND RD_CLK = '1' THEN -- rising clock edge rd_en_q <= RD_EN after C_TCQ; END IF; END PROCESS regout_rd_en; ------------------------------------------------------------------------------- -- Calculate user_almost_empty -- user_almost_empty is defined such that, unless more words are written -- to the FIFO, the next read will cause the FIFO to go EMPTY. -- -- In most cases, whenever the output registers are updated (due to a user -- read or a preload condition), then user_almost_empty will update to -- whatever RAM_EMPTY is. -- -- The exception is when the output is valid, the user is not reading, and -- Stage1 is not empty. In this condition, Stage1 will be preloaded from the -- memory, so we need to make sure user_almost_empty deasserts properly under -- this condition. ------------------------------------------------------------------------------- regout_aempty: PROCESS (RD_CLK, rd_rst_i) BEGIN -- PROCESS regout_empty IF rd_rst_i = '1' THEN -- asynchronous reset (active high) almost_empty_i <= '1' after C_TCQ; almost_empty_q <= '1' after C_TCQ; ELSIF RD_CLK'event AND RD_CLK = '1' THEN -- rising clock edge IF srst_i = '1' THEN -- synchronous reset (active high) almost_empty_i <= '1' after C_TCQ; almost_empty_q <= '1' after C_TCQ; ELSE IF ((ram_regout_en = '1') OR (FIFOEMPTY = '0' AND read_data_valid_i = '1' AND RD_EN='0')) THEN almost_empty_i <= FIFOEMPTY after C_TCQ; END IF; almost_empty_q <= almost_empty_i after C_TCQ; END IF; END IF; END PROCESS regout_aempty; USEREMPTY <= empty_i; USERALMOSTEMPTY <= almost_empty_i; FIFORDEN <= ram_rd_en; RAMVALID <= ram_valid_i; guvh: IF C_USERVALID_LOW=0 GENERATE USERVALID <= read_data_valid_i; END GENERATE guvh; guvl: if C_USERVALID_LOW=1 GENERATE USERVALID <= NOT read_data_valid_i; END GENERATE guvl; gufh: IF C_USERUNDERFLOW_LOW=0 GENERATE USERUNDERFLOW <= empty_q AND rd_en_q; END GENERATE gufh; gufl: if C_USERUNDERFLOW_LOW=1 GENERATE USERUNDERFLOW <= NOT (empty_q AND rd_en_q); END GENERATE gufl; regout_lat0: PROCESS (RD_CLK, rd_rst_i) BEGIN -- PROCESS regout_lat0 IF (rd_rst_i = '1') THEN -- asynchronous reset (active high) IF (C_USE_ECC = 0) THEN -- Reset S/DBITERR only if ECC is OFF USERSBITERR <= '0' after C_TCQ; USERDBITERR <= '0' after C_TCQ; END IF; -- DRAM resets asynchronously IF (C_USE_DOUT_RST = 1 AND C_MEMORY_TYPE = 2) THEN USERDATA_int <= hexstr_to_std_logic_vec(C_DOUT_RST_VAL, C_DOUT_WIDTH) after C_TCQ; END IF; -- BRAM resets synchronously IF (C_USE_DOUT_RST = 1 AND C_MEMORY_TYPE < 2) THEN IF (RD_CLK'event AND RD_CLK = '1') THEN USERDATA_int <= hexstr_to_std_logic_vec(C_DOUT_RST_VAL, C_DOUT_WIDTH) after C_TCQ; END IF; END IF; ELSIF RD_CLK'event AND RD_CLK = '1' THEN -- rising clock edge IF (srst_i = '1') THEN -- synchronous reset (active high) IF (C_USE_ECC = 0) THEN -- Reset S/DBITERR only if ECC is OFF USERSBITERR <= '0' after C_TCQ; USERDBITERR <= '0' after C_TCQ; END IF; IF (C_USE_DOUT_RST = 1) THEN -- synchronous reset (active high) USERDATA_int <= hexstr_to_std_logic_vec(C_DOUT_RST_VAL, C_DOUT_WIDTH) after C_TCQ; END IF; ELSE IF (ram_regout_en = '1') THEN USERDATA_int <= FIFODATA after C_TCQ; USERSBITERR <= FIFOSBITERR after C_TCQ; USERDBITERR <= FIFODBITERR after C_TCQ; END IF; END IF; END IF; END PROCESS regout_lat0; USERDATA <= USERDATA_int ; -- rle, fixed bug R62 END behavioral; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Top-level Behavioral Model for Conventional FIFO ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.std_logic_arith.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY fifo_generator_v11_0; USE fifo_generator_v11_0.fifo_generator_v11_0_bhv_as; USE fifo_generator_v11_0.fifo_generator_v11_0_bhv_ss; ------------------------------------------------------------------------------- -- Top-level Entity Declaration - This is the top-level of the conventional -- FIFO Bhv Model ------------------------------------------------------------------------------- ENTITY fifo_generator_v11_0_conv IS GENERIC ( --------------------------------------------------------------------------- -- Generic Declarations --------------------------------------------------------------------------- C_COMMON_CLOCK : integer := 0; C_COUNT_TYPE : integer := 0; --not used C_DATA_COUNT_WIDTH : integer := 2; C_DEFAULT_VALUE : string := ""; --not used C_DIN_WIDTH : integer := 8; C_DOUT_RST_VAL : string := ""; C_DOUT_WIDTH : integer := 8; C_ENABLE_RLOCS : integer := 0; --not used C_FAMILY : string := ""; --not used in bhv model C_FULL_FLAGS_RST_VAL : integer := 0; C_HAS_ALMOST_EMPTY : integer := 0; C_HAS_ALMOST_FULL : integer := 0; C_HAS_BACKUP : integer := 0; --not used C_HAS_DATA_COUNT : integer := 0; C_HAS_INT_CLK : integer := 0; --not used in bhv model C_HAS_MEMINIT_FILE : integer := 0; --not used C_HAS_OVERFLOW : integer := 0; C_HAS_RD_DATA_COUNT : integer := 0; C_HAS_RD_RST : integer := 0; --not used C_HAS_RST : integer := 1; C_HAS_SRST : integer := 0; C_HAS_UNDERFLOW : integer := 0; C_HAS_VALID : integer := 0; C_HAS_WR_ACK : integer := 0; C_HAS_WR_DATA_COUNT : integer := 0; C_HAS_WR_RST : integer := 0; --not used C_IMPLEMENTATION_TYPE : integer := 0; C_INIT_WR_PNTR_VAL : integer := 0; --not used C_MEMORY_TYPE : integer := 1; C_MIF_FILE_NAME : string := ""; --not used C_OPTIMIZATION_MODE : integer := 0; --not used C_OVERFLOW_LOW : integer := 0; C_PRELOAD_LATENCY : integer := 1; C_PRELOAD_REGS : integer := 0; C_PRIM_FIFO_TYPE : string := "4kx4"; --not used in bhv model C_PROG_EMPTY_THRESH_ASSERT_VAL: integer := 0; C_PROG_EMPTY_THRESH_NEGATE_VAL: integer := 0; C_PROG_EMPTY_TYPE : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL : integer := 0; C_PROG_FULL_THRESH_NEGATE_VAL : integer := 0; C_PROG_FULL_TYPE : integer := 0; C_RD_DATA_COUNT_WIDTH : integer := 2; C_RD_DEPTH : integer := 256; C_RD_FREQ : integer := 1; --not used in bhv model C_RD_PNTR_WIDTH : integer := 8; C_UNDERFLOW_LOW : integer := 0; C_USE_DOUT_RST : integer := 0; C_USE_ECC : integer := 0; C_USE_EMBEDDED_REG : integer := 0; C_USE_FIFO16_FLAGS : integer := 0; --not used in bhv model C_USE_FWFT_DATA_COUNT : integer := 0; C_VALID_LOW : integer := 0; C_WR_ACK_LOW : integer := 0; C_WR_DATA_COUNT_WIDTH : integer := 2; C_WR_DEPTH : integer := 256; C_WR_FREQ : integer := 1; --not used in bhv model C_WR_PNTR_WIDTH : integer := 8; C_WR_RESPONSE_LATENCY : integer := 1; --not used C_MSGON_VAL : integer := 1; --not used in bhv model C_ENABLE_RST_SYNC : integer := 1; C_ERROR_INJECTION_TYPE : integer := 0; C_FIFO_TYPE : integer := 0; C_SYNCHRONIZER_STAGE : integer := 2; C_AXI_TYPE : integer := 0 ); PORT( -------------------------------------------------------------------------------- -- Input and Output Declarations -------------------------------------------------------------------------------- BACKUP : IN std_logic := '0'; BACKUP_MARKER : IN std_logic := '0'; CLK : IN std_logic := '0'; RST : IN std_logic := '0'; SRST : IN std_logic := '0'; WR_CLK : IN std_logic := '0'; WR_RST : IN std_logic := '0'; RD_CLK : IN std_logic := '0'; RD_RST : IN std_logic := '0'; DIN : IN std_logic_vector(C_DIN_WIDTH-1 DOWNTO 0); -- WR_EN : IN std_logic; --Mandatory input RD_EN : IN std_logic; --Mandatory input --Mandatory input PROG_EMPTY_THRESH : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); PROG_EMPTY_THRESH_ASSERT : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); PROG_EMPTY_THRESH_NEGATE : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); PROG_FULL_THRESH : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); PROG_FULL_THRESH_ASSERT : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); PROG_FULL_THRESH_NEGATE : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); INT_CLK : IN std_logic := '0'; INJECTDBITERR : IN std_logic := '0'; INJECTSBITERR : IN std_logic := '0'; DOUT : OUT std_logic_vector(C_DOUT_WIDTH-1 DOWNTO 0); FULL : OUT std_logic; ALMOST_FULL : OUT std_logic; WR_ACK : OUT std_logic; OVERFLOW : OUT std_logic; EMPTY : OUT std_logic; ALMOST_EMPTY : OUT std_logic; VALID : OUT std_logic; UNDERFLOW : OUT std_logic; DATA_COUNT : OUT std_logic_vector(C_DATA_COUNT_WIDTH-1 DOWNTO 0); RD_DATA_COUNT : OUT std_logic_vector(C_RD_DATA_COUNT_WIDTH-1 DOWNTO 0); WR_DATA_COUNT : OUT std_logic_vector(C_WR_DATA_COUNT_WIDTH-1 DOWNTO 0); PROG_FULL : OUT std_logic; PROG_EMPTY : OUT std_logic; SBITERR : OUT std_logic := '0'; DBITERR : OUT std_logic := '0' ); END fifo_generator_v11_0_conv; ------------------------------------------------------------------------------- -- Definition of Parameters ------------------------------------------------------------------------------- -- C_COMMON_CLOCK : Common Clock (1), Independent Clocks (0) -- C_COUNT_TYPE : --not used -- C_DATA_COUNT_WIDTH : Width of DATA_COUNT bus -- C_DEFAULT_VALUE : --not used -- C_DIN_WIDTH : Width of DIN bus -- C_DOUT_RST_VAL : Reset value of DOUT -- C_DOUT_WIDTH : Width of DOUT bus -- C_ENABLE_RLOCS : --not used -- C_FAMILY : not used in bhv model -- C_FULL_FLAGS_RST_VAL : Full flags rst val (0 or 1) -- C_HAS_ALMOST_EMPTY : 1=Core has ALMOST_EMPTY flag -- C_HAS_ALMOST_FULL : 1=Core has ALMOST_FULL flag -- C_HAS_BACKUP : --not used -- C_HAS_DATA_COUNT : 1=Core has DATA_COUNT bus -- C_HAS_INT_CLK : not used in bhv model -- C_HAS_MEMINIT_FILE : --not used -- C_HAS_OVERFLOW : 1=Core has OVERFLOW flag -- C_HAS_RD_DATA_COUNT : 1=Core has RD_DATA_COUNT bus -- C_HAS_RD_RST : --not used -- C_HAS_RST : 1=Core has Async Rst -- C_HAS_SRST : 1=Core has Sync Rst -- C_HAS_UNDERFLOW : 1=Core has UNDERFLOW flag -- C_HAS_VALID : 1=Core has VALID flag -- C_HAS_WR_ACK : 1=Core has WR_ACK flag -- C_HAS_WR_DATA_COUNT : 1=Core has WR_DATA_COUNT bus -- C_HAS_WR_RST : --not used -- C_IMPLEMENTATION_TYPE : 0=Common-Clock Bram/Dram -- 1=Common-Clock ShiftRam -- 2=Indep. Clocks Bram/Dram -- 3=Virtex-4 Built-in -- 4=Virtex-5 Built-in -- C_INIT_WR_PNTR_VAL : --not used -- C_MEMORY_TYPE : 1=Block RAM -- 2=Distributed RAM -- 3=Shift RAM -- 4=Built-in FIFO -- C_MIF_FILE_NAME : --not used -- C_OPTIMIZATION_MODE : --not used -- C_OVERFLOW_LOW : 1=OVERFLOW active low -- C_PRELOAD_LATENCY : Latency of read: 0, 1, 2 -- C_PRELOAD_REGS : 1=Use output registers -- C_PRIM_FIFO_TYPE : not used in bhv model -- C_PROG_EMPTY_THRESH_ASSERT_VAL: PROG_EMPTY assert threshold -- C_PROG_EMPTY_THRESH_NEGATE_VAL: PROG_EMPTY negate threshold -- C_PROG_EMPTY_TYPE : 0=No programmable empty -- 1=Single prog empty thresh constant -- 2=Multiple prog empty thresh constants -- 3=Single prog empty thresh input -- 4=Multiple prog empty thresh inputs -- C_PROG_FULL_THRESH_ASSERT_VAL : PROG_FULL assert threshold -- C_PROG_FULL_THRESH_NEGATE_VAL : PROG_FULL negate threshold -- C_PROG_FULL_TYPE : 0=No prog full -- 1=Single prog full thresh constant -- 2=Multiple prog full thresh constants -- 3=Single prog full thresh input -- 4=Multiple prog full thresh inputs -- C_RD_DATA_COUNT_WIDTH : Width of RD_DATA_COUNT bus -- C_RD_DEPTH : Depth of read interface (2^N) -- C_RD_FREQ : not used in bhv model -- C_RD_PNTR_WIDTH : always log2(C_RD_DEPTH) -- C_UNDERFLOW_LOW : 1=UNDERFLOW active low -- C_USE_DOUT_RST : 1=Resets DOUT on RST -- C_USE_ECC : not used in bhv model -- C_USE_EMBEDDED_REG : 1=Use BRAM embedded output register -- C_USE_FIFO16_FLAGS : not used in bhv model -- C_USE_FWFT_DATA_COUNT : 1=Use extra logic for FWFT data count -- C_VALID_LOW : 1=VALID active low -- C_WR_ACK_LOW : 1=WR_ACK active low -- C_WR_DATA_COUNT_WIDTH : Width of WR_DATA_COUNT bus -- C_WR_DEPTH : Depth of write interface (2^N) -- C_WR_FREQ : not used in bhv model -- C_WR_PNTR_WIDTH : always log2(C_WR_DEPTH) -- C_WR_RESPONSE_LATENCY : --not used ------------------------------------------------------------------------------- -- Definition of Ports ------------------------------------------------------------------------------- -- BACKUP : Not used -- BACKUP_MARKER: Not used -- CLK : Clock -- DIN : Input data bus -- PROG_EMPTY_THRESH : Threshold for Programmable Empty Flag -- PROG_EMPTY_THRESH_ASSERT: Threshold for Programmable Empty Flag -- PROG_EMPTY_THRESH_NEGATE: Threshold for Programmable Empty Flag -- PROG_FULL_THRESH : Threshold for Programmable Full Flag -- PROG_FULL_THRESH_ASSERT : Threshold for Programmable Full Flag -- PROG_FULL_THRESH_NEGATE : Threshold for Programmable Full Flag -- RD_CLK : Read Domain Clock -- RD_EN : Read enable -- RD_RST : Not used -- RST : Asynchronous Reset -- SRST : Synchronous Reset -- WR_CLK : Write Domain Clock -- WR_EN : Write enable -- WR_RST : Not used -- INT_CLK : Internal Clock -- ALMOST_EMPTY : One word remaining in FIFO -- ALMOST_FULL : One empty space remaining in FIFO -- DATA_COUNT : Number of data words in fifo( synchronous to CLK) -- DOUT : Output data bus -- EMPTY : Empty flag -- FULL : Full flag -- OVERFLOW : Last write rejected -- PROG_EMPTY : Programmable Empty Flag -- PROG_FULL : Programmable Full Flag -- RD_DATA_COUNT: Number of data words in fifo (synchronous to RD_CLK) -- UNDERFLOW : Last read rejected -- VALID : Last read acknowledged, DOUT bus VALID -- WR_ACK : Last write acknowledged -- WR_DATA_COUNT: Number of data words in fifo (synchronous to WR_CLK) -- SBITERR : Single Bit ECC Error Detected -- DBITERR : Double Bit ECC Error Detected ------------------------------------------------------------------------------- ARCHITECTURE behavioral OF fifo_generator_v11_0_conv IS ----------------------------------------------------------------------------- -- FUNCTION two_comp -- Returns a 2's complement value ------------------------------------------------------------------------------- FUNCTION two_comp( vect : std_logic_vector) RETURN std_logic_vector IS VARIABLE local_vect : std_logic_vector(vect'high DOWNTO 0); VARIABLE toggle : integer := 0; BEGIN FOR i IN 0 TO vect'high LOOP IF (toggle = 1) THEN IF (vect(i) = '0') THEN local_vect(i) := '1'; ELSE local_vect(i) := '0'; END IF; ELSE local_vect(i) := vect(i); IF (vect(i) = '1') THEN toggle := 1; END IF; END IF; END LOOP; RETURN local_vect; END two_comp; ----------------------------------------------------------------------------- -- FUNCTION int_2_std_logic_vector -- Returns a std_logic_vector for an integer value for a given width. ------------------------------------------------------------------------------- FUNCTION int_2_std_logic_vector( value, bitwidth : integer ) RETURN std_logic_vector IS VARIABLE running_value : integer := value; VARIABLE running_result : std_logic_vector(bitwidth-1 DOWNTO 0); BEGIN IF (value < 0) THEN running_value := -1 * value; END IF; FOR i IN 0 TO bitwidth-1 LOOP IF running_value MOD 2 = 0 THEN running_result(i) := '0'; ELSE running_result(i) := '1'; END IF; running_value := running_value/2; END LOOP; IF (value < 0) THEN -- find the 2s complement RETURN two_comp(running_result); ELSE RETURN running_result; END IF; END int_2_std_logic_vector; COMPONENT fifo_generator_v11_0_bhv_as GENERIC ( -------------------------------------------------------------------------------- -- Generic Declarations -------------------------------------------------------------------------------- C_DIN_WIDTH : integer := 8; C_DOUT_RST_VAL : string := ""; C_DOUT_WIDTH : integer := 8; C_FULL_FLAGS_RST_VAL : integer := 1; C_HAS_ALMOST_EMPTY : integer := 0; C_HAS_ALMOST_FULL : integer := 0; C_HAS_OVERFLOW : integer := 0; C_HAS_RD_DATA_COUNT : integer := 2; C_HAS_RST : integer := 1; C_HAS_UNDERFLOW : integer := 0; C_HAS_VALID : integer := 0; C_HAS_WR_ACK : integer := 0; C_HAS_WR_DATA_COUNT : integer := 2; C_MEMORY_TYPE : integer := 1; C_OVERFLOW_LOW : integer := 0; C_PRELOAD_LATENCY : integer := 1; C_PRELOAD_REGS : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL : integer := 0; C_PROG_EMPTY_THRESH_NEGATE_VAL : integer := 0; C_PROG_EMPTY_TYPE : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL : integer := 0; C_PROG_FULL_THRESH_NEGATE_VAL : integer := 0; C_PROG_FULL_TYPE : integer := 0; C_RD_DATA_COUNT_WIDTH : integer := 0; C_RD_DEPTH : integer := 256; C_RD_PNTR_WIDTH : integer := 8; C_UNDERFLOW_LOW : integer := 0; C_USE_DOUT_RST : integer := 0; C_USE_ECC : integer := 0; C_USE_EMBEDDED_REG : integer := 0; C_USE_FWFT_DATA_COUNT : integer := 0; C_VALID_LOW : integer := 0; C_WR_ACK_LOW : integer := 0; C_WR_DATA_COUNT_WIDTH : integer := 0; C_WR_DEPTH : integer := 256; C_WR_PNTR_WIDTH : integer := 8; C_TCQ : time := 100 ps; C_ENABLE_RST_SYNC : integer := 1; C_ERROR_INJECTION_TYPE : integer := 0; C_SYNCHRONIZER_STAGE : integer := 2; C_FIFO_TYPE : integer := 0 ); PORT( -------------------------------------------------------------------------------- -- Input and Output Declarations -------------------------------------------------------------------------------- DIN : IN std_logic_vector(C_DIN_WIDTH-1 DOWNTO 0); PROG_EMPTY_THRESH : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0); PROG_EMPTY_THRESH_ASSERT : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0); PROG_EMPTY_THRESH_NEGATE : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0); PROG_FULL_THRESH : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0); PROG_FULL_THRESH_ASSERT : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0); PROG_FULL_THRESH_NEGATE : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0); RD_CLK : IN std_logic; RD_EN : IN std_logic; RD_EN_USER : IN std_logic; RST : IN std_logic; RST_FULL_GEN : IN std_logic := '0'; RST_FULL_FF : IN std_logic := '0'; WR_RST : IN std_logic; RD_RST : IN std_logic; WR_CLK : IN std_logic; WR_EN : IN std_logic; INJECTDBITERR : IN std_logic := '0'; INJECTSBITERR : IN std_logic := '0'; USER_EMPTY_FB : IN std_logic := '1'; ALMOST_EMPTY : OUT std_logic; ALMOST_FULL : OUT std_logic; DOUT : OUT std_logic_vector(C_DOUT_WIDTH-1 DOWNTO 0); EMPTY : OUT std_logic; FULL : OUT std_logic; OVERFLOW : OUT std_logic; PROG_EMPTY : OUT std_logic; PROG_FULL : OUT std_logic; VALID : OUT std_logic; RD_DATA_COUNT : OUT std_logic_vector(C_RD_DATA_COUNT_WIDTH-1 DOWNTO 0); UNDERFLOW : OUT std_logic; WR_ACK : OUT std_logic; WR_DATA_COUNT : OUT std_logic_vector(C_WR_DATA_COUNT_WIDTH-1 DOWNTO 0); DBITERR : OUT std_logic := '0'; SBITERR : OUT std_logic := '0' ); END COMPONENT; COMPONENT fifo_generator_v11_0_bhv_ss GENERIC ( -------------------------------------------------------------------------------- -- Generic Declarations (alphabetical) -------------------------------------------------------------------------------- C_DATA_COUNT_WIDTH : integer := 2; C_DIN_WIDTH : integer := 8; C_DOUT_RST_VAL : string := ""; C_DOUT_WIDTH : integer := 8; C_FULL_FLAGS_RST_VAL : integer := 1; C_HAS_ALMOST_EMPTY : integer := 0; C_HAS_ALMOST_FULL : integer := 0; C_HAS_DATA_COUNT : integer := 0; C_HAS_OVERFLOW : integer := 0; C_HAS_RST : integer := 0; C_HAS_SRST : integer := 0; C_HAS_UNDERFLOW : integer := 0; C_HAS_VALID : integer := 0; C_HAS_WR_ACK : integer := 0; C_MEMORY_TYPE : integer := 1; C_OVERFLOW_LOW : integer := 0; C_PRELOAD_LATENCY : integer := 1; C_PRELOAD_REGS : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL : integer := 0; C_PROG_EMPTY_THRESH_NEGATE_VAL : integer := 0; C_PROG_EMPTY_TYPE : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL : integer := 0; C_PROG_FULL_THRESH_NEGATE_VAL : integer := 0; C_PROG_FULL_TYPE : integer := 0; C_RD_DEPTH : integer := 256; C_RD_PNTR_WIDTH : integer := 8; C_UNDERFLOW_LOW : integer := 0; C_USE_ECC : integer := 0; C_USE_DOUT_RST : integer := 0; C_USE_EMBEDDED_REG : integer := 0; C_VALID_LOW : integer := 0; C_WR_ACK_LOW : integer := 0; C_WR_DEPTH : integer := 256; C_WR_PNTR_WIDTH : integer := 8; C_TCQ : time := 100 ps; C_ENABLE_RST_SYNC : integer := 1; C_ERROR_INJECTION_TYPE : integer := 0; C_FIFO_TYPE : integer := 0 ); PORT( -------------------------------------------------------------------------------- -- Input and Output Declarations -------------------------------------------------------------------------------- CLK : IN std_logic := '0'; DIN : IN std_logic_vector(C_DIN_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); PROG_EMPTY_THRESH : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); PROG_EMPTY_THRESH_ASSERT : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); PROG_EMPTY_THRESH_NEGATE : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); PROG_FULL_THRESH : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); PROG_FULL_THRESH_ASSERT : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); PROG_FULL_THRESH_NEGATE : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); RD_EN : IN std_logic := '0'; RST : IN std_logic := '0'; RST_FULL_GEN : IN std_logic := '0'; RST_FULL_FF : IN std_logic := '0'; SRST : IN std_logic := '0'; WR_EN : IN std_logic := '0'; INJECTDBITERR : IN std_logic := '0'; INJECTSBITERR : IN std_logic := '0'; ALMOST_EMPTY : OUT std_logic; ALMOST_FULL : OUT std_logic; DATA_COUNT : OUT std_logic_vector(C_DATA_COUNT_WIDTH-1 DOWNTO 0); DOUT : OUT std_logic_vector(C_DOUT_WIDTH-1 DOWNTO 0); EMPTY : OUT std_logic; FULL : OUT std_logic; OVERFLOW : OUT std_logic; PROG_EMPTY : OUT std_logic; PROG_FULL : OUT std_logic; VALID : OUT std_logic; UNDERFLOW : OUT std_logic; WR_ACK : OUT std_logic; DBITERR : OUT std_logic := '0'; SBITERR : OUT std_logic := '0' ); END COMPONENT; COMPONENT fifo_generator_v11_0_bhv_preload0 GENERIC ( C_DOUT_RST_VAL : string; C_DOUT_WIDTH : integer; C_HAS_RST : integer; C_HAS_SRST : integer; C_USE_DOUT_RST : integer := 0; C_USE_ECC : integer := 0; C_USERVALID_LOW : integer := 0; C_USERUNDERFLOW_LOW : integer := 0; C_TCQ : time := 100 ps; C_ENABLE_RST_SYNC : integer := 1; C_ERROR_INJECTION_TYPE : integer := 0; C_MEMORY_TYPE : integer := 0; C_FIFO_TYPE : integer := 0 ); PORT ( RD_CLK : IN std_logic; RD_RST : IN std_logic; SRST : IN std_logic; RD_EN : IN std_logic; FIFOEMPTY : IN std_logic; FIFODATA : IN std_logic_vector(C_DOUT_WIDTH-1 DOWNTO 0); FIFOSBITERR : IN std_logic; FIFODBITERR : IN std_logic; USERDATA : OUT std_logic_vector(C_DOUT_WIDTH-1 DOWNTO 0); USERVALID : OUT std_logic; USERUNDERFLOW : OUT std_logic; USEREMPTY : OUT std_logic; USERALMOSTEMPTY : OUT std_logic; RAMVALID : OUT std_logic; FIFORDEN : OUT std_logic; USERSBITERR : OUT std_logic; USERDBITERR : OUT std_logic; STAGE2_REG_EN : OUT std_logic; VALID_STAGES : OUT std_logic_vector(1 DOWNTO 0) ); END COMPONENT; -- Constant to have clock to register delay CONSTANT C_TCQ : time := 100 ps; SIGNAL zero : std_logic := '0'; SIGNAL CLK_INT : std_logic := '0'; ----------------------------------------------------------------------------- -- Internal Signals for delayed input signals -- All the input signals except Clock are delayed by 100 ps and then given to -- the models. ----------------------------------------------------------------------------- SIGNAL rst_delayed : std_logic := '0'; SIGNAL srst_delayed : std_logic := '0'; SIGNAL wr_rst_delayed : std_logic := '0'; SIGNAL rd_rst_delayed : std_logic := '0'; SIGNAL din_delayed : std_logic_vector(C_DIN_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL wr_en_delayed : std_logic := '0'; SIGNAL rd_en_delayed : std_logic := '0'; SIGNAL prog_empty_thresh_delayed : std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL prog_empty_thresh_assert_delayed : std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL prog_empty_thresh_negate_delayed : std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL prog_full_thresh_delayed : std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL prog_full_thresh_assert_delayed : std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL prog_full_thresh_negate_delayed : std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL injectdbiterr_delayed : std_logic := '0'; SIGNAL injectsbiterr_delayed : std_logic := '0'; ----------------------------------------------------------------------------- -- Internal Signals -- In the normal case, these signals tie directly to the FIFO's inputs and -- outputs. -- In the case of Preload Latency 0 or 1, these are the intermediate -- signals between the internal FIFO and the preload logic. ----------------------------------------------------------------------------- SIGNAL rd_en_fifo_in : std_logic; SIGNAL dout_fifo_out : std_logic_vector(C_DOUT_WIDTH-1 DOWNTO 0); SIGNAL empty_fifo_out : std_logic; SIGNAL almost_empty_fifo_out : std_logic; SIGNAL valid_fifo_out : std_logic; SIGNAL underflow_fifo_out : std_logic; SIGNAL rd_data_count_fifo_out : std_logic_vector(C_RD_DATA_COUNT_WIDTH-1 DOWNTO 0); SIGNAL wr_data_count_fifo_out : std_logic_vector(C_WR_DATA_COUNT_WIDTH-1 DOWNTO 0); SIGNAL data_count_fifo_out : std_logic_vector(C_DATA_COUNT_WIDTH-1 DOWNTO 0); SIGNAL DATA_COUNT_FWFT : std_logic_vector(C_RD_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0'); SIGNAL SS_FWFT_RD : std_logic := '0' ; SIGNAL SS_FWFT_WR : std_logic := '0' ; SIGNAL FULL_int : std_logic ; SIGNAL almost_full_i : std_logic ; SIGNAL prog_full_i : std_logic ; SIGNAL dout_p0_out : std_logic_vector(C_DOUT_WIDTH-1 DOWNTO 0); signal valid_p0_out : std_logic; signal empty_p0_out : std_logic; signal underflow_p0_out : std_logic; signal almost_empty_p0_out : std_logic; signal empty_p0_out_q : std_logic; signal almost_empty_p0_out_q : std_logic; SIGNAL ram_valid : std_logic; --Internal signal used to monitor the --ram_valid state signal rst_fwft : std_logic; signal sbiterr_fifo_out : std_logic; signal dbiterr_fifo_out : std_logic; signal wr_rst_i : std_logic := '0'; signal rd_rst_i : std_logic := '0'; signal rst_i : std_logic := '0'; signal rst_full_gen_i : std_logic := '0'; signal rst_full_ff_i : std_logic := '0'; signal rst_2_sync : std_logic := '0'; signal clk_2_sync : std_logic := '0'; ----------------------------------------------------------------------------- -- FUNCTION if_then_else -- Returns a true case or flase case based on the condition ------------------------------------------------------------------------------- FUNCTION if_then_else ( condition : boolean; true_case : integer; false_case : integer) RETURN integer IS VARIABLE retval : integer := 0; BEGIN IF NOT condition THEN retval:=false_case; ELSE retval:=true_case; END IF; RETURN retval; END if_then_else; ----------------------------------------------------------------------------- -- FUNCTION log2roundup -- Returns a log2 of the input value ----------------------------------------------------------------------------- FUNCTION log2roundup ( data_value : integer) RETURN integer IS VARIABLE width : integer := 0; VARIABLE cnt : integer := 1; BEGIN IF (data_value <= 1) THEN width := 0; ELSE WHILE (cnt < data_value) LOOP width := width + 1; cnt := cnt *2; END LOOP; END IF; RETURN width; END log2roundup; CONSTANT FULL_FLAGS_RST_VAL : integer := if_then_else((C_HAS_SRST = 1),0,C_FULL_FLAGS_RST_VAL); CONSTANT IS_WR_PNTR_WIDTH_CORRECT : integer := if_then_else((C_WR_PNTR_WIDTH = log2roundup(C_WR_DEPTH)),1,0); CONSTANT IS_RD_PNTR_WIDTH_CORRECT : integer := if_then_else((C_RD_PNTR_WIDTH = log2roundup(C_RD_DEPTH)),1,0); BEGIN rst_delayed <= RST AFTER C_TCQ; srst_delayed <= SRST AFTER C_TCQ; wr_rst_delayed <= WR_RST AFTER C_TCQ; rd_rst_delayed <= RD_RST AFTER C_TCQ; din_delayed <= DIN AFTER C_TCQ; wr_en_delayed <= WR_EN AFTER C_TCQ; rd_en_delayed <= RD_EN AFTER C_TCQ; prog_empty_thresh_delayed <= PROG_EMPTY_THRESH AFTER C_TCQ; prog_empty_thresh_assert_delayed <= PROG_EMPTY_THRESH_ASSERT AFTER C_TCQ; prog_empty_thresh_negate_delayed <= PROG_EMPTY_THRESH_NEGATE AFTER C_TCQ; prog_full_thresh_delayed <= PROG_FULL_THRESH AFTER C_TCQ; prog_full_thresh_assert_delayed <= PROG_FULL_THRESH_ASSERT AFTER C_TCQ; prog_full_thresh_negate_delayed <= PROG_FULL_THRESH_NEGATE AFTER C_TCQ; injectdbiterr_delayed <= INJECTDBITERR AFTER C_TCQ; injectsbiterr_delayed <= INJECTSBITERR AFTER C_TCQ; --Assign Ground Signal zero <= '0'; ASSERT (C_MEMORY_TYPE /= 4) REPORT "FAILURE : Behavioral models do not support built-in FIFO configurations. Please use post-synthesis or post-implement simulation in Vivado." SEVERITY FAILURE; -- ASSERT (C_IMPLEMENTATION_TYPE /= 2) REPORT "WARNING: Behavioral models for independent clock FIFO configurations do not model synchronization delays. The behavioral models are functionally correct, and will represent the behavior of the configured FIFO. See the FIFO Generator User Guide for more information." SEVERITY NOTE; ASSERT (IS_WR_PNTR_WIDTH_CORRECT /= 0) REPORT "FAILURE : C_WR_PNTR_WIDTH is not log2 of C_WR_DEPTH." SEVERITY FAILURE; ASSERT (IS_RD_PNTR_WIDTH_CORRECT /= 0) REPORT "FAILURE : C_RD_PNTR_WIDTH is not log2 of C_RD_DEPTH." SEVERITY FAILURE; gen_ss : IF ((C_IMPLEMENTATION_TYPE = 0) OR (C_IMPLEMENTATION_TYPE = 1) OR (C_MEMORY_TYPE = 4)) GENERATE fgss : fifo_generator_v11_0_bhv_ss GENERIC MAP ( C_DATA_COUNT_WIDTH => C_DATA_COUNT_WIDTH, C_DIN_WIDTH => C_DIN_WIDTH, C_DOUT_RST_VAL => C_DOUT_RST_VAL, C_DOUT_WIDTH => C_DOUT_WIDTH, C_FULL_FLAGS_RST_VAL => FULL_FLAGS_RST_VAL, C_HAS_ALMOST_EMPTY => C_HAS_ALMOST_EMPTY, C_HAS_ALMOST_FULL => if_then_else((C_AXI_TYPE = 0 AND C_FIFO_TYPE = 1), 1, C_HAS_ALMOST_FULL), C_HAS_DATA_COUNT => C_HAS_DATA_COUNT, C_HAS_OVERFLOW => C_HAS_OVERFLOW, C_HAS_RST => C_HAS_RST, C_HAS_SRST => C_HAS_SRST, C_HAS_UNDERFLOW => C_HAS_UNDERFLOW, C_HAS_VALID => C_HAS_VALID, C_HAS_WR_ACK => C_HAS_WR_ACK, C_MEMORY_TYPE => if_then_else(C_MEMORY_TYPE = 4, 1, C_MEMORY_TYPE), C_OVERFLOW_LOW => C_OVERFLOW_LOW, C_PRELOAD_LATENCY => C_PRELOAD_LATENCY, C_PRELOAD_REGS => C_PRELOAD_REGS, C_PROG_EMPTY_THRESH_ASSERT_VAL => C_PROG_EMPTY_THRESH_ASSERT_VAL, C_PROG_EMPTY_THRESH_NEGATE_VAL => C_PROG_EMPTY_THRESH_NEGATE_VAL, C_PROG_EMPTY_TYPE => C_PROG_EMPTY_TYPE, C_PROG_FULL_THRESH_ASSERT_VAL => C_PROG_FULL_THRESH_ASSERT_VAL, C_PROG_FULL_THRESH_NEGATE_VAL => C_PROG_FULL_THRESH_NEGATE_VAL, C_PROG_FULL_TYPE => C_PROG_FULL_TYPE, C_RD_DEPTH => C_RD_DEPTH, C_RD_PNTR_WIDTH => C_RD_PNTR_WIDTH, C_UNDERFLOW_LOW => C_UNDERFLOW_LOW, C_USE_ECC => C_USE_ECC, C_USE_DOUT_RST => C_USE_DOUT_RST, C_USE_EMBEDDED_REG => C_USE_EMBEDDED_REG, C_VALID_LOW => C_VALID_LOW, C_WR_ACK_LOW => C_WR_ACK_LOW, C_WR_DEPTH => C_WR_DEPTH, C_WR_PNTR_WIDTH => C_WR_PNTR_WIDTH, C_TCQ => C_TCQ, C_ENABLE_RST_SYNC => C_ENABLE_RST_SYNC, C_ERROR_INJECTION_TYPE => C_ERROR_INJECTION_TYPE, C_FIFO_TYPE => C_FIFO_TYPE ) PORT MAP( --Inputs CLK => CLK, DIN => din_delayed, PROG_EMPTY_THRESH => prog_empty_thresh_delayed, PROG_EMPTY_THRESH_ASSERT => prog_empty_thresh_assert_delayed, PROG_EMPTY_THRESH_NEGATE => prog_empty_thresh_negate_delayed, PROG_FULL_THRESH => prog_full_thresh_delayed, PROG_FULL_THRESH_ASSERT => prog_full_thresh_assert_delayed, PROG_FULL_THRESH_NEGATE => prog_full_thresh_negate_delayed, RD_EN => rd_en_fifo_in, RST => rst_i, SRST => srst_delayed, RST_FULL_GEN => rst_full_gen_i, RST_FULL_FF => rst_full_ff_i, WR_EN => wr_en_delayed, INJECTDBITERR => injectdbiterr_delayed, INJECTSBITERR => injectsbiterr_delayed, --Outputs ALMOST_EMPTY => almost_empty_fifo_out, ALMOST_FULL => almost_full_i, DATA_COUNT => data_count_fifo_out, DOUT => dout_fifo_out, EMPTY => empty_fifo_out, FULL => FULL_int, OVERFLOW => OVERFLOW, PROG_EMPTY => PROG_EMPTY, PROG_FULL => prog_full_i, UNDERFLOW => underflow_fifo_out, VALID => valid_fifo_out, WR_ACK => WR_ACK, DBITERR => dbiterr_fifo_out, SBITERR => sbiterr_fifo_out ); END GENERATE gen_ss; gen_as : IF (C_IMPLEMENTATION_TYPE = 2 OR C_FIFO_TYPE = 3) GENERATE fgas : fifo_generator_v11_0_bhv_as GENERIC MAP ( C_DIN_WIDTH => C_DIN_WIDTH, C_DOUT_RST_VAL => C_DOUT_RST_VAL, C_DOUT_WIDTH => C_DOUT_WIDTH, C_FULL_FLAGS_RST_VAL => C_FULL_FLAGS_RST_VAL, C_HAS_ALMOST_EMPTY => C_HAS_ALMOST_EMPTY, C_HAS_ALMOST_FULL => if_then_else((C_AXI_TYPE = 0 AND C_FIFO_TYPE = 1), 1, C_HAS_ALMOST_FULL), C_HAS_OVERFLOW => C_HAS_OVERFLOW, C_HAS_RD_DATA_COUNT => C_HAS_RD_DATA_COUNT, C_HAS_RST => C_HAS_RST, C_HAS_UNDERFLOW => C_HAS_UNDERFLOW, C_HAS_VALID => C_HAS_VALID, C_HAS_WR_ACK => C_HAS_WR_ACK, C_HAS_WR_DATA_COUNT => C_HAS_WR_DATA_COUNT, C_MEMORY_TYPE => C_MEMORY_TYPE, C_OVERFLOW_LOW => C_OVERFLOW_LOW, C_PRELOAD_LATENCY => C_PRELOAD_LATENCY, C_PRELOAD_REGS => C_PRELOAD_REGS, C_PROG_EMPTY_THRESH_ASSERT_VAL => C_PROG_EMPTY_THRESH_ASSERT_VAL, C_PROG_EMPTY_THRESH_NEGATE_VAL => C_PROG_EMPTY_THRESH_NEGATE_VAL, C_PROG_EMPTY_TYPE => C_PROG_EMPTY_TYPE, C_PROG_FULL_THRESH_ASSERT_VAL => C_PROG_FULL_THRESH_ASSERT_VAL, C_PROG_FULL_THRESH_NEGATE_VAL => C_PROG_FULL_THRESH_NEGATE_VAL, C_PROG_FULL_TYPE => C_PROG_FULL_TYPE, C_RD_DATA_COUNT_WIDTH => C_RD_DATA_COUNT_WIDTH, C_RD_DEPTH => C_RD_DEPTH, C_RD_PNTR_WIDTH => C_RD_PNTR_WIDTH, C_UNDERFLOW_LOW => C_UNDERFLOW_LOW, C_USE_ECC => C_USE_ECC, C_USE_DOUT_RST => C_USE_DOUT_RST, C_USE_EMBEDDED_REG => C_USE_EMBEDDED_REG, C_USE_FWFT_DATA_COUNT => C_USE_FWFT_DATA_COUNT, C_VALID_LOW => C_VALID_LOW, C_WR_ACK_LOW => C_WR_ACK_LOW, C_WR_DATA_COUNT_WIDTH => C_WR_DATA_COUNT_WIDTH, C_WR_DEPTH => C_WR_DEPTH, C_WR_PNTR_WIDTH => C_WR_PNTR_WIDTH, C_TCQ => C_TCQ, C_ENABLE_RST_SYNC => C_ENABLE_RST_SYNC, C_ERROR_INJECTION_TYPE => C_ERROR_INJECTION_TYPE, C_SYNCHRONIZER_STAGE => C_SYNCHRONIZER_STAGE, C_FIFO_TYPE => C_FIFO_TYPE ) PORT MAP( --Inputs WR_CLK => WR_CLK, RD_CLK => RD_CLK, RST => rst_i, RST_FULL_GEN => rst_full_gen_i, RST_FULL_FF => rst_full_ff_i, WR_RST => wr_rst_i, RD_RST => rd_rst_i, DIN => din_delayed, RD_EN => rd_en_fifo_in, WR_EN => wr_en_delayed, RD_EN_USER => rd_en_delayed, PROG_FULL_THRESH => prog_full_thresh_delayed, PROG_EMPTY_THRESH_ASSERT => prog_empty_thresh_assert_delayed, PROG_EMPTY_THRESH_NEGATE => prog_empty_thresh_negate_delayed, PROG_EMPTY_THRESH => prog_empty_thresh_delayed, PROG_FULL_THRESH_ASSERT => prog_full_thresh_assert_delayed, PROG_FULL_THRESH_NEGATE => prog_full_thresh_negate_delayed, INJECTDBITERR => injectdbiterr_delayed, INJECTSBITERR => injectsbiterr_delayed, USER_EMPTY_FB => empty_p0_out, --Outputs DOUT => dout_fifo_out, FULL => FULL_int, ALMOST_FULL => almost_full_i, WR_ACK => WR_ACK, OVERFLOW => OVERFLOW, EMPTY => empty_fifo_out, ALMOST_EMPTY => almost_empty_fifo_out, VALID => valid_fifo_out, UNDERFLOW => underflow_fifo_out, RD_DATA_COUNT => rd_data_count_fifo_out, WR_DATA_COUNT => wr_data_count_fifo_out, PROG_FULL => prog_full_i, PROG_EMPTY => PROG_EMPTY, DBITERR => dbiterr_fifo_out, SBITERR => sbiterr_fifo_out ); END GENERATE gen_as; ALMOST_FULL <= almost_full_i; PROG_FULL <= prog_full_i; ------------------------------------------------------------------------- -- Connect internal clock used for FWFT logic based on C_COMMON_CLOCK --- ------------------------------------------------------------------------- clock_fwft_common: IF (C_COMMON_CLOCK=1 ) GENERATE CLK_INT <= CLK; END GENERATE clock_fwft_common; clock_fwft: IF (C_COMMON_CLOCK= 0 ) GENERATE CLK_INT <= RD_CLK; END GENERATE clock_fwft; ----------------------------------------------------------------------------- -- Connect Internal Signals -- In the normal case, these signals tie directly to the FIFO's inputs and -- outputs. -- In the case of Preload Latency 0 or 1, these are the intermediate -- signals between the internal FIFO and the preload logic. ----------------------------------------------------------------------------- latnrm: IF (C_PRELOAD_LATENCY=1 OR C_PRELOAD_LATENCY=2 OR C_FIFO_TYPE = 3) GENERATE rd_en_fifo_in <= rd_en_delayed; DOUT <= dout_fifo_out; VALID <= valid_fifo_out; EMPTY <= empty_fifo_out; ALMOST_EMPTY <= almost_empty_fifo_out; UNDERFLOW <= underflow_fifo_out; RD_DATA_COUNT <= rd_data_count_fifo_out; WR_DATA_COUNT <= wr_data_count_fifo_out; SBITERR <= sbiterr_fifo_out; DBITERR <= dbiterr_fifo_out; END GENERATE latnrm; lat0: IF ((C_PRELOAD_REGS = 1) AND (C_PRELOAD_LATENCY = 0) AND C_FIFO_TYPE /= 3) GENERATE SIGNAL sbiterr_fwft : STD_LOGIC := '0'; SIGNAL dbiterr_fwft : STD_LOGIC := '0'; SIGNAL rd_en_to_fwft_fifo : STD_LOGIC := '0'; SIGNAL dout_fwft : std_logic_vector(C_DOUT_WIDTH-1 DOWNTO 0); SIGNAL empty_fwft : STD_LOGIC := '0'; SIGNAL valid_stages_i : STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0'); SIGNAL stage2_reg_en_i : STD_LOGIC := '0'; BEGIN rst_fwft <= rd_rst_i WHEN (C_COMMON_CLOCK = 0) ELSE rst_i WHEN (C_HAS_RST = 1) ELSE '0'; lat0logic : fifo_generator_v11_0_bhv_preload0 GENERIC MAP ( C_DOUT_RST_VAL => C_DOUT_RST_VAL, C_DOUT_WIDTH => C_DOUT_WIDTH, C_HAS_RST => C_HAS_RST, C_HAS_SRST => C_HAS_SRST, C_USE_DOUT_RST => C_USE_DOUT_RST, C_USE_ECC => C_USE_ECC, C_USERVALID_LOW => C_VALID_LOW, C_USERUNDERFLOW_LOW => C_UNDERFLOW_LOW, C_ENABLE_RST_SYNC => C_ENABLE_RST_SYNC, C_ERROR_INJECTION_TYPE => C_ERROR_INJECTION_TYPE, C_MEMORY_TYPE => C_MEMORY_TYPE, C_FIFO_TYPE => C_FIFO_TYPE ) PORT MAP ( RD_CLK => CLK_INT, RD_RST => rst_fwft, SRST => srst_delayed, RD_EN => rd_en_to_fwft_fifo, FIFOEMPTY => empty_fifo_out, FIFODATA => dout_fifo_out, FIFOSBITERR => sbiterr_fifo_out, FIFODBITERR => dbiterr_fifo_out, USERDATA => dout_fwft, USERVALID => valid_p0_out, USEREMPTY => empty_fwft, USERALMOSTEMPTY => almost_empty_p0_out, USERUNDERFLOW => underflow_p0_out, RAMVALID => ram_valid, --Used for observing the state of the ram_valid FIFORDEN => rd_en_fifo_in, USERSBITERR => sbiterr_fwft, USERDBITERR => dbiterr_fwft, STAGE2_REG_EN => stage2_reg_en_i, VALID_STAGES => valid_stages_i ); gberr_non_pkt_fifo: IF (C_FIFO_TYPE /= 1) GENERATE VALID <= valid_p0_out; ALMOST_EMPTY <= almost_empty_p0_out; UNDERFLOW <= underflow_p0_out; SBITERR <= sbiterr_fwft; DBITERR <= dbiterr_fwft; dout_p0_out <= dout_fwft; rd_en_to_fwft_fifo <= rd_en_delayed; empty_p0_out <= empty_fwft; END GENERATE gberr_non_pkt_fifo; rdcg: IF (C_USE_FWFT_DATA_COUNT=1 AND (C_RD_DATA_COUNT_WIDTH > C_RD_PNTR_WIDTH)) GENERATE eclk: PROCESS (CLK_INT,rst_fwft) BEGIN -- process eclk IF (rst_fwft='1') THEN empty_p0_out_q <= '1' after C_TCQ; almost_empty_p0_out_q <= '1' after C_TCQ; ELSIF CLK_INT'event AND CLK_INT = '1' THEN -- rising clock edge empty_p0_out_q <= empty_p0_out after C_TCQ; almost_empty_p0_out_q <= almost_empty_p0_out after C_TCQ; END IF; END PROCESS eclk; rcsproc: PROCESS (rd_data_count_fifo_out, empty_p0_out_q, almost_empty_p0_out_q,rst_fwft) BEGIN -- process rcsproc IF (empty_p0_out_q='1' OR rst_fwft='1') THEN RD_DATA_COUNT <= int_2_std_logic_vector(0, C_RD_DATA_COUNT_WIDTH); ELSIF (almost_empty_p0_out_q='1') THEN RD_DATA_COUNT <= int_2_std_logic_vector(1, C_RD_DATA_COUNT_WIDTH); ELSE RD_DATA_COUNT <= rd_data_count_fifo_out ; END IF; END PROCESS rcsproc; END GENERATE rdcg; rdcg1: IF (C_USE_FWFT_DATA_COUNT=1 AND (C_RD_DATA_COUNT_WIDTH <= C_RD_PNTR_WIDTH)) GENERATE eclk1: PROCESS (CLK_INT,rst_fwft) BEGIN -- process eclk IF (rst_fwft='1') THEN empty_p0_out_q <= '1' after C_TCQ; almost_empty_p0_out_q <= '1' after C_TCQ; ELSIF CLK_INT'event AND CLK_INT = '1' THEN -- rising clock edge empty_p0_out_q <= empty_p0_out after C_TCQ; almost_empty_p0_out_q <= almost_empty_p0_out after C_TCQ; END IF; END PROCESS eclk1; rcsproc1: PROCESS (rd_data_count_fifo_out, empty_p0_out_q, almost_empty_p0_out_q,rst_fwft) BEGIN -- process rcsproc IF (empty_p0_out_q='1' OR rst_fwft='1') THEN RD_DATA_COUNT <= int_2_std_logic_vector(0, C_RD_DATA_COUNT_WIDTH); ELSIF (almost_empty_p0_out_q='1') THEN RD_DATA_COUNT <= int_2_std_logic_vector(0, C_RD_DATA_COUNT_WIDTH); ELSE RD_DATA_COUNT <= rd_data_count_fifo_out ; END IF; END PROCESS rcsproc1; END GENERATE rdcg1; nrdcg: IF (C_USE_FWFT_DATA_COUNT=0) GENERATE RD_DATA_COUNT <= rd_data_count_fifo_out; END GENERATE nrdcg; WR_DATA_COUNT <= wr_data_count_fifo_out; --------------------------------------------------- -- logics for common-clock data count with fwft -- For common-clock FIFOs with FWFT, data count -- is calculated as an up-down counter to maintain -- accuracy. --------------------------------------------------- grd_en_npkt: IF (C_FIFO_TYPE /= 1) GENERATE gfwft_rd: IF (C_VALID_LOW = 0) GENERATE SS_FWFT_RD <= rd_en_delayed AND valid_p0_out ; END GENERATE gfwft_rd; ngfwft_rd: IF (C_VALID_LOW = 1) GENERATE SS_FWFT_RD <= rd_en_delayed AND NOT valid_p0_out ; END GENERATE ngfwft_rd; END GENERATE grd_en_npkt; grd_en_pkt: IF (C_FIFO_TYPE = 1) GENERATE gfwft_rd: IF (C_VALID_LOW = 0) GENERATE SS_FWFT_RD <= (NOT empty_p0_out) AND rd_en_delayed AND valid_p0_out ; END GENERATE gfwft_rd; ngfwft_rd: IF (C_VALID_LOW = 1) GENERATE SS_FWFT_RD <= (NOT empty_p0_out) AND rd_en_delayed AND (NOT valid_p0_out); END GENERATE ngfwft_rd; END GENERATE grd_en_pkt; SS_FWFT_WR <= wr_en_delayed AND (NOT FULL_int) ; cc_data_cnt: IF (C_HAS_DATA_COUNT = 1 AND C_USE_FWFT_DATA_COUNT = 1) GENERATE count_fwft: PROCESS (CLK, rst_fwft) BEGIN IF (rst_fwft = '1' AND C_HAS_RST=1) THEN DATA_COUNT_FWFT <= (OTHERS=>'0') after C_TCQ; ELSIF CLK'event AND CLK = '1' THEN IF (srst_delayed='1' AND C_HAS_SRST=1) THEN DATA_COUNT_FWFT <= (OTHERS=>'0') after C_TCQ; ELSE IF (SS_FWFT_WR = '0' and SS_FWFT_RD ='0') THEN DATA_COUNT_FWFT <= DATA_COUNT_FWFT after C_TCQ; ELSIF (SS_FWFT_WR = '0' and SS_FWFT_RD ='1') THEN DATA_COUNT_FWFT <= DATA_COUNT_FWFT - 1 after C_TCQ; ELSIF (SS_FWFT_WR = '1' and SS_FWFT_RD ='0') THEN DATA_COUNT_FWFT <= DATA_COUNT_FWFT + 1 after C_TCQ; ELSE DATA_COUNT_FWFT <= DATA_COUNT_FWFT after C_TCQ; END IF ; END IF; END IF; END PROCESS count_fwft; END GENERATE cc_data_cnt; ---------------------------------------------- DOUT <= dout_p0_out; EMPTY <= empty_p0_out; gpkt_fifo_fwft: IF (C_FIFO_TYPE = 1) GENERATE SIGNAL wr_pkt_count : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL rd_pkt_count : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL rd_pkt_count_plus1 : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL rd_pkt_count_reg : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL eop_at_stage2 : STD_LOGIC := '0'; SIGNAL ram_pkt_empty : STD_LOGIC := '0'; SIGNAL ram_pkt_empty_d1 : STD_LOGIC := '0'; SIGNAL pkt_ready_to_read : STD_LOGIC := '0'; SIGNAL fwft_stage1_valid : STD_LOGIC := '0'; SIGNAL fwft_stage2_valid : STD_LOGIC := '0'; SIGNAL rd_en_2_stage2 : STD_LOGIC := '0'; SIGNAL ram_wr_en_pkt_fifo : STD_LOGIC := '0'; SIGNAL wr_eop : STD_LOGIC := '0'; SIGNAL dummy_wr_eop : STD_LOGIC := '0'; SIGNAL ram_rd_en_compare : STD_LOGIC := '0'; SIGNAL partial_packet : STD_LOGIC := '0'; SIGNAL wr_rst_fwft_pkt_fifo : STD_LOGIC := '0'; SIGNAL stage1_eop : STD_LOGIC := '0'; SIGNAL stage1_eop_d1 : STD_LOGIC := '0'; SIGNAL rd_en_fifo_in_d1 : STD_LOGIC := '0'; BEGIN wr_rst_fwft_pkt_fifo <= wr_rst_i WHEN (C_COMMON_CLOCK = 0) ELSE rst_i WHEN (C_HAS_RST = 1) ELSE '0'; -- Generate Dummy WR_EOP for partial packet (Only for AXI Streaming) -- When Packet EMPTY is high, and FIFO is full, then generate the dummy WR_EOP -- When dummy WR_EOP is high, mask the actual EOP to avoid double increment of -- write packet count gdummy_wr_eop: IF (C_AXI_TYPE = 0) GENERATE SIGNAL packet_empty_wr : std_logic := '1'; BEGIN proc_dummy_wr_eop: PROCESS (wr_rst_fwft_pkt_fifo, WR_CLK) BEGIN IF (wr_rst_fwft_pkt_fifo = '1') THEN partial_packet <= '0'; ELSIF (WR_CLK'event AND WR_CLK = '1') THEN IF (srst_delayed = '1') THEN partial_packet <= '0' AFTER C_TCQ; ELSE IF (almost_full_i = '1' AND ram_wr_en_pkt_fifo = '1' AND packet_empty_wr = '1' AND din_delayed(0) = '0') THEN partial_packet <= '1' AFTER C_TCQ; ELSE IF (partial_packet = '1' AND din_delayed(0) = '1' AND ram_wr_en_pkt_fifo = '1') THEN partial_packet <= '0' AFTER C_TCQ; END IF; END IF; END IF; END IF; END PROCESS proc_dummy_wr_eop; dummy_wr_eop <= almost_full_i AND ram_wr_en_pkt_fifo AND packet_empty_wr AND (NOT din_delayed(0)) AND (NOT partial_packet); -- Synchronize the packet EMPTY in WR clock domain to generate the dummy WR_EOP gpkt_empty_sync: IF (C_COMMON_CLOCK = 0) GENERATE TYPE pkt_empty_array IS ARRAY (0 TO C_SYNCHRONIZER_STAGE-1) OF STD_LOGIC; SIGNAL pkt_empty_sync : pkt_empty_array := (OTHERS => '1'); BEGIN proc_empty_sync: PROCESS (wr_rst_fwft_pkt_fifo, WR_CLK) BEGIN IF (wr_rst_fwft_pkt_fifo = '1') THEN pkt_empty_sync <= (OTHERS => '1'); ELSIF (WR_CLK'event AND WR_CLK = '1') THEN pkt_empty_sync <= pkt_empty_sync(1 to C_SYNCHRONIZER_STAGE-1) & empty_p0_out AFTER C_TCQ; END IF; END PROCESS proc_empty_sync; packet_empty_wr <= pkt_empty_sync(0); END GENERATE gpkt_empty_sync; gnpkt_empty_sync: IF (C_COMMON_CLOCK = 1) GENERATE packet_empty_wr <= empty_p0_out; END GENERATE gnpkt_empty_sync; END GENERATE gdummy_wr_eop; proc_stage1_eop: PROCESS (rst_fwft, CLK_INT) BEGIN IF (rst_fwft = '1') THEN stage1_eop_d1 <= '0'; rd_en_fifo_in_d1 <= '0'; ELSIF (CLK_INT'event AND CLK_INT = '1') THEN IF (srst_delayed = '1') THEN stage1_eop_d1 <= '0' AFTER C_TCQ; rd_en_fifo_in_d1 <= '0' AFTER C_TCQ; ELSE stage1_eop_d1 <= stage1_eop AFTER C_TCQ; rd_en_fifo_in_d1 <= rd_en_fifo_in AFTER C_TCQ; END IF; END IF; END PROCESS proc_stage1_eop; stage1_eop <= dout_fifo_out(0) WHEN (rd_en_fifo_in_d1 = '1') ELSE stage1_eop_d1; ram_wr_en_pkt_fifo <= wr_en_delayed AND (NOT FULL_int); wr_eop <= ram_wr_en_pkt_fifo AND ((din_delayed(0) AND (NOT partial_packet)) OR dummy_wr_eop); ram_rd_en_compare <= stage2_reg_en_i AND stage1_eop; pkt_fifo_fwft : fifo_generator_v11_0_bhv_preload0 GENERIC MAP ( C_DOUT_RST_VAL => C_DOUT_RST_VAL, C_DOUT_WIDTH => C_DOUT_WIDTH, C_HAS_RST => C_HAS_RST, C_HAS_SRST => C_HAS_SRST, C_USE_DOUT_RST => C_USE_DOUT_RST, C_USE_ECC => C_USE_ECC, C_USERVALID_LOW => C_VALID_LOW, C_USERUNDERFLOW_LOW => C_UNDERFLOW_LOW, C_ENABLE_RST_SYNC => C_ENABLE_RST_SYNC, C_ERROR_INJECTION_TYPE => C_ERROR_INJECTION_TYPE, C_MEMORY_TYPE => C_MEMORY_TYPE, C_FIFO_TYPE => 2 -- Enable low latency fwft logic ) PORT MAP ( RD_CLK => CLK_INT, RD_RST => rst_fwft, SRST => srst_delayed, RD_EN => rd_en_delayed, FIFOEMPTY => pkt_ready_to_read, FIFODATA => dout_fwft, FIFOSBITERR => sbiterr_fwft, FIFODBITERR => dbiterr_fwft, USERDATA => dout_p0_out, USERVALID => OPEN, USEREMPTY => empty_p0_out, USERALMOSTEMPTY => OPEN, USERUNDERFLOW => OPEN, RAMVALID => OPEN, --Used for observing the state of the ram_valid FIFORDEN => rd_en_2_stage2, USERSBITERR => SBITERR, USERDBITERR => DBITERR, STAGE2_REG_EN => OPEN, VALID_STAGES => OPEN ); pkt_ready_to_read <= NOT ((ram_pkt_empty NOR empty_fwft) AND ((valid_stages_i(0) AND valid_stages_i(1)) OR eop_at_stage2)); rd_en_to_fwft_fifo <= NOT empty_fwft AND rd_en_2_stage2; pregsm : PROCESS (CLK_INT, rst_fwft) BEGIN IF (rst_fwft = '1') THEN eop_at_stage2 <= '0'; ELSIF (CLK_INT'event AND CLK_INT = '1') THEN IF (stage2_reg_en_i = '1') THEN eop_at_stage2 <= stage1_eop AFTER C_TCQ; END IF; END IF; END PROCESS pregsm; ----------------------------------------------------------------------------- -- Write and Read Packet Count ----------------------------------------------------------------------------- proc_wr_pkt_cnt: PROCESS (WR_CLK, wr_rst_fwft_pkt_fifo) BEGIN IF (wr_rst_fwft_pkt_fifo = '1') THEN wr_pkt_count <= (OTHERS => '0'); ELSIF (WR_CLK'event AND WR_CLK = '1') THEN IF (srst_delayed='1') THEN wr_pkt_count <= (OTHERS => '0') AFTER C_TCQ; ELSIF (wr_eop = '1') THEN wr_pkt_count <= wr_pkt_count + int_2_std_logic_vector(1,C_WR_PNTR_WIDTH) AFTER C_TCQ; END IF; END IF; END PROCESS proc_wr_pkt_cnt; grss_pkt_cnt : IF C_COMMON_CLOCK = 1 GENERATE proc_rd_pkt_cnt: PROCESS (CLK_INT, rst_fwft) BEGIN IF (rst_fwft = '1') THEN rd_pkt_count <= (OTHERS => '0'); rd_pkt_count_plus1 <= int_2_std_logic_vector(1,C_RD_PNTR_WIDTH); ELSIF (CLK_INT'event AND CLK_INT = '1') THEN IF (srst_delayed='1') THEN rd_pkt_count <= (OTHERS => '0') AFTER C_TCQ; rd_pkt_count_plus1 <= int_2_std_logic_vector(1,C_RD_PNTR_WIDTH) AFTER C_TCQ; ELSIF (stage2_reg_en_i = '1' AND stage1_eop = '1') THEN rd_pkt_count <= rd_pkt_count + int_2_std_logic_vector(1,C_RD_PNTR_WIDTH) AFTER C_TCQ; rd_pkt_count_plus1 <= rd_pkt_count_plus1 + int_2_std_logic_vector(1,C_RD_PNTR_WIDTH) AFTER C_TCQ; END IF; END IF; END PROCESS proc_rd_pkt_cnt; proc_pkt_empty : PROCESS (CLK_INT, rst_fwft) BEGIN IF (rst_fwft = '1') THEN ram_pkt_empty <= '1'; ram_pkt_empty_d1 <= '1'; ELSIF (CLK_INT'event AND CLK_INT = '1') THEN IF (SRST='1') THEN ram_pkt_empty <= '1' AFTER C_TCQ; ram_pkt_empty_d1 <= '1' AFTER C_TCQ; ELSE IF ((rd_pkt_count = wr_pkt_count) AND wr_eop = '1') THEN ram_pkt_empty <= '0' AFTER C_TCQ; ram_pkt_empty_d1 <= '0' AFTER C_TCQ; ELSIF (ram_pkt_empty_d1 = '1' AND rd_en_to_fwft_fifo = '1') THEN ram_pkt_empty <= '1' AFTER C_TCQ; ELSIF ((rd_pkt_count_plus1 = wr_pkt_count) AND wr_eop = '0' AND almost_full_i = '0' AND ram_rd_en_compare = '1') THEN ram_pkt_empty_d1 <= '1' AFTER C_TCQ; END IF; END IF; END IF; END PROCESS proc_pkt_empty; END GENERATE grss_pkt_cnt; gras_pkt_cnt : IF C_COMMON_CLOCK = 0 GENERATE TYPE wr_pkt_cnt_sync_array IS ARRAY (C_SYNCHRONIZER_STAGE-1 DOWNTO 0) OF std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0); SIGNAL wr_pkt_count_q : wr_pkt_cnt_sync_array := (OTHERS => (OTHERS => '0')); SIGNAL wr_pkt_count_b2g : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL wr_pkt_count_rd : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); BEGIN -- Delay the write packet count in write clock domain to accomodate the binary to gray conversion delay proc_wr_pkt_cnt_b2g: PROCESS (WR_CLK, wr_rst_fwft_pkt_fifo) BEGIN IF (wr_rst_fwft_pkt_fifo = '1') THEN wr_pkt_count_b2g <= (OTHERS => '0'); ELSIF (WR_CLK'event AND WR_CLK = '1') THEN wr_pkt_count_b2g <= wr_pkt_count AFTER C_TCQ; END IF; END PROCESS proc_wr_pkt_cnt_b2g; -- Synchronize the delayed write packet count in read domain, and also compensate the gray to binay conversion delay proc_wr_pkt_cnt_rd: PROCESS (CLK_INT, rst_fwft) BEGIN IF (wr_rst_fwft_pkt_fifo = '1') THEN wr_pkt_count_q <= (OTHERS => (OTHERS => '0')); wr_pkt_count_rd <= (OTHERS => '0'); ELSIF (CLK_INT'event AND CLK_INT = '1') THEN wr_pkt_count_q <= wr_pkt_count_q(C_SYNCHRONIZER_STAGE-2 DOWNTO 0) & wr_pkt_count_b2g AFTER C_TCQ; wr_pkt_count_rd <= wr_pkt_count_q(C_SYNCHRONIZER_STAGE-1) AFTER C_TCQ; END IF; END PROCESS proc_wr_pkt_cnt_rd; rd_pkt_count <= rd_pkt_count_reg + int_2_std_logic_vector(1,C_RD_PNTR_WIDTH) WHEN (stage1_eop = '1') ELSE rd_pkt_count_reg; proc_rd_pkt_cnt: PROCESS (CLK_INT, rst_fwft) BEGIN IF (rst_fwft = '1') THEN rd_pkt_count_reg <= (OTHERS => '0'); ELSIF (RD_CLK'event AND RD_CLK = '1') THEN IF (rd_en_fifo_in = '1') THEN rd_pkt_count_reg <= rd_pkt_count AFTER C_TCQ; END IF; END IF; END PROCESS proc_rd_pkt_cnt; proc_pkt_empty_as : PROCESS (CLK_INT, rst_fwft) BEGIN IF (rst_fwft = '1') THEN ram_pkt_empty <= '1'; ram_pkt_empty_d1 <= '1'; ELSIF (CLK_INT'event AND CLK_INT = '1') THEN IF (rd_pkt_count /= wr_pkt_count_rd) THEN ram_pkt_empty <= '0' AFTER C_TCQ; ram_pkt_empty_d1 <= '0' AFTER C_TCQ; ELSIF (ram_pkt_empty_d1 = '1' AND rd_en_to_fwft_fifo = '1') THEN ram_pkt_empty <= '1' AFTER C_TCQ; ELSIF ((rd_pkt_count = wr_pkt_count_rd) AND stage2_reg_en_i = '1') THEN ram_pkt_empty_d1 <= '1' AFTER C_TCQ; END IF; END IF; END PROCESS proc_pkt_empty_as; END GENERATE gras_pkt_cnt; END GENERATE gpkt_fifo_fwft; END GENERATE lat0; gdc_fwft: IF (C_HAS_DATA_COUNT = 1) GENERATE begin ss_count: IF ((NOT ((C_PRELOAD_REGS = 1) AND (C_PRELOAD_LATENCY = 0)) ) OR (C_USE_FWFT_DATA_COUNT = 0) )GENERATE begin DATA_COUNT <= data_count_fifo_out ; end generate ss_count ; ss_count_fwft1: IF ((C_PRELOAD_REGS = 1) AND (C_PRELOAD_LATENCY = 0) AND (C_DATA_COUNT_WIDTH > C_RD_PNTR_WIDTH) AND (C_USE_FWFT_DATA_COUNT = 1) ) GENERATE begin DATA_COUNT <= DATA_COUNT_FWFT(C_RD_PNTR_WIDTH DOWNTO 0) ; end generate ss_count_fwft1 ; ss_count_fwft2: IF ((C_PRELOAD_REGS = 1) AND (C_PRELOAD_LATENCY = 0) AND (C_DATA_COUNT_WIDTH <= C_RD_PNTR_WIDTH) AND (C_USE_FWFT_DATA_COUNT = 1)) GENERATE begin DATA_COUNT <= DATA_COUNT_FWFT(C_RD_PNTR_WIDTH DOWNTO C_RD_PNTR_WIDTH-C_DATA_COUNT_WIDTH+1) ; end generate ss_count_fwft2 ; end generate gdc_fwft; FULL <= FULL_int; ------------------------------------------------------------------------------- -- If there is a reset input, generate internal reset signals -- The latency of reset will match the core behavior ------------------------------------------------------------------------------- --Single RST grst_sync : IF (C_ENABLE_RST_SYNC = 1 OR C_FIFO_TYPE = 3) GENERATE grst : IF (C_HAS_RST = 1) GENERATE gic_rst : IF (C_COMMON_CLOCK = 0 OR C_FIFO_TYPE = 3) GENERATE SIGNAL rd_rst_asreg : std_logic:= '0'; SIGNAL rd_rst_asreg_d1 : std_logic:= '0'; SIGNAL rd_rst_asreg_d2 : std_logic:= '0'; SIGNAL rd_rst_comb : std_logic:= '0'; SIGNAL rd_rst_reg : std_logic:= '0'; SIGNAL wr_rst_asreg : std_logic:= '0'; SIGNAL wr_rst_asreg_d1 : std_logic:= '0'; SIGNAL wr_rst_asreg_d2 : std_logic:= '0'; SIGNAL wr_rst_comb : std_logic:= '0'; SIGNAL wr_rst_reg : std_logic:= '0'; BEGIN PROCESS (WR_CLK, rst_delayed) BEGIN IF (rst_delayed = '1') THEN wr_rst_asreg <= '1' after C_TCQ; ELSIF (WR_CLK'event and WR_CLK = '1') THEN IF (wr_rst_asreg_d1 = '1') THEN wr_rst_asreg <= '0' after C_TCQ; END IF; END IF; IF (WR_CLK'event and WR_CLK = '1') THEN wr_rst_asreg_d1 <= wr_rst_asreg after C_TCQ; wr_rst_asreg_d2 <= wr_rst_asreg_d1 after C_TCQ; END IF; END PROCESS; PROCESS (wr_rst_asreg, wr_rst_asreg_d2) BEGIN wr_rst_comb <= NOT wr_rst_asreg_d2 AND wr_rst_asreg; END PROCESS; PROCESS (WR_CLK, wr_rst_comb) BEGIN IF (wr_rst_comb = '1') THEN wr_rst_reg <= '1' after C_TCQ; ELSIF (WR_CLK'event and WR_CLK = '1') THEN wr_rst_reg <= '0' after C_TCQ; END IF; END PROCESS; PROCESS (RD_CLK, rst_delayed) BEGIN IF (rst_delayed = '1') THEN rd_rst_asreg <= '1' after C_TCQ; ELSIF (RD_CLK'event and RD_CLK = '1') THEN IF (rd_rst_asreg_d1 = '1') THEN rd_rst_asreg <= '0' after C_TCQ; END IF; END IF; IF (RD_CLK'event and RD_CLK = '1') THEN rd_rst_asreg_d1 <= rd_rst_asreg after C_TCQ; rd_rst_asreg_d2 <= rd_rst_asreg_d1 after C_TCQ; END IF; END PROCESS; PROCESS (rd_rst_asreg, rd_rst_asreg_d2) BEGIN rd_rst_comb <= NOT rd_rst_asreg_d2 AND rd_rst_asreg; END PROCESS; PROCESS (RD_CLK, rd_rst_comb) BEGIN IF (rd_rst_comb = '1') THEN rd_rst_reg <= '1' after C_TCQ; ELSIF (RD_CLK'event and RD_CLK = '1') THEN rd_rst_reg <= '0' after C_TCQ; END IF; END PROCESS; wr_rst_i <= wr_rst_reg; rd_rst_i <= rd_rst_reg; END GENERATE gic_rst; gcc_rst : IF (C_COMMON_CLOCK = 1) GENERATE SIGNAL rst_asreg : std_logic := '0'; SIGNAL rst_asreg_d1 : std_logic := '0'; SIGNAL rst_asreg_d2 : std_logic := '0'; SIGNAL rst_comb : std_logic := '0'; SIGNAL rst_reg : std_logic := '0'; BEGIN PROCESS (CLK, rst_delayed) BEGIN IF (rst_delayed = '1') THEN rst_asreg <= '1' after C_TCQ; ELSIF (CLK'event and CLK = '1') THEN IF (rst_asreg_d1 = '1') THEN rst_asreg <= '0' after C_TCQ; ELSE rst_asreg <= rst_asreg after C_TCQ; END IF; END IF; IF (CLK'event and CLK = '1') THEN rst_asreg_d1 <= rst_asreg after C_TCQ; rst_asreg_d2 <= rst_asreg_d1 after C_TCQ; END IF; END PROCESS; PROCESS (rst_asreg, rst_asreg_d2) BEGIN rst_comb <= NOT rst_asreg_d2 AND rst_asreg; END PROCESS; PROCESS (CLK, rst_comb) BEGIN IF (rst_comb = '1') THEN rst_reg <= '1' after C_TCQ; ELSIF (CLK'event and CLK = '1') THEN rst_reg <= '0' after C_TCQ; END IF; END PROCESS; rst_i <= rst_reg; END GENERATE gcc_rst; END GENERATE grst; gnrst : IF (C_HAS_RST = 0) GENERATE wr_rst_i <= '0'; rd_rst_i <= '0'; rst_i <= '0'; END GENERATE gnrst; END GENERATE grst_sync; gnrst_sync : IF (C_ENABLE_RST_SYNC = 0) GENERATE wr_rst_i <= wr_rst_delayed; rd_rst_i <= rd_rst_delayed; rst_i <= '0'; END GENERATE gnrst_sync; rst_2_sync <= rst_delayed WHEN (C_ENABLE_RST_SYNC = 1) ELSE wr_rst_delayed; clk_2_sync <= CLK WHEN (C_COMMON_CLOCK = 1) ELSE WR_CLK; grstd1 : IF (C_HAS_RST = 1 OR C_HAS_SRST = 1 OR C_ENABLE_RST_SYNC = 0) GENERATE -- RST_FULL_GEN replaces the reset falling edge detection used to de-assert -- FULL, ALMOST_FULL & PROG_FULL flags if C_FULL_FLAGS_RST_VAL = 1. -- RST_FULL_FF goes to the reset pin of the final flop of FULL, ALMOST_FULL & -- PROG_FULL grst_full: IF (C_FULL_FLAGS_RST_VAL = 1) GENERATE SIGNAL rst_d1 : STD_LOGIC := '1'; SIGNAL rst_d2 : STD_LOGIC := '1'; SIGNAL rst_d3 : STD_LOGIC := '1'; BEGIN grst_f: IF (C_HAS_SRST = 0) GENERATE prst: PROCESS (rst_2_sync, clk_2_sync) BEGIN IF (rst_2_sync = '1') THEN rst_d1 <= '1'; rst_d2 <= '1'; rst_d3 <= '1'; rst_full_gen_i <= '0'; ELSIF (clk_2_sync'event AND clk_2_sync = '1') THEN rst_d1 <= '0' AFTER C_TCQ; rst_d2 <= rst_d1 AFTER C_TCQ; rst_d3 <= rst_d2 AFTER C_TCQ; rst_full_gen_i <= rst_d3 AFTER C_TCQ; END IF; END PROCESS prst; rst_full_ff_i <= rst_d2; END GENERATE grst_f; ngrst_f: IF (C_HAS_SRST = 1) GENERATE prst: PROCESS (clk_2_sync) BEGIN IF (clk_2_sync'event AND clk_2_sync = '1') THEN IF (srst_delayed = '1') THEN rst_d1 <= '1' AFTER C_TCQ; rst_d2 <= '1' AFTER C_TCQ; rst_d3 <= '1' AFTER C_TCQ; rst_full_gen_i <= '0' AFTER C_TCQ; ELSE rst_d1 <= '0' AFTER C_TCQ; rst_d2 <= rst_d1 AFTER C_TCQ; rst_d3 <= rst_d2 AFTER C_TCQ; rst_full_gen_i <= rst_d3 AFTER C_TCQ; END IF; END IF; END PROCESS prst; rst_full_ff_i <= '0'; END GENERATE ngrst_f; END GENERATE grst_full; gnrst_full: IF (C_FULL_FLAGS_RST_VAL = 0) GENERATE rst_full_gen_i <= '0'; rst_full_ff_i <= wr_rst_i WHEN (C_COMMON_CLOCK = 0) ELSE rst_i; END GENERATE gnrst_full; END GENERATE grstd1; END behavioral; ------------------------------------------------------------------------------- -- -- Register Slice -- Register one AXI channel on forward and/or reverse signal path -- ---------------------------------------------------------------------------- -- -- Structure: -- reg_slice -- ---------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY fifo_generator_v11_0_axic_reg_slice IS GENERIC ( C_FAMILY : string := ""; C_DATA_WIDTH : integer := 32; C_REG_CONFIG : integer := 0 ); PORT ( -- System Signals ACLK : IN STD_LOGIC; ARESET : IN STD_LOGIC; -- Slave side S_PAYLOAD_DATA : IN STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); S_VALID : IN STD_LOGIC; S_READY : OUT STD_LOGIC := '0'; -- Master side M_PAYLOAD_DATA : OUT STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); M_VALID : OUT STD_LOGIC := '0'; M_READY : IN STD_LOGIC ); END fifo_generator_v11_0_axic_reg_slice; ARCHITECTURE xilinx OF fifo_generator_v11_0_axic_reg_slice IS SIGNAL storage_data1 : STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL s_ready_i : STD_LOGIC := '0'; -- local signal of output SIGNAL m_valid_i : STD_LOGIC := '0'; -- local signal of output SIGNAL areset_d1 : STD_LOGIC := '0'; -- Reset delay register SIGNAL rst_asreg : std_logic := '0'; SIGNAL rst_asreg_d1 : std_logic := '0'; SIGNAL rst_asreg_d2 : std_logic := '0'; SIGNAL rst_comb : std_logic := '0'; -- Constant to have clock to register delay CONSTANT TFF : time := 100 ps; BEGIN -------------------------------------------------------------------- -- -- Both FWD and REV mode -- -------------------------------------------------------------------- gfwd_rev: IF (C_REG_CONFIG = 0) GENERATE CONSTANT ZERO : STD_LOGIC_VECTOR(1 DOWNTO 0) := "10"; CONSTANT ONE : STD_LOGIC_VECTOR(1 DOWNTO 0) := "11"; CONSTANT TWO : STD_LOGIC_VECTOR(1 DOWNTO 0) := "01"; SIGNAL state : STD_LOGIC_VECTOR(1 DOWNTO 0); SIGNAL storage_data2 : STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL load_s1 : STD_LOGIC; SIGNAL load_s2 : STD_LOGIC; SIGNAL load_s1_from_s2 : BOOLEAN; BEGIN -- assign local signal to its output signal S_READY <= s_ready_i; M_VALID <= m_valid_i; -- Reset delay register PROCESS(ACLK) BEGIN IF (ACLK'event AND ACLK = '1') THEN areset_d1 <= ARESET AFTER TFF; END IF; END PROCESS; -- Load storage1 with either slave side data or from storage2 PROCESS(ACLK) BEGIN IF (ACLK'event AND ACLK = '1') THEN IF (load_s1 = '1') THEN IF (load_s1_from_s2) THEN storage_data1 <= storage_data2 AFTER TFF; ELSE storage_data1 <= S_PAYLOAD_DATA AFTER TFF; END IF; END IF; END IF; END PROCESS; -- Load storage2 with slave side data PROCESS(ACLK) BEGIN IF (ACLK'event AND ACLK = '1') THEN IF (load_s2 = '1') THEN storage_data2 <= S_PAYLOAD_DATA AFTER TFF; END IF; END IF; END PROCESS; M_PAYLOAD_DATA <= storage_data1; -- Always load s2 on a valid transaction even if it's unnecessary load_s2 <= S_VALID AND s_ready_i; -- Loading s1 PROCESS(state,S_VALID,M_READY) BEGIN IF ((state = ZERO AND S_VALID = '1') OR -- Load when empty on slave transaction -- Load when ONE if we both have read and write at the same time (state = ONE AND S_VALID = '1' AND M_READY = '1') OR -- Load when TWO and we have a transaction on Master side (state = TWO AND M_READY = '1')) THEN load_s1 <= '1'; ELSE load_s1 <= '0'; END IF; END PROCESS; load_s1_from_s2 <= (state = TWO); -- State Machine for handling output signals PROCESS(ACLK) BEGIN IF (ACLK'event AND ACLK = '1') THEN IF (ARESET = '1') THEN s_ready_i <= '0' AFTER TFF; state <= ZERO AFTER TFF; ELSIF (areset_d1 = '1') THEN s_ready_i <= '1' AFTER TFF; ELSE CASE state IS WHEN ZERO => -- No transaction stored locally IF (S_VALID = '1') THEN -- Got one so move to ONE state <= ONE AFTER TFF; END IF; WHEN ONE => -- One transaction stored locally IF (M_READY = '1' AND S_VALID = '0') THEN -- Read out one so move to ZERO state <= ZERO AFTER TFF; END IF; IF (M_READY = '0' AND S_VALID = '1') THEN -- Got another one so move to TWO state <= TWO AFTER TFF; s_ready_i <= '0' AFTER TFF; END IF; WHEN TWO => -- TWO transaction stored locally IF (M_READY = '1') THEN -- Read out one so move to ONE state <= ONE AFTER TFF; s_ready_i <= '1' AFTER TFF; END IF; WHEN OTHERS => state <= state AFTER TFF; END CASE; END IF; END IF; END PROCESS; m_valid_i <= state(0); END GENERATE gfwd_rev; -------------------------------------------------------------------- -- -- C_REG_CONFIG = 1 -- Light-weight mode. -- 1-stage pipeline register with bubble cycle, both FWD and REV pipelining -- Operates same as 1-deep FIFO -- -------------------------------------------------------------------- gfwd_rev_pipeline1: IF (C_REG_CONFIG = 1) GENERATE -- assign local signal to its output signal S_READY <= s_ready_i; M_VALID <= m_valid_i; -- Reset delay register PROCESS(ACLK) BEGIN IF (ACLK'event AND ACLK = '1') THEN areset_d1 <= ARESET AFTER TFF; END IF; END PROCESS; -- Load storage1 with slave side data PROCESS(ACLK) BEGIN IF (ACLK'event AND ACLK = '1') THEN IF (ARESET = '1') THEN s_ready_i <= '0' AFTER TFF; m_valid_i <= '0' AFTER TFF; ELSIF (areset_d1 = '1') THEN s_ready_i <= '1' AFTER TFF; ELSIF (m_valid_i = '1' AND M_READY = '1') THEN s_ready_i <= '1' AFTER TFF; m_valid_i <= '0' AFTER TFF; ELSIF (S_VALID = '1' AND s_ready_i = '1') THEN s_ready_i <= '0' AFTER TFF; m_valid_i <= '1' AFTER TFF; END IF; IF (m_valid_i = '0') THEN storage_data1 <= S_PAYLOAD_DATA AFTER TFF; END IF; END IF; END PROCESS; M_PAYLOAD_DATA <= storage_data1; END GENERATE gfwd_rev_pipeline1; end xilinx;-- reg_slice ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Top-level Behavioral Model for AXI ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.std_logic_arith.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY fifo_generator_v11_0; USE fifo_generator_v11_0.fifo_generator_v11_0_conv; ------------------------------------------------------------------------------- -- Top-level Entity Declaration - This is the top-level of the AXI FIFO Bhv Model ------------------------------------------------------------------------------- ENTITY fifo_generator_v11_0 IS GENERIC ( ------------------------------------------------------------------------- -- Generic Declarations ------------------------------------------------------------------------- C_COMMON_CLOCK : integer := 0; C_COUNT_TYPE : integer := 0; C_DATA_COUNT_WIDTH : integer := 2; C_DEFAULT_VALUE : string := ""; C_DIN_WIDTH : integer := 8; C_DOUT_RST_VAL : string := ""; C_DOUT_WIDTH : integer := 8; C_ENABLE_RLOCS : integer := 0; C_FAMILY : string := "virtex6"; C_FULL_FLAGS_RST_VAL : integer := 1; C_HAS_ALMOST_EMPTY : integer := 0; C_HAS_ALMOST_FULL : integer := 0; C_HAS_BACKUP : integer := 0; C_HAS_DATA_COUNT : integer := 0; C_HAS_INT_CLK : integer := 0; C_HAS_MEMINIT_FILE : integer := 0; C_HAS_OVERFLOW : integer := 0; C_HAS_RD_DATA_COUNT : integer := 0; C_HAS_RD_RST : integer := 0; C_HAS_RST : integer := 1; C_HAS_SRST : integer := 0; C_HAS_UNDERFLOW : integer := 0; C_HAS_VALID : integer := 0; C_HAS_WR_ACK : integer := 0; C_HAS_WR_DATA_COUNT : integer := 0; C_HAS_WR_RST : integer := 0; C_IMPLEMENTATION_TYPE : integer := 0; C_INIT_WR_PNTR_VAL : integer := 0; C_MEMORY_TYPE : integer := 1; C_MIF_FILE_NAME : string := ""; C_OPTIMIZATION_MODE : integer := 0; C_OVERFLOW_LOW : integer := 0; C_PRELOAD_LATENCY : integer := 1; C_PRELOAD_REGS : integer := 0; C_PRIM_FIFO_TYPE : string := "4kx4"; C_PROG_EMPTY_THRESH_ASSERT_VAL : integer := 0; C_PROG_EMPTY_THRESH_NEGATE_VAL : integer := 0; C_PROG_EMPTY_TYPE : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL : integer := 0; C_PROG_FULL_THRESH_NEGATE_VAL : integer := 0; C_PROG_FULL_TYPE : integer := 0; C_RD_DATA_COUNT_WIDTH : integer := 2; C_RD_DEPTH : integer := 256; C_RD_FREQ : integer := 1; C_RD_PNTR_WIDTH : integer := 8; C_UNDERFLOW_LOW : integer := 0; C_USE_DOUT_RST : integer := 0; C_USE_ECC : integer := 0; C_USE_EMBEDDED_REG : integer := 0; C_USE_FIFO16_FLAGS : integer := 0; C_USE_FWFT_DATA_COUNT : integer := 0; C_VALID_LOW : integer := 0; C_WR_ACK_LOW : integer := 0; C_WR_DATA_COUNT_WIDTH : integer := 2; C_WR_DEPTH : integer := 256; C_WR_FREQ : integer := 1; C_WR_PNTR_WIDTH : integer := 8; C_WR_RESPONSE_LATENCY : integer := 1; C_MSGON_VAL : integer := 1; C_ENABLE_RST_SYNC : integer := 1; C_ERROR_INJECTION_TYPE : integer := 0; C_SYNCHRONIZER_STAGE : integer := 2; -- AXI Interface related parameters start here C_INTERFACE_TYPE : integer := 0; -- 0: Native Interface; 1: AXI Interface C_AXI_TYPE : integer := 0; -- 0: AXI Stream; 1: AXI Full; 2: AXI Lite C_HAS_AXI_WR_CHANNEL : integer := 0; C_HAS_AXI_RD_CHANNEL : integer := 0; C_HAS_SLAVE_CE : integer := 0; C_HAS_MASTER_CE : integer := 0; C_ADD_NGC_CONSTRAINT : integer := 0; C_USE_COMMON_OVERFLOW : integer := 0; C_USE_COMMON_UNDERFLOW : integer := 0; C_USE_DEFAULT_SETTINGS : integer := 0; -- AXI Full/Lite C_AXI_ID_WIDTH : integer := 4; C_AXI_ADDR_WIDTH : integer := 32; C_AXI_DATA_WIDTH : integer := 64; C_AXI_LEN_WIDTH : integer := 8; C_AXI_LOCK_WIDTH : integer := 2; C_HAS_AXI_ID : integer := 0; C_HAS_AXI_AWUSER : integer := 0; C_HAS_AXI_WUSER : integer := 0; C_HAS_AXI_BUSER : integer := 0; C_HAS_AXI_ARUSER : integer := 0; C_HAS_AXI_RUSER : integer := 0; C_AXI_ARUSER_WIDTH : integer := 1; C_AXI_AWUSER_WIDTH : integer := 1; C_AXI_WUSER_WIDTH : integer := 1; C_AXI_BUSER_WIDTH : integer := 1; C_AXI_RUSER_WIDTH : integer := 1; -- AXI Streaming C_HAS_AXIS_TDATA : integer := 0; C_HAS_AXIS_TID : integer := 0; C_HAS_AXIS_TDEST : integer := 0; C_HAS_AXIS_TUSER : integer := 0; C_HAS_AXIS_TREADY : integer := 1; C_HAS_AXIS_TLAST : integer := 0; C_HAS_AXIS_TSTRB : integer := 0; C_HAS_AXIS_TKEEP : integer := 0; C_AXIS_TDATA_WIDTH : integer := 64; C_AXIS_TID_WIDTH : integer := 8; C_AXIS_TDEST_WIDTH : integer := 4; C_AXIS_TUSER_WIDTH : integer := 4; C_AXIS_TSTRB_WIDTH : integer := 4; C_AXIS_TKEEP_WIDTH : integer := 4; -- AXI Channel Type -- WACH --> Write Address Channel -- WDCH --> Write Data Channel -- WRCH --> Write Response Channel -- RACH --> Read Address Channel -- RDCH --> Read Data Channel -- AXIS --> AXI Streaming C_WACH_TYPE : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logic C_WDCH_TYPE : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_WRCH_TYPE : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RACH_TYPE : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RDCH_TYPE : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_AXIS_TYPE : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie -- AXI Implementation Type -- 1 = Common Clock Block RAM FIFO -- 2 = Common Clock Distributed RAM FIFO -- 5 = Common Clock Built-in FIFO -- 11 = Independent Clock Block RAM FIFO -- 12 = Independent Clock Distributed RAM FIFO C_IMPLEMENTATION_TYPE_WACH : integer := 1; C_IMPLEMENTATION_TYPE_WDCH : integer := 1; C_IMPLEMENTATION_TYPE_WRCH : integer := 1; C_IMPLEMENTATION_TYPE_RACH : integer := 1; C_IMPLEMENTATION_TYPE_RDCH : integer := 1; C_IMPLEMENTATION_TYPE_AXIS : integer := 1; -- AXI FIFO Type -- 0 = Data FIFO -- 1 = Packet FIFO -- 2 = Low Latency Sync FIFO -- 3 = Low Latency Async FIFO C_APPLICATION_TYPE_WACH : integer := 0; C_APPLICATION_TYPE_WDCH : integer := 0; C_APPLICATION_TYPE_WRCH : integer := 0; C_APPLICATION_TYPE_RACH : integer := 0; C_APPLICATION_TYPE_RDCH : integer := 0; C_APPLICATION_TYPE_AXIS : integer := 0; -- Enable ECC -- 0 = ECC disabled -- 1 = ECC enabled C_USE_ECC_WACH : integer := 0; C_USE_ECC_WDCH : integer := 0; C_USE_ECC_WRCH : integer := 0; C_USE_ECC_RACH : integer := 0; C_USE_ECC_RDCH : integer := 0; C_USE_ECC_AXIS : integer := 0; -- ECC Error Injection Type -- 0 = No Error Injection -- 1 = Single Bit Error Injection -- 2 = Double Bit Error Injection -- 3 = Single Bit and Double Bit Error Injection C_ERROR_INJECTION_TYPE_WACH : integer := 0; C_ERROR_INJECTION_TYPE_WDCH : integer := 0; C_ERROR_INJECTION_TYPE_WRCH : integer := 0; C_ERROR_INJECTION_TYPE_RACH : integer := 0; C_ERROR_INJECTION_TYPE_RDCH : integer := 0; C_ERROR_INJECTION_TYPE_AXIS : integer := 0; -- Input Data Width -- Accumulation of all AXI input signal's width C_DIN_WIDTH_WACH : integer := 32; C_DIN_WIDTH_WDCH : integer := 64; C_DIN_WIDTH_WRCH : integer := 2; C_DIN_WIDTH_RACH : integer := 32; C_DIN_WIDTH_RDCH : integer := 64; C_DIN_WIDTH_AXIS : integer := 1; C_WR_DEPTH_WACH : integer := 16; C_WR_DEPTH_WDCH : integer := 1024; C_WR_DEPTH_WRCH : integer := 16; C_WR_DEPTH_RACH : integer := 16; C_WR_DEPTH_RDCH : integer := 1024; C_WR_DEPTH_AXIS : integer := 1024; C_WR_PNTR_WIDTH_WACH : integer := 4; C_WR_PNTR_WIDTH_WDCH : integer := 10; C_WR_PNTR_WIDTH_WRCH : integer := 4; C_WR_PNTR_WIDTH_RACH : integer := 4; C_WR_PNTR_WIDTH_RDCH : integer := 10; C_WR_PNTR_WIDTH_AXIS : integer := 10; C_HAS_DATA_COUNTS_WACH : integer := 0; C_HAS_DATA_COUNTS_WDCH : integer := 0; C_HAS_DATA_COUNTS_WRCH : integer := 0; C_HAS_DATA_COUNTS_RACH : integer := 0; C_HAS_DATA_COUNTS_RDCH : integer := 0; C_HAS_DATA_COUNTS_AXIS : integer := 0; C_HAS_PROG_FLAGS_WACH : integer := 0; C_HAS_PROG_FLAGS_WDCH : integer := 0; C_HAS_PROG_FLAGS_WRCH : integer := 0; C_HAS_PROG_FLAGS_RACH : integer := 0; C_HAS_PROG_FLAGS_RDCH : integer := 0; C_HAS_PROG_FLAGS_AXIS : integer := 0; -- 0: No Programmable FULL -- 1: Single Programmable FULL Threshold Constant -- 3: Single Programmable FULL Threshold Input Port C_PROG_FULL_TYPE_WACH : integer := 5; C_PROG_FULL_TYPE_WDCH : integer := 5; C_PROG_FULL_TYPE_WRCH : integer := 5; C_PROG_FULL_TYPE_RACH : integer := 5; C_PROG_FULL_TYPE_RDCH : integer := 5; C_PROG_FULL_TYPE_AXIS : integer := 5; -- Single Programmable FULL Threshold Constant Assert Value C_PROG_FULL_THRESH_ASSERT_VAL_WACH : integer := 1023; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : integer := 1023; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : integer := 1023; C_PROG_FULL_THRESH_ASSERT_VAL_RACH : integer := 1023; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : integer := 1023; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : integer := 1023; -- 0: No Programmable EMPTY -- 1: Single Programmable EMPTY Threshold Constant -- 3: Single Programmable EMPTY Threshold Input Port C_PROG_EMPTY_TYPE_WACH : integer := 5; C_PROG_EMPTY_TYPE_WDCH : integer := 5; C_PROG_EMPTY_TYPE_WRCH : integer := 5; C_PROG_EMPTY_TYPE_RACH : integer := 5; C_PROG_EMPTY_TYPE_RDCH : integer := 5; C_PROG_EMPTY_TYPE_AXIS : integer := 5; -- Single Programmable EMPTY Threshold Constant Assert Value C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : integer := 1022; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : integer := 1022; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : integer := 1022; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : integer := 1022; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : integer := 1022; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : integer := 1022; C_REG_SLICE_MODE_WACH : integer := 0; C_REG_SLICE_MODE_WDCH : integer := 0; C_REG_SLICE_MODE_WRCH : integer := 0; C_REG_SLICE_MODE_RACH : integer := 0; C_REG_SLICE_MODE_RDCH : integer := 0; C_REG_SLICE_MODE_AXIS : integer := 0 ); PORT( ------------------------------------------------------------------------------ -- Input and Output Declarations ------------------------------------------------------------------------------ -- Conventional FIFO Interface Signals BACKUP : IN std_logic := '0'; BACKUP_MARKER : IN std_logic := '0'; CLK : IN std_logic := '0'; RST : IN std_logic := '0'; SRST : IN std_logic := '0'; WR_CLK : IN std_logic := '0'; WR_RST : IN std_logic := '0'; RD_CLK : IN std_logic := '0'; RD_RST : IN std_logic := '0'; DIN : IN std_logic_vector(C_DIN_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); WR_EN : IN std_logic := '0'; RD_EN : IN std_logic := '0'; -- Optional inputs PROG_EMPTY_THRESH : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); PROG_EMPTY_THRESH_ASSERT : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); PROG_EMPTY_THRESH_NEGATE : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); PROG_FULL_THRESH : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); PROG_FULL_THRESH_ASSERT : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); PROG_FULL_THRESH_NEGATE : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); INT_CLK : IN std_logic := '0'; INJECTDBITERR : IN std_logic := '0'; INJECTSBITERR : IN std_logic := '0'; DOUT : OUT std_logic_vector(C_DOUT_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); FULL : OUT std_logic := '0'; ALMOST_FULL : OUT std_logic := '0'; WR_ACK : OUT std_logic := '0'; OVERFLOW : OUT std_logic := '0'; EMPTY : OUT std_logic := '1'; ALMOST_EMPTY : OUT std_logic := '1'; VALID : OUT std_logic := '0'; UNDERFLOW : OUT std_logic := '0'; DATA_COUNT : OUT std_logic_vector(C_DATA_COUNT_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); RD_DATA_COUNT : OUT std_logic_vector(C_RD_DATA_COUNT_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); WR_DATA_COUNT : OUT std_logic_vector(C_WR_DATA_COUNT_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); PROG_FULL : OUT std_logic := '0'; PROG_EMPTY : OUT std_logic := '1'; SBITERR : OUT std_logic := '0'; DBITERR : OUT std_logic := '0'; -- AXI Global Signal M_ACLK : IN std_logic := '0'; S_ACLK : IN std_logic := '0'; S_ARESETN : IN std_logic := '1'; -- Active low reset, default value set to 1 M_ACLK_EN : IN std_logic := '0'; S_ACLK_EN : IN std_logic := '0'; -- AXI Full/Lite Slave Write Channel (write side) S_AXI_AWID : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWADDR : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWLEN : IN std_logic_vector(C_AXI_LEN_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWSIZE : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWBURST : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWLOCK : IN std_logic_vector(C_AXI_LOCK_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWCACHE : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWPROT : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWQOS : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWREGION : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWUSER : IN std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWVALID : IN std_logic := '0'; S_AXI_AWREADY : OUT std_logic := '0'; S_AXI_WID : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_WDATA : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_WSTRB : IN std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_WLAST : IN std_logic := '0'; S_AXI_WUSER : IN std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_WVALID : IN std_logic := '0'; S_AXI_WREADY : OUT std_logic := '0'; S_AXI_BID : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_BRESP : OUT std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_BUSER : OUT std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_BVALID : OUT std_logic := '0'; S_AXI_BREADY : IN std_logic := '0'; -- AXI Full/Lite Master Write Channel (Read side) M_AXI_AWID : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); M_AXI_AWADDR : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); M_AXI_AWLEN : OUT std_logic_vector(C_AXI_LEN_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); M_AXI_AWSIZE : OUT std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); M_AXI_AWBURST : OUT std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); M_AXI_AWLOCK : OUT std_logic_vector(C_AXI_LOCK_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); M_AXI_AWCACHE : OUT std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); M_AXI_AWPROT : OUT std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); M_AXI_AWQOS : OUT std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); M_AXI_AWREGION : OUT std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); M_AXI_AWUSER : OUT std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); M_AXI_AWVALID : OUT std_logic := '0'; M_AXI_AWREADY : IN std_logic := '0'; M_AXI_WID : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); M_AXI_WDATA : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); M_AXI_WSTRB : OUT std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0) := (OTHERS => '0'); M_AXI_WLAST : OUT std_logic := '0'; M_AXI_WUSER : OUT std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); M_AXI_WVALID : OUT std_logic := '0'; M_AXI_WREADY : IN std_logic := '0'; M_AXI_BID : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); M_AXI_BRESP : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); M_AXI_BUSER : IN std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); M_AXI_BVALID : IN std_logic := '0'; M_AXI_BREADY : OUT std_logic := '0'; -- AXI Full/Lite Slave Read Channel (Write side) S_AXI_ARID : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARADDR : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARLEN : IN std_logic_vector(C_AXI_LEN_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARSIZE : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARBURST : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARLOCK : IN std_logic_vector(C_AXI_LOCK_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARCACHE : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARPROT : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARQOS : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARREGION : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARUSER : IN std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARVALID : IN std_logic := '0'; S_AXI_ARREADY : OUT std_logic := '0'; S_AXI_RID : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_RDATA : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_RRESP : OUT std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_RLAST : OUT std_logic := '0'; S_AXI_RUSER : OUT std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_RVALID : OUT std_logic := '0'; S_AXI_RREADY : IN std_logic := '0'; -- AXI Full/Lite Master Read Channel (Read side) M_AXI_ARID : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); M_AXI_ARADDR : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); M_AXI_ARLEN : OUT std_logic_vector(C_AXI_LEN_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); M_AXI_ARSIZE : OUT std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); M_AXI_ARBURST : OUT std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); M_AXI_ARLOCK : OUT std_logic_vector(C_AXI_LOCK_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); M_AXI_ARCACHE : OUT std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); M_AXI_ARPROT : OUT std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); M_AXI_ARQOS : OUT std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); M_AXI_ARREGION : OUT std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); M_AXI_ARUSER : OUT std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); M_AXI_ARVALID : OUT std_logic := '0'; M_AXI_ARREADY : IN std_logic := '0'; M_AXI_RID : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); M_AXI_RDATA : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); M_AXI_RRESP : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); M_AXI_RLAST : IN std_logic := '0'; M_AXI_RUSER : IN std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); M_AXI_RVALID : IN std_logic := '0'; M_AXI_RREADY : OUT std_logic := '0'; -- AXI Streaming Slave Signals (Write side) S_AXIS_TVALID : IN std_logic := '0'; S_AXIS_TREADY : OUT std_logic := '0'; S_AXIS_TDATA : IN std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXIS_TSTRB : IN std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXIS_TKEEP : IN std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXIS_TLAST : IN std_logic := '0'; S_AXIS_TID : IN std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXIS_TDEST : IN std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXIS_TUSER : IN std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- AXI Streaming Master Signals (Read side) M_AXIS_TVALID : OUT std_logic := '0'; M_AXIS_TREADY : IN std_logic := '0'; M_AXIS_TDATA : OUT std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); M_AXIS_TSTRB : OUT std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); M_AXIS_TKEEP : OUT std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); M_AXIS_TLAST : OUT std_logic := '0'; M_AXIS_TID : OUT std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); M_AXIS_TDEST : OUT std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); M_AXIS_TUSER : OUT std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- AXI Full/Lite Write Address Channel Signals AXI_AW_INJECTSBITERR : IN std_logic := '0'; AXI_AW_INJECTDBITERR : IN std_logic := '0'; AXI_AW_PROG_FULL_THRESH : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); AXI_AW_PROG_EMPTY_THRESH : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); AXI_AW_DATA_COUNT : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0) := (OTHERS => '0'); AXI_AW_WR_DATA_COUNT : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0) := (OTHERS => '0'); AXI_AW_RD_DATA_COUNT : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0) := (OTHERS => '0'); AXI_AW_SBITERR : OUT std_logic := '0'; AXI_AW_DBITERR : OUT std_logic := '0'; AXI_AW_OVERFLOW : OUT std_logic := '0'; AXI_AW_UNDERFLOW : OUT std_logic := '0'; AXI_AW_PROG_FULL : OUT STD_LOGIC := '0'; AXI_AW_PROG_EMPTY : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Data Channel Signals AXI_W_INJECTSBITERR : IN std_logic := '0'; AXI_W_INJECTDBITERR : IN std_logic := '0'; AXI_W_PROG_FULL_THRESH : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); AXI_W_PROG_EMPTY_THRESH : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); AXI_W_DATA_COUNT : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0) := (OTHERS => '0'); AXI_W_WR_DATA_COUNT : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0) := (OTHERS => '0'); AXI_W_RD_DATA_COUNT : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0) := (OTHERS => '0'); AXI_W_SBITERR : OUT std_logic := '0'; AXI_W_DBITERR : OUT std_logic := '0'; AXI_W_OVERFLOW : OUT std_logic := '0'; AXI_W_UNDERFLOW : OUT std_logic := '0'; AXI_W_PROG_FULL : OUT STD_LOGIC := '0'; AXI_W_PROG_EMPTY : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Response Channel Signals AXI_B_INJECTSBITERR : IN std_logic := '0'; AXI_B_INJECTDBITERR : IN std_logic := '0'; AXI_B_PROG_FULL_THRESH : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); AXI_B_PROG_EMPTY_THRESH : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); AXI_B_DATA_COUNT : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0) := (OTHERS => '0'); AXI_B_WR_DATA_COUNT : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0) := (OTHERS => '0'); AXI_B_RD_DATA_COUNT : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0) := (OTHERS => '0'); AXI_B_SBITERR : OUT std_logic := '0'; AXI_B_DBITERR : OUT std_logic := '0'; AXI_B_OVERFLOW : OUT std_logic := '0'; AXI_B_UNDERFLOW : OUT std_logic := '0'; AXI_B_PROG_FULL : OUT STD_LOGIC := '0'; AXI_B_PROG_EMPTY : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Address Channel Signals AXI_AR_INJECTSBITERR : IN std_logic := '0'; AXI_AR_INJECTDBITERR : IN std_logic := '0'; AXI_AR_PROG_FULL_THRESH : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); AXI_AR_PROG_EMPTY_THRESH : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); AXI_AR_DATA_COUNT : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0) := (OTHERS => '0'); AXI_AR_WR_DATA_COUNT : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0) := (OTHERS => '0'); AXI_AR_RD_DATA_COUNT : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0) := (OTHERS => '0'); AXI_AR_SBITERR : OUT std_logic := '0'; AXI_AR_DBITERR : OUT std_logic := '0'; AXI_AR_OVERFLOW : OUT std_logic := '0'; AXI_AR_UNDERFLOW : OUT std_logic := '0'; AXI_AR_PROG_FULL : OUT STD_LOGIC := '0'; AXI_AR_PROG_EMPTY : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Data Channel Signals AXI_R_INJECTSBITERR : IN std_logic := '0'; AXI_R_INJECTDBITERR : IN std_logic := '0'; AXI_R_PROG_FULL_THRESH : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); AXI_R_PROG_EMPTY_THRESH : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); AXI_R_DATA_COUNT : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0) := (OTHERS => '0'); AXI_R_WR_DATA_COUNT : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0) := (OTHERS => '0'); AXI_R_RD_DATA_COUNT : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0) := (OTHERS => '0'); AXI_R_SBITERR : OUT std_logic := '0'; AXI_R_DBITERR : OUT std_logic := '0'; AXI_R_OVERFLOW : OUT std_logic := '0'; AXI_R_UNDERFLOW : OUT std_logic := '0'; AXI_R_PROG_FULL : OUT STD_LOGIC := '0'; AXI_R_PROG_EMPTY : OUT STD_LOGIC := '1'; -- AXI Streaming FIFO Related Signals AXIS_INJECTSBITERR : IN std_logic := '0'; AXIS_INJECTDBITERR : IN std_logic := '0'; AXIS_PROG_FULL_THRESH : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); AXIS_PROG_EMPTY_THRESH : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); AXIS_DATA_COUNT : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0) := (OTHERS => '0'); AXIS_WR_DATA_COUNT : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0) := (OTHERS => '0'); AXIS_RD_DATA_COUNT : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0) := (OTHERS => '0'); AXIS_SBITERR : OUT std_logic := '0'; AXIS_DBITERR : OUT std_logic := '0'; AXIS_OVERFLOW : OUT std_logic := '0'; AXIS_UNDERFLOW : OUT std_logic := '0'; AXIS_PROG_FULL : OUT STD_LOGIC := '0'; AXIS_PROG_EMPTY : OUT STD_LOGIC := '1' ); END fifo_generator_v11_0; ARCHITECTURE behavioral OF fifo_generator_v11_0 IS COMPONENT fifo_generator_v11_0_conv IS GENERIC ( --------------------------------------------------------------------------- -- Generic Declarations --------------------------------------------------------------------------- C_COMMON_CLOCK : integer := 0; C_COUNT_TYPE : integer := 0; --not used C_DATA_COUNT_WIDTH : integer := 2; C_DEFAULT_VALUE : string := ""; --not used C_DIN_WIDTH : integer := 8; C_DOUT_RST_VAL : string := ""; C_DOUT_WIDTH : integer := 8; C_ENABLE_RLOCS : integer := 0; --not used C_FAMILY : string := ""; --not used in bhv model C_FULL_FLAGS_RST_VAL : integer := 0; C_HAS_ALMOST_EMPTY : integer := 0; C_HAS_ALMOST_FULL : integer := 0; C_HAS_BACKUP : integer := 0; --not used C_HAS_DATA_COUNT : integer := 0; C_HAS_INT_CLK : integer := 0; --not used in bhv model C_HAS_MEMINIT_FILE : integer := 0; --not used C_HAS_OVERFLOW : integer := 0; C_HAS_RD_DATA_COUNT : integer := 0; C_HAS_RD_RST : integer := 0; --not used C_HAS_RST : integer := 1; C_HAS_SRST : integer := 0; C_HAS_UNDERFLOW : integer := 0; C_HAS_VALID : integer := 0; C_HAS_WR_ACK : integer := 0; C_HAS_WR_DATA_COUNT : integer := 0; C_HAS_WR_RST : integer := 0; --not used C_IMPLEMENTATION_TYPE : integer := 0; C_INIT_WR_PNTR_VAL : integer := 0; --not used C_MEMORY_TYPE : integer := 1; C_MIF_FILE_NAME : string := ""; --not used C_OPTIMIZATION_MODE : integer := 0; --not used C_OVERFLOW_LOW : integer := 0; C_PRELOAD_LATENCY : integer := 1; C_PRELOAD_REGS : integer := 0; C_PRIM_FIFO_TYPE : string := "4kx4"; --not used in bhv model C_PROG_EMPTY_THRESH_ASSERT_VAL: integer := 0; C_PROG_EMPTY_THRESH_NEGATE_VAL: integer := 0; C_PROG_EMPTY_TYPE : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL : integer := 0; C_PROG_FULL_THRESH_NEGATE_VAL : integer := 0; C_PROG_FULL_TYPE : integer := 0; C_RD_DATA_COUNT_WIDTH : integer := 2; C_RD_DEPTH : integer := 256; C_RD_FREQ : integer := 1; --not used in bhv model C_RD_PNTR_WIDTH : integer := 8; C_UNDERFLOW_LOW : integer := 0; C_USE_DOUT_RST : integer := 0; C_USE_ECC : integer := 0; C_USE_EMBEDDED_REG : integer := 0; C_USE_FIFO16_FLAGS : integer := 0; --not used in bhv model C_USE_FWFT_DATA_COUNT : integer := 0; C_VALID_LOW : integer := 0; C_WR_ACK_LOW : integer := 0; C_WR_DATA_COUNT_WIDTH : integer := 2; C_WR_DEPTH : integer := 256; C_WR_FREQ : integer := 1; --not used in bhv model C_WR_PNTR_WIDTH : integer := 8; C_WR_RESPONSE_LATENCY : integer := 1; --not used C_MSGON_VAL : integer := 1; --not used in bhv model C_ENABLE_RST_SYNC : integer := 1; C_ERROR_INJECTION_TYPE : integer := 0; C_FIFO_TYPE : integer := 0; C_SYNCHRONIZER_STAGE : integer := 2; C_AXI_TYPE : integer := 0 ); PORT( -------------------------------------------------------------------------------- -- Input and Output Declarations -------------------------------------------------------------------------------- BACKUP : IN std_logic := '0'; BACKUP_MARKER : IN std_logic := '0'; CLK : IN std_logic := '0'; RST : IN std_logic := '0'; SRST : IN std_logic := '0'; WR_CLK : IN std_logic := '0'; WR_RST : IN std_logic := '0'; RD_CLK : IN std_logic := '0'; RD_RST : IN std_logic := '0'; DIN : IN std_logic_vector(C_DIN_WIDTH-1 DOWNTO 0); -- WR_EN : IN std_logic; --Mandatory input RD_EN : IN std_logic; --Mandatory input --Mandatory input PROG_EMPTY_THRESH : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); PROG_EMPTY_THRESH_ASSERT : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); PROG_EMPTY_THRESH_NEGATE : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); PROG_FULL_THRESH : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); PROG_FULL_THRESH_ASSERT : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); PROG_FULL_THRESH_NEGATE : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); INT_CLK : IN std_logic := '0'; INJECTDBITERR : IN std_logic := '0'; INJECTSBITERR : IN std_logic := '0'; DOUT : OUT std_logic_vector(C_DOUT_WIDTH-1 DOWNTO 0); FULL : OUT std_logic; ALMOST_FULL : OUT std_logic; WR_ACK : OUT std_logic; OVERFLOW : OUT std_logic; EMPTY : OUT std_logic; ALMOST_EMPTY : OUT std_logic; VALID : OUT std_logic; UNDERFLOW : OUT std_logic; DATA_COUNT : OUT std_logic_vector(C_DATA_COUNT_WIDTH-1 DOWNTO 0); RD_DATA_COUNT : OUT std_logic_vector(C_RD_DATA_COUNT_WIDTH-1 DOWNTO 0); WR_DATA_COUNT : OUT std_logic_vector(C_WR_DATA_COUNT_WIDTH-1 DOWNTO 0); PROG_FULL : OUT std_logic; PROG_EMPTY : OUT std_logic; SBITERR : OUT std_logic := '0'; DBITERR : OUT std_logic := '0' ); END COMPONENT; COMPONENT fifo_generator_v11_0_axic_reg_slice IS GENERIC ( C_FAMILY : string := ""; C_DATA_WIDTH : integer := 32; C_REG_CONFIG : integer := 0 ); PORT ( -- System Signals ACLK : IN STD_LOGIC; ARESET : IN STD_LOGIC; -- Slave side S_PAYLOAD_DATA : IN STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); S_VALID : IN STD_LOGIC; S_READY : OUT STD_LOGIC := '0'; -- Master side M_PAYLOAD_DATA : OUT STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); M_VALID : OUT STD_LOGIC := '0'; M_READY : IN STD_LOGIC ); END COMPONENT; -- CONSTANT C_AXI_LEN_WIDTH : integer := 8; CONSTANT C_AXI_SIZE_WIDTH : integer := 3; CONSTANT C_AXI_BURST_WIDTH : integer := 2; -- CONSTANT C_AXI_LOCK_WIDTH : integer := 2; CONSTANT C_AXI_CACHE_WIDTH : integer := 4; CONSTANT C_AXI_PROT_WIDTH : integer := 3; CONSTANT C_AXI_QOS_WIDTH : integer := 4; CONSTANT C_AXI_REGION_WIDTH : integer := 4; CONSTANT C_AXI_BRESP_WIDTH : integer := 2; CONSTANT C_AXI_RRESP_WIDTH : integer := 2; CONSTANT TFF : time := 100 ps; ----------------------------------------------------------------------------- -- FUNCTION if_then_else -- Returns a true case or flase case based on the condition ------------------------------------------------------------------------------- FUNCTION if_then_else ( condition : boolean; true_case : integer; false_case : integer) RETURN integer IS VARIABLE retval : integer := 0; BEGIN IF NOT condition THEN retval:=false_case; ELSE retval:=true_case; END IF; RETURN retval; END if_then_else; ------------------------------------------------------------------------------ -- This function is used to implement an IF..THEN when such a statement is not -- allowed and returns string. ------------------------------------------------------------------------------ FUNCTION if_then_else ( condition : boolean; true_case : string; false_case : string) RETURN string IS BEGIN IF NOT condition THEN RETURN false_case; ELSE RETURN true_case; END IF; END if_then_else; -------------------------------------------------------- -- FUNCION : map_ready_valid -- Returns the READY signal that is mapped out of FULL or ALMOST_FULL or PROG_FULL -- Returns the VALID signal that is mapped out of EMPTY or ALMOST_EMPTY or PROG_EMPTY -------------------------------------------------------- FUNCTION map_ready_valid( pf_pe_type : integer; full_empty : std_logic; af_ae : std_logic; pf_pe : std_logic) RETURN std_logic IS BEGIN IF (pf_pe_type = 5) THEN RETURN NOT full_empty; ELSIF (pf_pe_type = 6) THEN RETURN NOT af_ae; ELSE RETURN NOT pf_pe; END IF; END map_ready_valid; SIGNAL inverted_reset : std_logic := '0'; SIGNAL axi_rs_rst : std_logic := '0'; BEGIN inverted_reset <= NOT S_ARESETN; gaxi_rs_rst: IF (C_INTERFACE_TYPE > 0 AND (C_AXIS_TYPE = 1 OR C_WACH_TYPE = 1 OR C_WDCH_TYPE = 1 OR C_WRCH_TYPE = 1 OR C_RACH_TYPE = 1 OR C_RDCH_TYPE = 1)) GENERATE SIGNAL rst_d1 : STD_LOGIC := '1'; SIGNAL rst_d2 : STD_LOGIC := '1'; BEGIN prst: PROCESS (inverted_reset, S_ACLK) BEGIN IF (inverted_reset = '1') THEN rst_d1 <= '1'; rst_d2 <= '1'; ELSIF (S_ACLK'event AND S_ACLK = '1') THEN rst_d1 <= '0' AFTER TFF; rst_d2 <= rst_d1 AFTER TFF; END IF; END PROCESS prst; axi_rs_rst <= rst_d2; END GENERATE gaxi_rs_rst; --------------------------------------------------------------------------- -- Top level instance for Conventional FIFO. --------------------------------------------------------------------------- gconvfifo: IF (C_INTERFACE_TYPE = 0) GENERATE inst_conv_fifo: fifo_generator_v11_0_conv GENERIC map( C_COMMON_CLOCK => C_COMMON_CLOCK, C_COUNT_TYPE => C_COUNT_TYPE, C_DATA_COUNT_WIDTH => C_DATA_COUNT_WIDTH, C_DEFAULT_VALUE => C_DEFAULT_VALUE, C_DIN_WIDTH => C_DIN_WIDTH, C_DOUT_RST_VAL => if_then_else(C_USE_DOUT_RST = 1, C_DOUT_RST_VAL, "0"), C_DOUT_WIDTH => C_DOUT_WIDTH, C_ENABLE_RLOCS => C_ENABLE_RLOCS, C_FAMILY => C_FAMILY, C_FULL_FLAGS_RST_VAL => C_FULL_FLAGS_RST_VAL, C_HAS_ALMOST_EMPTY => C_HAS_ALMOST_EMPTY, C_HAS_ALMOST_FULL => C_HAS_ALMOST_FULL, C_HAS_BACKUP => C_HAS_BACKUP, C_HAS_DATA_COUNT => C_HAS_DATA_COUNT, C_HAS_INT_CLK => C_HAS_INT_CLK, C_HAS_MEMINIT_FILE => C_HAS_MEMINIT_FILE, C_HAS_OVERFLOW => C_HAS_OVERFLOW, C_HAS_RD_DATA_COUNT => C_HAS_RD_DATA_COUNT, C_HAS_RD_RST => C_HAS_RD_RST, C_HAS_RST => C_HAS_RST, C_HAS_SRST => C_HAS_SRST, C_HAS_UNDERFLOW => C_HAS_UNDERFLOW, C_HAS_VALID => C_HAS_VALID, C_HAS_WR_ACK => C_HAS_WR_ACK, C_HAS_WR_DATA_COUNT => C_HAS_WR_DATA_COUNT, C_HAS_WR_RST => C_HAS_WR_RST, C_IMPLEMENTATION_TYPE => C_IMPLEMENTATION_TYPE, C_INIT_WR_PNTR_VAL => C_INIT_WR_PNTR_VAL, C_MEMORY_TYPE => C_MEMORY_TYPE, C_MIF_FILE_NAME => C_MIF_FILE_NAME, C_OPTIMIZATION_MODE => C_OPTIMIZATION_MODE, C_OVERFLOW_LOW => C_OVERFLOW_LOW, C_PRELOAD_LATENCY => C_PRELOAD_LATENCY, C_PRELOAD_REGS => C_PRELOAD_REGS, C_PRIM_FIFO_TYPE => C_PRIM_FIFO_TYPE, C_PROG_EMPTY_THRESH_ASSERT_VAL => C_PROG_EMPTY_THRESH_ASSERT_VAL, C_PROG_EMPTY_THRESH_NEGATE_VAL => C_PROG_EMPTY_THRESH_NEGATE_VAL, C_PROG_EMPTY_TYPE => C_PROG_EMPTY_TYPE, C_PROG_FULL_THRESH_ASSERT_VAL => C_PROG_FULL_THRESH_ASSERT_VAL, C_PROG_FULL_THRESH_NEGATE_VAL => C_PROG_FULL_THRESH_NEGATE_VAL, C_PROG_FULL_TYPE => C_PROG_FULL_TYPE, C_RD_DATA_COUNT_WIDTH => C_RD_DATA_COUNT_WIDTH, C_RD_DEPTH => C_RD_DEPTH, C_RD_FREQ => C_RD_FREQ, C_RD_PNTR_WIDTH => C_RD_PNTR_WIDTH, C_UNDERFLOW_LOW => C_UNDERFLOW_LOW, C_USE_DOUT_RST => C_USE_DOUT_RST, C_USE_ECC => C_USE_ECC, C_USE_EMBEDDED_REG => C_USE_EMBEDDED_REG, C_USE_FIFO16_FLAGS => C_USE_FIFO16_FLAGS, C_USE_FWFT_DATA_COUNT => C_USE_FWFT_DATA_COUNT, C_VALID_LOW => C_VALID_LOW, C_WR_ACK_LOW => C_WR_ACK_LOW, C_WR_DATA_COUNT_WIDTH => C_WR_DATA_COUNT_WIDTH, C_WR_DEPTH => C_WR_DEPTH, C_WR_FREQ => C_WR_FREQ, C_WR_PNTR_WIDTH => C_WR_PNTR_WIDTH, C_WR_RESPONSE_LATENCY => C_WR_RESPONSE_LATENCY, C_MSGON_VAL => C_MSGON_VAL, C_ENABLE_RST_SYNC => C_ENABLE_RST_SYNC, C_ERROR_INJECTION_TYPE => C_ERROR_INJECTION_TYPE, C_AXI_TYPE => C_AXI_TYPE, C_SYNCHRONIZER_STAGE => C_SYNCHRONIZER_STAGE ) PORT MAP( --Inputs BACKUP => BACKUP, BACKUP_MARKER => BACKUP_MARKER, CLK => CLK, RST => RST, SRST => SRST, WR_CLK => WR_CLK, WR_RST => WR_RST, RD_CLK => RD_CLK, RD_RST => RD_RST, DIN => DIN, WR_EN => WR_EN, RD_EN => RD_EN, PROG_EMPTY_THRESH => PROG_EMPTY_THRESH, PROG_EMPTY_THRESH_ASSERT => PROG_EMPTY_THRESH_ASSERT, PROG_EMPTY_THRESH_NEGATE => PROG_EMPTY_THRESH_NEGATE, PROG_FULL_THRESH => PROG_FULL_THRESH, PROG_FULL_THRESH_ASSERT => PROG_FULL_THRESH_ASSERT, PROG_FULL_THRESH_NEGATE => PROG_FULL_THRESH_NEGATE, INT_CLK => INT_CLK, INJECTDBITERR => INJECTDBITERR, INJECTSBITERR => INJECTSBITERR, --Outputs DOUT => DOUT, FULL => FULL, ALMOST_FULL => ALMOST_FULL, WR_ACK => WR_ACK, OVERFLOW => OVERFLOW, EMPTY => EMPTY, ALMOST_EMPTY => ALMOST_EMPTY, VALID => VALID, UNDERFLOW => UNDERFLOW, DATA_COUNT => DATA_COUNT, RD_DATA_COUNT => RD_DATA_COUNT, WR_DATA_COUNT => WR_DATA_COUNT, PROG_FULL => PROG_FULL, PROG_EMPTY => PROG_EMPTY, SBITERR => SBITERR, DBITERR => DBITERR ); END GENERATE gconvfifo; -- End of conventional FIFO --------------------------------------------------------------------------- --------------------------------------------------------------------------- --------------------------------------------------------------------------- -- Top level instance for ramfifo in AXI Streaming FIFO core. It implements: -- * BRAM based FIFO -- * Dist RAM based FIFO --------------------------------------------------------------------------- --------------------------------------------------------------------------- --------------------------------------------------------------------------- gaxis_fifo: IF ((C_INTERFACE_TYPE = 1) AND (C_AXIS_TYPE < 2)) GENERATE SIGNAL axis_din : std_logic_vector(C_DIN_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL axis_dout : std_logic_vector(C_DIN_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL axis_full : std_logic := '0'; SIGNAL axis_almost_full : std_logic := '0'; SIGNAL axis_empty : std_logic := '0'; SIGNAL axis_s_axis_tready : std_logic := '0'; SIGNAL axis_m_axis_tvalid : std_logic := '0'; SIGNAL axis_wr_en : std_logic := '0'; SIGNAL axis_rd_en : std_logic := '0'; SIGNAL axis_dc : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH_AXIS DOWNTO 0) := (OTHERS => '0'); SIGNAL axis_pkt_read : STD_LOGIC := '0'; CONSTANT TDATA_OFFSET : integer := if_then_else(C_HAS_AXIS_TDATA = 1,C_DIN_WIDTH_AXIS-C_AXIS_TDATA_WIDTH,C_DIN_WIDTH_AXIS); CONSTANT TSTRB_OFFSET : integer := if_then_else(C_HAS_AXIS_TSTRB = 1,TDATA_OFFSET-C_AXIS_TSTRB_WIDTH,TDATA_OFFSET); CONSTANT TKEEP_OFFSET : integer := if_then_else(C_HAS_AXIS_TKEEP = 1,TSTRB_OFFSET-C_AXIS_TKEEP_WIDTH,TSTRB_OFFSET); CONSTANT TID_OFFSET : integer := if_then_else(C_HAS_AXIS_TID = 1,TKEEP_OFFSET-C_AXIS_TID_WIDTH,TKEEP_OFFSET); CONSTANT TDEST_OFFSET : integer := if_then_else(C_HAS_AXIS_TDEST = 1,TID_OFFSET-C_AXIS_TDEST_WIDTH,TID_OFFSET); CONSTANT TUSER_OFFSET : integer := if_then_else(C_HAS_AXIS_TUSER = 1,TDEST_OFFSET-C_AXIS_TUSER_WIDTH,TDEST_OFFSET); BEGIN -- Generate the DIN to FIFO by concatinating the AXIS optional ports gdin1: IF (C_HAS_AXIS_TDATA = 1) GENERATE axis_din(C_DIN_WIDTH_AXIS-1 DOWNTO TDATA_OFFSET) <= S_AXIS_TDATA; M_AXIS_TDATA <= axis_dout(C_DIN_WIDTH_AXIS-1 DOWNTO TDATA_OFFSET); END GENERATE gdin1; gdin2: IF (C_HAS_AXIS_TSTRB = 1) GENERATE axis_din(TDATA_OFFSET-1 DOWNTO TSTRB_OFFSET) <= S_AXIS_TSTRB; M_AXIS_TSTRB <= axis_dout(TDATA_OFFSET-1 DOWNTO TSTRB_OFFSET); END GENERATE gdin2; gdin3: IF (C_HAS_AXIS_TKEEP = 1) GENERATE axis_din(TSTRB_OFFSET-1 DOWNTO TKEEP_OFFSET) <= S_AXIS_TKEEP; M_AXIS_TKEEP <= axis_dout(TSTRB_OFFSET-1 DOWNTO TKEEP_OFFSET); END GENERATE gdin3; gdin4: IF (C_HAS_AXIS_TID = 1) GENERATE axis_din(TKEEP_OFFSET-1 DOWNTO TID_OFFSET) <= S_AXIS_TID; M_AXIS_TID <= axis_dout(TKEEP_OFFSET-1 DOWNTO TID_OFFSET); END GENERATE gdin4; gdin5: IF (C_HAS_AXIS_TDEST = 1) GENERATE axis_din(TID_OFFSET-1 DOWNTO TDEST_OFFSET) <= S_AXIS_TDEST; M_AXIS_TDEST <= axis_dout(TID_OFFSET-1 DOWNTO TDEST_OFFSET); END GENERATE gdin5; gdin6: IF (C_HAS_AXIS_TUSER = 1) GENERATE axis_din(TDEST_OFFSET-1 DOWNTO TUSER_OFFSET) <= S_AXIS_TUSER; M_AXIS_TUSER <= axis_dout(TDEST_OFFSET-1 DOWNTO TUSER_OFFSET); END GENERATE gdin6; gdin7: IF (C_HAS_AXIS_TLAST = 1) GENERATE axis_din(0) <= S_AXIS_TLAST; M_AXIS_TLAST <= axis_dout(0); END GENERATE gdin7; -- Write protection -- When FULL is high, pass VALID as a WR_EN to the FIFO to get OVERFLOW interrupt gaxis_wr_en1: IF (C_PROG_FULL_TYPE_AXIS = 0) GENERATE gwe_pkt: IF (C_APPLICATION_TYPE_AXIS = 1) GENERATE axis_wr_en <= S_AXIS_TVALID AND axis_s_axis_tready; END GENERATE gwe_pkt; gwe: IF (C_APPLICATION_TYPE_AXIS /= 1) GENERATE axis_wr_en <= S_AXIS_TVALID; END GENERATE gwe; END GENERATE gaxis_wr_en1; -- When ALMOST_FULL or PROG_FULL is high, then shield the FIFO from becoming FULL gaxis_wr_en2: IF (C_PROG_FULL_TYPE_AXIS /= 0) GENERATE axis_wr_en <= axis_s_axis_tready AND S_AXIS_TVALID; END GENERATE gaxis_wr_en2; -- Read protection -- When EMPTY is low, pass READY as a RD_EN to the FIFO to get UNDERFLOW interrupt gaxis_rd_en1: IF (C_PROG_EMPTY_TYPE_AXIS = 0) GENERATE gre_pkt: IF (C_APPLICATION_TYPE_AXIS = 1) GENERATE axis_rd_en <= M_AXIS_TREADY AND axis_m_axis_tvalid; END GENERATE gre_pkt; gre_npkt: IF (C_APPLICATION_TYPE_AXIS /= 1) GENERATE axis_rd_en <= M_AXIS_TREADY; END GENERATE gre_npkt; END GENERATE gaxis_rd_en1; -- When ALMOST_EMPTY or PROG_EMPTY is low, then shield the FIFO from becoming EMPTY gaxis_rd_en2: IF (C_PROG_EMPTY_TYPE_AXIS /= 0) GENERATE axis_rd_en <= axis_m_axis_tvalid AND M_AXIS_TREADY; END GENERATE gaxis_rd_en2; gaxisf: IF (C_AXIS_TYPE = 0) GENERATE SIGNAL axis_we : STD_LOGIC := '0'; SIGNAL axis_re : STD_LOGIC := '0'; BEGIN axis_we <= axis_wr_en WHEN (C_HAS_SLAVE_CE = 0) ELSE axis_wr_en AND S_ACLK_EN; axis_re <= axis_rd_en WHEN (C_HAS_MASTER_CE = 0) ELSE axis_rd_en AND M_ACLK_EN; axisf : fifo_generator_v11_0_conv GENERIC MAP ( C_FAMILY => C_FAMILY, C_COMMON_CLOCK => C_COMMON_CLOCK, C_MEMORY_TYPE => if_then_else((C_IMPLEMENTATION_TYPE_AXIS = 1 OR C_IMPLEMENTATION_TYPE_AXIS = 11),1, if_then_else((C_IMPLEMENTATION_TYPE_AXIS = 2 OR C_IMPLEMENTATION_TYPE_AXIS = 12),2,4)), C_IMPLEMENTATION_TYPE => if_then_else((C_IMPLEMENTATION_TYPE_AXIS = 1 OR C_IMPLEMENTATION_TYPE_AXIS = 2),0, if_then_else((C_IMPLEMENTATION_TYPE_AXIS = 11 OR C_IMPLEMENTATION_TYPE_AXIS = 12),2,5)), C_PRELOAD_REGS => 1, -- Always FWFT for AXI C_PRELOAD_LATENCY => 0, -- Always FWFT for AXI C_DIN_WIDTH => C_DIN_WIDTH_AXIS, C_WR_DEPTH => C_WR_DEPTH_AXIS, C_WR_PNTR_WIDTH => C_WR_PNTR_WIDTH_AXIS, C_DOUT_WIDTH => C_DIN_WIDTH_AXIS, C_RD_DEPTH => C_WR_DEPTH_AXIS, C_RD_PNTR_WIDTH => C_WR_PNTR_WIDTH_AXIS, C_PROG_FULL_TYPE => C_PROG_FULL_TYPE_AXIS, C_PROG_FULL_THRESH_ASSERT_VAL => C_PROG_FULL_THRESH_ASSERT_VAL_AXIS, C_PROG_EMPTY_TYPE => C_PROG_EMPTY_TYPE_AXIS, C_PROG_EMPTY_THRESH_ASSERT_VAL => C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS, C_USE_ECC => C_USE_ECC_AXIS, C_ERROR_INJECTION_TYPE => C_ERROR_INJECTION_TYPE_AXIS, C_HAS_ALMOST_EMPTY => 0, C_HAS_ALMOST_FULL => if_then_else(C_APPLICATION_TYPE_AXIS = 1,1,0), -- Enable Low Latency Sync FIFO for Common Clock Built-in FIFO C_FIFO_TYPE => if_then_else(C_APPLICATION_TYPE_AXIS = 1,0,C_APPLICATION_TYPE_AXIS), C_SYNCHRONIZER_STAGE => C_SYNCHRONIZER_STAGE, C_AXI_TYPE => if_then_else(C_INTERFACE_TYPE = 1, 0, C_AXI_TYPE), C_HAS_WR_RST => 0, C_HAS_RD_RST => 0, C_HAS_RST => 1, C_HAS_SRST => 0, C_DOUT_RST_VAL => "0", C_HAS_VALID => 0, C_VALID_LOW => C_VALID_LOW, C_HAS_UNDERFLOW => C_HAS_UNDERFLOW, C_UNDERFLOW_LOW => C_UNDERFLOW_LOW, C_HAS_WR_ACK => 0, C_WR_ACK_LOW => C_WR_ACK_LOW, C_HAS_OVERFLOW => C_HAS_OVERFLOW, C_OVERFLOW_LOW => C_OVERFLOW_LOW, C_HAS_DATA_COUNT => if_then_else((C_COMMON_CLOCK = 1 AND C_HAS_DATA_COUNTS_AXIS = 1), 1, 0), C_DATA_COUNT_WIDTH => C_WR_PNTR_WIDTH_AXIS+1, C_HAS_RD_DATA_COUNT => if_then_else((C_COMMON_CLOCK = 0 AND C_HAS_DATA_COUNTS_AXIS = 1), 1, 0), C_RD_DATA_COUNT_WIDTH => C_WR_PNTR_WIDTH_AXIS+1, C_USE_FWFT_DATA_COUNT => 1, -- use extra logic is always true C_HAS_WR_DATA_COUNT => if_then_else((C_COMMON_CLOCK = 0 AND C_HAS_DATA_COUNTS_AXIS = 1), 1, 0), C_WR_DATA_COUNT_WIDTH => C_WR_PNTR_WIDTH_AXIS+1, C_FULL_FLAGS_RST_VAL => 1, C_USE_EMBEDDED_REG => C_USE_EMBEDDED_REG, C_USE_DOUT_RST => 0, C_MSGON_VAL => C_MSGON_VAL, C_ENABLE_RST_SYNC => 1, C_COUNT_TYPE => C_COUNT_TYPE, C_DEFAULT_VALUE => C_DEFAULT_VALUE, C_ENABLE_RLOCS => C_ENABLE_RLOCS, C_HAS_BACKUP => C_HAS_BACKUP, C_HAS_INT_CLK => C_HAS_INT_CLK, C_HAS_MEMINIT_FILE => C_HAS_MEMINIT_FILE, C_INIT_WR_PNTR_VAL => C_INIT_WR_PNTR_VAL, C_MIF_FILE_NAME => C_MIF_FILE_NAME, C_OPTIMIZATION_MODE => C_OPTIMIZATION_MODE, C_RD_FREQ => C_RD_FREQ, C_USE_FIFO16_FLAGS => C_USE_FIFO16_FLAGS, C_WR_FREQ => C_WR_FREQ, C_WR_RESPONSE_LATENCY => C_WR_RESPONSE_LATENCY ) PORT MAP( --Inputs BACKUP => BACKUP, BACKUP_MARKER => BACKUP_MARKER, INT_CLK => INT_CLK, CLK => S_ACLK, WR_CLK => S_ACLK, RD_CLK => M_ACLK, RST => inverted_reset, SRST => '0', WR_RST => inverted_reset, RD_RST => inverted_reset, WR_EN => axis_we, RD_EN => axis_re, PROG_FULL_THRESH => AXIS_PROG_FULL_THRESH, PROG_FULL_THRESH_ASSERT => (OTHERS => '0'), PROG_FULL_THRESH_NEGATE => (OTHERS => '0'), PROG_EMPTY_THRESH => AXIS_PROG_EMPTY_THRESH, PROG_EMPTY_THRESH_ASSERT => (OTHERS => '0'), PROG_EMPTY_THRESH_NEGATE => (OTHERS => '0'), INJECTDBITERR => AXIS_INJECTDBITERR, INJECTSBITERR => AXIS_INJECTSBITERR, DIN => axis_din, DOUT => axis_dout, FULL => axis_full, EMPTY => axis_empty, ALMOST_FULL => axis_almost_full, PROG_FULL => AXIS_PROG_FULL, ALMOST_EMPTY => OPEN, PROG_EMPTY => AXIS_PROG_EMPTY, WR_ACK => OPEN, OVERFLOW => AXIS_OVERFLOW, VALID => OPEN, UNDERFLOW => AXIS_UNDERFLOW, DATA_COUNT => axis_dc, RD_DATA_COUNT => AXIS_RD_DATA_COUNT, WR_DATA_COUNT => AXIS_WR_DATA_COUNT, SBITERR => AXIS_SBITERR, DBITERR => AXIS_DBITERR ); axis_s_axis_tready <= NOT axis_full; axis_m_axis_tvalid <= NOT axis_empty WHEN (C_APPLICATION_TYPE_AXIS /= 1) ELSE NOT axis_empty AND axis_pkt_read; S_AXIS_TREADY <= axis_s_axis_tready; M_AXIS_TVALID <= axis_m_axis_tvalid; gaxis_pkt_fifo: IF (C_APPLICATION_TYPE_AXIS = 1 AND C_COMMON_CLOCK = 1) GENERATE SIGNAL axis_wr_eop : STD_LOGIC := '0'; SIGNAL axis_wr_eop_d1 : STD_LOGIC := '0'; SIGNAL axis_rd_eop : STD_LOGIC := '0'; SIGNAL axis_pkt_cnt : INTEGER := 0; BEGIN axis_wr_eop <= axis_we AND S_AXIS_TLAST; axis_rd_eop <= axis_re AND axis_dout(0); -- Packet Read Generation logic PROCESS (S_ACLK, inverted_reset) BEGIN IF (inverted_reset = '1') THEN axis_pkt_read <= '0'; axis_wr_eop_d1 <= '0'; ELSIF (S_ACLK = '1' AND S_ACLK'EVENT) THEN axis_wr_eop_d1 <= axis_wr_eop; IF (axis_rd_eop = '1' AND (axis_pkt_cnt = 1) AND axis_wr_eop_d1 = '0') THEN axis_pkt_read <= '0' AFTER TFF; ELSIF ((axis_pkt_cnt > 0) OR (axis_almost_full = '1' AND axis_empty = '0')) THEN axis_pkt_read <= '1' AFTER TFF; END IF; END IF; END PROCESS; -- Packet count logic PROCESS (S_ACLK, inverted_reset) BEGIN IF (inverted_reset = '1') THEN axis_pkt_cnt <= 0; ELSIF (S_ACLK = '1' AND S_ACLK'EVENT) THEN IF (axis_wr_eop_d1 = '1' AND axis_rd_eop = '0') THEN axis_pkt_cnt <= axis_pkt_cnt + 1 AFTER TFF; ELSIF (axis_rd_eop = '1' AND axis_wr_eop_d1 = '0') THEN axis_pkt_cnt <= axis_pkt_cnt - 1 AFTER TFF; END IF; END IF; END PROCESS; END GENERATE gaxis_pkt_fifo; gdc_pkt: IF (C_HAS_DATA_COUNTS_AXIS = 1 AND C_APPLICATION_TYPE_AXIS = 1) GENERATE SIGNAL axis_dc_pkt_fifo : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH_AXIS DOWNTO 0) := (OTHERS => '0'); BEGIN PROCESS (S_ACLK, inverted_reset) BEGIN IF (inverted_reset = '1') THEN axis_dc_pkt_fifo <= (OTHERS => '0'); ELSIF (S_ACLK = '1' AND S_ACLK'EVENT) THEN IF (axis_we = '1' AND axis_re = '0') THEN axis_dc_pkt_fifo <= axis_dc_pkt_fifo + "1" AFTER TFF; ELSIF (axis_we = '0' AND axis_re = '1') THEN axis_dc_pkt_fifo <= axis_dc_pkt_fifo - "1" AFTER TFF; END IF; END IF; END PROCESS; AXIS_DATA_COUNT <= axis_dc_pkt_fifo; END GENERATE gdc_pkt; gndc_pkt: IF (C_HAS_DATA_COUNTS_AXIS = 0 AND C_APPLICATION_TYPE_AXIS = 1) GENERATE AXIS_DATA_COUNT <= (OTHERS => '0'); END GENERATE gndc_pkt; gdc: IF (C_APPLICATION_TYPE_AXIS /= 1) GENERATE AXIS_DATA_COUNT <= axis_dc; END GENERATE gdc; END GENERATE gaxisf; -- Register Slice for AXI Streaming gaxis_reg_slice: IF (C_AXIS_TYPE = 1) GENERATE SIGNAL axis_we : STD_LOGIC := '0'; SIGNAL axis_re : STD_LOGIC := '0'; BEGIN axis_we <= S_AXIS_TVALID WHEN (C_HAS_SLAVE_CE = 0) ELSE S_AXIS_TVALID AND S_ACLK_EN; axis_re <= M_AXIS_TREADY WHEN (C_HAS_MASTER_CE = 0) ELSE M_AXIS_TREADY AND M_ACLK_EN; axis_reg_slice: fifo_generator_v11_0_axic_reg_slice GENERIC MAP ( C_FAMILY => C_FAMILY, C_DATA_WIDTH => C_DIN_WIDTH_AXIS, C_REG_CONFIG => C_REG_SLICE_MODE_AXIS ) PORT MAP( -- System Signals ACLK => S_ACLK, ARESET => axi_rs_rst, -- Slave side S_PAYLOAD_DATA => axis_din, S_VALID => axis_we, S_READY => S_AXIS_TREADY, -- Master side M_PAYLOAD_DATA => axis_dout, M_VALID => M_AXIS_TVALID, M_READY => axis_re ); END GENERATE gaxis_reg_slice; END GENERATE gaxis_fifo; gaxifull: IF (C_INTERFACE_TYPE = 2) GENERATE SIGNAL axi_rd_underflow_i : std_logic := '0'; SIGNAL axi_rd_overflow_i : std_logic := '0'; SIGNAL axi_wr_underflow_i : std_logic := '0'; SIGNAL axi_wr_overflow_i : std_logic := '0'; BEGIN gwrch: IF (C_HAS_AXI_WR_CHANNEL = 1) GENERATE SIGNAL wach_din : std_logic_vector(C_DIN_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL wach_dout : std_logic_vector(C_DIN_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL wach_dout_pkt : std_logic_vector(C_DIN_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL wach_full : std_logic := '0'; SIGNAL wach_almost_full : std_logic := '0'; SIGNAL wach_prog_full : std_logic := '0'; SIGNAL wach_empty : std_logic := '0'; SIGNAL wach_almost_empty : std_logic := '0'; SIGNAL wach_prog_empty : std_logic := '0'; SIGNAL wdch_din : std_logic_vector(C_DIN_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL wdch_dout : std_logic_vector(C_DIN_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL wdch_full : std_logic := '0'; SIGNAL wdch_almost_full : std_logic := '0'; SIGNAL wdch_prog_full : std_logic := '0'; SIGNAL wdch_empty : std_logic := '0'; SIGNAL wdch_almost_empty : std_logic := '0'; SIGNAL wdch_prog_empty : std_logic := '0'; SIGNAL wrch_din : std_logic_vector(C_DIN_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL wrch_dout : std_logic_vector(C_DIN_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL wrch_full : std_logic := '0'; SIGNAL wrch_almost_full : std_logic := '0'; SIGNAL wrch_prog_full : std_logic := '0'; SIGNAL wrch_empty : std_logic := '0'; SIGNAL wrch_almost_empty : std_logic := '0'; SIGNAL wrch_prog_empty : std_logic := '0'; SIGNAL axi_aw_underflow_i : std_logic := '0'; SIGNAL axi_w_underflow_i : std_logic := '0'; SIGNAL axi_b_underflow_i : std_logic := '0'; SIGNAL axi_aw_overflow_i : std_logic := '0'; SIGNAL axi_w_overflow_i : std_logic := '0'; SIGNAL axi_b_overflow_i : std_logic := '0'; SIGNAL wach_s_axi_awready : std_logic := '0'; SIGNAL wach_m_axi_awvalid : std_logic := '0'; SIGNAL wach_wr_en : std_logic := '0'; SIGNAL wach_rd_en : std_logic := '0'; SIGNAL wdch_s_axi_wready : std_logic := '0'; SIGNAL wdch_m_axi_wvalid : std_logic := '0'; SIGNAL wdch_wr_en : std_logic := '0'; SIGNAL wdch_rd_en : std_logic := '0'; SIGNAL wrch_s_axi_bvalid : std_logic := '0'; SIGNAL wrch_m_axi_bready : std_logic := '0'; SIGNAL wrch_wr_en : std_logic := '0'; SIGNAL wrch_rd_en : std_logic := '0'; SIGNAL awvalid_en : std_logic := '0'; SIGNAL awready_pkt : std_logic := '0'; SIGNAL wdch_we : STD_LOGIC := '0'; CONSTANT AWID_OFFSET : integer := if_then_else(C_AXI_TYPE /= 2 AND C_HAS_AXI_ID = 1,C_DIN_WIDTH_WACH - C_AXI_ID_WIDTH,C_DIN_WIDTH_WACH); CONSTANT AWADDR_OFFSET : integer := AWID_OFFSET - C_AXI_ADDR_WIDTH; CONSTANT AWLEN_OFFSET : integer := if_then_else(C_AXI_TYPE /= 2,AWADDR_OFFSET - C_AXI_LEN_WIDTH,AWADDR_OFFSET); CONSTANT AWSIZE_OFFSET : integer := if_then_else(C_AXI_TYPE /= 2,AWLEN_OFFSET - C_AXI_SIZE_WIDTH,AWLEN_OFFSET); CONSTANT AWBURST_OFFSET : integer := if_then_else(C_AXI_TYPE /= 2,AWSIZE_OFFSET - C_AXI_BURST_WIDTH,AWSIZE_OFFSET); CONSTANT AWLOCK_OFFSET : integer := if_then_else(C_AXI_TYPE /= 2,AWBURST_OFFSET - C_AXI_LOCK_WIDTH,AWBURST_OFFSET); CONSTANT AWCACHE_OFFSET : integer := if_then_else(C_AXI_TYPE /= 2,AWLOCK_OFFSET - C_AXI_CACHE_WIDTH,AWLOCK_OFFSET); CONSTANT AWPROT_OFFSET : integer := AWCACHE_OFFSET - C_AXI_PROT_WIDTH; CONSTANT AWQOS_OFFSET : integer := AWPROT_OFFSET - C_AXI_QOS_WIDTH; CONSTANT AWREGION_OFFSET : integer := if_then_else(C_AXI_TYPE = 1,AWQOS_OFFSET - C_AXI_REGION_WIDTH, AWQOS_OFFSET); CONSTANT AWUSER_OFFSET : integer := if_then_else(C_HAS_AXI_AWUSER = 1,AWREGION_OFFSET-C_AXI_AWUSER_WIDTH,AWREGION_OFFSET); CONSTANT WID_OFFSET : integer := if_then_else(C_AXI_TYPE = 3 AND C_HAS_AXI_ID = 1,C_DIN_WIDTH_WDCH - C_AXI_ID_WIDTH,C_DIN_WIDTH_WDCH); CONSTANT WDATA_OFFSET : integer := WID_OFFSET - C_AXI_DATA_WIDTH; CONSTANT WSTRB_OFFSET : integer := WDATA_OFFSET - C_AXI_DATA_WIDTH/8; CONSTANT WUSER_OFFSET : integer := if_then_else(C_HAS_AXI_WUSER = 1,WSTRB_OFFSET-C_AXI_WUSER_WIDTH,WSTRB_OFFSET); CONSTANT BID_OFFSET : integer := if_then_else(C_AXI_TYPE /= 2 AND C_HAS_AXI_ID = 1,C_DIN_WIDTH_WRCH - C_AXI_ID_WIDTH,C_DIN_WIDTH_WRCH); CONSTANT BRESP_OFFSET : integer := BID_OFFSET - C_AXI_BRESP_WIDTH; CONSTANT BUSER_OFFSET : integer := if_then_else(C_HAS_AXI_BUSER = 1,BRESP_OFFSET-C_AXI_BUSER_WIDTH,BRESP_OFFSET); BEGIN -- Form the DIN to FIFO by concatinating the AXI Full Write Address Channel optional ports axi_full_din_wr_ch: IF (C_AXI_TYPE /= 2) GENERATE gwach1: IF (C_WACH_TYPE < 2) GENERATE gwach_din1: IF (C_HAS_AXI_AWUSER = 1) GENERATE wach_din(AWREGION_OFFSET-1 DOWNTO AWUSER_OFFSET) <= S_AXI_AWUSER; M_AXI_AWUSER <= wach_dout(AWREGION_OFFSET-1 DOWNTO AWUSER_OFFSET); END GENERATE gwach_din1; gwach_din2: IF (C_HAS_AXI_AWUSER = 0) GENERATE M_AXI_AWUSER <= (OTHERS => '0'); END GENERATE gwach_din2; gwach_din3: IF (C_HAS_AXI_ID = 1) GENERATE wach_din(C_DIN_WIDTH_WACH-1 DOWNTO AWID_OFFSET) <= S_AXI_AWID; M_AXI_AWID <= wach_dout(C_DIN_WIDTH_WACH-1 DOWNTO AWID_OFFSET); END GENERATE gwach_din3; gwach_din4: IF (C_HAS_AXI_ID = 0) GENERATE M_AXI_AWID <= (OTHERS => '0'); END GENERATE gwach_din4; gwach_din5: IF (C_AXI_TYPE = 1) GENERATE wach_din(AWQOS_OFFSET-1 DOWNTO AWREGION_OFFSET) <= S_AXI_AWREGION; M_AXI_AWREGION <= wach_dout(AWQOS_OFFSET-1 DOWNTO AWREGION_OFFSET); END GENERATE gwach_din5; gwach_din6: IF (C_AXI_TYPE = 0) GENERATE M_AXI_AWREGION <= (OTHERS => '0'); END GENERATE gwach_din6; wach_din(AWID_OFFSET-1 DOWNTO AWADDR_OFFSET) <= S_AXI_AWADDR; wach_din(AWADDR_OFFSET-1 DOWNTO AWLEN_OFFSET) <= S_AXI_AWLEN; wach_din(AWLEN_OFFSET-1 DOWNTO AWSIZE_OFFSET) <= S_AXI_AWSIZE; wach_din(AWSIZE_OFFSET-1 DOWNTO AWBURST_OFFSET) <= S_AXI_AWBURST; wach_din(AWBURST_OFFSET-1 DOWNTO AWLOCK_OFFSET) <= S_AXI_AWLOCK; wach_din(AWLOCK_OFFSET-1 DOWNTO AWCACHE_OFFSET) <= S_AXI_AWCACHE; wach_din(AWCACHE_OFFSET-1 DOWNTO AWPROT_OFFSET) <= S_AXI_AWPROT; wach_din(AWPROT_OFFSET-1 DOWNTO AWQOS_OFFSET) <= S_AXI_AWQOS; M_AXI_AWADDR <= wach_dout(AWID_OFFSET-1 DOWNTO AWADDR_OFFSET); M_AXI_AWLEN <= wach_dout(AWADDR_OFFSET-1 DOWNTO AWLEN_OFFSET); M_AXI_AWSIZE <= wach_dout(AWLEN_OFFSET-1 DOWNTO AWSIZE_OFFSET); M_AXI_AWBURST <= wach_dout(AWSIZE_OFFSET-1 DOWNTO AWBURST_OFFSET); M_AXI_AWLOCK <= wach_dout(AWBURST_OFFSET-1 DOWNTO AWLOCK_OFFSET); M_AXI_AWCACHE <= wach_dout(AWLOCK_OFFSET-1 DOWNTO AWCACHE_OFFSET); M_AXI_AWPROT <= wach_dout(AWCACHE_OFFSET-1 DOWNTO AWPROT_OFFSET); M_AXI_AWQOS <= wach_dout(AWPROT_OFFSET-1 DOWNTO AWQOS_OFFSET); END GENERATE gwach1; -- Generate the DIN to FIFO by concatinating the AXI Full Write Data Channel optional ports gwdch1: IF (C_WDCH_TYPE < 2) GENERATE gwdch_din1: IF (C_HAS_AXI_WUSER = 1) GENERATE wdch_din(WSTRB_OFFSET-1 DOWNTO WUSER_OFFSET) <= S_AXI_WUSER; M_AXI_WUSER <= wdch_dout(WSTRB_OFFSET-1 DOWNTO WUSER_OFFSET); END GENERATE gwdch_din1; gwdch_din2: IF (C_HAS_AXI_WUSER = 0) GENERATE M_AXI_WUSER <= (OTHERS => '0'); END GENERATE gwdch_din2; gwdch_din3: IF (C_HAS_AXI_ID = 1 AND C_AXI_TYPE = 3) GENERATE wdch_din(C_DIN_WIDTH_WDCH-1 DOWNTO WID_OFFSET) <= S_AXI_WID; M_AXI_WID <= wdch_dout(C_DIN_WIDTH_WDCH-1 DOWNTO WID_OFFSET); END GENERATE gwdch_din3; gwdch_din4: IF NOT (C_HAS_AXI_ID = 1 AND C_AXI_TYPE = 3) GENERATE M_AXI_WID <= (OTHERS => '0'); END GENERATE gwdch_din4; wdch_din(WID_OFFSET-1 DOWNTO WDATA_OFFSET) <= S_AXI_WDATA; wdch_din(WDATA_OFFSET-1 DOWNTO WSTRB_OFFSET) <= S_AXI_WSTRB; wdch_din(0) <= S_AXI_WLAST; M_AXI_WDATA <= wdch_dout(WID_OFFSET-1 DOWNTO WDATA_OFFSET); M_AXI_WSTRB <= wdch_dout(WDATA_OFFSET-1 DOWNTO WSTRB_OFFSET); M_AXI_WLAST <= wdch_dout(0); END GENERATE gwdch1; -- Generate the DIN to FIFO by concatinating the AXI Full Write Response Channel optional ports gwrch1: IF (C_WRCH_TYPE < 2) GENERATE gwrch_din1: IF (C_HAS_AXI_BUSER = 1) GENERATE wrch_din(BRESP_OFFSET-1 DOWNTO BUSER_OFFSET) <= M_AXI_BUSER; S_AXI_BUSER <= wrch_dout(BRESP_OFFSET-1 DOWNTO BUSER_OFFSET); END GENERATE gwrch_din1; gwrch_din2: IF (C_HAS_AXI_BUSER = 0) GENERATE S_AXI_BUSER <= (OTHERS => '0'); END GENERATE gwrch_din2; gwrch_din3: IF (C_HAS_AXI_ID = 1) GENERATE wrch_din(C_DIN_WIDTH_WRCH-1 DOWNTO BID_OFFSET) <= M_AXI_BID; S_AXI_BID <= wrch_dout(C_DIN_WIDTH_WRCH-1 DOWNTO BID_OFFSET); END GENERATE gwrch_din3; gwrch_din4: IF (C_HAS_AXI_ID = 0) GENERATE S_AXI_BID <= (OTHERS => '0'); END GENERATE gwrch_din4; wrch_din(BID_OFFSET-1 DOWNTO BRESP_OFFSET) <= M_AXI_BRESP; S_AXI_BRESP <= wrch_dout(BID_OFFSET-1 DOWNTO BRESP_OFFSET); END GENERATE gwrch1; END GENERATE axi_full_din_wr_ch; -- Form the DIN to FIFO by concatinating the AXI Lite Write Address Channel optional ports axi_lite_din_wr_ch: IF (C_AXI_TYPE = 2) GENERATE gwach1: IF (C_WACH_TYPE < 2) GENERATE wach_din <= S_AXI_AWADDR & S_AXI_AWPROT; M_AXI_AWADDR <= wach_dout(C_DIN_WIDTH_WACH-1 DOWNTO AWADDR_OFFSET); M_AXI_AWPROT <= wach_dout(AWADDR_OFFSET-1 DOWNTO AWPROT_OFFSET); END GENERATE gwach1; gwdch1: IF (C_WDCH_TYPE < 2) GENERATE wdch_din <= S_AXI_WDATA & S_AXI_WSTRB; M_AXI_WDATA <= wdch_dout(C_DIN_WIDTH_WDCH-1 DOWNTO WDATA_OFFSET); M_AXI_WSTRB <= wdch_dout(WDATA_OFFSET-1 DOWNTO WSTRB_OFFSET); END GENERATE gwdch1; gwrch1: IF (C_WRCH_TYPE < 2) GENERATE wrch_din <= M_AXI_BRESP; S_AXI_BRESP <= wrch_dout(C_DIN_WIDTH_WRCH-1 DOWNTO BRESP_OFFSET); END GENERATE gwrch1; END GENERATE axi_lite_din_wr_ch; -- Write protection for Write Address Channel -- When FULL is high, pass VALID as a WR_EN to the FIFO to get OVERFLOW interrupt gwach_wr_en1: IF (C_PROG_FULL_TYPE_WACH = 0) GENERATE wach_wr_en <= S_AXI_AWVALID; END GENERATE gwach_wr_en1; -- When ALMOST_FULL or PROG_FULL is high, then shield the FIFO from becoming FULL gwach_wr_en2: IF (C_PROG_FULL_TYPE_WACH /= 0) GENERATE wach_wr_en <= wach_s_axi_awready AND S_AXI_AWVALID; END GENERATE gwach_wr_en2; -- Write protection for Write Data Channel -- When FULL is high, pass VALID as a WR_EN to the FIFO to get OVERFLOW interrupt gwdch_wr_en1: IF (C_PROG_FULL_TYPE_WDCH = 0) GENERATE wdch_wr_en <= S_AXI_WVALID; END GENERATE gwdch_wr_en1; -- When ALMOST_FULL or PROG_FULL is high, then shield the FIFO from becoming FULL gwdch_wr_en2: IF (C_PROG_FULL_TYPE_WDCH /= 0) GENERATE wdch_wr_en <= wdch_s_axi_wready AND S_AXI_WVALID; END GENERATE gwdch_wr_en2; -- Write protection for Write Response Channel -- When FULL is high, pass VALID as a WR_EN to the FIFO to get OVERFLOW interrupt gwrch_wr_en1: IF (C_PROG_FULL_TYPE_WRCH = 0) GENERATE wrch_wr_en <= M_AXI_BVALID; END GENERATE gwrch_wr_en1; -- When ALMOST_FULL or PROG_FULL is high, then shield the FIFO from becoming FULL gwrch_wr_en2: IF (C_PROG_FULL_TYPE_WRCH /= 0) GENERATE wrch_wr_en <= wrch_m_axi_bready AND M_AXI_BVALID; END GENERATE gwrch_wr_en2; -- Read protection for Write Address Channel -- When EMPTY is low, pass READY as a RD_EN to the FIFO to get UNDERFLOW interrupt gwach_rd_en1: IF (C_PROG_EMPTY_TYPE_WACH = 0) GENERATE gpkt_mm_wach_rd_en1: IF (C_APPLICATION_TYPE_WACH = 1) GENERATE wach_rd_en <= awready_pkt AND awvalid_en; END GENERATE; gnpkt_mm_wach_rd_en1: IF (C_APPLICATION_TYPE_WACH /= 1) GENERATE wach_rd_en <= M_AXI_AWREADY; END GENERATE; END GENERATE gwach_rd_en1; -- When ALMOST_EMPTY or PROG_EMPTY is low, then shield the FIFO from becoming EMPTY gwach_rd_en2: IF (C_PROG_EMPTY_TYPE_WACH /= 0) GENERATE gaxi_mm_wach_rd_en2: IF (C_APPLICATION_TYPE_WACH = 1) GENERATE wach_rd_en <= wach_m_axi_awvalid AND awready_pkt AND awvalid_en; END GENERATE gaxi_mm_wach_rd_en2; gnaxi_mm_wach_rd_en2: IF (C_APPLICATION_TYPE_WACH /= 1) GENERATE wach_rd_en <= wach_m_axi_awvalid AND M_AXI_AWREADY; END GENERATE gnaxi_mm_wach_rd_en2; END GENERATE gwach_rd_en2; -- Read protection for Write Data Channel -- When EMPTY is low, pass READY as a RD_EN to the FIFO to get UNDERFLOW interrupt gwdch_rd_en1: IF (C_PROG_EMPTY_TYPE_WDCH = 0) GENERATE wdch_rd_en <= M_AXI_WREADY; END GENERATE gwdch_rd_en1; -- When ALMOST_EMPTY or PROG_EMPTY is low, then shield the FIFO from becoming EMPTY gwdch_rd_en2: IF (C_PROG_EMPTY_TYPE_WDCH /= 0) GENERATE wdch_rd_en <= wdch_m_axi_wvalid AND M_AXI_WREADY; END GENERATE gwdch_rd_en2; -- Read protection for Write Response Channel -- When EMPTY is low, pass READY as a RD_EN to the FIFO to get UNDERFLOW interrupt gwrch_rd_en1: IF (C_PROG_EMPTY_TYPE_WRCH = 0) GENERATE wrch_rd_en <= S_AXI_BREADY; END GENERATE gwrch_rd_en1; -- When ALMOST_EMPTY or PROG_EMPTY is low, then shield the FIFO from becoming EMPTY gwrch_rd_en2: IF (C_PROG_EMPTY_TYPE_WRCH /= 0) GENERATE wrch_rd_en <= wrch_s_axi_bvalid AND S_AXI_BREADY; END GENERATE gwrch_rd_en2; gwach2: IF (C_WACH_TYPE = 0) GENERATE SIGNAL wach_we : STD_LOGIC := '0'; SIGNAL wach_re : STD_LOGIC := '0'; BEGIN wach_we <= wach_wr_en WHEN (C_HAS_SLAVE_CE = 0) ELSE wach_wr_en AND S_ACLK_EN; wach_re <= wach_rd_en WHEN (C_HAS_MASTER_CE = 0) ELSE wach_rd_en AND M_ACLK_EN; axi_wach : fifo_generator_v11_0_conv GENERIC MAP ( C_FAMILY => C_FAMILY, C_COMMON_CLOCK => C_COMMON_CLOCK, C_MEMORY_TYPE => if_then_else((C_IMPLEMENTATION_TYPE_WACH = 1 OR C_IMPLEMENTATION_TYPE_WACH = 11),1,2), C_IMPLEMENTATION_TYPE => if_then_else((C_IMPLEMENTATION_TYPE_WACH <= 6),0,2), -- CCBI C_PRELOAD_REGS => 1, -- Always FWFT for AXI C_PRELOAD_LATENCY => 0, -- Always FWFT for AXI C_DIN_WIDTH => C_DIN_WIDTH_WACH, C_WR_DEPTH => C_WR_DEPTH_WACH, C_WR_PNTR_WIDTH => C_WR_PNTR_WIDTH_WACH, C_DOUT_WIDTH => C_DIN_WIDTH_WACH, C_RD_DEPTH => C_WR_DEPTH_WACH, C_RD_PNTR_WIDTH => C_WR_PNTR_WIDTH_WACH, C_PROG_FULL_TYPE => C_PROG_FULL_TYPE_WACH, C_PROG_FULL_THRESH_ASSERT_VAL => C_PROG_FULL_THRESH_ASSERT_VAL_WACH, C_PROG_EMPTY_TYPE => C_PROG_EMPTY_TYPE_WACH, C_PROG_EMPTY_THRESH_ASSERT_VAL => C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH, C_USE_ECC => C_USE_ECC_WACH, C_ERROR_INJECTION_TYPE => C_ERROR_INJECTION_TYPE_WACH, C_HAS_ALMOST_EMPTY => 0, C_HAS_ALMOST_FULL => 0, -- Enable Low Latency Sync FIFO for Common Clock Built-in FIFO C_FIFO_TYPE => if_then_else((C_APPLICATION_TYPE_WACH = 1),0,C_APPLICATION_TYPE_WACH), C_SYNCHRONIZER_STAGE => C_SYNCHRONIZER_STAGE, C_AXI_TYPE => if_then_else(C_INTERFACE_TYPE = 1, 0, C_AXI_TYPE), C_HAS_WR_RST => 0, C_HAS_RD_RST => 0, C_HAS_RST => 1, C_HAS_SRST => 0, C_DOUT_RST_VAL => "0", C_HAS_VALID => C_HAS_VALID, C_VALID_LOW => C_VALID_LOW, C_HAS_UNDERFLOW => C_HAS_UNDERFLOW, C_UNDERFLOW_LOW => C_UNDERFLOW_LOW, C_HAS_WR_ACK => C_HAS_WR_ACK, C_WR_ACK_LOW => C_WR_ACK_LOW, C_HAS_OVERFLOW => C_HAS_OVERFLOW, C_OVERFLOW_LOW => C_OVERFLOW_LOW, C_HAS_DATA_COUNT => if_then_else((C_COMMON_CLOCK = 1 AND C_HAS_DATA_COUNTS_WACH = 1), 1, 0), C_DATA_COUNT_WIDTH => C_WR_PNTR_WIDTH_WACH+1, C_HAS_RD_DATA_COUNT => if_then_else((C_COMMON_CLOCK = 0 AND C_HAS_DATA_COUNTS_WACH = 1), 1, 0), C_RD_DATA_COUNT_WIDTH => C_WR_PNTR_WIDTH_WACH+1, C_USE_FWFT_DATA_COUNT => 1, -- use extra logic is always true C_HAS_WR_DATA_COUNT => if_then_else((C_COMMON_CLOCK = 0 AND C_HAS_DATA_COUNTS_WACH = 1), 1, 0), C_WR_DATA_COUNT_WIDTH => C_WR_PNTR_WIDTH_WACH+1, C_FULL_FLAGS_RST_VAL => 1, C_USE_EMBEDDED_REG => 0, C_USE_DOUT_RST => 0, C_MSGON_VAL => C_MSGON_VAL, C_ENABLE_RST_SYNC => 1, C_COUNT_TYPE => C_COUNT_TYPE, C_DEFAULT_VALUE => C_DEFAULT_VALUE, C_ENABLE_RLOCS => C_ENABLE_RLOCS, C_HAS_BACKUP => C_HAS_BACKUP, C_HAS_INT_CLK => C_HAS_INT_CLK, C_HAS_MEMINIT_FILE => C_HAS_MEMINIT_FILE, C_INIT_WR_PNTR_VAL => C_INIT_WR_PNTR_VAL, C_MIF_FILE_NAME => C_MIF_FILE_NAME, C_OPTIMIZATION_MODE => C_OPTIMIZATION_MODE, C_RD_FREQ => C_RD_FREQ, C_USE_FIFO16_FLAGS => C_USE_FIFO16_FLAGS, C_WR_FREQ => C_WR_FREQ, C_WR_RESPONSE_LATENCY => C_WR_RESPONSE_LATENCY ) PORT MAP( --Inputs BACKUP => BACKUP, BACKUP_MARKER => BACKUP_MARKER, INT_CLK => INT_CLK, CLK => S_ACLK, WR_CLK => S_ACLK, RD_CLK => M_ACLK, RST => inverted_reset, SRST => '0', WR_RST => inverted_reset, RD_RST => inverted_reset, WR_EN => wach_we, RD_EN => wach_re, PROG_FULL_THRESH => AXI_AW_PROG_FULL_THRESH, PROG_FULL_THRESH_ASSERT => (OTHERS => '0'), PROG_FULL_THRESH_NEGATE => (OTHERS => '0'), PROG_EMPTY_THRESH => AXI_AW_PROG_EMPTY_THRESH, PROG_EMPTY_THRESH_ASSERT => (OTHERS => '0'), PROG_EMPTY_THRESH_NEGATE => (OTHERS => '0'), INJECTDBITERR => AXI_AW_INJECTDBITERR, INJECTSBITERR => AXI_AW_INJECTSBITERR, DIN => wach_din, DOUT => wach_dout_pkt, FULL => wach_full, EMPTY => wach_empty, ALMOST_FULL => OPEN, PROG_FULL => AXI_AW_PROG_FULL, ALMOST_EMPTY => OPEN, PROG_EMPTY => AXI_AW_PROG_EMPTY, WR_ACK => OPEN, OVERFLOW => axi_aw_overflow_i, VALID => OPEN, UNDERFLOW => axi_aw_underflow_i, DATA_COUNT => AXI_AW_DATA_COUNT, RD_DATA_COUNT => AXI_AW_RD_DATA_COUNT, WR_DATA_COUNT => AXI_AW_WR_DATA_COUNT, SBITERR => AXI_AW_SBITERR, DBITERR => AXI_AW_DBITERR ); -- wach_s_axi_awready <= map_ready_valid(C_PROG_FULL_TYPE_WACH,wach_full,wach_almost_full,wach_prog_full); -- wach_m_axi_awvalid <= map_ready_valid(C_PROG_EMPTY_TYPE_WACH,wach_empty,wach_almost_empty,wach_prog_empty); wach_s_axi_awready <= NOT wach_full; wach_m_axi_awvalid <= NOT wach_empty; S_AXI_AWREADY <= wach_s_axi_awready; gawvld_pkt_fifo: IF (C_APPLICATION_TYPE_WACH = 1) GENERATE SIGNAL awvalid_pkt : STD_LOGIC := '0'; BEGIN awvalid_pkt <= wach_m_axi_awvalid AND awvalid_en; wach_pkt_reg_slice: fifo_generator_v11_0_axic_reg_slice GENERIC MAP ( C_FAMILY => C_FAMILY, C_DATA_WIDTH => C_DIN_WIDTH_WACH, C_REG_CONFIG => 1 ) PORT MAP( -- System Signals ACLK => S_ACLK, ARESET => inverted_reset, -- Slave side S_PAYLOAD_DATA => wach_dout_pkt, S_VALID => awvalid_pkt, S_READY => awready_pkt, -- Master side M_PAYLOAD_DATA => wach_dout, M_VALID => M_AXI_AWVALID, M_READY => M_AXI_AWREADY ); END GENERATE gawvld_pkt_fifo; gnawvld_pkt_fifo: IF (C_APPLICATION_TYPE_WACH /= 1) GENERATE M_AXI_AWVALID <= wach_m_axi_awvalid; wach_dout <= wach_dout_pkt; END GENERATE gnawvld_pkt_fifo; gaxi_wr_ch_uf1: IF (C_USE_COMMON_UNDERFLOW = 0) GENERATE AXI_AW_UNDERFLOW <= axi_aw_underflow_i; END GENERATE gaxi_wr_ch_uf1; gaxi_wr_ch_of1: IF (C_USE_COMMON_OVERFLOW = 0) GENERATE AXI_AW_OVERFLOW <= axi_aw_overflow_i; END GENERATE gaxi_wr_ch_of1; END GENERATE gwach2; -- Register Slice for Write Address Channel gwach_reg_slice: IF (C_WACH_TYPE = 1) GENERATE wach_reg_slice: fifo_generator_v11_0_axic_reg_slice GENERIC MAP ( C_FAMILY => C_FAMILY, C_DATA_WIDTH => C_DIN_WIDTH_WACH, C_REG_CONFIG => C_REG_SLICE_MODE_WACH ) PORT MAP( -- System Signals ACLK => S_ACLK, ARESET => axi_rs_rst, -- Slave side S_PAYLOAD_DATA => wach_din, S_VALID => S_AXI_AWVALID, S_READY => S_AXI_AWREADY, -- Master side M_PAYLOAD_DATA => wach_dout, M_VALID => M_AXI_AWVALID, M_READY => M_AXI_AWREADY ); END GENERATE gwach_reg_slice; gwdch2: IF (C_WDCH_TYPE = 0) GENERATE SIGNAL wdch_re : STD_LOGIC := '0'; BEGIN wdch_we <= wdch_wr_en WHEN (C_HAS_SLAVE_CE = 0) ELSE wdch_wr_en AND S_ACLK_EN; wdch_re <= wdch_rd_en WHEN (C_HAS_MASTER_CE = 0) ELSE wdch_rd_en AND M_ACLK_EN; axi_wdch : fifo_generator_v11_0_conv GENERIC MAP ( C_FAMILY => C_FAMILY, C_COMMON_CLOCK => C_COMMON_CLOCK, C_MEMORY_TYPE => if_then_else((C_IMPLEMENTATION_TYPE_WDCH = 1 OR C_IMPLEMENTATION_TYPE_WDCH = 11),1,2), C_IMPLEMENTATION_TYPE => if_then_else((C_IMPLEMENTATION_TYPE_WDCH <= 6),0,2), -- CCBI C_PRELOAD_REGS => 1, -- Always FWFT for AXI C_PRELOAD_LATENCY => 0, -- Always FWFT for AXI C_DIN_WIDTH => C_DIN_WIDTH_WDCH, C_WR_DEPTH => C_WR_DEPTH_WDCH, C_WR_PNTR_WIDTH => C_WR_PNTR_WIDTH_WDCH, C_DOUT_WIDTH => C_DIN_WIDTH_WDCH, C_RD_DEPTH => C_WR_DEPTH_WDCH, C_RD_PNTR_WIDTH => C_WR_PNTR_WIDTH_WDCH, C_PROG_FULL_TYPE => C_PROG_FULL_TYPE_WDCH, C_PROG_FULL_THRESH_ASSERT_VAL => C_PROG_FULL_THRESH_ASSERT_VAL_WDCH, C_PROG_EMPTY_TYPE => C_PROG_EMPTY_TYPE_WDCH, C_PROG_EMPTY_THRESH_ASSERT_VAL => C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH, C_USE_ECC => C_USE_ECC_WDCH, C_ERROR_INJECTION_TYPE => C_ERROR_INJECTION_TYPE_WDCH, C_HAS_ALMOST_EMPTY => 0, C_HAS_ALMOST_FULL => 0, -- Enable Low Latency Sync FIFO for Common Clock Built-in FIFO C_FIFO_TYPE => C_APPLICATION_TYPE_WDCH, C_SYNCHRONIZER_STAGE => C_SYNCHRONIZER_STAGE, C_AXI_TYPE => if_then_else(C_INTERFACE_TYPE = 1, 0, C_AXI_TYPE), C_HAS_WR_RST => 0, C_HAS_RD_RST => 0, C_HAS_RST => 1, C_HAS_SRST => 0, C_DOUT_RST_VAL => "0", C_HAS_VALID => C_HAS_VALID, C_VALID_LOW => C_VALID_LOW, C_HAS_UNDERFLOW => C_HAS_UNDERFLOW, C_UNDERFLOW_LOW => C_UNDERFLOW_LOW, C_HAS_WR_ACK => C_HAS_WR_ACK, C_WR_ACK_LOW => C_WR_ACK_LOW, C_HAS_OVERFLOW => C_HAS_OVERFLOW, C_OVERFLOW_LOW => C_OVERFLOW_LOW, C_HAS_DATA_COUNT => if_then_else((C_COMMON_CLOCK = 1 AND C_HAS_DATA_COUNTS_WDCH = 1), 1, 0), C_DATA_COUNT_WIDTH => C_WR_PNTR_WIDTH_WDCH+1, C_HAS_RD_DATA_COUNT => if_then_else((C_COMMON_CLOCK = 0 AND C_HAS_DATA_COUNTS_WDCH = 1), 1, 0), C_RD_DATA_COUNT_WIDTH => C_WR_PNTR_WIDTH_WDCH+1, C_USE_FWFT_DATA_COUNT => 1, -- use extra logic is always true C_HAS_WR_DATA_COUNT => if_then_else((C_COMMON_CLOCK = 0 AND C_HAS_DATA_COUNTS_WDCH = 1), 1, 0), C_WR_DATA_COUNT_WIDTH => C_WR_PNTR_WIDTH_WDCH+1, C_FULL_FLAGS_RST_VAL => 1, C_USE_EMBEDDED_REG => 0, C_USE_DOUT_RST => 0, C_MSGON_VAL => C_MSGON_VAL, C_ENABLE_RST_SYNC => 1, C_COUNT_TYPE => C_COUNT_TYPE, C_DEFAULT_VALUE => C_DEFAULT_VALUE, C_ENABLE_RLOCS => C_ENABLE_RLOCS, C_HAS_BACKUP => C_HAS_BACKUP, C_HAS_INT_CLK => C_HAS_INT_CLK, C_HAS_MEMINIT_FILE => C_HAS_MEMINIT_FILE, C_INIT_WR_PNTR_VAL => C_INIT_WR_PNTR_VAL, C_MIF_FILE_NAME => C_MIF_FILE_NAME, C_OPTIMIZATION_MODE => C_OPTIMIZATION_MODE, C_RD_FREQ => C_RD_FREQ, C_USE_FIFO16_FLAGS => C_USE_FIFO16_FLAGS, C_WR_FREQ => C_WR_FREQ, C_WR_RESPONSE_LATENCY => C_WR_RESPONSE_LATENCY ) PORT MAP( --Inputs BACKUP => BACKUP, BACKUP_MARKER => BACKUP_MARKER, INT_CLK => INT_CLK, CLK => S_ACLK, WR_CLK => S_ACLK, RD_CLK => M_ACLK, RST => inverted_reset, SRST => '0', WR_RST => inverted_reset, RD_RST => inverted_reset, WR_EN => wdch_we, RD_EN => wdch_re, PROG_FULL_THRESH => AXI_W_PROG_FULL_THRESH, PROG_FULL_THRESH_ASSERT => (OTHERS => '0'), PROG_FULL_THRESH_NEGATE => (OTHERS => '0'), PROG_EMPTY_THRESH => AXI_W_PROG_EMPTY_THRESH, PROG_EMPTY_THRESH_ASSERT => (OTHERS => '0'), PROG_EMPTY_THRESH_NEGATE => (OTHERS => '0'), INJECTDBITERR => AXI_W_INJECTDBITERR, INJECTSBITERR => AXI_W_INJECTSBITERR, DIN => wdch_din, DOUT => wdch_dout, FULL => wdch_full, EMPTY => wdch_empty, ALMOST_FULL => OPEN, PROG_FULL => AXI_W_PROG_FULL, ALMOST_EMPTY => OPEN, PROG_EMPTY => AXI_W_PROG_EMPTY, WR_ACK => OPEN, OVERFLOW => axi_w_overflow_i, VALID => OPEN, UNDERFLOW => axi_w_underflow_i, DATA_COUNT => AXI_W_DATA_COUNT, RD_DATA_COUNT => AXI_W_RD_DATA_COUNT, WR_DATA_COUNT => AXI_W_WR_DATA_COUNT, SBITERR => AXI_W_SBITERR, DBITERR => AXI_W_DBITERR ); -- wdch_s_axi_wready <= map_ready_valid(C_PROG_FULL_TYPE_WDCH,wdch_full,wdch_almost_full,wdch_prog_full); -- wdch_m_axi_wvalid <= map_ready_valid(C_PROG_EMPTY_TYPE_WDCH,wdch_empty,wdch_almost_empty,wdch_prog_empty); wdch_s_axi_wready <= NOT wdch_full; wdch_m_axi_wvalid <= NOT wdch_empty; S_AXI_WREADY <= wdch_s_axi_wready; M_AXI_WVALID <= wdch_m_axi_wvalid; gaxi_wr_ch_uf2: IF (C_USE_COMMON_UNDERFLOW = 0) GENERATE AXI_W_UNDERFLOW <= axi_w_underflow_i; END GENERATE gaxi_wr_ch_uf2; gaxi_wr_ch_of2: IF (C_USE_COMMON_OVERFLOW = 0) GENERATE AXI_W_OVERFLOW <= axi_w_overflow_i; END GENERATE gaxi_wr_ch_of2; END GENERATE gwdch2; -- Register Slice for Write Data Channel gwdch_reg_slice: IF (C_WDCH_TYPE = 1) GENERATE wdch_reg_slice: fifo_generator_v11_0_axic_reg_slice GENERIC MAP ( C_FAMILY => C_FAMILY, C_DATA_WIDTH => C_DIN_WIDTH_WDCH, C_REG_CONFIG => C_REG_SLICE_MODE_WDCH ) PORT MAP( -- System Signals ACLK => S_ACLK, ARESET => axi_rs_rst, -- Slave side S_PAYLOAD_DATA => wdch_din, S_VALID => S_AXI_WVALID, S_READY => S_AXI_WREADY, -- Master side M_PAYLOAD_DATA => wdch_dout, M_VALID => M_AXI_WVALID, M_READY => M_AXI_WREADY ); END GENERATE gwdch_reg_slice; gwrch2: IF (C_WRCH_TYPE = 0) GENERATE SIGNAL wrch_we : STD_LOGIC := '0'; SIGNAL wrch_re : STD_LOGIC := '0'; BEGIN wrch_we <= wrch_wr_en WHEN (C_HAS_SLAVE_CE = 0) ELSE wrch_wr_en AND S_ACLK_EN; wrch_re <= wrch_rd_en WHEN (C_HAS_MASTER_CE = 0) ELSE wrch_rd_en AND M_ACLK_EN; axi_wrch : fifo_generator_v11_0_conv -- Write Response Channel GENERIC MAP ( C_FAMILY => C_FAMILY, C_COMMON_CLOCK => C_COMMON_CLOCK, C_MEMORY_TYPE => if_then_else((C_IMPLEMENTATION_TYPE_WRCH = 1 OR C_IMPLEMENTATION_TYPE_WRCH = 11),1,2), C_IMPLEMENTATION_TYPE => if_then_else((C_IMPLEMENTATION_TYPE_WRCH <= 6),0,2), -- CCBI C_PRELOAD_REGS => 1, -- Always FWFT for AXI C_PRELOAD_LATENCY => 0, -- Always FWFT for AXI C_DIN_WIDTH => C_DIN_WIDTH_WRCH, C_WR_DEPTH => C_WR_DEPTH_WRCH, C_WR_PNTR_WIDTH => C_WR_PNTR_WIDTH_WRCH, C_DOUT_WIDTH => C_DIN_WIDTH_WRCH, C_RD_DEPTH => C_WR_DEPTH_WRCH, C_RD_PNTR_WIDTH => C_WR_PNTR_WIDTH_WRCH, C_PROG_FULL_TYPE => C_PROG_FULL_TYPE_WRCH, C_PROG_FULL_THRESH_ASSERT_VAL => C_PROG_FULL_THRESH_ASSERT_VAL_WRCH, C_PROG_EMPTY_TYPE => C_PROG_EMPTY_TYPE_WRCH, C_PROG_EMPTY_THRESH_ASSERT_VAL => C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH, C_USE_ECC => C_USE_ECC_WRCH, C_ERROR_INJECTION_TYPE => C_ERROR_INJECTION_TYPE_WRCH, C_HAS_ALMOST_EMPTY => 0, C_HAS_ALMOST_FULL => 0, -- Enable Low Latency Sync FIFO for Common Clock Built-in FIFO C_FIFO_TYPE => C_APPLICATION_TYPE_WRCH, C_SYNCHRONIZER_STAGE => C_SYNCHRONIZER_STAGE, C_AXI_TYPE => if_then_else(C_INTERFACE_TYPE = 1, 0, C_AXI_TYPE), C_HAS_WR_RST => 0, C_HAS_RD_RST => 0, C_HAS_RST => 1, C_HAS_SRST => 0, C_DOUT_RST_VAL => "0", C_HAS_VALID => C_HAS_VALID, C_VALID_LOW => C_VALID_LOW, C_HAS_UNDERFLOW => C_HAS_UNDERFLOW, C_UNDERFLOW_LOW => C_UNDERFLOW_LOW, C_HAS_WR_ACK => C_HAS_WR_ACK, C_WR_ACK_LOW => C_WR_ACK_LOW, C_HAS_OVERFLOW => C_HAS_OVERFLOW, C_OVERFLOW_LOW => C_OVERFLOW_LOW, C_HAS_DATA_COUNT => if_then_else((C_COMMON_CLOCK = 1 AND C_HAS_DATA_COUNTS_WRCH = 1), 1, 0), C_DATA_COUNT_WIDTH => C_WR_PNTR_WIDTH_WRCH+1, C_HAS_RD_DATA_COUNT => if_then_else((C_COMMON_CLOCK = 0 AND C_HAS_DATA_COUNTS_WRCH = 1), 1, 0), C_RD_DATA_COUNT_WIDTH => C_WR_PNTR_WIDTH_WRCH+1, C_USE_FWFT_DATA_COUNT => 1, -- use extra logic is always true C_HAS_WR_DATA_COUNT => if_then_else((C_COMMON_CLOCK = 0 AND C_HAS_DATA_COUNTS_WRCH = 1), 1, 0), C_WR_DATA_COUNT_WIDTH => C_WR_PNTR_WIDTH_WRCH+1, C_FULL_FLAGS_RST_VAL => 1, C_USE_EMBEDDED_REG => 0, C_USE_DOUT_RST => 0, C_MSGON_VAL => C_MSGON_VAL, C_ENABLE_RST_SYNC => 1, C_COUNT_TYPE => C_COUNT_TYPE, C_DEFAULT_VALUE => C_DEFAULT_VALUE, C_ENABLE_RLOCS => C_ENABLE_RLOCS, C_HAS_BACKUP => C_HAS_BACKUP, C_HAS_INT_CLK => C_HAS_INT_CLK, C_HAS_MEMINIT_FILE => C_HAS_MEMINIT_FILE, C_INIT_WR_PNTR_VAL => C_INIT_WR_PNTR_VAL, C_MIF_FILE_NAME => C_MIF_FILE_NAME, C_OPTIMIZATION_MODE => C_OPTIMIZATION_MODE, C_RD_FREQ => C_RD_FREQ, C_USE_FIFO16_FLAGS => C_USE_FIFO16_FLAGS, C_WR_FREQ => C_WR_FREQ, C_WR_RESPONSE_LATENCY => C_WR_RESPONSE_LATENCY ) PORT MAP( --Inputs BACKUP => BACKUP, BACKUP_MARKER => BACKUP_MARKER, INT_CLK => INT_CLK, CLK => S_ACLK, WR_CLK => M_ACLK, RD_CLK => S_ACLK, RST => inverted_reset, SRST => '0', WR_RST => inverted_reset, RD_RST => inverted_reset, WR_EN => wrch_we, RD_EN => wrch_re, PROG_FULL_THRESH => AXI_B_PROG_FULL_THRESH, PROG_FULL_THRESH_ASSERT => (OTHERS => '0'), PROG_FULL_THRESH_NEGATE => (OTHERS => '0'), PROG_EMPTY_THRESH => AXI_B_PROG_EMPTY_THRESH, PROG_EMPTY_THRESH_ASSERT => (OTHERS => '0'), PROG_EMPTY_THRESH_NEGATE => (OTHERS => '0'), INJECTDBITERR => AXI_B_INJECTDBITERR, INJECTSBITERR => AXI_B_INJECTSBITERR, DIN => wrch_din, DOUT => wrch_dout, FULL => wrch_full, EMPTY => wrch_empty, ALMOST_FULL => OPEN, PROG_FULL => AXI_B_PROG_FULL, ALMOST_EMPTY => OPEN, PROG_EMPTY => AXI_B_PROG_EMPTY, WR_ACK => OPEN, OVERFLOW => axi_b_overflow_i, VALID => OPEN, UNDERFLOW => axi_b_underflow_i, DATA_COUNT => AXI_B_DATA_COUNT, RD_DATA_COUNT => AXI_B_RD_DATA_COUNT, WR_DATA_COUNT => AXI_B_WR_DATA_COUNT, SBITERR => AXI_B_SBITERR, DBITERR => AXI_B_DBITERR ); -- wrch_s_axi_bvalid <= map_ready_valid(C_PROG_EMPTY_TYPE_WRCH,wrch_empty,wrch_almost_empty,wrch_prog_empty); -- wrch_m_axi_bready <= map_ready_valid(C_PROG_FULL_TYPE_WRCH,wrch_full,wrch_almost_full,wrch_prog_full); wrch_s_axi_bvalid <= NOT wrch_empty; wrch_m_axi_bready <= NOT wrch_full; S_AXI_BVALID <= wrch_s_axi_bvalid; M_AXI_BREADY <= wrch_m_axi_bready; gaxi_wr_ch_uf3: IF (C_USE_COMMON_UNDERFLOW = 0) GENERATE AXI_B_UNDERFLOW <= axi_b_underflow_i; END GENERATE gaxi_wr_ch_uf3; gaxi_wr_ch_of3: IF (C_USE_COMMON_OVERFLOW = 0) GENERATE AXI_B_OVERFLOW <= axi_b_overflow_i; END GENERATE gaxi_wr_ch_of3; END GENERATE gwrch2; -- Register Slice for Write Response Channel gwrch_reg_slice: IF (C_WRCH_TYPE = 1) GENERATE wrch_reg_slice: fifo_generator_v11_0_axic_reg_slice GENERIC MAP ( C_FAMILY => C_FAMILY, C_DATA_WIDTH => C_DIN_WIDTH_WRCH, C_REG_CONFIG => C_REG_SLICE_MODE_WRCH ) PORT MAP( -- System Signals ACLK => S_ACLK, ARESET => axi_rs_rst, -- Slave side S_PAYLOAD_DATA => wrch_din, S_VALID => M_AXI_BVALID, S_READY => M_AXI_BREADY, -- Master side M_PAYLOAD_DATA => wrch_dout, M_VALID => S_AXI_BVALID, M_READY => S_AXI_BREADY ); END GENERATE gwrch_reg_slice; gaxi_wr_ch_uf4: IF (C_USE_COMMON_UNDERFLOW = 1) GENERATE axi_wr_underflow_i <= axi_aw_underflow_i OR axi_w_underflow_i OR axi_b_underflow_i; END GENERATE gaxi_wr_ch_uf4; gaxi_wr_ch_of4: IF (C_USE_COMMON_OVERFLOW = 1) GENERATE axi_wr_overflow_i <= axi_aw_overflow_i OR axi_w_overflow_i OR axi_b_overflow_i; END GENERATE gaxi_wr_ch_of4; gaxi_pkt_fifo_wr: IF (C_APPLICATION_TYPE_WACH = 1) GENERATE SIGNAL wr_pkt_count : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH_WDCH DOWNTO 0) := (OTHERS => '0'); SIGNAL txn_count_en_up : STD_LOGIC := '0'; SIGNAL txn_count_en_down : STD_LOGIC := '0'; BEGIN txn_count_en_up <= wdch_s_axi_wready AND wdch_we AND wdch_din(0); txn_count_en_down <= wach_m_axi_awvalid AND awready_pkt AND awvalid_en; gaxi_mm_cc_pkt_wr: IF (C_COMMON_CLOCK = 1) GENERATE proc_wr_txn_cnt: PROCESS (S_ACLK, inverted_reset) BEGIN IF (inverted_reset = '1') THEN wr_pkt_count <= (OTHERS => '0'); ELSIF (S_ACLK'EVENT AND S_ACLK = '1') THEN IF (txn_count_en_up = '1' AND txn_count_en_down = '0') THEN wr_pkt_count <= wr_pkt_count + conv_std_logic_vector(1,C_WR_PNTR_WIDTH_WDCH+1); ELSIF (txn_count_en_down = '1' AND txn_count_en_up = '0') THEN wr_pkt_count <= wr_pkt_count - conv_std_logic_vector(1,C_WR_PNTR_WIDTH_WDCH+1); END IF; END IF; END PROCESS proc_wr_txn_cnt; awvalid_en <= '1' WHEN (wr_pkt_count > conv_std_logic_vector(0,C_WR_PNTR_WIDTH_WDCH)) ELSE '0'; END GENERATE gaxi_mm_cc_pkt_wr; END GENERATE gaxi_pkt_fifo_wr; END GENERATE gwrch; grdch: IF (C_HAS_AXI_RD_CHANNEL = 1) GENERATE SIGNAL rach_din : std_logic_vector(C_DIN_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL rach_dout : std_logic_vector(C_DIN_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL rach_dout_pkt : std_logic_vector(C_DIN_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL rach_full : std_logic := '0'; SIGNAL rach_almost_full : std_logic := '0'; SIGNAL rach_prog_full : std_logic := '0'; SIGNAL rach_empty : std_logic := '0'; SIGNAL rach_almost_empty : std_logic := '0'; SIGNAL rach_prog_empty : std_logic := '0'; SIGNAL rdch_din : std_logic_vector(C_DIN_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL rdch_dout : std_logic_vector(C_DIN_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL rdch_full : std_logic := '0'; SIGNAL rdch_almost_full : std_logic := '0'; SIGNAL rdch_prog_full : std_logic := '0'; SIGNAL rdch_empty : std_logic := '0'; SIGNAL rdch_almost_empty : std_logic := '0'; SIGNAL rdch_prog_empty : std_logic := '0'; SIGNAL axi_ar_underflow_i : std_logic := '0'; SIGNAL axi_ar_overflow_i : std_logic := '0'; SIGNAL axi_r_underflow_i : std_logic := '0'; SIGNAL axi_r_overflow_i : std_logic := '0'; SIGNAL rach_s_axi_arready : std_logic := '0'; SIGNAL rach_m_axi_arvalid : std_logic := '0'; SIGNAL rach_wr_en : std_logic := '0'; SIGNAL rach_rd_en : std_logic := '0'; SIGNAL rdch_m_axi_rready : std_logic := '0'; SIGNAL rdch_s_axi_rvalid : std_logic := '0'; SIGNAL rdch_wr_en : std_logic := '0'; SIGNAL rdch_rd_en : std_logic := '0'; SIGNAL arvalid_en : std_logic := '0'; SIGNAL arready_pkt : std_logic := '0'; SIGNAL rdch_re : STD_LOGIC := '0'; CONSTANT ARID_OFFSET : integer := if_then_else(C_AXI_TYPE /= 2 AND C_HAS_AXI_ID = 1,C_DIN_WIDTH_RACH - C_AXI_ID_WIDTH,C_DIN_WIDTH_RACH); CONSTANT ARADDR_OFFSET : integer := ARID_OFFSET - C_AXI_ADDR_WIDTH; CONSTANT ARLEN_OFFSET : integer := if_then_else(C_AXI_TYPE /= 2,ARADDR_OFFSET - C_AXI_LEN_WIDTH,ARADDR_OFFSET); CONSTANT ARSIZE_OFFSET : integer := if_then_else(C_AXI_TYPE /= 2,ARLEN_OFFSET - C_AXI_SIZE_WIDTH,ARLEN_OFFSET); CONSTANT ARBURST_OFFSET : integer := if_then_else(C_AXI_TYPE /= 2,ARSIZE_OFFSET - C_AXI_BURST_WIDTH,ARSIZE_OFFSET); CONSTANT ARLOCK_OFFSET : integer := if_then_else(C_AXI_TYPE /= 2,ARBURST_OFFSET - C_AXI_LOCK_WIDTH,ARBURST_OFFSET); CONSTANT ARCACHE_OFFSET : integer := if_then_else(C_AXI_TYPE /= 2,ARLOCK_OFFSET - C_AXI_CACHE_WIDTH,ARLOCK_OFFSET); CONSTANT ARPROT_OFFSET : integer := ARCACHE_OFFSET - C_AXI_PROT_WIDTH; CONSTANT ARQOS_OFFSET : integer := ARPROT_OFFSET - C_AXI_QOS_WIDTH; CONSTANT ARREGION_OFFSET : integer := if_then_else(C_AXI_TYPE = 1,ARQOS_OFFSET - C_AXI_REGION_WIDTH,ARQOS_OFFSET); CONSTANT ARUSER_OFFSET : integer := if_then_else(C_HAS_AXI_ARUSER = 1,ARREGION_OFFSET-C_AXI_ARUSER_WIDTH,ARREGION_OFFSET); CONSTANT RID_OFFSET : integer := if_then_else(C_AXI_TYPE /= 2 AND C_HAS_AXI_ID = 1,C_DIN_WIDTH_RDCH - C_AXI_ID_WIDTH,C_DIN_WIDTH_RDCH); CONSTANT RDATA_OFFSET : integer := RID_OFFSET - C_AXI_DATA_WIDTH; CONSTANT RRESP_OFFSET : integer := RDATA_OFFSET - C_AXI_RRESP_WIDTH; CONSTANT RUSER_OFFSET : integer := if_then_else(C_HAS_AXI_RUSER = 1,RRESP_OFFSET-C_AXI_RUSER_WIDTH,RRESP_OFFSET); BEGIN -- Form the DIN to FIFO by concatinating the AXI Full Write Address Channel optional ports axi_full_din_rd_ch: IF (C_AXI_TYPE /= 2) GENERATE grach1: IF (C_RACH_TYPE < 2) GENERATE grach_din1: IF (C_HAS_AXI_ARUSER = 1) GENERATE rach_din(ARREGION_OFFSET-1 DOWNTO ARUSER_OFFSET) <= S_AXI_ARUSER; M_AXI_ARUSER <= rach_dout(ARREGION_OFFSET-1 DOWNTO ARUSER_OFFSET); END GENERATE grach_din1; grach_din2: IF (C_HAS_AXI_ARUSER = 0) GENERATE M_AXI_ARUSER <= (OTHERS => '0'); END GENERATE grach_din2; grach_din3: IF (C_HAS_AXI_ID = 1) GENERATE rach_din(C_DIN_WIDTH_RACH-1 DOWNTO ARID_OFFSET) <= S_AXI_ARID; M_AXI_ARID <= rach_dout(C_DIN_WIDTH_RACH-1 DOWNTO ARID_OFFSET); END GENERATE grach_din3; grach_din4: IF (C_HAS_AXI_ID = 0) GENERATE M_AXI_ARID <= (OTHERS => '0'); END GENERATE grach_din4; grach_din5: IF (C_AXI_TYPE = 1) GENERATE rach_din(ARQOS_OFFSET-1 DOWNTO ARREGION_OFFSET) <= S_AXI_ARREGION; M_AXI_ARREGION <= rach_dout(ARQOS_OFFSET-1 DOWNTO ARREGION_OFFSET); END GENERATE grach_din5; grach_din6: IF (C_AXI_TYPE = 0) GENERATE M_AXI_ARREGION <= (OTHERS => '0'); END GENERATE grach_din6; rach_din(ARID_OFFSET-1 DOWNTO ARADDR_OFFSET) <= S_AXI_ARADDR; rach_din(ARADDR_OFFSET-1 DOWNTO ARLEN_OFFSET) <= S_AXI_ARLEN; rach_din(ARLEN_OFFSET-1 DOWNTO ARSIZE_OFFSET) <= S_AXI_ARSIZE; rach_din(ARSIZE_OFFSET-1 DOWNTO ARBURST_OFFSET) <= S_AXI_ARBURST; rach_din(ARBURST_OFFSET-1 DOWNTO ARLOCK_OFFSET) <= S_AXI_ARLOCK; rach_din(ARLOCK_OFFSET-1 DOWNTO ARCACHE_OFFSET) <= S_AXI_ARCACHE; rach_din(ARCACHE_OFFSET-1 DOWNTO ARPROT_OFFSET) <= S_AXI_ARPROT; rach_din(ARPROT_OFFSET-1 DOWNTO ARQOS_OFFSET) <= S_AXI_ARQOS; M_AXI_ARADDR <= rach_dout(ARID_OFFSET-1 DOWNTO ARADDR_OFFSET); M_AXI_ARLEN <= rach_dout(ARADDR_OFFSET-1 DOWNTO ARLEN_OFFSET); M_AXI_ARSIZE <= rach_dout(ARLEN_OFFSET-1 DOWNTO ARSIZE_OFFSET); M_AXI_ARBURST <= rach_dout(ARSIZE_OFFSET-1 DOWNTO ARBURST_OFFSET); M_AXI_ARLOCK <= rach_dout(ARBURST_OFFSET-1 DOWNTO ARLOCK_OFFSET); M_AXI_ARCACHE <= rach_dout(ARLOCK_OFFSET-1 DOWNTO ARCACHE_OFFSET); M_AXI_ARPROT <= rach_dout(ARCACHE_OFFSET-1 DOWNTO ARPROT_OFFSET); M_AXI_ARQOS <= rach_dout(ARPROT_OFFSET-1 DOWNTO ARQOS_OFFSET); END GENERATE grach1; -- Generate the DIN to FIFO by concatinating the AXI Full Write Data Channel optional ports grdch1: IF (C_RDCH_TYPE < 2) GENERATE grdch_din1: IF (C_HAS_AXI_RUSER = 1) GENERATE rdch_din(RRESP_OFFSET-1 DOWNTO RUSER_OFFSET) <= M_AXI_RUSER; S_AXI_RUSER <= rdch_dout(RRESP_OFFSET-1 DOWNTO RUSER_OFFSET); END GENERATE grdch_din1; grdch_din2: IF (C_HAS_AXI_RUSER = 0) GENERATE S_AXI_RUSER <= (OTHERS => '0'); END GENERATE grdch_din2; grdch_din3: IF (C_HAS_AXI_ID = 1) GENERATE rdch_din(C_DIN_WIDTH_RDCH-1 DOWNTO RID_OFFSET) <= M_AXI_RID; S_AXI_RID <= rdch_dout(C_DIN_WIDTH_RDCH-1 DOWNTO RID_OFFSET); END GENERATE grdch_din3; grdch_din4: IF (C_HAS_AXI_ID = 0) GENERATE S_AXI_RID <= (OTHERS => '0'); END GENERATE grdch_din4; rdch_din(RID_OFFSET-1 DOWNTO RDATA_OFFSET) <= M_AXI_RDATA; rdch_din(RDATA_OFFSET-1 DOWNTO RRESP_OFFSET) <= M_AXI_RRESP; rdch_din(0) <= M_AXI_RLAST; S_AXI_RDATA <= rdch_dout(RID_OFFSET-1 DOWNTO RDATA_OFFSET); S_AXI_RRESP <= rdch_dout(RDATA_OFFSET-1 DOWNTO RRESP_OFFSET); S_AXI_RLAST <= rdch_dout(0); END GENERATE grdch1; END GENERATE axi_full_din_rd_ch; -- Form the DIN to FIFO by concatinating the AXI Lite Read Address Channel optional ports axi_lite_din_rd_ch: IF (C_AXI_TYPE = 2) GENERATE grach1: IF (C_RACH_TYPE < 2) GENERATE rach_din <= S_AXI_ARADDR & S_AXI_ARPROT; M_AXI_ARADDR <= rach_dout(C_DIN_WIDTH_RACH-1 DOWNTO ARADDR_OFFSET); M_AXI_ARPROT <= rach_dout(ARADDR_OFFSET-1 DOWNTO ARPROT_OFFSET); END GENERATE grach1; grdch1: IF (C_RDCH_TYPE < 2) GENERATE rdch_din <= M_AXI_RDATA & M_AXI_RRESP; S_AXI_RDATA <= rdch_dout(C_DIN_WIDTH_RDCH-1 DOWNTO RDATA_OFFSET); S_AXI_RRESP <= rdch_dout(RDATA_OFFSET-1 DOWNTO RRESP_OFFSET); END GENERATE grdch1; END GENERATE axi_lite_din_rd_ch; -- Write protection for Read Address Channel -- When FULL is high, pass VALID as a WR_EN to the FIFO to get OVERFLOW interrupt grach_wr_en1: IF (C_PROG_FULL_TYPE_RACH = 0) GENERATE rach_wr_en <= S_AXI_ARVALID; END GENERATE grach_wr_en1; -- When ALMOST_FULL or PROG_FULL is high, then shield the FIFO from becoming FULL grach_wr_en2: IF (C_PROG_FULL_TYPE_RACH /= 0) GENERATE rach_wr_en <= rach_s_axi_arready AND S_AXI_ARVALID; END GENERATE grach_wr_en2; -- Write protection for Read Data Channel -- When FULL is high, pass VALID as a WR_EN to the FIFO to get OVERFLOW interrupt grdch_wr_en1: IF (C_PROG_FULL_TYPE_RDCH = 0) GENERATE rdch_wr_en <= M_AXI_RVALID; END GENERATE grdch_wr_en1; -- When ALMOST_FULL or PROG_FULL is high, then shield the FIFO from becoming FULL grdch_wr_en2: IF (C_PROG_FULL_TYPE_RDCH /= 0) GENERATE rdch_wr_en <= rdch_m_axi_rready AND M_AXI_RVALID; END GENERATE grdch_wr_en2; -- Read protection for Read Address Channel -- When EMPTY is low, pass READY as a RD_EN to the FIFO to get UNDERFLOW interrupt grach_rd_en1: IF (C_PROG_EMPTY_TYPE_RACH = 0) GENERATE gpkt_mm_rach_rd_en1: IF (C_APPLICATION_TYPE_RACH = 1) GENERATE rach_rd_en <= arready_pkt AND arvalid_en; END GENERATE; gnpkt_mm_rach_rd_en1: IF (C_APPLICATION_TYPE_RACH /= 1) GENERATE rach_rd_en <= M_AXI_ARREADY; END GENERATE; END GENERATE grach_rd_en1; -- When ALMOST_EMPTY or PROG_EMPTY is low, then shield the FIFO from becoming EMPTY grach_rd_en2: IF (C_PROG_EMPTY_TYPE_RACH /= 0) GENERATE gaxi_mm_rach_rd_en2: IF (C_APPLICATION_TYPE_RACH = 1) GENERATE rach_rd_en <= rach_m_axi_arvalid AND arready_pkt AND arvalid_en; END GENERATE gaxi_mm_rach_rd_en2; gnaxi_mm_rach_rd_en2: IF (C_APPLICATION_TYPE_RACH /= 1) GENERATE rach_rd_en <= rach_m_axi_arvalid AND M_AXI_ARREADY; END GENERATE gnaxi_mm_rach_rd_en2; END GENERATE grach_rd_en2; -- Read protection for Read Data Channel -- When EMPTY is low, pass READY as a RD_EN to the FIFO to get UNDERFLOW interrupt grdch_rd_en1: IF (C_PROG_EMPTY_TYPE_RDCH = 0) GENERATE rdch_rd_en <= S_AXI_RREADY; END GENERATE grdch_rd_en1; -- When ALMOST_EMPTY or PROG_EMPTY is low, then shield the FIFO from becoming EMPTY grdch_rd_en2: IF (C_PROG_EMPTY_TYPE_RDCH /= 0) GENERATE rdch_rd_en <= rdch_s_axi_rvalid AND S_AXI_RREADY; END GENERATE grdch_rd_en2; grach2: IF (C_RACH_TYPE = 0) GENERATE SIGNAL rach_we : STD_LOGIC := '0'; SIGNAL rach_re : STD_LOGIC := '0'; BEGIN rach_we <= rach_wr_en WHEN (C_HAS_SLAVE_CE = 0) ELSE rach_wr_en AND S_ACLK_EN; rach_re <= rach_rd_en WHEN (C_HAS_MASTER_CE = 0) ELSE rach_rd_en AND M_ACLK_EN; axi_rach : fifo_generator_v11_0_conv GENERIC MAP ( C_FAMILY => C_FAMILY, C_COMMON_CLOCK => C_COMMON_CLOCK, C_MEMORY_TYPE => if_then_else((C_IMPLEMENTATION_TYPE_RACH = 1 OR C_IMPLEMENTATION_TYPE_RACH = 11),1,2), C_IMPLEMENTATION_TYPE => if_then_else((C_IMPLEMENTATION_TYPE_RACH <= 6),0,2), -- CCBI C_PRELOAD_REGS => 1, -- Always FWFT for AXI C_PRELOAD_LATENCY => 0, -- Always FWFT for AXI C_DIN_WIDTH => C_DIN_WIDTH_RACH, C_WR_DEPTH => C_WR_DEPTH_RACH, C_WR_PNTR_WIDTH => C_WR_PNTR_WIDTH_RACH, C_DOUT_WIDTH => C_DIN_WIDTH_RACH, C_RD_DEPTH => C_WR_DEPTH_RACH, C_RD_PNTR_WIDTH => C_WR_PNTR_WIDTH_RACH, C_PROG_FULL_TYPE => C_PROG_FULL_TYPE_RACH, C_PROG_FULL_THRESH_ASSERT_VAL => C_PROG_FULL_THRESH_ASSERT_VAL_RACH, C_PROG_EMPTY_TYPE => C_PROG_EMPTY_TYPE_RACH, C_PROG_EMPTY_THRESH_ASSERT_VAL => C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH, C_USE_ECC => C_USE_ECC_RACH, C_ERROR_INJECTION_TYPE => C_ERROR_INJECTION_TYPE_RACH, C_HAS_ALMOST_EMPTY => 0, C_HAS_ALMOST_FULL => 0, -- Enable Low Latency Sync FIFO for Common Clock Built-in FIFO C_FIFO_TYPE => if_then_else((C_APPLICATION_TYPE_RACH = 1),0,C_APPLICATION_TYPE_RACH), C_SYNCHRONIZER_STAGE => C_SYNCHRONIZER_STAGE, C_AXI_TYPE => if_then_else(C_INTERFACE_TYPE = 1, 0, C_AXI_TYPE), C_HAS_WR_RST => 0, C_HAS_RD_RST => 0, C_HAS_RST => 1, C_HAS_SRST => 0, C_DOUT_RST_VAL => "0", C_HAS_VALID => C_HAS_VALID, C_VALID_LOW => C_VALID_LOW, C_HAS_UNDERFLOW => C_HAS_UNDERFLOW, C_UNDERFLOW_LOW => C_UNDERFLOW_LOW, C_HAS_WR_ACK => C_HAS_WR_ACK, C_WR_ACK_LOW => C_WR_ACK_LOW, C_HAS_OVERFLOW => C_HAS_OVERFLOW, C_OVERFLOW_LOW => C_OVERFLOW_LOW, C_HAS_DATA_COUNT => if_then_else((C_COMMON_CLOCK = 1 AND C_HAS_DATA_COUNTS_RACH = 1), 1, 0), C_DATA_COUNT_WIDTH => C_WR_PNTR_WIDTH_RACH+1, C_HAS_RD_DATA_COUNT => if_then_else((C_COMMON_CLOCK = 0 AND C_HAS_DATA_COUNTS_RACH = 1), 1, 0), C_RD_DATA_COUNT_WIDTH => C_WR_PNTR_WIDTH_RACH+1, C_USE_FWFT_DATA_COUNT => 1, -- use extra logic is always true C_HAS_WR_DATA_COUNT => if_then_else((C_COMMON_CLOCK = 0 AND C_HAS_DATA_COUNTS_RACH = 1), 1, 0), C_WR_DATA_COUNT_WIDTH => C_WR_PNTR_WIDTH_RACH+1, C_FULL_FLAGS_RST_VAL => 1, C_USE_EMBEDDED_REG => 0, C_USE_DOUT_RST => 0, C_MSGON_VAL => C_MSGON_VAL, C_ENABLE_RST_SYNC => 1, C_COUNT_TYPE => C_COUNT_TYPE, C_DEFAULT_VALUE => C_DEFAULT_VALUE, C_ENABLE_RLOCS => C_ENABLE_RLOCS, C_HAS_BACKUP => C_HAS_BACKUP, C_HAS_INT_CLK => C_HAS_INT_CLK, C_HAS_MEMINIT_FILE => C_HAS_MEMINIT_FILE, C_INIT_WR_PNTR_VAL => C_INIT_WR_PNTR_VAL, C_MIF_FILE_NAME => C_MIF_FILE_NAME, C_OPTIMIZATION_MODE => C_OPTIMIZATION_MODE, C_WR_FREQ => C_WR_FREQ, C_USE_FIFO16_FLAGS => C_USE_FIFO16_FLAGS, C_RD_FREQ => C_RD_FREQ, C_WR_RESPONSE_LATENCY => C_WR_RESPONSE_LATENCY ) PORT MAP( --Inputs BACKUP => BACKUP, BACKUP_MARKER => BACKUP_MARKER, INT_CLK => INT_CLK, CLK => S_ACLK, WR_CLK => S_ACLK, RD_CLK => M_ACLK, RST => inverted_reset, SRST => '0', WR_RST => inverted_reset, RD_RST => inverted_reset, WR_EN => rach_we, RD_EN => rach_re, PROG_FULL_THRESH => AXI_AR_PROG_FULL_THRESH, PROG_FULL_THRESH_ASSERT => (OTHERS => '0'), PROG_FULL_THRESH_NEGATE => (OTHERS => '0'), PROG_EMPTY_THRESH => AXI_AR_PROG_EMPTY_THRESH, PROG_EMPTY_THRESH_ASSERT => (OTHERS => '0'), PROG_EMPTY_THRESH_NEGATE => (OTHERS => '0'), INJECTDBITERR => AXI_AR_INJECTDBITERR, INJECTSBITERR => AXI_AR_INJECTSBITERR, DIN => rach_din, DOUT => rach_dout_pkt, FULL => rach_full, EMPTY => rach_empty, ALMOST_FULL => OPEN, PROG_FULL => AXI_AR_PROG_FULL, ALMOST_EMPTY => OPEN, PROG_EMPTY => AXI_AR_PROG_EMPTY, WR_ACK => OPEN, OVERFLOW => axi_ar_overflow_i, VALID => OPEN, UNDERFLOW => axi_ar_underflow_i, DATA_COUNT => AXI_AR_DATA_COUNT, RD_DATA_COUNT => AXI_AR_RD_DATA_COUNT, WR_DATA_COUNT => AXI_AR_WR_DATA_COUNT, SBITERR => AXI_AR_SBITERR, DBITERR => AXI_AR_DBITERR ); -- rach_s_axi_arready <= map_ready_valid(C_PROG_FULL_TYPE_RACH,rach_full,rach_almost_full,rach_prog_full); -- rach_m_axi_arvalid <= map_ready_valid(C_PROG_EMPTY_TYPE_RACH,rach_empty,rach_almost_empty,rach_prog_empty); rach_s_axi_arready <= NOT rach_full; rach_m_axi_arvalid <= NOT rach_empty; S_AXI_ARREADY <= rach_s_axi_arready; gaxi_arvld: IF (C_APPLICATION_TYPE_RACH = 1) GENERATE SIGNAL arvalid_pkt : STD_LOGIC := '0'; BEGIN arvalid_pkt <= rach_m_axi_arvalid AND arvalid_en; rach_pkt_reg_slice: fifo_generator_v11_0_axic_reg_slice GENERIC MAP ( C_FAMILY => C_FAMILY, C_DATA_WIDTH => C_DIN_WIDTH_RACH, C_REG_CONFIG => 1 ) PORT MAP( -- System Signals ACLK => S_ACLK, ARESET => inverted_reset, -- Slave side S_PAYLOAD_DATA => rach_dout_pkt, S_VALID => arvalid_pkt, S_READY => arready_pkt, -- Master side M_PAYLOAD_DATA => rach_dout, M_VALID => M_AXI_ARVALID, M_READY => M_AXI_ARREADY ); END GENERATE gaxi_arvld; gnaxi_arvld: IF (C_APPLICATION_TYPE_RACH /= 1) GENERATE M_AXI_ARVALID <= rach_m_axi_arvalid; rach_dout <= rach_dout_pkt; END GENERATE gnaxi_arvld; gaxi_rd_ch_uf1: IF (C_USE_COMMON_UNDERFLOW = 0) GENERATE AXI_AR_UNDERFLOW <= axi_ar_underflow_i; END GENERATE gaxi_rd_ch_uf1; gaxi_rd_ch_of1: IF (C_USE_COMMON_OVERFLOW = 0) GENERATE AXI_AR_OVERFLOW <= axi_ar_overflow_i; END GENERATE gaxi_rd_ch_of1; END GENERATE grach2; -- Register Slice for Read Address Channel grach_reg_slice: IF (C_RACH_TYPE = 1) GENERATE rach_reg_slice: fifo_generator_v11_0_axic_reg_slice GENERIC MAP ( C_FAMILY => C_FAMILY, C_DATA_WIDTH => C_DIN_WIDTH_RACH, C_REG_CONFIG => C_REG_SLICE_MODE_RACH ) PORT MAP( -- System Signals ACLK => S_ACLK, ARESET => axi_rs_rst, -- Slave side S_PAYLOAD_DATA => rach_din, S_VALID => S_AXI_ARVALID, S_READY => S_AXI_ARREADY, -- Master side M_PAYLOAD_DATA => rach_dout, M_VALID => M_AXI_ARVALID, M_READY => M_AXI_ARREADY ); END GENERATE grach_reg_slice; grdch2: IF (C_RDCH_TYPE = 0) GENERATE SIGNAL rdch_we : STD_LOGIC := '0'; BEGIN rdch_we <= rdch_wr_en WHEN (C_HAS_SLAVE_CE = 0) ELSE rdch_wr_en AND S_ACLK_EN; rdch_re <= rdch_rd_en WHEN (C_HAS_MASTER_CE = 0) ELSE rdch_rd_en AND M_ACLK_EN; axi_rdch : fifo_generator_v11_0_conv GENERIC MAP ( C_FAMILY => C_FAMILY, C_COMMON_CLOCK => C_COMMON_CLOCK, C_MEMORY_TYPE => if_then_else((C_IMPLEMENTATION_TYPE_RDCH = 1 OR C_IMPLEMENTATION_TYPE_RDCH = 11),1,2), C_IMPLEMENTATION_TYPE => if_then_else((C_IMPLEMENTATION_TYPE_RDCH <= 6),0,2), -- CCBI C_PRELOAD_REGS => 1, -- Always FWFT for AXI C_PRELOAD_LATENCY => 0, -- Always FWFT for AXI C_DIN_WIDTH => C_DIN_WIDTH_RDCH, C_WR_DEPTH => C_WR_DEPTH_RDCH, C_WR_PNTR_WIDTH => C_WR_PNTR_WIDTH_RDCH, C_DOUT_WIDTH => C_DIN_WIDTH_RDCH, C_RD_DEPTH => C_WR_DEPTH_RDCH, C_RD_PNTR_WIDTH => C_WR_PNTR_WIDTH_RDCH, C_PROG_FULL_TYPE => C_PROG_FULL_TYPE_RDCH, C_PROG_FULL_THRESH_ASSERT_VAL => C_PROG_FULL_THRESH_ASSERT_VAL_RDCH, C_PROG_EMPTY_TYPE => C_PROG_EMPTY_TYPE_RDCH, C_PROG_EMPTY_THRESH_ASSERT_VAL => C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH, C_USE_ECC => C_USE_ECC_RDCH, C_ERROR_INJECTION_TYPE => C_ERROR_INJECTION_TYPE_RDCH, C_HAS_ALMOST_EMPTY => 0, C_HAS_ALMOST_FULL => 0, -- Enable Low Latency Sync FIFO for Common Clock Built-in FIFO C_FIFO_TYPE => C_APPLICATION_TYPE_RDCH, C_SYNCHRONIZER_STAGE => C_SYNCHRONIZER_STAGE, C_AXI_TYPE => if_then_else(C_INTERFACE_TYPE = 1, 0, C_AXI_TYPE), C_HAS_WR_RST => 0, C_HAS_RD_RST => 0, C_HAS_RST => 1, C_HAS_SRST => 0, C_DOUT_RST_VAL => "0", C_HAS_VALID => C_HAS_VALID, C_VALID_LOW => C_VALID_LOW, C_HAS_UNDERFLOW => C_HAS_UNDERFLOW, C_UNDERFLOW_LOW => C_UNDERFLOW_LOW, C_HAS_WR_ACK => C_HAS_WR_ACK, C_WR_ACK_LOW => C_WR_ACK_LOW, C_HAS_OVERFLOW => C_HAS_OVERFLOW, C_OVERFLOW_LOW => C_OVERFLOW_LOW, C_HAS_DATA_COUNT => if_then_else((C_COMMON_CLOCK = 1 AND C_HAS_DATA_COUNTS_RDCH = 1), 1, 0), C_DATA_COUNT_WIDTH => C_WR_PNTR_WIDTH_RDCH+1, C_HAS_RD_DATA_COUNT => if_then_else((C_COMMON_CLOCK = 0 AND C_HAS_DATA_COUNTS_RDCH = 1), 1, 0), C_RD_DATA_COUNT_WIDTH => C_WR_PNTR_WIDTH_RDCH+1, C_USE_FWFT_DATA_COUNT => 1, -- use extra logic is always true C_HAS_WR_DATA_COUNT => if_then_else((C_COMMON_CLOCK = 0 AND C_HAS_DATA_COUNTS_RDCH = 1), 1, 0), C_WR_DATA_COUNT_WIDTH => C_WR_PNTR_WIDTH_RDCH+1, C_FULL_FLAGS_RST_VAL => 1, C_USE_EMBEDDED_REG => 0, C_USE_DOUT_RST => 0, C_MSGON_VAL => C_MSGON_VAL, C_ENABLE_RST_SYNC => 1, C_COUNT_TYPE => C_COUNT_TYPE, C_DEFAULT_VALUE => C_DEFAULT_VALUE, C_ENABLE_RLOCS => C_ENABLE_RLOCS, C_HAS_BACKUP => C_HAS_BACKUP, C_HAS_INT_CLK => C_HAS_INT_CLK, C_HAS_MEMINIT_FILE => C_HAS_MEMINIT_FILE, C_INIT_WR_PNTR_VAL => C_INIT_WR_PNTR_VAL, C_MIF_FILE_NAME => C_MIF_FILE_NAME, C_OPTIMIZATION_MODE => C_OPTIMIZATION_MODE, C_WR_FREQ => C_WR_FREQ, C_USE_FIFO16_FLAGS => C_USE_FIFO16_FLAGS, C_RD_FREQ => C_RD_FREQ, C_WR_RESPONSE_LATENCY => C_WR_RESPONSE_LATENCY ) PORT MAP( --Inputs BACKUP => BACKUP, BACKUP_MARKER => BACKUP_MARKER, INT_CLK => INT_CLK, CLK => S_ACLK, WR_CLK => M_ACLK, RD_CLK => S_ACLK, RST => inverted_reset, SRST => '0', WR_RST => inverted_reset, RD_RST => inverted_reset, WR_EN => rdch_we, RD_EN => rdch_re, PROG_FULL_THRESH => AXI_R_PROG_FULL_THRESH, PROG_FULL_THRESH_ASSERT => (OTHERS => '0'), PROG_FULL_THRESH_NEGATE => (OTHERS => '0'), PROG_EMPTY_THRESH => AXI_R_PROG_EMPTY_THRESH, PROG_EMPTY_THRESH_ASSERT => (OTHERS => '0'), PROG_EMPTY_THRESH_NEGATE => (OTHERS => '0'), INJECTDBITERR => AXI_R_INJECTDBITERR, INJECTSBITERR => AXI_R_INJECTSBITERR, DIN => rdch_din, DOUT => rdch_dout, FULL => rdch_full, EMPTY => rdch_empty, ALMOST_FULL => OPEN, PROG_FULL => AXI_R_PROG_FULL, ALMOST_EMPTY => OPEN, PROG_EMPTY => AXI_R_PROG_EMPTY, WR_ACK => OPEN, OVERFLOW => axi_r_overflow_i, VALID => OPEN, UNDERFLOW => axi_r_underflow_i, DATA_COUNT => AXI_R_DATA_COUNT, RD_DATA_COUNT => AXI_R_RD_DATA_COUNT, WR_DATA_COUNT => AXI_R_WR_DATA_COUNT, SBITERR => AXI_R_SBITERR, DBITERR => AXI_R_DBITERR ); -- rdch_s_axi_rvalid <= map_ready_valid(C_PROG_EMPTY_TYPE_RDCH,rdch_empty,rdch_almost_empty,rdch_prog_empty); -- rdch_m_axi_rready <= map_ready_valid(C_PROG_FULL_TYPE_RDCH,rdch_full,rdch_almost_full,rdch_prog_full); rdch_s_axi_rvalid <= NOT rdch_empty; rdch_m_axi_rready <= NOT rdch_full; S_AXI_RVALID <= rdch_s_axi_rvalid; M_AXI_RREADY <= rdch_m_axi_rready; gaxi_rd_ch_uf2: IF (C_USE_COMMON_UNDERFLOW = 0) GENERATE AXI_R_UNDERFLOW <= axi_r_underflow_i; END GENERATE gaxi_rd_ch_uf2; gaxi_rd_ch_of2: IF (C_USE_COMMON_OVERFLOW = 0) GENERATE AXI_R_OVERFLOW <= axi_r_overflow_i; END GENERATE gaxi_rd_ch_of2; END GENERATE grdch2; -- Register Slice for Read Data Channel grdch_reg_slice: IF (C_RDCH_TYPE = 1) GENERATE rdch_reg_slice: fifo_generator_v11_0_axic_reg_slice GENERIC MAP ( C_FAMILY => C_FAMILY, C_DATA_WIDTH => C_DIN_WIDTH_RDCH, C_REG_CONFIG => C_REG_SLICE_MODE_RDCH ) PORT MAP( -- System Signals ACLK => S_ACLK, ARESET => axi_rs_rst, -- Slave side S_PAYLOAD_DATA => rdch_din, S_VALID => M_AXI_RVALID, S_READY => M_AXI_RREADY, -- Master side M_PAYLOAD_DATA => rdch_dout, M_VALID => S_AXI_RVALID, M_READY => S_AXI_RREADY ); END GENERATE grdch_reg_slice; gaxi_rd_ch_uf3: IF (C_USE_COMMON_UNDERFLOW = 1) GENERATE axi_rd_underflow_i <= axi_ar_underflow_i OR axi_r_underflow_i; END GENERATE gaxi_rd_ch_uf3; gaxi_rd_ch_of3: IF (C_USE_COMMON_OVERFLOW = 1) GENERATE axi_rd_overflow_i <= axi_ar_overflow_i OR axi_r_overflow_i; END GENERATE gaxi_rd_ch_of3; gaxi_pkt_fifo_rd: IF (C_APPLICATION_TYPE_RACH = 1) GENERATE SIGNAL rd_burst_length : STD_LOGIC_VECTOR(8 DOWNTO 0) := (OTHERS => '0'); SIGNAL rd_fifo_free_space : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH_RDCH DOWNTO 0) := (OTHERS => '0'); SIGNAL rd_fifo_committed_space : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH_RDCH DOWNTO 0) := (OTHERS => '0'); SIGNAL txn_count_en_up : STD_LOGIC := '0'; SIGNAL txn_count_en_down : STD_LOGIC := '0'; SIGNAL rdch_rd_ok : STD_LOGIC := '0'; SIGNAL accept_next_pkt : STD_LOGIC := '0'; SIGNAL decrement_val : INTEGER := 0; BEGIN rd_burst_length <= ('0' & rach_dout_pkt(ARADDR_OFFSET-1 DOWNTO ARLEN_OFFSET)) + conv_std_logic_vector(1,9); accept_next_pkt <= rach_m_axi_arvalid AND arready_pkt AND arvalid_en; rdch_rd_ok <= rdch_re AND rdch_s_axi_rvalid; arvalid_en <= '1' WHEN (rd_fifo_free_space >= rd_burst_length) ELSE '0'; gaxi_mm_cc_pkt_rd: IF (C_COMMON_CLOCK = 1) GENERATE rd_fifo_free_space <= conv_std_logic_vector(C_WR_DEPTH_RDCH-conv_integer(rd_fifo_committed_space),C_WR_PNTR_WIDTH_RDCH+1); decrement_val <= 1 WHEN (rdch_rd_ok = '1') ELSE 0; proc_rd_txn_cnt: PROCESS (S_ACLK, inverted_reset) BEGIN IF (inverted_reset = '1') THEN rd_fifo_committed_space <= (OTHERS => '0'); ELSIF (S_ACLK'EVENT AND S_ACLK = '1') THEN IF (accept_next_pkt = '1') THEN -- Subtract 1 if read happens on read data FIFO while adding ARLEN rd_fifo_committed_space <= rd_fifo_committed_space + conv_std_logic_vector((conv_integer(rd_burst_length) - decrement_val), C_WR_PNTR_WIDTH_RDCH+1); ELSIF (rdch_rd_ok = '1') THEN -- Subtract 1 whenever read happens on read data FIFO rd_fifo_committed_space <= rd_fifo_committed_space - conv_std_logic_vector(1,C_WR_PNTR_WIDTH_RDCH+1); END IF; END IF; END PROCESS proc_rd_txn_cnt; END GENERATE gaxi_mm_cc_pkt_rd; END GENERATE gaxi_pkt_fifo_rd; END GENERATE grdch; gaxi_comm_uf: IF (C_USE_COMMON_UNDERFLOW = 1) GENERATE grdwr_uf1: IF (C_HAS_AXI_WR_CHANNEL = 1 AND C_HAS_AXI_RD_CHANNEL = 1) GENERATE UNDERFLOW <= axi_wr_underflow_i OR axi_rd_underflow_i; END GENERATE grdwr_uf1; grdwr_uf2: IF (C_HAS_AXI_WR_CHANNEL = 1 AND C_HAS_AXI_RD_CHANNEL = 0) GENERATE UNDERFLOW <= axi_wr_underflow_i; END GENERATE grdwr_uf2; grdwr_uf3: IF (C_HAS_AXI_WR_CHANNEL = 0 AND C_HAS_AXI_RD_CHANNEL = 1) GENERATE UNDERFLOW <= axi_rd_underflow_i; END GENERATE grdwr_uf3; END GENERATE gaxi_comm_uf; gaxi_comm_of: IF (C_USE_COMMON_OVERFLOW = 1) GENERATE grdwr_of1: IF (C_HAS_AXI_WR_CHANNEL = 1 AND C_HAS_AXI_RD_CHANNEL = 1) GENERATE OVERFLOW <= axi_wr_overflow_i OR axi_rd_overflow_i; END GENERATE grdwr_of1; grdwr_of2: IF (C_HAS_AXI_WR_CHANNEL = 1 AND C_HAS_AXI_RD_CHANNEL = 0) GENERATE OVERFLOW <= axi_wr_overflow_i; END GENERATE grdwr_of2; grdwr_of3: IF (C_HAS_AXI_WR_CHANNEL = 0 AND C_HAS_AXI_RD_CHANNEL = 1) GENERATE OVERFLOW <= axi_rd_overflow_i; END GENERATE grdwr_of3; END GENERATE gaxi_comm_of; END GENERATE gaxifull; --------------------------------------------------------------------------- --------------------------------------------------------------------------- --------------------------------------------------------------------------- -- Pass Through Logic or Wiring Logic --------------------------------------------------------------------------- --------------------------------------------------------------------------- --------------------------------------------------------------------------- gaxi_pass_through: IF (C_WACH_TYPE = 2 OR C_WDCH_TYPE = 2 OR C_WRCH_TYPE = 2 OR C_RACH_TYPE = 2 OR C_RDCH_TYPE = 2 OR C_AXIS_TYPE = 2) GENERATE gwach_pass_through: IF (C_WACH_TYPE = 2) GENERATE -- Wiring logic for Write Address Channel M_AXI_AWID <= S_AXI_AWID; M_AXI_AWADDR <= S_AXI_AWADDR; M_AXI_AWLEN <= S_AXI_AWLEN; M_AXI_AWSIZE <= S_AXI_AWSIZE; M_AXI_AWBURST <= S_AXI_AWBURST; M_AXI_AWLOCK <= S_AXI_AWLOCK; M_AXI_AWCACHE <= S_AXI_AWCACHE; M_AXI_AWPROT <= S_AXI_AWPROT; M_AXI_AWQOS <= S_AXI_AWQOS; M_AXI_AWREGION <= S_AXI_AWREGION; M_AXI_AWUSER <= S_AXI_AWUSER; S_AXI_AWREADY <= M_AXI_AWREADY; M_AXI_AWVALID <= S_AXI_AWVALID; END GENERATE gwach_pass_through; -- Wiring logic for Write Data Channel gwdch_pass_through: IF (C_WDCH_TYPE = 2) GENERATE M_AXI_WID <= S_AXI_WID; M_AXI_WDATA <= S_AXI_WDATA; M_AXI_WSTRB <= S_AXI_WSTRB; M_AXI_WLAST <= S_AXI_WLAST; M_AXI_WUSER <= S_AXI_WUSER; S_AXI_WREADY <= M_AXI_WREADY; M_AXI_WVALID <= S_AXI_WVALID; END GENERATE gwdch_pass_through; -- Wiring logic for Write Response Channel gwrch_pass_through: IF (C_WRCH_TYPE = 2) GENERATE S_AXI_BID <= M_AXI_BID; S_AXI_BRESP <= M_AXI_BRESP; S_AXI_BUSER <= M_AXI_BUSER; M_AXI_BREADY <= S_AXI_BREADY; S_AXI_BVALID <= M_AXI_BVALID; END GENERATE gwrch_pass_through; -- Pass Through Logic for Read Channel grach_pass_through: IF (C_RACH_TYPE = 2) GENERATE -- Wiring logic for Read Address Channel M_AXI_ARID <= S_AXI_ARID; M_AXI_ARADDR <= S_AXI_ARADDR; M_AXI_ARLEN <= S_AXI_ARLEN; M_AXI_ARSIZE <= S_AXI_ARSIZE; M_AXI_ARBURST <= S_AXI_ARBURST; M_AXI_ARLOCK <= S_AXI_ARLOCK; M_AXI_ARCACHE <= S_AXI_ARCACHE; M_AXI_ARPROT <= S_AXI_ARPROT; M_AXI_ARQOS <= S_AXI_ARQOS; M_AXI_ARREGION <= S_AXI_ARREGION; M_AXI_ARUSER <= S_AXI_ARUSER; S_AXI_ARREADY <= M_AXI_ARREADY; M_AXI_ARVALID <= S_AXI_ARVALID; END GENERATE grach_pass_through; grdch_pass_through: IF (C_RDCH_TYPE = 2) GENERATE -- Wiring logic for Read Data Channel S_AXI_RID <= M_AXI_RID; S_AXI_RLAST <= M_AXI_RLAST; S_AXI_RUSER <= M_AXI_RUSER; S_AXI_RDATA <= M_AXI_RDATA; S_AXI_RRESP <= M_AXI_RRESP; S_AXI_RVALID <= M_AXI_RVALID; M_AXI_RREADY <= S_AXI_RREADY; END GENERATE grdch_pass_through; gaxis_pass_through: IF (C_AXIS_TYPE = 2) GENERATE -- Wiring logic for AXI Streaming M_AXIS_TDATA <= S_AXIS_TDATA; M_AXIS_TSTRB <= S_AXIS_TSTRB; M_AXIS_TKEEP <= S_AXIS_TKEEP; M_AXIS_TID <= S_AXIS_TID; M_AXIS_TDEST <= S_AXIS_TDEST; M_AXIS_TUSER <= S_AXIS_TUSER; M_AXIS_TLAST <= S_AXIS_TLAST; S_AXIS_TREADY <= M_AXIS_TREADY; M_AXIS_TVALID <= S_AXIS_TVALID; END GENERATE gaxis_pass_through; END GENERATE gaxi_pass_through; END behavioral;
bsd-2-clause
ce73cbfbca2cb869c2ecbd772456046f
0.466175
3.791525
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/conditional_waveforms/rule_101_test_input.vhd
2
409
architecture rtl of fifo is begin process begin var1 := '0' when(rd_en = '1') else '1'; var2 := '0' when (rd_en = '1') else '1'; wr_en_a <= force '0' when(rd_en = '1') else '1'; wr_en_b <= force '0' when (rd_en = '1') else '1'; end process; concurrent_wr_en_a <= '0' when(rd_en = '1') else '1'; concurrent_wr_en_b <= '0' when (rd_en = '1') else '1'; end architecture rtl;
gpl-3.0
aad27e0d1900a5ea619ff6ec51ecb18b
0.528117
2.524691
false
false
false
false
cwilkens/ecen4024-microphone-array
microphone-array/microphone-array.srcs/sources_1/ip/lp_FIR/fir_compiler_v7_1/hdl/fir_compiler_v7_1_viv.vhd
2
86,797
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block SBx4vEc6TpwXLMRY+fbhI0Y66Cx+gNUJHjVjW55v0CcleK400rp92IEk5qV78BnqAHHKhJ01y5AX Tk3OrsXkmA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block bIEvQ1XNvTRmCyu7aP5mozlZ06zXhEdKcmav1pVx2wWAdOSUseW+O9goaKSzJ0O288Vomo5pFfDq ghYGvwIwsmr4lFkspypV65td6kSzb4XCYD5N87A2U7avl9We8wUjLS/WsRl3SVuOW3h/hHPuYRmz yCCaAwkJQBz9QI6gcCw= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block gafcvyAKLHas2W3azlmKOD05hlP0IQh6nPHlDiuOm+WO7AtgYFDpQKApfib89+WnpSBXo0zpffrF FU9oU52uvvJXXjxkrp+128NtFYcLSWjV/IFrCw2A+bswwmdIqHWgnz4oP7SS7oojEPIcKRnieXOu em6bq51mioZ/ENg6mOK91aSQsocQu2dBd/0od0nGcPGJSsXqpLu7m+4UGPlf/Mea5zZivtEYLmR3 maHS0PV5X2q2Egl//myzJUars4ITfyzLXRmQCOpplQDTMOBc+evwyntVJlMS4sDjpV/802c0bEK2 HrW8sCA7ICheG3l8sxTOnEIpcq5/wbBww8l6Jw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dBjI40tTPA0cTuZ5T7lsu4UCVuKSZ8xbmdhhH/YOe9VDTw6OIe2YVvl+nPKHoMWhIo1dO3iQDrYd pMxsNuHlx9NRsWUXkoXzLhOTV4wssdaJA+WSs4rEvuUGGuBU+9cShwHwpFGGoJNJuJ2sCKM3TL0i QBDazEFX3rqHYuZ39WI= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block FE2EuDEJeaaxY2E1hEGP/BmP0scTX3QIQuJ0qBz8qbcJxdsu6nRQ+tGGhvJ3z1ueV881dglO3W/q HB773yUzTkzsp31O7sM1zHpuqxrdb1m9EPf5aN6s2/Wip/KxE5I41VDWRJj1voxD/jeo1umAoHBJ wB6OrxW5BzEh+m3J61q6uFSPksPcAf57tiu2+y8jd2Izlow3xYVjQiAooj+V2ySgBCgkwWs+atL7 1psVCD/6EWXhfvmzIK6rtIEIfqXBkn61BVHEqesyWyovrpBQtBgknjgFWAks3tqD/txJasMDUJmY YQ2jDLcS3EZk4FdOwbuBgjvpow9qmReLJtnY3A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 62512) `protect data_block +04VDXeSN2QJeG8KQXdz8A6qMwFRfVh0+B9xTNPro77BhYxGfneM2wgLxxNeQza2eokQsByjKXM7 6LO3N+CHiNq49i7Zpqu2woka1pku2uQqxmtfYGr2trZ2k0dmId8V8QgGLPE27BzdTkm/mWMoQqoe mcNjKFR7XiWI6jFsWQAnCUE0F/3l/jaXvhX0Fr7DzQU99OifI3lzEeCSW4TCxf/lo3ggK212cd31 Bci9woPWmCVIfGbiFZVC/U0WhoRyTSddcnNkTH0GcJCjPoewdUwUneeiK5q5zSm20F1eAuFxscxM 0h2d9tim7wRzDeYMsH8zzBeYAOqOpKc/B7KnIaPfSemV9AfrLAv1HWIupYI/k6Upx4OPunobjbsH yIs3tWPmcyVW+GdtywKKIGSzy/k8rQTbEZ7sotSKZZ3sxSZ4y6JyJ0Erj78sS5c1gxRMg7UuuBG0 EMUO9QzO5zuk4yAtAWtuJfNI0cNoVnPyw/D8U0HVhCU2EuNYaA2Kf0BxppS9A6xmGLTRGRrIOImw coadbYq0qe6exvtGXyUNWLm9RYEisz1gJnAHfcwlFohBIBtxnR33s29hLxy+aw3XLEjGNeY2D1/C 2UFUuYO0gRrn0grL5IB5Wo5YGdGq8tFLUkzwawiaxr3k+94Osdq3LtzovG/qSz6iI1rENidWAXMz ps8/Pu18j/wZYoyCdQ9QESju0/jK0hOGQHYdK7kZl8MpIV6+0SjCJajfJ3jI6LiXIWfN5FtgdqAS rskERDQsdYyJeZz+TdxdZERvAz6SGke7hvq7Zrkw0Q2+gtZf+yMVstzyFcDPq3RmIMp2pVsiuhIV RYP+6x9cUx+uDLYrWbG11zZKDcPCtKJLc0pqo0vOowy92vKihrHr8FNttBDuPmOv7jGUJbDXwGbK tMpXMmJuac5xsuupfQA4b6Xgg1M+QGrvNiid9vXB+QBqWf+GiWL2s9kdssWsoHBpAaSOvNK0THoK 6sJW0FpRb7rTVGZFD3Ner9OMqvoWxFEVH+9YHhlvfOAzprmDjbHgw0jm+0m83vzStEs7XhY7BtOX HqHfG9VRL53c/EIsvkmTViJx8SPhtnj/tgxgFZLarKVn2uK5+pu3NeJ0eCNSf5dRabw7FksuIkyY G+8vwIXut9FqUZxtoX5+sUYhL3iw+oz67Oni24UQ+0Rh3vjiBUzwMNypBOdBOU4ECuPR1CtGHdta jFD6oH0ZMz0mixVc+YQyqfkqtA0Yf+2wN1r6+MPOT9/UIB93UxDuyaamRoz9z2LIUFs704q7uc/D iQ8/TfEwiU5/IiM+P8++GzdCOoASRYR4Hwsg1F4xHZmtLyXwFR6SA5KPQatKmRbtAJGk/Hggoh3j W1UnNUIsmW8zIRG1S/XSCUa6emQnrm5sS+Kd6dIw1A3//PGT5AhP9nXjj6bT0oUgsb6m76IUIDs4 pYYIUVBoCm8TC6cOG5OaMzenl46uG18Y2uSWmZZ9GHKSFj/pKfQBUilSgd+9txdjjRdxQd9r7DZZ D0CI3KyMlvvWBV4UH1lyyQ9mZRmPcrz5lBq7FkmN/DuUgmuspt0BtOsKMh3dcXXSM9R7IMIK5k0n pf6qV0HMDeQ/iI1Ku+KoOfKRJp1u4pGBQ1LP2nP4fj5E1+Wm2hCp2U1daA9eyXktzgWMUeXtRJyI 4yunJmcgrg/+2QR4VOFPGldyzMo7KM+JQbsAJJuh5r0yY1h8qTT7M0NAvmsq8a1CU6lgwHLAlpMr 4NHlG1ruPyjgNOJZMNFOnxn8D/fQkPU41caWMldpAEURm+TW5mphVYBtGEiyMj+DclVnDT/cYGEO AiNlAfV0xrOQFIMIbbZ0zTXeSXN3zkk3yb34+IfLbo89Uwb5RyPRYYUAXJo9h0Oz2d7rhFB5kPO9 iTl45ma5hO41bXNRFe3Z6R8oV94OuDGumkNqrBoJ6bh5UJaCBKd8Cmr24mmdafJ1iAm+v9Xc/0t1 BXGpXHt8rDbCjtKgh90tKi2jVtTAL2K+XuphTYseKGcNl03GcXKGYb0olurmR24+NRLnD/7EXL+R Gnf8ClJ9S3uD4Sti8QzR9RTfwHrLxIdz9Q8zidRX4TqnqoHq/709pHO5HHyT/BFiM2wrD/LUrho4 hjcAPqssqnaLBrl78+vzsxTTE1a4UF5kXTIjNZfoXwObLTFw1RzcHYkZz4CwG8o2MlRFoVtbUIk1 FUUj4FbgQyNp6A9eiz+IyM3JW2Kk2fxQYlG4D35n0R9fKjm7ozoEPv9fqWY6uhQb4YGSco0H9AxE OQsKoWsBMz9Yg2ldbDfWzCuEhu1mViZpzeHOUOaKjNiyqWvNMrE2cGuH4k70uwNJHvXqI6hbVItN NHtvBvdSjRVA7/67SlNBEzPesIGQlHu0dx/JKibkeq1+PruVujwhBmeOTtOS3fRQCvQ2p16lzjhr TPJH71MGTB8GM46tOzdOAKCZYB5FFoB7Wrqn9VZOdVzAyT2MfDIAnqqoMUHuAw/+kYNecaJZYq+C VfXRvU6n8PT220RnELmjnsyMkc372vxfejA/L1dv5luKGMGepprFgc+3swJ5RQSar6py/ZXurIlo dw6uAtOYqJOpOejpRzrOdtDhGAJna2WMmu5RZ3oKEzUWdFVbMr3f7rCETkCdgwwElO9/pOPbSIQ9 5J0ravrn9mI8MREmFyADTYdi0vstgb9Bp++TLyEv3lhLLGZcU2veNUHK/QJlnTjTLsa/xrKqaIeS bSgYM4Q6YKn+UBEz5atuIf1rkwW3w35f/BSF7DSIj2uHTdl7wHLPUU6HFVuNrtwU0TuEHkjYslcu 4TfqueS3f10W+hJuJmnCzDcccKZoGroTukb8GnA41V2Rtf0m7aTZC5FZnEG13vuyMcGqKGr74niH lLa3eNJvakWR2Pfc7L9uEv9Ln8JLr0axFEBE0K8TmXlzWcI89CKcDS0XfwdDYiMzwmfMXroPMHjq Y7m2kmXM5obQ5Ci1JuHdKM3yybKwLaufxMbNVA+6zNuEpr16yWpWX+/rVDFmB1lJtsRXwEo/X9yR KEcB7AKR32NV9GfcF946z/iOt3i5+6wup5NUn50AXi8JUC1BBgR3UvS4nh0sU5M7wkwqr0cNNs56 3iDkjyFtLbcItJuDUljX+JX8D7e9fhbbDgeYVXJDPTJdS1xe1V0FI4+vFwULuPm/Ag4pS20A+ZDE CBXlgzX2IULFkt8aDs094p2DPYOfi4Fri99zMAfIaX3tfrnZ+3Ncx1IIHeeuoGAuWlkN8DzOJGS6 mEMv0tJq9VrGoX11ETFU24u0haJQOEBTnKL1LTxCTpNwMTFLDIaSWSMp2+A5OYNFocZ+rXJeqHP9 /uyKyqGcbu7QUS/0sEeVm4QmakwCTwbaZa7bjK4qGNH2cDr5WWm/828dgNnShYHjZRCH8UWguNXh qzJQCUD940LBU99/0w1tM3FqXGZQBWm0EuWSzdqOFsa7512MP19VrIzMsJKP5yayDiiTPs3GTB/L wB8GP8pMrj5jmBbD5Fl/mZXN5V74IhjXeErowtYpFz9UxFLO7lmWvQUxLoEMWxTrmAh6eZZNkdD+ B106/MZue3qHzTDYOzGh2NmlG751D1jZ+MWiDHrrqd7GW7e/pDOzh9KxL+6bME0NJgcjD4fFKS+8 doPyS+S5S//OtGSIHDhk62amuJrXdShhXI5Y2dGZQPglRTKF8M/vrymqwUoU433JBPgHyUf+3NRr UMbTJ8AeyDfOeHMFO08uGNdLZZfZ4Zj7SwTJTfRxMKO+ELYRkCiNDtTiU67BmJB9GZkR2V8dG8ul JlnuPz3k99rhM+uaICYodGS5aoXIj/k3M/FQdm0lktc7Q4GIEsPS5bhoG/UWGmK/qb73afXYwT1F EfhQV1if1BMUiLAlzL31vYyrDlQZjPwxeTZc6q0/5DgdOYYvI8i8W8WhcATPCvmQYz/+2Y0Jfi20 4BzRd8ylnKeo1cCslv+h26g6Spu5LMJb7uSCQYdgBuFLs0aRdkWWcwOBhMy5+LY2o2+mb2yIBM8u ol+KZUK5NYycbxIWKU/DvRTGT7ZlcefhURRZrgXyimiLg/HnkCN93NBiqxMS44w/eh3NhDtrditD 0ajRU9X2xpGPScOq8dU5JzDjfJA2KJlhmjAYd0G7vRWDaM84lBT2yUGRuf+uD613Nc80hgG5+s6b mOlizVlIhDdDZHSGW4WqF+Qw/LR2n0H9DxdN4Tp9iFxT3xE2xcc3m/x6nG9Ut1LG5WVTIfV2ojCC oH80thtmhDff5qgo6Hk8StjmepKxLQxhlZ7lP3RPZk6YYWqx/hMJR9kQWFGT33+5ZRiHbsDawVlP AauE4exWsbear9XPKdPlU6DvRWknpCKcBHZotDJ2TatIbYRX47hMdjStY0OVNNHkAKnRVdbLBUuT gwVujMAO7lxwPNvPxP74/yazGH4m1SFZqIY4QrST+eNHDU2KjKw1dxrz+xEaTngI/onYkgTaO6My OBaUjBFlAwg4w/XIFASWmUfu2GMgdjfP26y/CHesz1jOfMfR71uWxLSdUgu4we/b2z7uYjtehU2D TwotkYt09vSYFVyEZCy6mKjhIoyC+TXujGByr3jbMSO4nXrE4WE5cfZG7kIHc3Zpw3zgHxXyQRJ7 jfrNjlIQELVQ+yov8s7Xt/Y5bbek2EhPcxeqU0ptmIhaUxg8cGcdb+RJuPuYGDC6mSNZePCuJDmN jjyBI2b6uLP0mM/4gvyqsKPdP93F/6hlfcHYweSuckbcdnpIXzKbULgWuSZs8uzcbBelbEGE1BnE aRihh1uYWxkZ99JF3gllNnEr1KtR5Z1sgz8q4ljuJyELkzVFd2jvalbuaIQq5tpu/smVhMb/We8W NK/tD7lP8oKwMvxWjRVOXQe4xDyvVY4y8jfgDmDBogClBxKAq1l6WBeoie1hwp+Mp76pw6KZtGk7 JuP/yDArkczEYkJRLytiIj1EF1Jnv98XCOjzBju/QQxHQS6AyFDEBOFvQskjp88YoFvyHuikBZLo PRyeUrBvmnRemvfR0RAjClrWHLfwuF1ugRuWSVQiPSbrqPu3F96dwQst/U0972+bleGqupP8KKwc W0bMRxQWRowEDPb9ZwkCsoImhgrosCdfIcBoabpoFirnAmtx2fwM+UuSR+8PdLlZxg0lSrT76C4/ vdwxMoKZXDke+M02feQ7enSYi6aXsTAQFyxUWE+O1n8IR/YLEf6VjVs03i1aGYUPcK0UGfesZYfP xAVVCsBg6TLO5H8VL2T3qJhs0CocnA+Hcv2YlyOtZTiqPZTLtXgvMP/FxsVt6OneWqxyQjVw7zOi EFgi/ojsJHkbShA4Favzz1TrTJR6WM5kxDk01S3mY5xrXwx3EgjOYGsdGoGqzQJ91uYKyegi5pXH 2NeVib5E5yjfyRCPNEB4EAzJ+GVRGZ77nDFPXV9022NwaH2oCM4nBBczXmX3m29WBjzQItcX9zKD XV2l9lrtqb5oTtsFXkcSaMUE0bE3NUY8wo6CJvnmJSwSTywIRbOcuceqzI6oySEm8WoOSo2kMCK7 ZzHk/rf2GLUVawR0r6WE1SyhzpnXYAZ3UMEaePfAbDCTH6rP1E7fk/hGdDd5L2WXtuKl+sVrW3wc /y6sEwfTBbAg/qVZTo+dwjzGLLVMMI00qEN6dZv5XP146r/Q9XkKy1nb2yz9/BMvx8+dpt47s1ml LhlEvQvy/gWS3dMp95HswrVZBWGihZtxrWay4QDafgvjjABIRk2+CTeBa5mumi5BpdE4/njNoQng x416vBmoIrUfG3+Ehpgicg+m0mHg3bSbLwsKi5EACtGsJF0EmouiAwYRXq7Q98HSs7ZGvJRvA6RI m5r3b5d/yk0ClysF4Od9w8va48awlCfks0xosxG46UH1Tny27bpVPGRkcbTznQLPp1m9suFSSNQn XD0C8DgwEYWlOE0sgqBYcCtjsLTy80BVhlkb8VV+Kwf6C8aPdxVvHQF1zpuHcKfwT4F0w69AOlKh JP08b01yw1fnjpGR5rQl3TulGBBiFJGr5R2HTVkXR+4S/gfbyimdJSVCaIUifLF38gafXDzCQHlJ tHjdJtctFmmHwuq51BUxO4MAtmWqpBcpflgosQDY8JcuLbZ/G/1JTbOQQDyunrrch409qdlSeS9p DQoQU/S6ey/pIkwDENk+Z6t/9XJ2/vgjZYNhzILAsMdKFFD+7hOeVAY1JtsnnKMr/etB185QNyRK 7B40ehEP4Sm5AIIgwjjVUtRwiWa0/u2nhsK3HSjdXvxtakb/47rbz9YuqXEiMlP8ewn2c+IghEmh 7YfoQR1f/ffH20Y5t6sDHy+5qcxjyuegqK+eZThyMdBJRmLIzjz0rprxqYsIkE+FF/LPaBpOpjib 527L9iZD7nogtBDRYtx6ItY/KurZrH3wRVnqYHZX1zTfM2mRG/bFofDDGxwETehm1woX0oCtOlNI 7MWsfjM3P5qEX8plRqDVANb4VAYGqw33MVGjEunUfI2/21iM5gRWtX37YeltK3nLI7kZHUeaokdv HKOQENC2FJxcDH4faOOPLlLM53MLxOspsJh/cqrNMoK7ozbe769SsknOqkD+WjluPX2Ku0N0w+Ab WxgUGFqUfFteBqBD7HDcTRTj+qy3Yio6cxiNfytfC+7pxQQVANxpXO0iq1UOBKpuIEU7DPH7k2e0 iNExS0OWiDHMzZF73uhzmXbZaLZ+67909BTygA/rzuHflwzoz+ixL7QilC/Q+6uG/7TuStKB8ylX 4QYIATm1rzMBIM+N4PAgUMCVghBGfHUcL8dGicRjjFhAk+GCZdYmdgsW8pRGyPoJ2nnxQ34a79/J wfxKrODU6VbfrvRg/l2KFekRi8P317DDVrZ3tPu/gWhI1+YgTwcq6u1aePrXEhGLcmWHSzJ8puRO nImikqIiLm7v9pMZZ9JkGhBLESGtJbGpt7KJJbnvcwOSadxfksFJT8lG5LmIeqw+9h/5IynNlFSz A5E20EBjdWhqyK7dcVN2vNzUUKhci+JfWM/XvIP/iTz5jy8TZWGV4SdeFb4x+S6DRY6+28IsdkcW ZQGgwqW4OSvYp7gG1o+pBf3lrNGf4rj4IE0Iu0yuhithOWYcyV9lsaNg4b0moS/vEZJVrcPKlTj4 /J/4coZddo04oYwUtHC5W2bLFynyV50FboXCWl82053DyClNWqZe+TO3lc1IwZIMy5JEwvQ0Gabu A5FfSDnPP2BMfDGHJF4+TUSQxkv6MBoSPs3lPWKiyyzSsuE05BIyqKtXIvYdvfLIYSRmjXy+MkoF eG+nsOkDJzAJ4zU7hNz/EKC7YiXg6j8kghddXcbYB/x0KUcXz7YhQwJVRKXaTnwz1hXgPHuv/K0s JB5kcbapl0L9K5FBGnLmK1r4E0jzlp8HRJ3VbmgmzjjwAYa8E6Wu87wQuPAIFqyLf2Ngis2gbAql iYM4Vs/QAr9yJ3BK3eySkIaVpk9LPBMYdgOZ/J/4YK2IZhJS1MIRJNxjlu4BBiLwc/wwFkKYYS89 39tWWm7M0ucNBj51CjiD29xKekqku3kULtDKjk4vu/wNrxwcba8uv2Y9Z0lyAvlHbPuzQ3ZJNfS9 V0V75IMYSIC5/R0IYg5BBYvjdiGUp4sYNQQoK0DfJDx6CVl+8o5XvNN7MkHUvgsLeRpWcRefaUXf mc5LRFfADyWe6YUWZunyVRACOY4C/cdlJ8ELs9bOfhId3ZZPt3VdfAHDSJlRhdRMIu7OLYehLowt MR7gdU8MTPH+riWQlIhhf6kVdmKR3gxxbMQMWrTJW7T4+3HvqVr/PR4v4RXm9deuNK0AzZw0ls4W 9E1pc5DEaHRHkWF43NgBMo7EvEWfjefHa+llReHHI0qAPKT5QeYpU+VqwURFxm8sgNebsvNCUo5n DQDohOnCRIombhLLXdVPH5w93ZXKIoDkAFQ/w2RoE3yr7z/TbhGfv9hdivkBEYcuF+FjXXqUPLsd 1VmKMCFUSg7gJvcabpoCEWBUerOKSwcPX6vLQMx7kcgsdtwsu2qTTYTTjGFgExs04+tnc3PQ2OiF uHTOtJ6lYLbGuG9YcaZLfmEqHX0buEaq3MZq0rKLxkUISB6rLMCOnbfcC3cPvTzb2VWr5fuJZl4R C3+64dgTSlG8Z7LmsLKdz478p2NMDKCdxecATfR+MEr01gnSyQgclL38quZAHFB+Ug81u/G1AmXO 12ndEnmrwUuYHzQfVAYb6ds46IyR27NJ4S8ArTjfe1wbmqUG/liwYci9axqGW/5XMlBm+3RttU2J Xi0GD2VKRb/fU5cbsXEK9kjiTVJf+ajGir+HiWng54w8nFJNFzVhnsG8DCu8Zzoagf68iy3scEmf VP5RAuRBAC2JFv197bwDtf+Y7whd0RoqCdLOTg11NaKzrcmn5zRzSY4aGOaJ1KMaJTUu4LvL78S2 TijkvwUmAUeCGGN3fwKZNhyJZoLfTfI0uLXbOKA7Q60U4jygcgZBRTdyZRQ6Sl8ALrALvRilBaLX httwRsIIov5USmuOUhR0fF+1K8/gsAf4pdh/GuphywQa6Ucx1q8eBa1kp0xP225ssdmEaFawS+pU JNpgeJ80XaLajULjMltP25u1LXrNFlAOEDEeU1dmKjYTdDMLaej77LOR0p7SDGvrihsEXbzThp51 nkFWoqTrgL/4POAYRHI+8B2WC4O8QtkFBUJrJyKV6zsQIzcdZAq/9sdW6rRhMy1BT98fpMpUv+wi OFEpgsEIcWbHUXFIh9By81+kTPHqPmXdq1gV5aU2BUA0jvbU/5rQ/DHmlfEqg879DFTR9XbxfdHX ZvK+l4tGq/YsJhEppPsrWsLtQeJA3cJ12MEX5vFAVRcQ7rz5UUhQmd1kY8y5Xiv2a1LUT6ntT316 SP3Pm7jijngBftnXEcZ0/5cp6QV0ji1EkyEdSUDowYbbwwvj2uwa6f4W8qqN5c7565oCPQFbSiNb PRCiTz5kCNbXBTe3Hqv/VX2unW1tfqnB7TQsw6u8IH6jS34nlahgEJb+kKEgFr9MaSGJLvuxGirj HrAzeUBxX+/hpCXg5sCJ9QgSvUL4vo3fkfrsiGLffNsdtY10AWEtAszck/duCrWSi+85sWB/HJoh f4DkaBonUJjFqms9Ay0RO4j9nQ8xqoEqe8n5Bon4Ar26bvZhLsfIlqCvuPl3xnpD8bDEVjsVlBva JgSOGTeg95WLqzfic5p4EyP4cMvhFs048EWZpFFrrmMnceqB2VL50Umerl4w3RbBcy1qjuhpm8Iv MUTviITOnb0qcVhO0aQVhGHW6h4GkXO7h0qemHkTTDLDg6qOrOxKzDbMjySJJzXo/e8YDCb9112Y JO8Mve140RxrlY0H/SOhb88Z1GFSDb1GJdFirO99shBK3UXhSRZHaUp9rLwuYD4B1kTpCzsLK2Xj TAHklk1XAqB6VhMLXp1tGUSXcWB8dZxaeSEqNwsM7JLCmjuUv71IrBvoX1Nij2ZsYmPGTzzzw7+F 0PpcwjKKVkMVtUvZ6TtGZdZXjZq+k3KxD3oJfi1aWuOfR4D9f1HIF10Le0ex6fUecJBLOZVFSdvp r1GUSEW05qGrIHVmOjiBmsr+qCKjAJ9sdM6tdC92BRltvh9YreknQAaDbaxTyOFjKc0Nk3xDyMjI xBGBMKHwCZjui2wwhEUOfO8KuGGC4Obsl/5kDjjoeAkCvHFk7s8Gz95mTYUffLXfyu/QbLCWbU9S URdP6ZQfvZIk3T1NbyNSsWm7UqfHjWP5fQ1DRGFl6TjHu2pNxH3z2xqMflO/pXcrACCHr7p+XFfU lZ28FhgDDYfk7Q7q+SswQ7xJ52ui+E5I3xzW93Sltd64Py+60xSTh0avKSMSEKccO1IqRmZSVTR6 UMkUMwkckZcPHtZSmF5hCW/t0phuB1zVxbl1jkKA+E9/n1RTletz97juHoif8YXJlIcPJuUot1Qn prSo2N7ft91uPHsW546HLHfSgvIggn03XJMpL1F3Q7qP2HRnLNsYYOGvBRiNQMisXWSKTtMt6Mfj C8fC8cjGOJUd1A9Dz/cvm4m08zoBKp5Gha9LUsK+3/WOS1BN5lLBKTgfH9W+OM1e4+OnLz97AVjl 4jn7UxvFurDqKHGhxuHHfJ+tpdk4ZckJRCu8G3zuwztdgx9NmgcQFGhygWQqpBeYBOxq/HaBdD+B PAfsAw5ALVpuokjO53iyGiiW6GPiRppYkj4YnnohCLoaLNJDo5FO6YcYrD8HVoiEHqFtUI5RSOok NfPHBfx21WoJ6xJZWljf1FSacmxJah37piXd0hO/GH89J4lmqgwz/8Qex5frqnBthgnZosmiApOE +WfQQ5lX2EVe/cmbO+j2p4VMV0irnocEjn1gurIs0se6jDBbflnFB5COwmyYkF+BYtsjlezhf2d5 GxNUHFSd+TxmyotaT3oM0ci8yt686z0nuNd07XX4nJqKPBhAAqJXt94R4Lidau+Us7fNS4L9sJwM NGA1UUh1iXVCyTYlk1/D8m5DgddYlCbxR9fhtqGf/8rhp8YGZM6Ds73AtjMnHDjuSSLw4aTiW9tW vn9nsbV63O1kdAroaN9jG52MBkQsVvZjzfqXBt9pUyeBGHdvZp5Oc04PmT60/dzT3HfG8YvzOOaQ PlkLiyDS/PyoN5AjQ2jBRqtcukUVGZ8v0w1GXoUGxeD4dd2y23QcP8C8n10YCWtQ6Cbfpf3nQdzh AEn6au442sFOCv+PdoZSqab1gLDZwjMtsSlxp4BiEEQl61GngB2ohq1i95DNoT1rtT7esBldVCZ8 PD/2m9wqXcNhZb6//K9UwDSGZ2NZaNjYYaEbbAhAHNTm4TRzcRJMQ/Ct9l82v4FVOiCAfq16FGm7 VBMAV3ydy+Qi9yOIsqci3BWwP0WeTmGV/0hQGVDyKxpwzEgo8di8P3EG2iYKFVeIvu6iesy9BIqh ZaeC104e1gBzf98SsKmqmXg+ZbjlRA+iECbYG8S1WW4Fhu7GktoyKv8c1xf4Y4IPLJ8xBsfKV+Yg Xmw0hY8UEELopRU0BjRBjCJGgIagOdhnnzNwfBgH87hZWmGfoNy4icAn83uMCtPShcHSIxz+1Wpk /vFq11iijTP8LTRd1Avm0YJsEnd1V414J4EoZCIWtwd1AFbKL873uSclg+SpG6AcPL2BJFDwEK6G MBkcaHAnjScutgxULRgvGTtjd2lQAr0ps4yK8chXWm5RXB4xH4eAsyK6oqKyAsuOEJGb3iDfBPma jgeSOhwSIv4mKjwfpyYQseAlK0KR5zTF9uMf2W2jQzkzY7M32ZlikIYnbcCgpU/ajj1hGn2RNtFb kVdI0K3QT6ZPHZJF4EVu5OA6pH1vufClIj9n5NY3w+DPUj/4NQTZmAEdy3RwSW2u8izCl+OfLVa2 azq2oFwYJUHVvMHRyxta/C/GByFMkdwn3qfFaEjkYaxyySwVfBWkmKdgpFOicg9AzVLZko5/Yoy9 AoSWI/dY+NXuAszK2+cLK9uHEl1XGi63GqFjPD1SFW0aF/FxbwXY+Kz6rKzmXvEgUbxBEQ6+qbHf tZYzqK3YPmT4jKKiLVO5jGgV/Uo6pyQnxHoyrFoq0/rwhMEA3h88ZWQvNtqsStG0/TXQOlNQWOgE ncUff5ilFObufez4yGSUKbB3RdW5Kx9gFAoS0QDOBsGVpY7MzMt7xehkYpuewoI+Jaj/6vJP9T3d 27CKd4mF2ae0Iqbc4LibZILBk5OjPYkNpRD2w/MQMer1PQbmhmEHPxKIVBAtbSqVBYv17Rj6FM1H d6HmBX+OiLgC2fyFg97W4KXiQswFFEyeGMSSsXQeF77w97ylwvfSvJah37rJU/C9Qn1FFWzDBDhM aviR1dVaB2cwns3+xXyWNfuuLYo73XEOaaCcv9FT27l2IDOEjltIQOjtjMWehxHvTShW7EIU0UKp tGeXD+1l4cAGTeNhejx4i68znY/Yxw7P3o06EGPuYwK1LmlX95Fnp+IDPhNWjeokynWr3HXYxmPM A7VsNSwFiilB/3a3B0cTlwXnhsSgS9xBjKao7Adot5/Ye37mGyBYJlGf5XIeC3fxiQNJu45n3GZZ xUVGGxisakEeak5WxIzBvNBmMOLfc6m8h9T/F0ACrywyOwQ6o0xq7WfU8Xv+lD5AchxPtFegAb91 aCYAWZyqhTifjBDZ/z+/fYusicjnEBgRSiqqKyzN8gvD/B9H17WhbPJ+E0UUWsgL/+lZhLN8Eq7I 2ohjaMJs4xCiFL0wyGSH7H2QyVTWQJ5jrvKBphsi7KlSPlM9dNLtk8KKMajUGupCWljPnttCYMJl 0P0kl0VZSPFnVYamVrCkv3XfUoLT8HKvHSQURra3H3scWVl3Kv/eC8G1yevJ3hYEOtxfBhBYBRHg ++fUmcI5TMzz3dA6G0Ftf1GLFndHzObYDiPd2soAbQiuA3fKEDsK1B/3MCpCLVhkDmwXl0P/TbIJ 632iXL/0jrVOx/Wgyk6rnytSHIgQi5eG8P/tvZeje1pAD6/7JSt8ycCY9tk9kIeAJ75mIAbQkfNz fZE5gLTUHp0uIHcLziFfYFwlVll840u4cahZec/etIc8jS04PY6BDkMiOY9NoYyqxfeMKsJvCZ2Z 398sKdbc1SaJIFcRlWaI8F6x++N3F9hl+TJEBD/ef8mSXnqCleFdjxW+FdApgyMG5mI/2X3tOMah qtmt2EBt8HvH6Cjui33qFwclfk1+LBqPEEWOMDaAlD+GCyrr/JKAzd1ia+MSFyKbeA7CPmmjYA/G 97ki1JfM+Cuo6vpCMkzKnFoNuB61P+yCpDC9D3/talXSJthbQ0UZXryV40lwzEvzEXKCUcG8F+C3 TVClqn68myJr+AFjOdgR1hqUFZGJlWxngVCd0/zDy73Hgdk4CUAV0xiBwA4h+QMiM8oEW7qcF9kJ lEd2MBkbBuqnQ14+jEouxQyLuuFHHfdYN36Yt9PgyDKidhz2OZjc2mOYb0ppBpPHSsd7NHG/Ggs4 g9k4wFFTplAijosb4iSkJLhJlUiWveTf72dzNpSbJU0b3xERkLEwTDh99LnbhiJ6ziBKGNleoeKy bjDry9LhMqScDY8mdRK+IM7vrpTqXgX3mnHRDWySnCrcxiGSVJlr/mdEe06e+YaQx9loLTSo3B34 H4sGSXhUQGf0HSMvMBwd+hvuUHZ9jR2c/1b5pA1VzFqzOOaC6ZZ5jIkrVYAW7HY7KJM1h5Zo8XMf SHPrFQc9B6opYN5NLn7FbgjVa8uOdp65EFmmzDqLspmZYHtjvsxKhws5CmobK9XTLakws54T/Eq+ InOtm/CoDLvKzcNNDykkLLxVIC7Ud1hLhaXzDfMIJY5EiKuyr3Lo7xIGLvMzlOauu0I+p8x1LjS7 tfuUsqKzpjok9XIP7dMhWGw+Z35J8N5aMebkN1ZnqVUMOXIpxJJMm6JfYVdYBu0JNIx/nM0qAuAt 7SH+xVpaV1ShdNjiqQftvrwXOQrm6VElmcTppcM0TGIEA81z8jNoPCz/IIm6tfU+vdaA8NP7LV6j 9strhxFx+4FUl7EsYmqUhwJ6BLxYxhf0WNDHaslwkz5Tf/zdwBXLXRCTuwxoHbFr93Y/1D18IAOw yLxANTbOqpOOEY7bzRicrcLke3kXKA/TQJPYua8H79wj4oebxWymSZnIgChvn+GLdSBFhLAz7+70 8fcu2xAfGfXGntMLGxUeeO3GrsUCAXMxO6bPZS0F229tTfdMrwT8Y2NFDB1vN+x1B6O5FJz22roQ Io0qNmhpCFLwK+F5a/ICH7NSBH6TdgjnSZFbi+IpWqsG2nA2bnDuRfxSq8v7o7sGKL5qtiQBMfiC 5Ff8wSZam295siicF7o+uAog/ZQyrZ/zi94QJ6GdEBPEDYO4H1aLlhd5V5yQ+502MRGPab7/swXB OQczNPk4yenCOxZaeVXLkLFlSQ8zbkj7A5J9haUYRXbA/ZzKswAHAXGZVF8ouYtHQxV839Z8QuI9 nqpdi5fApjaYJW0xqP5z+DDPgVknUOHQ9ETdHVGe9DpqSWRnqiYfWDXAc3oEhkNdXVKJc+6axGnK 6Pf4G6dVyS2z2KDONdJ4OqG4HeKVM9P8U6PWWL36UkNhz+nUiVwrQKWI90aTWIVmmV3fO22CQalM OsneYblqDA3oMNC13DtzQVHomtxyUrbcR9hGQEnnx2SGdRN7rs/NCGDa64WFceQCFyYcla8PCsi9 P3oaojRAA3MI130W/SveJxS0zkKFsEx/2yEC3covJYVjQnwnEvctlRPIOJTUGacuhFN1HAu2f2J5 LSrARUF6V9A6Rt+xl5PRd43NnDbb3S+6HzB7PvTbBGO1nbceo2EaxffBEILDMvfS245K9qwkKJ4F pXXcsMbx7eh9KMX5DBQ7Io8gPt+67UYgLSYPFG0Y9l847d2n4VlaG6iZZubcrOsYF8u3SVmMZyFN 0fNkPycSuJs5qnEG5E7RmI0nqPkOyWE6Mo2eAS67/oemUaHOzDyy5X7hTCL/CB+YE2ZMcFnYco8g XXtFuF9QcE6yjesRiYLqun+OOyMEuQ33iqzKfW7AO4uszSccDSxQ+jkp2yXxy5GovevTObgF4TWb Y5aolKFfxUnd6hEROx2nmKcnNf9+QsybZUi0EsoPgnjdwkjbCbvkEhhjXVXgAUiOI6kk/I0fRHe6 WLlvLGpWFxThWJSMvo69lB81IbE7/ZUa0niNCEQ+XKE0MQb7bK4i79hQ1y6zUcEtIXwManFDz4lD GP3lo+hNClGA2Mob5Zixh6bQluBtDXw1jwPVBNsgUPmRymTC5x2qqhLd5QAKWrBCUlJ2Wu2Q1IxF dJg9DcnR1HDogu8qrfFSy13UvvoeANozHSh81fksdwLVbbC9+8mfz/qOsf1gU+Sf5r7IL0wKJPJg ltrK6i7qFr9AfI7grYKzljiVasA/hLzJVAqgbsJRtKmM6Nbk8pYqICzr2TB0XlG+C8shDgymmsyw 6sjBXJ9tKVxgWnuny91plA8NWjKSuKUvwLxpBZFD16ejnjtovGn+Y09t+fUPYXG2ZXkwPg4qCjFj XOYwkQAf6EgQ3LXTOJpbWFTePCA0BLeV7yJtCXN5Dr1h3QDw7WqG3ui8r7eTOLzWubBo/plLgesG ldNg46m3RQOOSqOBhMrsZRlRXVgS3C0gpsBp81Nsn2tXQvMRH2Fr65jYcIN5rYmUN61+6CqXHCF6 LW5QgEhDW4uu2/4FcO6/GBiuunlND85tS2OL0nPYf/2FuZeSxhpQA+/TnZkNj6/AyhztagFatftf z5Secfls4ALko0teNCQtds+7+C00Bs2xMqxjFob57OS6XrsNZW/R6WzAH9dHd6tA6Dkm1qGtgpQR 4cc3580lPH3zdo2X0gLSvp8+M0O72rdnwr4OHYoEfWDvVj/xAoYIILnmTBcLwsab71796FhVnQJ2 2kv+pGQNEZg0fcbY8LZaD9dfxJ/59uLo39jILjuUPVRZqy5uO8f9mzHPVRnzrYTBAgPFipHjhrJh gP6kwJ3+xSTwZdgLuLPYjmUG2Y/84aS/svP8TASLqW12WTx/dS0qo05kaip/e46Dp+OpzeeqfXgB m4lXQGnWA2WVp3s0v3bJ7VuzLM8Y0Lr+U6jrRal/lSQU5siVRgAjlz1jXbvEKhtfs3P+I32YjO5d Ix4zKY7He3vpxoLkfNiD4sgWIMAFTBXxgdQ5XTaBp8gpS0zDOTha9CRvMGywrFBYWV4ZFtR3Be5E enkftbwiY35nKwVztXEdXh1ZUp9uWNoHl8rLnUJqaNNXCjE5C5n3GbyvRLLG1Yxk5ePG2YIotQhj r51XA1hUCpNdGcCNVgJ74M8JCa/Jp/I9Lzwt3LAFNZfcGNDPOtKWVYpKlEf/VkqaufZOiZNvz/vz tn9PySetqqU2Hk6i1ToAh+LYVi29svUeEXqMRVupFnrUzWPe4BU8WKIi0v+JhzwEkqT4bxqgjUQp j0hLdPXujLfa9dea9BbaVuJogZte/It/9f3EOAV7FEGYgaV4CZfPyJoxQMIXry5qM2TFE3/E9qjr 0AZVe/nvFcBRMwdOx6QVwWRJtL45JMsJRutLWsWS4OD/m9GXSNsjRnKPcUf3lbCMIN6YgXsYsLTb ADBuFVu2zWImgD9Qp8c0Lhi+/JpJ6N+S3Nq04Z8gGfGtR5TRYadw50c176me2jWneMcJ7Rqt//+z GFKp+of6Je6Fvq63HpT0hy/BpgZ/Z9QIfzOezSDVHdFlv8azUelsuGWmoMeTA2iwPSZKGzf+GXH9 vca3sxA+Cwl3dMb90BxdN1KK+c9zuxrtD76dzMRIDo1lEwy3oATD6Cs/YuVq8KYj/lK9IwMlLIrW jZ5G2ULtocAnwW8QiAj7/3x+3JkwOWt3Kx3AffaujhCS2BEol+HCxHlF5+x1uV5sSQiOvNhFSOPD Dl0Lz/+4S932etT3R19Rh/1FVCU+NXFmRg89gTCwz8aTg9HjlOLZOuWl39+yPekF9WKMinVGLJXC p4r84sWAces4e7lrajUYkxKTHJ0nf+rpHO7Gr5w1qU2/TyKamWzN4/SPp27jW5fJMsn3g7lwNDaD YZMYqORoeQr6D0aHBpLxZ3OQD1WUO5FmHLYtQqmptUziLr1n5vTtjD9HKxOUJSSHCnI6c6aSxGOg 1oj1o8B9xs1MloaKBhCSxla/e6Nr3Ajy7IHraY8LUAjoix8u36IdHnUXKjiEI/CLlwj0S+cQf14K MM1yfOH0qTonec7yUlQlg+iJGyYmCai+tB5jlxIEW6JBePM7MAI1oneYnFiedhMK1+R4GxV63bzH K7EONLSpVYwvnpVXAym0AK7vl0SF/WbTInEXU5vpZW3Tg+DlxdGgQW8fs5+S1yl3Hbjs/7NQW/AF PSbwA9SgH8YFGaU8hS9ni3DZCbIbZ3PVImx2zvJNXr8eO9MG6EJ2n3iqecQ3dzY6qhLDbJrsv8eG cAQCc6fBXQDfYdJrzsgLMxyWdfvtXDiBgB1PgTgYTMy5wO401fAFr0ak+2Y7CXKvQ+LXcqPQk4XI tnMYQ0OuEutkOG4OELP6RDwYg+TDjzN4w7vRaqo8iTdqC3v8oIxqxKEXnY9140ToVomxm9955B1H 7MxqkjjmxLQVi9fmtZiuwUgEJTbS8jMCXJwX+fesP/bXdiZOVk62UgLerDRMMdBc5okClEZ5EMi3 XPvqGOGJvSSbgNsGJHbXQ/Wb7BlQf5YXNy4HVIGBTm/MFvagkwJo5QLaYIimnsX2F2m6pvKNgVlA WASKJj5rU+49STK1IlnqzprONDkwOcP3OivOcFiTo/YzEZ3Re7zD6jt/DsIwSL9tUPdcwtz8c462 FAKA9s59WHwz/RkkwhVkn315ku64P/uLQr1GR+DYzaxKzd8emmGF5hw90VTvlYJo9aQEvSjWReLe giKuBtRczUNR1IvwQd89UJUf0kflo2+KyVII+xzmVPbG1ozSszhv6FH7JGEhpr6JE0LXNfvuXL3l HhX3PiatiUmtJohzJglPttA4wuHJhawxM9ysK5BcPITfEDN6ko0IaLWKqvcD31r4MqN7hOd1adwq JEM4kHP2EJVyqIiK7IARr7r1TikgDHl6qmT2/db424HClrEyeudVZVi/FYFYodu9GiybAawcMwCV RYa1KfxmXJemZblcbdAOVuKV4y71l5NyHk3ST79CNB7JdUzrPhaQl666oax8aqqMVRAF88NtAtX6 ngl/5JXollnYREmaGQv0YQhrYkphKHNqMNG/A8y8n+yoqzQt6Ci0SpVtHb3nsKrB9DMm4F5cc0/s rRSOz1Zxdb/RJuLfGHDRlyZLrNHN5CgJoCHc98zPVGQGtbEwLwOVuyPYq7KZ/gfpSRRmbPeKLyOs y+RINfn87FBIyw8sT1Y5vgWNrKulESsr5US5QUCmKj9Ux9Kg/uGi6lbWYL4khR+ZyjJpt1cUrg6r 2IFDobGkZKt2nRRqUhUWFRWmbnDv2vx9ObRWsr8UfMXcoQ70xEx1IXBM4Qh3gXHKI++VxJ2SHeXX YogvA1DRKQrbsUQbu2B+AlT55kdXsQiICnN7bNaVYymN6b0Du9k9pUfgHDuNHt/yi89OYdDXoy7E ZDc2BNfZdQQjfkqU0/R4GCVpPS/dLGeQUGoqIe8R6iryM1V9BD1QrYk7Bo2VK5m5LpN+b49sGF5d 7uXVsqnOEu8s4fxP/HdCHW62mSL1B+Xwdhep/X+HUp2Y3USybslOBhtXhAk+XAdIDboi2QdZJhx1 Pdq5vXBwi4f1E8HjioKehUtmbbbP6K1ktCPan31/hG4hho/L7j/G0yy+Sr1rb2SbGxO0X5gKW/Z3 wpGmSgvmU8ZfP8X8H8p9LcjlDaR0j3AU1JiiRApH9NaAjRf5Q0nA+c7iWeasfL1pT30XSdkfyd3H eiOiInd+eegqahgqpZcSbuL8zFXYcTifWHXOkpRyPDKx6AbZTvhwctRrQ6ObmRf1+f47Y/mgw1XV XiuwN6tJ6JgZIASwXVNSTaPkBYdnwBWy9DmYRZMODfLqd/g2+NQ1RIoOdrU8I9pmm/nVDdYlJysp mGi0XWkaIZL5KhZVNnoC1RnJ6MQVr/J9vANfHk3amlXlvoXASfdcLXRgowI8zvwFp/LuX1TQjeWO jIpKeCfsJSfO/zCaHl6szkGc3Z+QNaPWCpjX9joHIkkK5KZkownnPvFQcp8bgO5fA3SDzO/43rfT p7deqJkvJEdoEdUL/ShJJ+Y2xDGpIn4FZrvlIjEOWXHt1eLQLk9/jlhhIy9YmNG1ib7logg4XSLC 9AhUHwR0bDvexn2m2X0DfQXPZruygUFv1hpnx3/WNuKuwKZdJDeO+x6LdNbZ3CC1EbrZqA8kWuf+ aEENbYzcDcTaOTA3IkWNsaKdMDW6Uf6v7OEq5TSYbI/3JVgwDzNxcbOkrLAhdxECPnoOvtid19YM 13m92Hto89ysS1LHKn03e/9+Qu6w6P6gAoX6QpYto5tbDzbSh9LUUBkXSHK7iup01ZM7zOupRUC/ gTmdnT7OM0QA/DFksXNmR7Ty+KBAeqa7v8Iv3FQ6QSv8AZyGLBsc2i3y+1axw3Z48GB6i1a7kFdZ dAQ9HLqo+fX9iOqh6EnIkEnfg4a6LQ3yK3i3lT5nGA5N4xItF2y1xnp5hB7NzuqIi29LmVNQX5ob CvxeKOvxgUpcBHvpoCvh5ckOA+2Zo3/wOeMpCSyHKl+Ys8htAvfYtxp6o8r7xzgMlGvnGvbo+dxT x4W+VJ6Ji63aVnSWRRF9iQV3f7u43gcxBVrSE07fR2Ft2VaMBuwoRi3EJJVWLoKa3uGQAPJROXW6 Yx5b/8XVaaycthmTCrLDUtyBD/pOmtMvQiUbfp5uEI4K6005/XR2ex8RNYdd55jYxgy0Q72tcVi3 wD1x7S0jBOJiFnb3rJtav54mGCD+tyIsB/swbl6S0INTGOJxWqiD5ADe6pZrpMMX0m/uhy9ikXPF bsWKA0oMjLtyBR/GoZnqzXpYGBpIqzd2OOFedIuPxoLZH2e0JJ46AbDdZw0dRolZZX0xzcXLIKki 6GjV19zLpXIPnZJhaPeL5gCuM9XNls66yXE8+F6G5EkewbrFCTz2r1WbeRVlNcyGwNn36pbM1Xaz OWsbBfbF8Id6K9N1iL/FnZt1/e/Ru/relJBdn6a+f0EzyDc3jwXXp4nNDQ2tBmk/h+eGa4ZVwAD3 ZGyPKvMMNIn89JWJF+rNuGaLsCMBa+IsV2PKZeMmfd6OaCd44YpztQtZWuM10m5tC+9AuOlz9PK6 yl2fOpRwIri3z6N4wR1aUqzEIOVJv5fnoPtyt+LzAwnwnPaZ+tYnlil4lG018x6waSug1yR8bWAm 4PJunG8IU+EM/K+gj7Y66G5EKLSYEY9QRZ3/0c039iy3Qzk6i2Nga3YN9D5a8qib3KL1GRMePceV evq/veR0yHgmAy7z7A7M/HlIk40YjQXCmh95H3vOd7CJkAljulwRr4E1FooWDO1CIcDEGWJJUphy z29Gqra0FoYPTIsrw3rN21R+OQ5SCKQ4T1Yrn9Xh0OTPehWY3f1xrjYsXwas7JGoN4jvjoO07eJX zPhQyBMYDSQ9w/cVyx5Ua+tVe2x9vTrqbwnmaRp6zPYKRKmW9GVmOmNfRYU9wKAvkElFF32ZMRmF QaRyRQqOSLW8huNyCjueXnUxpwxpaMaoDaoOBI9GwHe0YtWkeUsgnqU52mEIG9xQaRNqB4sL6l/i tjOb3FuHfiEcvEUBfBqxn6CYIZhx1rJescZsyvMmJwcwysext0WYcGnjVeXv6r23iomVNYavq7an x3WGDrpnkNkVgIkFpz34BkDLk3BqL7ji4Rr7ZHQY0mSoHQSvwB676mwmhcN+HhX1cX/sHdC/i0V6 ruoRPJsfB8oMf1YK0/W9F8dRUnwL6N8phMWzGs+ixgi7YsTRcJhHfdp8m4rY1bw+OkSVkYwoSEas iOTHCTxy53HIX+d1S3/UK9gRDu1k6jD2kTRv+FbZzV2/lqL+/ufFzPugq2M1CE4vZts2ygRu/2jm /iGEo427ub0WW8C2/yHsT0xDPtlL4aUAKODYegGyg1Z4oqb9D74ktExdwqzk38iVRGU+YhTYY8sk rykHmPtX2VlVINdxJ776tI2wPUYeR/TcE5gmu6snxivlbRomj1WYs04LSVQ8AXZytvUyxXic60zT sdjfre1q0KIAukNcEPdKItS3Kr3q4NYcApkzXKz8xMP0NM4au3EC39TdR7Ge793c6H/fIk0Ghj4z bRfQ2T0ZD5zKMwbKPCDa3FxcJODtyVjcVrisEAkqSDOW8+WqA0LAIjqKV1Lqu5H2o/X5Z3g1/Wde +68VL8Yfi3Yu1ztfsaHMzFv1WapkGxxg/bg53Pi2mjmARqvrdvRslyLAAcrJovwWM4Y95G3Gb4Re x3KsIwl7jPtiZN2OjG3tMlLgS4Az3sy5LloKAiePjErDlsGeRpR3nWM/u4BO1bbERwWUxpxvPpiX ws6QcZnMtzq7gG2mPS2rSvWY9KTiz4F0teQXUFufnqTdFikjM3D9w7Gj7tbie0bTSXvGkoh49ztz jpILBQLakeXvEOHP4PBQX8fkto70mpW8rXUrRq4YDxb1H2p6wZnAzYPHyFtcOQB/sLgXI6DXYHOn MK4MlYrUIwtxx4BzyxcmNmRIhf8hCMv5hQ1i7FP9de1MnUO2rzmYWrbPUnAlHVVKZUJfShk0yyFd I8ngjAF3i0u+MkAUKOnaQZBBvn0+hKHc7dsuJg/gSLd7fvv6UoVduDgIdPiHz9YnXyrnNLUGPxRh WQHmLAOnNwl2urq+4zr5Rm8bA6qDKVMYfxh7Bx1IqG4PSIoTG62GIqF1hmU+G08tiQB4/jINzXT6 wFE9+g7B226ssLF6DwT63bXeYuOmVambrXYmezgdkxNMKgozP82lECjxY8RUQgLZ2elLfBcWCiau z+93Ja6iTksYmSpFL6zSXK1T3psiJEyxHxkavlEzk3hAO8Lhr+LqSkdZ/iLpC2eS9kE1aEW6aM6v xQiRGi8kgFQMLPYoSaaSUK7qJAGV49keSDjaNQoqsjDSVJoOZvwCTmiBfwLUd9hQ0F1Tbgho32Pe TNNDLd5UuERkvvJCwIanFhDefCOc0p2Vp70tj4PNTbwDEDvii5cHhSe6SAPWopMDQz318QUB0ynA +wBDO2yvVGXPzatFewUrh5S70KaXX2u1PQzcNVhuAvZD+em4BDIa6VG8VUQgl/+nXXTqdNOc/8wS sH9dweXzqUb8xq5J5AWsNeqE5xlb6xTtVNIwc7Gts89AbLyAQ9grNWNd26vYEkiPhNIQFXqZwOnE LYq/ARn426+xcqlg0WyUD8QTp5LEn4qvovv6MvpThh0ZN3ZEOTs3gGgHIdsKaImAJQj0tLVoEgqK FYgdxE3+SUcVQgazuRMz8+ggcn9BfJmh9Cx9PKdOuPC/cAAwZUx7cwkGnubZsNbEUTZS/CMRvYO8 Lr2vS6d3H9k8LJmguPSMITkYv6PZakok5m7pS3Y+nyNgJR1KdujEwHz8Le9edHgN2aFgycykQQFy DX68zL8KJjGEaaCkwdBIwcrk9ubsNahoRcve1+GMmAVexkngUd5cxqxTuHEOJBpRccdD/k6znoTg RJlAjFmo2yv2BuGcZbtru4SITnypq0hz8X0ODPf4QzW73VLG+tmPY9XaOFIp+oPySRPpUpnYcOg4 TwPiWdQ0m4eqxJrvHsr201s6Xdic5HcRzJjhJ4HQ3iO9u2hOk4gulVmw0zjT1xuAw2jyXbWaze5j oZDyY28z64zt1fm6Aj4MMOTP85Bm10Vsk8yPXWfHkvVQm4RA+apn/pk9K13nA7Kiaokoob0uoQv1 R3nCt6YCVo7rZVwpkX+jBPi9qaesL1TX4p1NeoBvdJkUp1sq/uGMpq2N6h1dMfdl8G9CKF8ZCoGV xhGfTK1mfe+Tl/jjUFa9gFhrph6iQrjvwfkzmlMHM64zjagel70qrvq8bpIqDqQPrCu8eLo4W7Tf O8UyGCdJnwkWYcQhNpcDQrBRa8GZTsNPzft/zgBMRqcaJQ0u8rJ9UgRByA7LIzzqIwU6+kfVNDG6 YkgizpwyltkKeFITT2QRSpT6dn3EQ1soi5+r4bLLkQFMYboPDYWF0bypKP9ZF7v7RzqDE7RZIwE/ yQ2kQopus8/BViNi88TT8iMtAiXtQaHxCwI7wgjoiFgK1fKeIfmhrjnklWbB/PS7evgjk6LE3I50 lOePnq5+aF2K1WDAFJeFjc5xm96rVjTal7b/yD+JRY4htPO3/cjbamP28AtNXoTWWm+cKiD36yLN mMs1ntIQeE3gfdGpWkht4/geBqzvVvlm44qzmDVu64F1mYtEALEJEiS5BicPPSFtZqi8gCXOTOtz MKC2wUUW8LSQJK9uhZCXi7wKyLGycjYEtaUQfiFS423FEZQ8zqKK5LZFywLNDGp7EeAQXezyef5c WfDc7NtXKOh8OddBLA6UF5rvq/kAl556DsoDTMPI5BcX3KV+sNIsKrw6MxZ1wiRwe4D71zeLacl3 X5pZLdr/8QcwBRDMh4II9PNQik3NjkdcihJbYsyQ78OPBmRAT0lzjf0Yp/m/yUHkabhBY0uS5oZf PspcxC/PTpB6N4BbOFI/0JzjrOXTjttXxszfP0muPlNONdUjfy5H4j1JgwCd5an266DjdiFeGCcj ugLw1hecjgRLMn2lwBIqkwA3AzFSlqEwQ68eQa+qbUrwFRWsndFQ7KBgsvzYmSm/z3Dr4mIgIMEm T0L68ZOs2KnczntqZa3JBY95eEX0fUJk3JSBra+xuQyrqyiaCCUUxCtPGtB6Siq+Mgvktm+6d7Ej K8FVItt7wuCGRzO8gyiSr0Kh6JL4ZEO+UIApXftvpelIqaQ8VUDPQATkASYbSWtbasqrtdhmyG2X Qkb0EjW4oSAgxbbUUsgn1qa6LOaHA1wN3gIAo8CyKYyiHvcyXMA3yghgFJkqP/UNYJ1p2XoVmo4v +/P+1q4Xlq37m4JwK5Mcl6VzxFGBkwvMLffTHRvqIMBOCkgVC8jq2SlhhCqHrwRc//BrMFKY5bUX wt9EN6uVmDYXXbvceGkMXVWAkY75gGmOHPghqMKU87+VuHLjA1GJy33IhEIay1gzuNTz1Qh0FDVD wrkLNdgmgCQTtFdizNinzVIlkuPrsB6ICyWpKDqxf1VLE/4J21Q3Lgs7CLaTVpMUYRLpe0lcmktQ g6As1PoXx8LxO+17sQPhLuGqzzkHBRQVAIsVKnnF/3OHPPWp2IF2qLgbb/IybZDzMmbIA+MVEjtH mo4jbFpYeL1Mbus1qbzY7EFwCdd3k1qyof+311JzrjgK/lcoYwCGKdF6rbxXFnxf6FnLiW2QxnU3 zRQxLASNG3EAh/YlUdARAoLUbOU0cd/kiv1n2VVlBEG3Pto0zRy2i9Hr6zULl8TkT9fCNsuEiua/ IZybHrOuuynUKGfa+c43c/iGT4FvhYknpyiW0bZg6FlpLuf3aeoTJZuTOEtLKm6pqLp4yRqgcBiC eDZXk79A7ayn+ivn3jb0h35viWucg9vCKdx9kTCOC7+3+vC7jO/6pm+h2O1QrIM2MtgsbjX65rjq yaKolMCFqzqlAT26Hs1nX94VdVWDUyR3ClLZrRF914N+kL+I/r523Ymb/kATU8AdtES3Yub5hJpF K7eAzjwUCRAiVCZm2aEoKN5eFzyRcyJIdd5KPC82DmKuuIrSMMuBbKIVAEExSYS1DWECGQWBAhk3 25xBSuTBdsFCIm87BfEQ1uj5krQW4h2jtuaEsHMZ9wIjL9s1Zn/2r6H1RE5ju5SircpygA135gU6 1kTHyyXMAR5nKdoLrzphNMJ9kROCCeMc0DVMdk+CxtbX6w1rjBMljXLwDfKMJCTAnXEFVXVhpQrf 0H2Wxov4kzVq77qfJ2ozTMKy1PDdhh2Xo6Y9w7maVNoHRXmYDeMJ7uuAKN7smnxRGc5/g5fRjbAH Bfz6jKfsbHSK2MznZKB4II4mtuU4aeh1a0T0m1CHLgQk0yameElbhF/XRmkcbl9MzjP/xW/8z66t 5/Z2ADUWbfzj4I6ISgWtKHewEdVAdZdJ5nhrhvxiNkK2WdzsiyamFFEjDGbo/rcavUK0JepoF1kp 3HYgjMmV/NIEQswFyOQx1D/KzyFDIz2QlBT57Tolj/3R1npZ9FZZl8YFlUsrNdWKVb3PmfAPkC0U RE1RhCikGBVrBSPLwJUIzOi4XDxjN7WzjRzICQY2JsTeXl64bnkDqE1EAEMl8jzNpE+A3AM8tqZ8 l2otyjPez0aabulgRtB7IbF4Qt7WdvVeB/kpai0CQBNAE2BoEHPgqQcCKIEepMuthm1kMoWPh7Od E07nOzFxSzNkoV1ubA9aTi9V2kyzpXeMmDi7crt+x9ZQ0Odkj6PDaCrFBceyKpUZKa4rypsm7zne HI98fE46+PTkJiw7NbeIgdT5uNIp/wMj18bjQofgpVDq/zxUOJkRxnBW+PISYcSvSArZrZyQL0bN bReQAhsut3a6My82IpueGGhEhvFtcZ81vKm1lGcaeVWfts/QQGDXyTqi75eqpteXP1pcMnWgu0TU sWcqx5nS+HOqjnwV2M+dhtc+VlRciNpmJt11bMl4gMQOkVcNGcRtOdIKPAKFV0WGA7vkkWQHKdGP +JLmUwex5T8Goc2xfqFSiARVrxzZhszZj7ljE+jacPzZpVJdTbZsoPj4D1nbzQCp33fL1tqBDo64 3pBu2imxUT/HMzGjPwMiv6S6KSmLoPzU6vSHjcusGEfDZHSTqRdsAE0ITqihI3AAgV/nGJbMGQ1L CQ9tkqPjcUP/Wle57cBwGe4wWSnWq/BcuTxR+WHMH7KhFGykdY5jjCIkbx/TJnDp/T/sM40uuRVD Zb8kmvP8hr5Qc8LUFXnhC0t8tuENHGZLcDG6RLnsSyR8xHl3rEbMPvuTuCjudu8JgReW8XSKTwY2 kdB5ZCNrJB7UWFrPGF7+6aEWCnzUmPP7fo0KYnlzriN2EE7IOB/jQ9CBAOJyI50lKi43YYgLGBDW W5Nr/ET8r6vDZHjzleJvZ3rHeBfZ+V71X/MTtwWDWzfCntb4lzgPsfEouts90JZXW+YLspyjSPlZ X6zCRc5t+6yQyxE1ASto1j+VkqwC2TJX4znIvsRheH+5jOBX1roA3Sz5Zo34BeThdiMOfpPFR6SS bXfbhUSG4BvP1n0/RSAnyuIKUw6VBfkFexmZ/sEpdvYN9m4+CNvz4Jdk03xJDWAgl1xlHJAh6Rls 9cCy44btG8NUlwjkU8cLywLX7AhMsAGIV/mGnRVgL/QVVU7Yxk3yokp+5degfe+FOiVPbkA7+Dt5 +0CEGkB18euoV9F+tg/qC9uI6SekPTpxYTbTldIlYUbQjD6BRn7WAspA/zma44XJhSKwJ/Z9Vxb7 V9ag7989+yHs2+R2676E1TLsYXSqdxbvJKJCKsLsxrfKjdP/phGL/oQ+GneMGLoBMs46No1uZu6x zAVSC44aiUag9+i2AYQLscDepjz6atVdXAKVAAXyyUfctonm/G0XnCaKSNdW0YJvDp6HUjDXXRLE NJnVO1kDXiU/fRcakHyyYDyH3fDJTMEc727fT1uTzQ2MqIl9WmMfDook3PeYbg81Sc/ZUIDqFyym sAgmi1u33/f1Jbw8o89cHmzopKXqXbFZ7OgNkS1c5URGHNKBXe/2wUwn6wWIQAeOCIkpSXlmmKUz F5flo5koBi5x1bcxrhIM6cCyAYTkSy3rSNRUd9Crc0S6SXFRw39coO13LtIwWY/TtsgQPpRBUmhl WlNnKT78YUApWuKQi33t7O5z5XneK2V8f4IIXEWv1/GB7yKkhG5mzoM75J8AImp6aYfe05f7WZtL KB9/dMRMPKHnkhCoa7ZchAXXR+jYrOMQu1QSaywMBJpmaIj5xn+TcFB+pMlyjbth/LqrDgzQ/eEU x0VLdHgNENwxGG4OIQeKv+VPf6Npc/+JfuVTVRAcfEuEU7cR16GoWxnuPfiXWgRaJ0OtAzHlja8s a8cSWPaQf5kvbNEsT3gRlnR98l3VChbFX5LbG8PVkdRDaFqgFDvloUzG4USqElUGxLHsVq2DVmeL OE73GlNll6480zdnnnXAncQ3v/12PC0NkvEQ5OkVa0E/9jbkwlRWvqwgvkAPfPii3Bes/1NBDRSA h72huqaO2mmpevCeuA8FvmtyfVr7DdXoYeeNFSkShgSsY77P5WT5Uj01jtYsa+Y8i2BAkFM5R++A FoXtOdS1+SxVep73RwBLDKnmgeKgtufkh9iPu0m2lPKoUOniQsd43ZF1NIxCMSuVV/IG/em/RYdL Ciq0SUAi01k7ihMHjuOzE1VDV0KTPLxBnoGaIG3tgXi4RfAoxA426wphDKC7gXqqhwqmSb+ISSsk 0No50Zua0UTtzIoYiFESDafsRSDwwhDgnmp2QU/lBpTkcLkJA2hKSU9BHEwtsI8bi13BPqY6630b znaEZmQZ3bveICl1k/cm5H92/y3wElSg9ZNSqQ9K41BTo1nmgs0tCkWMzxzlVDdhk3JU1n+qa1GA +DKn4dv8VubqZ9ew4muSBJW+EWVimN2hSrDbL3aqHBxYsQb+9avA+kNEveGiEB8h3bxze4lPfKL4 DOf0Z64kcd5E47JANJj/xqBKfLVDjM6SPkghAA2CQY3wCNFdcGmSSTQPzWTp9rAg3ARGV/91uNTT nqODYAF7h7bsW8W7Mi8lEpbJopsgWotOPBaxaBoYEkpTOMcFOgOHVTJF2/vabUVurUNDsz9wykwi 8VDwm17GNS9XYAGZZd+O1OGf6xansxvcZdgRG4/NZV8teNf53UKuvFwURRP4IOgFcizKKNxfci/5 jj9TSe1JU90VtLRjpkO0PNy+2EeZpojW4I0BdbtRLhG44fkX0a8lKqh+lfp9mSNttD26/I/oYSuN NpKiSJscj19Oisa7i/Nczk4T1/TpfgeWOh/YeSccr0L81/Zx9MzCbeq4xd5Ts7zfTWTOkHJeyR7B y2vvT8bcyH9vTqlNs+k+fj3egsc5dbNli6oJ+49DOGH4Oo+2wnZxiHlkuZvuftJMKkVHlzcDehYj TVVeIPL2ByfJBV1WnwSPlsafPa+dSlbYSj6AnWO8bUg/Qym0sBQNInPIOC483M36G0b17H946JAF YmNV0FV7F2JXwz+Xyps+191xOxZpE6qRJcT3cbSd+/CnMbjFdzdGja4oxuosg8MxG3Q1Oc1XfZ3j EcvFjBIlaRNAQmgkrw+yZCxxw6ok4OsbtuE5eeyuKpzXQAmdxPnsownZwfYGqj6m90dNgPk0iI87 7qzX3Pi/GqOkD508n17C34tXGoTXIbrAK20sn3WakCrxr+mDxtsM68+ceLJiEWDPtKf0dPTDZ04a xuS5sgMAjBxkHCU3C0ZT1lKF3qrbzoXFchyaoCJp24mYDYWc4fRtajUoXldu+N/z/tsV0dfiANLN ++d9YVTYqYY/ui8C1o5CbBdm2x3bPP6z3VwIptqfzsROCy3RY6prQolDGsxjYMyQ3TWMtO5cX2aW oRMT2CCwrusXEiT+5ckoBxsmSSz+lZS4638JTRnqfd3gBclJum/C7tT+aPCPFjj0HBhSRiQgbFlY K1X8zON8psEwdXSSZ3SDQYAm/dKLx4fmgPYEpFZ46Xq5+F0NAIHl96c0U0ji2muIvM9B149yFR0n qa57UYy5eZIvDhbfoMQvu+R7IgWRWZxRUZEB8rErRvE9BbAdReTBtQBkSsYLNMRzlwnab49YavLI mhWrGTQZvRC+771uwc9jjU2Ckjrhb3H81GBYVa5nDJUlE9PtQngw4lsJE9189+uLJ3qMsNdT3ria MHKZRlQciWPORIejs7SOD6n44M9CKmSegqZPw+XE+gFMGFlc+A5ouabPmszDc+y3mXg/wm9qE9UB 3GKY8uD3C4o8MefiMa3D47zl4k6QsasAuiFAd+3Wx46xSlEZjeYjNSPBR0Cv+3ovT+9XWlDzCIDO grLtlwkoFV0GWOR06v2U4KLNIYxc2GYp6TTAWpMgjo73bzSKVwEDI63B+GCRJocZM/hOwkyUF1kV cH/cuV0MCuwLSVmgj0qzlW+70bL0DjXyntncvZZWNSAcxopD2vp528r0vCWzsm2rWyoikh/+6yMJ eVN2Txtl7xK28ixybLl6B4GJuSb8D+PLIuynMJwsbnx/yoDgcNsbrdX3MOG6y1L+TLl5gY1qs2VY PVc94u1y18/Ex0HRUJ1ah3OzD46N2AI7XH/6xO3bK6rlBTL/iMMLvvI0cuGMzvqZEoY3L1MtgzLP us9zdA+erU9X40wStz5+2kUuhQcmag5zCQguapFTV9UBWaODsfALs91t0Q/kJkwTpSO/ZZnAD5ej +RvryhH9OwIFaGmc3g3wjpTaoAyVdkcLSju4I2ywTh56dpwrUD4msxi8Pt7/A/fYjeoSPa0ioRnX CI9k8SSkpF+TT4y+wzKNbqXuRzE+8LKyYRWRraOiJsYwb/a8wtgvxDHng8/p8Zo6n2NeuNNBwRrP iCG0ymHYuqq+As5oDMLYRChoFqR+3bDzsId2Ih2tbPnOoFhlSeKkpKbaKXRBuTlj+l9c3y+fYhwV elNgVhUgvVsDTfxKzELy6IoHxvcLy0MhIRv8Yu7lHKvDHMwhdP/llUm155bH7PRSZ7BOz8L4YCB2 eNSYvOcJEcJ451SFj1xcmlMFLC8YDPT4tmXwLxQb9dZvejWivQo+moxtdAeO4jWqsK/iBUnYxlgK DKOox7KGK9Qsdwchoq+6QXmcnsLX18rjSmO4+kVcpReiEazu7Y4a4drauUl+uDGVMfO+jEUOZ5+F iq9R3uHz+HqkvRn6PrIjv+dcZoK/+fguxdd63bwmD+86bCHXJOHJSsNk7aeahuWFsRYkEtjrVE+5 q1iH27tMaj/elNxM6w0sjy/4DyLMKIP3FWtDHhpM1oaXPP5ttnPzgkiOgs422e2E2M+sNY5tTrSB EGI0weIK3Alm6yqyLMzHEBJnaxoEswBPmafyWrgcAzo/1/eBy0uXT/YeH7bmcGG/C0XQHknqBgkB /lM+NlIVBu/+MZvwsYQdCcm6/CS5jJRCIL2xij4P3ZYG/xK3VqyvIPFpmKqwQl7rYgYW5dmKkeQL YCm3TrlBerFFtLRi1bKMyQGn27x78784ys3dBTFMcdL8l50I2t+B6bZUcr2nuIdj66WmFZvCmKL0 Yb+hXFu527NNkBwVkNCvy+jKSOpjGEAAy7HrabWn+/dVB5BodVRtZjKuHF/fcTGW2ivIjXpS+bmX JQTXDT0HBlXHjLNC3aga/6YKsbMNj2cCKESzs3m1nsBZiBoW8IvXSNqIsCIHXk4VIYIiqVbIoTWy 71xvoaju0TBA4py6qIaVe4gDWSjiv7JpV1NlHZSV9Hwvm+OCVEWq9IM8r/rW/QYpw63495acN0LW ekx5khUiKy7JyEw4YJ7bLJTAegkapW9HduXJ3DZcp6dvzF/Jk/Q3t/AmLEU9zW2rbPtmUcxog5rq kyv+NibYktkbuDxFYL0kBAkMZVx/76Q232XaqzYHhYMNKk0DCW7Cv5VDLc01Jhb19bs/Mt/26VOF hUkASgUtV42zaD1kCX1CL8ZSGHyZGK+fdLNCM/ETRCbW3Obq/1caJSIuGcphndd727vAUM7hZF65 CxLnONP0FmAZt1t6BwsHcdeAUL99ygoYxVUSMNVN5gsMLHusgQ0wHMUmZNlj7hX67pdxTbCNPl0X yi3hmbs58/Lq9VGuUe5a0/zBMxCufdKzY1hKniiJa/TRw/KLJi3d79oxRoGVKYzHqM83JwuKWq2Z g77Gb2A90MYno+CNvQgyGYWaIQT+MDSLf9NigBYh+rrhR9eejveIpASxXGXrz2zv5FzWgrTiZlhj iLjLxeo0hSfQQuyWCJuBqPcGltQEBqdqp/1xjt3OScuteD/6dnA95JebHcBjYZk1CTrWfklQ/fUm I3z5jADzwpPdVDGoxYUiZOtMurjL0P5uU3k4hpNslXk0kV7m3CLMyRfDf3fmW4Nsvt8x14GJFaIM inaCu28WEBRH68HqFZ6GesTE325UT+bWF56Rkoj9EUFTdxhgeyWYmO514V1D4AwIyPuhLLhIJN45 UiXsRxYKINemF3ec6tWLGq5loza8FwWWJo3Abq92btWJeDHVNNDuxYE1+HplgJ84iE9XoUo92yPq JJ4Q7BFLMaDAWpKhwxlLMQMp4Mf5olxzVJwcfyx/zb1NfCjI8stD21F43Q70gOa8EiDYNqxT4I59 BZKyfi5EzqfmJXqnHVLTthXhp+Wa/G/hCWdHSA8A4yHvTdIq6ilyvVeEGo6exV/xU+Ij1IUAtK/T HbkO0BxXFk85zUgYDl4Q73bqzV1DsU/7WZFza4pBZmgOP9aVLqwgd7tQpvCHYrosFLyIweY6oSGY A22p/TPoRMEro58n5qbnAIy+lP6D3kphMx0gVysTzAQsTdJzgqs94v/29Dm9ZffYEg7VlYjO/SK8 gObP+nG/cT3DbvxK5c/dxrjQ8VQt5/4CNnGwoUWBezxeD1mAEOuMgCFAIfbwg4IgBp6UPJ8Asw3n NVGNpN8vuc1g9KaGL+KPw1xcLf/MZCsWucR+x4IjGgOFe9BDBXZ5dp9xXhEV9+lIjrhZRZA46/03 WFzZqjp1LJ062U1ofAat9XhCOVkLySUHDlj79nsiHsyu+ylHvgLy7+5cImZJIP50gTMrRa3Ll0PR 0qzGFTP2lB1O7FpFMEPLJKTT2RSl5Lm2k7EYWtW5XO4ep0KCzk3J26CQfb6E3mdegis2C3qohsQi ZHFwcBj0kCt5JSma0NWdqa5B7CWOUCgPPqnsFTTfS5RI+H1BfFEBoeDNCMIFHMNooXrm4g11JHDN mzvxdhQzRshypvXSUG3Qjy2tKG4+ImI0rAIun05KlqTXCypUuh7pjkMT1wJsYvTo+ll7flQ4Tyaa 4b/jlAvo19wuNx5m/cq9E03xG6jwbS23oUd39dnPjQVq93XSA/fali2zU8YgSgEFg4ksQOa38+Vz gvXa2gZvfW9A+viTDO+BfAsJsCdJ5F3rKFBQDCaIGgkoYV18cva+3Qliun+22ssesDjVpBOuuNwT 1fi7gO+w+1fFNARznHzSSXDHD1HLDy+LXDppgo6eOtXswnaqvuwGIWN4g5MDSzGKuQ7RtATyTg9g gzEF94VJ8lxKCV+xcvWLwTHEbrQZoE2SqxvvkqNyfMURv3QcHdaPi9k8HYJwCa9A35nY8GHdST8p CUiI8AqWUawfuhsM7fLRABqvqO4zNJks9jbsDMFNO8htBrNwgs79kJ8OSECt8QIsq21ZDAlpu8ME uZZzJXuOn4B7ihq33nFQxZ4qf4szaDrDojIq4WTjO2cHnl/XxbXerpFMkLBGDdZZabVkBj2W1CvC 7fqUxy9vItwPmYuzz9xsxrFIw6Xs1xLBEqhZ6zToXWTcp0BEptpSnyMuydS6F77TPJiTMzb7Cvns vLzJE0p+/UlJFJa1M/ic9bvjTUB5/eAIyTdF7g4vK5CbAeMmSyQD3Xt3a+8VNqpUJScFwIrP0O7N Ptv9S5roL/lZ+BixFfbVZPLjOSfAVCmZ8IDlhwRmWk8R7HBMa+Qe7+bmKS2XlHDQlr2J85kb3PgA zHH6JGX2sLMSWPCH2lHpJoAI3F0YEoisP/Z3pZdAdne7+L5BRIJzziMHKoXuUEfDc+6KajTR3y6y X1I6Z4cjUkzXea9ztOfq/x5UXMHo9M+W9J/zYk7Pt/vGI+4W64VwofhgEDZ8IAvmKbSO5x1BSDJy jvAvxoi/mPBlYKcLaDXrtLfdYqdkAhQQiUXbqxtQAlTpGUt3zRGFrDG13kwSX2sjCUpHSDCWbOYv vop5pFXvQVRxkWOs3foONxuhBq89pN34TgoaYIFm7K36aLwHN/iFjBqUmwth2lMKykMnafydQGmQ 1hFJdhHy3cKkFCi70MfV7GDoI3ZoEApHlUqisbjd7yiLhDgfKAjwEZQF4ggZis7FpoDjSC7w26zO yJWXIx5bneEAv6fAgL/3dCwl3rsx+HV3qURmh9a54wnPlx+ZjM3YS3INmZKnIpnU7EsTW2yhLmMV 0eG4yqT36hZHFacagVhMhSyN+YhohWYVuCcN6QjO3Ac1ged8p5qwwWdsHl4Bjl6QthctwjDy5qHC b4whylG1RUDGWTkCNGClMp3Fl82IdwAs4YrT/3JjAwbhf1pWaLQqApSxDdtQ07sZGO4sHfWIr2RF h2GExIiKqRGloqdIUHTuSForNIg3Pb1bDHVOUjMXE4lkM0GXQ7904WJyeFI5A5MwmPX5v6RKMTW2 wtkMYnQAxVGzND+BHJnRFxbPgze00TdeZ1FNpwDTGEMG2XoXYatpjUwJpO1bwhOk9uA7O6WAU/ME 5VueCXZ+nyJ5jKiW371aFYXXCo/TWv+Dvtg7GNa/Q/2VI80epan2qQ9sa/py445+lcdYpNxm/9+D VG+T48dkTo/AJWQz3DAz8uPNv6nmi0Lpq2lsFpT2q1ENgHsF5rqUm0U9YnfFHwAXWuhuUj2m86QZ HlAPdzFuYl0jFtE9nZC4CqcByNIz5x4EZXb3Hw4A0Xd1/HbXnOZHzNn29RJSqqSvUL7YYy7jr3af noEQCjzbS2mtV7ZgdTTThxrqUU4j3msPDImMWkSDrCJeK3B0dSHCDXVCBiBR8+baQfW0ykiJtbCi 18r1kbH9DmjeF+bEUtDgoBsmTs2temtX7IeOaiMR9o+p2RvAMkaxpytLMkeEVJ/A4nbpXlIXbD87 9uALH74mZ43/aC3Oywl6dQWGxXtP0t2d6eX+mqxxfsxoFtuRtUqPdnfTjXdNr+HZ248y3VzSHeYe aZQ2/jkkg5hNPoz4MSQPvUTDzK6x2/RwP+ZBk5yDBHWVxPKBRLFxOYJ/7Y/DvtmVPXaeumThY2eZ /cuLDc6p4XWjC27U/b5huLbOcIh7lzbfBuCNNOpuO8vExzyKj/e5RHp6GdT/7uUQDwHBcRV1WuyD InT8QxtyxoAAyjyxKMtjIGaQqvJdXwfbo4rc3P1hBftJaDhfhMAAznOPSVw6MrOUH4pq5S3mfA6H 6sDGtBxRIDqDRaG1xn0OEIQrAwjKbzjjOKCRSKkNyH0MJ3aC1LaxCEh0TkWmWDD7SIsfmGjFV4r5 UDAzCA5Zw21B3NLTh059Cit6xFJSjfksKkeaGIKt2KfmmXr01sWFCgTgZxIDeh/DGT2pclhjx+fY Gq8AQHbHjWbf4vgVXwJWFcf/r9VkUrcNolOpasOxKj5pIF0+tSYypTbI15hD2gQGbc76gMd2M4NS ZOiWDwCPmpK2ASCfODTHsNocGv5O5brceABRolgY4mkEeAmAYqEzR0o03kxeQh/LNNf3qcJX4uSw BRqpwxoaIQM7zn1ws4fc9AZXk1rqc+BAtpdDMXOZKFSGqaNcbW6fxfiE3xLbg/liiQM4IDXut62X Pv74vmb3nzFIRpzKxbQlfvmjL45lisBSpxILal0xCAyyBygc7vsK0FqmyMg0fw8EiHCgl0mOhrO3 Wk9vzlaiL6nhbf4VUr7v2zNxqzaD4xNIcBIIbjYOKmIgcNomRlyI8rEJTNPocyPbhZw6xxuVPZXa Xplv8U2Sa0XnwcR5fgIWKd/pI60jUq0XzUNVC/sQCfiuFmHshw9XSmnmS9h3Y5soHaklfsUaTLpg WUmKZ/13RgWHlw1DC1duqVh2gqJIcb0U1Fuq1anoVrH29o+C+Jz2QEvGvWFSUhj1ppB9DB/qa/DN jUQtRniYD+k7OpJvfP/1hlAYquEyjSsd55zPc6I6+EUIQ/Qmrx4NQeRYTiuCEco831FJ94qW3yr/ xCdQMq/FKvvDUrhYBmakkXaiL0V3JH8nBfxY6/tzqBYFFnEOTWpS1CPnelH6sy33jiMlKNtkKtrN LQ0EZ3jzv/v6+VdZ7NRS/WWwgWxEk4yZmNSgOgl0ZF1buw6sNEBSzLyUzjfo8N1vqvkxuhxT5VdU py2FrKYom5QF9xn4Ypc8+5eehOtia5P53S+m05ScQF+H2dbKll7kFzZMyxWkBbT/ZdExDV5s3p8k y1INxu4EmhauI85h/0Pt2Xz0X2J0XVrRtPxOsbTt3QL+KHwJlqbau9Jkp9AKt/Jk+tc/ltZDoHbc PzOm4MIHL3i4+ciHL8r4bPzjVm019tsfDlRHu+fmm1S1ultl+553hvPkYXGtT8ZGOi5xxiBTR27t xU//gRrO0oTub6mE4KZcneXmBmPjKOdlvhY54ShlShzoE0Be0Gn/hiapYSl9eg+aBdTyw7B8cQvV ZA9h9rmIRKCmX14AoEZo5cZEIw7ZfODTcP+pX3Q/+L67yUEgtWuJBzRFewFozxUlyJN6c8HF14rD GZX8MT/YrSHmH5sfMCJOa6qd7eq+YfZs9fV9xzhaziG4eG09KNhIXHKdW76Cpm2Yzfxv1QXK39+y 6Vh1PHVnzWI3t4YSoKiyBxVCFL+biHVXh2GF+tY1eWkA8tJZm4c3YAEyG2r3Wuzc/iNEZ3oLjU4E pNGm5teUbufnJGhS6vLUhmDdh22SJfg+AmiOMM4dZFnEoWGtrFiPOSABHRSL37AYwGR9cu8dwxxq ognH89T7QY90l+30gcQemVVWf8oMLdsTrp7U6KmWIXIc3CTrhQaRnoDntl1iZ93Tko/9lHBTlGEz lBjKus80f/nRbmBOCWgonXtXCDw7jX2yR+w/AAv2uqjb8tubDrFNfL30xvBIRGccTPVgcUyH4KZN ASEMcA0sKY2hEqxQ1Y/AO8PlRzo+fLwqbTp+v0KdeJlweAx3wd2e8fsITIyG6zqVKacv2BszF8Gf btjyVX9OjcKd2Dt0wC470K9yiB50R8PpQV6U13tpkNqjwqioYStX9doeCqSggcDiij373BcKwBHz ge29hdFZ54SpDTAFVK0YlE09n/r2GYpdWFgU0iprH+hE+p8lDDUgI7F1bNOWBfhXFwHHayhsHCA3 0blxNm3xk0sGGScnTK5p84ZitbsQ3xcixZF5ac5xlbLb9xX/M7pMIXzLdgSPZxnVqbsXC3dOI0EY q2klHMa2pbrsno5LsfDGl7mXsLYWNQarOdT7nVD9EtuuqFeN9DLcRnjc0kBYa9QRg2SGXE84BDtv jVYMKxpRGMjjb25VxaVQaEbDxLz8wdTF2zisDx3xYPcwSJDJXWVCpoLqoXITIVZtQwJkfsIdZ+i/ eRzqMhfQlLDVGpB5qbNIE4qQTm3ukrJXtzuhuYSsEb3jDXzVb5tZkFoa/4AQAI38tsUAkDqj7kQw bXSlBOFTMffcidR5/xwqiR0Vhpo2qMrKXuPdftmSuoKjgf0bdmfhk9NP4sUkBphSB+B19y1BxcaX RV7HopVy37+YeZdOoCagu+gu/DyXZaCu19Zd2B6yiT0Ny3TybJagI4yyGUrF41v0/jW84rKb4ous ThmmYNJFg68mCvfCglfrKWIzjoobxtaWRMpSaT/OKCtobcLpHNFo0MSlbC+xMGTtjpppWB9Ta5Vp Xg5eydYZhLwPKU0Pkh/GCewEdgeYgGOmqrO33wZmXI2kDo3qkoor0HmInr0ucpHbcmh65YOuuIO0 M4GOUN/gEdMecNqQUtVzxjvidQ2aUOXoHD/b3pJ+iI7u1sCUEQK1L5O1Bgtd3Peaxn6YMe16PPcF P3eE8MsmH4pLLMAWUsPJpjWGTypI6Wcsg4iLt3Ec/3jJL4vCtq09vgUTFJIvwnnv3/WEvJb0wsp4 VpYQ/+gucaj3Cv/72bHaSm+KX0sBL8kYBwnJO020OQFGZWITJJTLZqh1eSFlYWkXKkdD+7M2W8n6 w925ICvgUEbC5RdU7IIJgn5cKae/hhsjFNAeHeEBk/ZOSfdBeu1j3qSbaD0WFBNPIh6KmidK83gf j84QGLf2OgAhqyZ718meeSFLh7dqZdUVO01F5Fyj0njrkF2DzbG/a3edLDfm0Foj9Ut0vVNJHwjK qk0fJtt7BeCqjowJMEybp8GnE6txTRPMB7Scppc+YbwjiGlZLhxn/eSkLI9/Rsdc9qVTRUDPjSbt 98fC8a/D3xw7Dy1brMF7QgI0ru9vTzFmX/1GqZlUXZyBhyCwEdWO9lSyrEw54qE+hN8eWH6KGmeU ShaQXIHvy90yfMBt0zZw91ihKv4EnqCo413438AeITCr+xKBr5HK0Kq92UgK4VmtGL1WolW4ynFk ms2/cz4BY+ZdrzyQaCblxIoOvvpC8QBHHC6bJGSyk8H8rlBMZm4FYauFKmCsPky2BCj+KPEjeDaq WEBhtImGBqFUXnKPlzfyqv/XpIgh9buqH4tPB6eORabziKkAhKeCkrjOe/v+DVNAJTNCSWoljctQ A+wl9aiIdv0w/andEfpprx2KD7oX3ApsvMoYh2R1GsxB8gWqirD/+x3AdB8EE9fCWl3yOzZjldH+ 4Iz8ZoLS3nJRCoqh8M2NK7kJpbEkZ9TpncPeLY0Qc/LDHrkinWb6k+SJeDCItaFBkfdt7fSlZ0BC mM0Ckr+iL8dCEOAnO2qD0FiLSPKAb2UfFZREWyjpZvd3cAU+PYWH9zp96pwZ3YUQ0715lbYacx1F yCaIVTC2490aGhMlhDXxERaAn4Yvp//iZwsBWRiRJMudhyfZCLrRMoI7jLq6Szb0Edp08/AtCp0A ZxbR1J23OrZVQMuEH4U9wUHJEN4wmIIX8YWu/tUzIiWbx4OCLIT1KsarjBU6V1hwSOrUOxUcuuei nabKQfvT/BEsYadOi0TJeG3Cf5FimISwvLyKaxk05DFBQyeDrRmwflqtkbj8PkF0G3n0q4+L1rPJ W1qHFCqg5jwpVSEq3Hctx6NL0JgoHu11seEL4jahjE7+2EgrroW5F8H6Fm43d3njWxrUDy3Ys1Yk 69kEv27NUUCWynGGYvHCGiOb5C9JB01+DGudjtq9GbGrI1oKzWM3z1wgkGlpxXZyTXdxzZ76pWdK OnGrTqpzpB54H7827KfEsuy+XVX2wIImsoDtDSbwLBCpNhS3vk6N1wuBDL8oDkscU+G/GTBP9cRN vWpYMRqZ6sddQ/CPuGFz95o395+BiE7tCHZkpGs+6o6LrcOFMkf1AImcnk6rcaaWcCjqkH9gFqsm AM0kZrIzBh1oZ+RB168vdF8OXA6eilHTLP/G1zBPaVrPSczoG0R2ABT7RsetvwwzAoQJ4HsT5mwz vUZPudCpRXzQwnc9VZbutohENotiBG2HtL68KB9VqGsMcCBrnoPq5vzE8CUICD869MIdfrYTcjJS sU4R7AwcTsGoicqlD4p2Sb92WlTqL007vcdgowJvqG7YizT0tBaFyCow2qYFqQBWFoCfnL0MQjSS vNFkJTdu3FTtn9g+rujFzDiNKufwTI4Hkmm6LUBH5OBQly0RcIHgxNuUs5SEHChMzkKn/vQFRNSP 7Q5akhAvt+QyxY9vJLPCc2VQ+l2En0qdhuLnOLW/hWT96tvUMZlkhd5VAzdY0d/2DLSTDz5GdR6u PBB/Lj1iR2JNiAj5iFAIJCUAXwYvIZFfi+GLNs2qkguJWSyTdNZHecUWUFqxv8ur3LKykxSzZ7P0 6TWYVJOJZyjPVF3WdwESG20yILXcB7l/RyTET5ecmBc/LiOLvq2FqF/ElppjuiI9yFQQNPUeZylw fbgRVL7pMniXRAUuRRvWpCoQFGu2hlOE5dPEuMFjTCPGxD4/Q6BUQVi3sD+PCT+4vWIAc0mAjbsS RUwIaebkyv/E4NiWUWkGzn/uda79UWiW4Oo9Nx4sgwU6mo5BKPNY7byCqGcRaEO38pwbJygoM7V7 fcJ72C3uLNvjFnHuaiK74NopQzxoKPHQNjhQxJRdM4naomgyiKg5tZIH6++M4jPMd7HdQu+cJlZz AyZHfTXXsRXaZEbt5Yb2O/FisOgvtT5MtR35BJ0zRlQIDj8QzcsiOSCVLXJ6BjIm8GoqDXbz+Lv+ iXs6Aru8ncreW5YNSyGayTFJXLKspW9YO0Rvq3//RRrZAJDyK83boeMuvvMGHv7RT8kSZUN2linM GzAfGlJ+VtxgtrBQVeBoKsm06GoqLB+6Z2g/iRTMtGypgpOcZFOWx6T4cE3vslxzUbSzloaLXxIv Ss8Nt2LYg32Jt6eEdFQdHwkJGIMQD9JJv2P9dSd3TWGQ8KRAFFuJgikU9RY2XR8aGcpjZNxkbLOl PR6lrGAyzmxPd7SJ6IWa1XdKO8qwCUbCG47pAo5PMWYuDPrwLPWLgE8dTEf8ZNXrU6OdTvIWMvm0 8/6JGRxqsq+KyfqDW/fbDTg2D3kKM/ccl4eAemwzI4zJiP44Pz8YkvO0q//HGdFx+F/8x/0kzOME aQm32pe9o4MhZbdDdsg2H5m18OPyiy01WHg0Na3gfuuiriPbK8AD92/Fmi176Ww97piO54fHVI0M wOXjXh3Ey84W6T0ZsDmVmxy+QajJgpi0t02N1IMMB3mMsywza1UunLxuGH2Y2RbRaPFS/IcI0Y+I qNuNVLVV5h7KqigTcMFOEOzfa+NgeNht4xBKBt5g8Oug7Tv7Pffe0hpzyKYF5zOSLNKAM0rZVE1z QFUJlGEkRI2Tjgn86RlPSPpWcMvDfnEgPTw2sPO0/tE9bg4zxYn+ufg4FfA/l4p+VHLMdrWnuXa+ kM1BUQ8OF1XtrOr4KjitNY8dxFrZGF0rWQ69HfNAOZ1EEEPxovDVJ/8x22fVkO7/2S5vGxYFFlmT Soarum2HMd7uFjJUc4nr9hXRu0FGMb9LkdQBAzON+mjkMoQMPMTQeyHSNFBJNJlmAw6BQR5PQLcR 68Xhz01EjmI69RCxAE0TV4ayfl1/QCKtaiyhcXuXq2irEOLHkK+ZeWu+/hubStD7ivDTsiOSaoCB CNY1f7Z/34c38dMrnJRudk4eesnPdQhqYzHLnnByOeX8w5QE03l43+qHRDkP/wiH/hgM9TFNyfb3 jxCvE28buqrLPaFzzLaYK3yCIFsMzv26q+ZCcx2bVblyGc2b8gNsn1uuRftXXgPLEemPS8Wr/1K5 RYR4kT61D1RjhOHGz4SCyzuG/EQjDitDQgfG3QrKtwd15LApdeWNcgFy2G5QAN5Yv789fEBES5yQ VFWykVkt90XgIxbgZeDX0mCDpE7tI7wLXYMFKHeFutfFbyCu/UM9kVVADarZAGgOf+l+Q6u5FPym Ae7o5L5ApGqGhXX73OprjxevfboYN4MjccbeLB27grUN7ReOwHctXiWylB+6tWQPBklFgxmhrLlj HTgjMD/d69HoMnn9HiX03Xp7ebjmzvMTEvjcZ88O4XOXSE6HQhr2ZrNw4B0gzJBmX4Urlu5rDlvi 30mPdSaZATwwwQ61HyYs/UfIpj1xvFLr55tAn24Y9Mx0L68twYw+P4tURMJXgssFg3DYV3V8LZLP 9P++vCELuPyBKnxnaio4XYLpZVndIIqyWzht9DpzzXI1yo2EB9SUK6ZWdWN7DzZ37cxv62CsfraW wcd/gdRXxh21a9PP0orKQBCPeXMhqF8ZSrwHqlBbbcOBzPebfJvCI7RRlybESMuF1TgpXl9+TcRZ 5WEn4Mt9wtnDVVeip6KSSAxbcqfpBngLmDgySFgcCWGIuUqohwo3d0guHPFhelvCaQGr8/38Jxu8 xSaFFaDyRCMlBhNGJrN31ViWGqQELByCQG8nP03g5Wls5rWGvVJOueT3wOKRusC32546M6lABAtb DeIhFgoBe5bDMhinvtF6QfxfqjAjyu6uKBjnysgP7rWW6jnpfpLEB4f8XtnTlp9GQWA9n7jua4fd d8WxbVw/U1n8D8NXY4QgrjyQmEeKsEqga3OJlITf3S/wgn1EwjCjp0LoUluSbuwm7eoMM5NW+p2L YhTJeRZ0VvX0I3Vs1jBtrFmSmItCHh+jZ0sKxf73XO6BAGOLZUasYL3hN4B820f6m8Sj7vmRIJNk Nkm2gd9Cra9kBIn6E77mLRE/2hV5skb11KDqriP8BnCewY4FTScgUg8H59z+Q2bq/fnXn76WWKlx 1YYOuiVR0/7KBIwACqjdDyUFWb4vj2Z07yeWdVEg0+6MwxeMV7aPRRVlndccPEzRgV6rz4qe2va9 MfwWyesTdAf2Tngnh2GHjw2MIyi5pptcO+lb9Nf0FjOsIz5DjkVTfcMrM0/x2d2VHsIK7I/LjlI2 ii40TY02tVcGQAsA4QiYmqMwOlttdOmFlId4qW5435No0N/12xL089ikEu7L5/Ft+pq4WbK8rTNt qHu0kH0IwWHZN9PA00t1tDRILgrNOrsqvHStuUnlbcYU0DfQWGmo5hrfxaQAP+HebFsJyvgJWtD3 4tU1i4XLjNT64GhelpWlKCVfBvqLtlhmpAVdnmn3vgtlaivSpBekeYYIGBskYdVCRN8Xi6pSPVVk I0wpSP52lDLNJLqFCQcf4lsZUWL+QKBAhhwhFm4rr2EM4lUas2VW1PNcMkh77p9ktDPlTIhwVhLP AwT8nXgCJ9QblmhZSpl0y+qOR6Ub3Ky9weT27sHRoIOjqokVU0jEj8PerhOH4iESaNkkWYlC7uyq dURrHSO1580iAntQYJeijrxG1A77y3YAkXybKPQZc+BARIpHjQnlIU8YUInooHAuoyllpHWzqLML vNXBw/8sOO1L+CRMDEymuKhjSy7v1w4nL+jf4Sr8IuURspsDrAS8rhlpToqDRaYaQ+fuNbtNg15u QOGOhLhIEozTNWAF68vW5YavVgAMKJx+k+E9tkuGxtxnpZNvA4pcZ3tC5NBRtX1ss6K2tiepAUkH atlmUB7iX9UT3jHl7iSI6oy71DaQen+yQY94s/nANzk9vKt/RHnUAkmI5K25r60Itx5w+nhBhvvM uA+yb+Rz9yyiQAH62czpTeDePewpT6reyKlKhK7J5KeDOiZb9TvoVkhsD4+18Ne45n5XEt0KGovj fs/McrzZN4OIycwxlV8x5FNCxq87qenfYnFkYhjLnrjMxBH2ZMhqV0lTuPUWYy2fGoLyEYqWJ/mi n74jXj8f490YNy/7zvEbe/LqvQJKqDadv9syuLpeu/XkwRV2K5d9WBANtPZavhJdbbI9pfLzvs99 yc9BLYErycfTVHE0iEMmOI52QbKKB3+AWHe+wDfk5YYRX1TgmihBk47SK1aJKnWVP2SeICRZlQGg /VezNFl6adfR578q0UXG8JIYwkroTJVnC+/UvWTOLmeTTD+q2jwYCooQ8dX90SvNoaOXOYCOq1s3 EXLJBnWmbBZ4pzshjsnCx+cDXx6wfqxvO3H4oMmduuHWYnVBlG8arfPKlsZtVhivjnaqTWNpIovz YJaSQIlinnzeucCinv7KRX9tBEAq+qP5Ps9KqryJSg20chjt/sFeXUYxeH3XZNLqn3eJBx80SfRd qVNpBeFpN3unbv01IaDWuFBizG01ofJPmtL6fPe7FvCZr3A/UnTOXaKDTrdMc1FrxuW38aNLAPm8 n4SScL6Hd3gFfYVP80bkJlw8WnVD+9GoK/PafdAR/oESZQqVHeU9wnm2X/NaBU5AbxeLnxTZQCR9 tS3TO+3YrHiMUC6lhPUup+0aC7a8JueCHaFbLa4+rJxrRlkocNMEGHCTOC8Xj6EzNX2BAuV5b87O b6hAsv0J/+wv7xHWMGtMVoxyapHCrFg5dn6xtlnay1YpBhNqk6LWNRVFvOsdRnE4j7zCBzg2kATB YmosKQMd/xOUt1k7JThyAuJlrBNM87kNIDZxDydfe3++ad9ls3Cmnrfad1F3TInn+08JOxVyHEkQ 8Z/VhhXLGY+hoJQJPqV4GUlFC2Ph1Eqn0sTFwz5XtbGgmXwMyAsa2nX1c1HLKTHyDyjFcljTBAM1 sCJpiX5JWw5Zq7pBDz6gCo7wBAhW3JzX04Ida6j7/OsgLA7uk1pRGrlgVR7cnYu5I8FxH1LHXp4t 886S3eXXac5nzN1hzeknN5htQ7FXREhr/Fu0qW56yweRw5Q3h1CAaocPDdIfS7Bhcv6xqHdWuumf lFCXIoVT9njnyZuf3mJlaiOjDa5rfj2dVo6KwCLGnvZsmJF7W+g4IIQyPfJfb16NYMnF+OqsfmTr eg5FvNFuJlyd8spNeR8MiSBXdbQCRajX54clrjaqu/lc8gsOPGd1QhdyUOOf4tgaTndbyPK9IQTP ScdovIpRDTh4kCfIa4WfsMmn3g/CiDFoxhM3idZnfev2lBhQRs8sxATME6qPMgwancYgLZnemkWG k8+IRxiF5wCwS555iUwdBtmECf1ua/hgkdzvSTZWjQbgjun3Yaqybfg5ziWTxnCkgP1rpbNe9SZP B4IxvUHmGsCWpHM/U/p7o0DlAlV5XI7HEGq+PVfQjwJ6RLEFThVfMxiApZPmFKn/Vf7bDTkQjvyA OIdKacWnsUi50Klblb0Rof8rzq8YrGBrDl5PtRGvPFOPJ+IxafLtMIduMEd21dOPf0k2sPi0r+xw ITM7uTc1VwnmUL/mgaLVcleyYdZBk2t0qPsgBzdScrVnVoT4vus7CF/1rTki4HeVN2IhBFLEoxao 0XIqdD4wkeHTfhXLkH3/7gAoo6KGI9QSfdVKkM26gC6bdJ5wtsrG8Ihnjd2hbhxFaSLRLc2Rrhog jai58ZiPMNHVcutqdlVX2yqNtJIfP5JsxeNinMe659NDTLH9XycI3gMZJqi63TRO1+xCRg8MdD25 +5OoWcmTvkKox0V1q1nGovMc3uhmbFMs2FqioOQzGCfa8TYj50vMG+XQqSrmhH0KbBrueJM9+M2I OcqxgPG6FtDLKH2WTqjZC0jjA5rocQcJB89wbbLKRJG1apiH70emqaKusyvyvq+Okl42rGpXzH3p AsbOm+SViv1f3Z2noaHG7jZ5L0sHhKSdz211lEJrXeBYuXLVcFE6KpOcGsbmvQ9nMrbt5g1udjng +ecs5+d3CQPEpnh0qGs642rMp9bZA+uLXhxQDHntTTearydwDLCfaJY85cmTLh4f2y9pHyB6Ygxd /nq91yNy20TcJAHM/FmvhZUoAc3YiaheLUH5UqBvFyBzPeYeUBdwAw7Bn9EhOilQ8K6nGmre9Zum EIR8eAiP68mbcbM5zLpFu6P0OHc84hUxoGvmpeo/2jEi2WUyV+ETvACx152rBcItbhnFXcF3MiRU 3BaeBszw47SQb0OGFywMdKo+ECP+Fv6ZSipUC+kOkiy4pN4uNEippb/CQn87j3nsb2bRT+NHUSNl Zpozgi+xPwJN/T+IQCxUVLEssu7whXnurY52PNocmTo8PI0ykZ3ZGGUB9aOPGnDQwDs0jmQniJj3 lxcIueWY/gYqueZg0t8rwXw1cvStj+ACtdDOsRiSA3oxeSoCtp/yEmTA3PYOBdp5k9X12nVdALOe xfHw7V1FhQPP5IjqUhI50k0i4aj/3u/GOxwnqWiCDGhilj0SF4qfG9LDhCei5QecSx+QZ3AqWRkS twvmmn1h5XdTtmlgw6hG02RLN4HhK/uujxtTehOYKT7nqaqTVeKmdvrVE2JbPCb+3U+dFg4n1qow OKcjguEcpBoRcaRlvfae4gCaKRHTeyRqF5Es+NmVx8Hje+x9dJeGxSb8DI5HcXSepf6Xv9OZsmyZ DB910eKTfvl05q6lcqtRMSA7ZyKdljFJtaTs0tf0GzoeF9YwkrFrI36zydtc0q6yS8LzEMwiu6Fb nS2drtJIWRI87zApngujnsXN8aMPqTYz/WWxw9DRfxPGFzoOaBL1romXuBMOccRH+opftsWcap4X d832tbRpgVC4NGkWx2/nciBOC1BcPYeID/b7mC5mGE9LzzDWDxx/z/RFtLXlp+TAbR/WYyNkFeoS VO7+wMZSHBqY/LrsmrN6gY0r/asARpQaQYjdtuztjfZ+V4ZI6o0q1rXRV08HR1yi82Mh5VQoar0s cj9xl/usIHlI6rAxrIi6PgApc9SlVzKySiGj4XdztW6FA2T2SQlht5yssvZVBArzCeRNFxGQujLZ afwKwk3K97qSkkYoL4UVlmDBIYxAiaKOw158afqGlyveoJzz7QFFYDh1OZAV5reCahAi0lMlvZGs ez0/uY94t0fnNHyiqopaGSFSkqV7yG+o2cqT81b+bCqrCyfv7kZP+Oeym5yeoBdtlOwznHJDPvQM Ao9stcRJFu07lS+NsvLsZXNlaU0OQ1NW2vYs0J8Xaf69i/Yh0GWa9H1EvxkD/0+rXU2vfbw9LJom ABOsDOXx5MhJJ1wduS3vIJx1t7mCvE/shK97PDggb+xtwH1ZOgoXUeG/yBVKkydf9hdMf9oYbHJD 5wcHqRieQp/Ap738Gqn7VlKjwQu1qREHXe2J50QScwrY5DWvzAihcfoQVbVxk5e4TKdBLcnN2iTU 9R+DiMOztiXsQtctbR12Df2NR2PlLozaJvQcf+/XWOtezUmpNMy2ctW9vc5tY6HQZbCVSvy0fUsE 6Uiq0XK3ex9OQMmpd909CUc6lBXko7cjhv9oR99EDOrQSRrSzR6ug9MgU2DgiiQfm327ct1gspsA h9S2eiPFA3oaZ5PZeX6iPw//9v+5TfH1daEMRKSKRuNo1qaKRSOFJUh/xubf4eVgpJ1x5BQ19eno bIADh9koukHNde9i60ioB86Q8+lJYWZg0A3hlqEAZVBjIybUtADzFvJkhbpiAtS3xmRmcJDNhAej LH3qMV0wgYi2KFva/vcHdbFk3wljHAYNEbTHFSsftplKYvDDo8UlWuQMAT2Ym+r9qWP6htuN31or ISkpV5JFa/zcuWjAZCMXZz15LLnKQsxouB8l9G4i+8hzbgjFa191IugtGcYD9kOjXZWGBhpQbJ3N HUtjTUhK1LmF3wuyDGSmzoDQj516nZp85N227sHluLU1AB1uBPEra3D7WUlJkpzC4dfJEeuMvTTX YiWfHNQLLIbC0W27XsJfQsd20P/xEEbj+rsQBfUbKtc+oYEMzX56VoqLz04QIy9k4ZXt+rXR3iCY rR+3D9TEq4epvQvsZ8tjkdDxh2eZtlKtUElq9FkqjxlkDEPXk16sveUo6krDnnh9wQLHTJePYhGC lU6yBYWsKa41evg1YORTYju8ToHe/kNBB0gGC+v357ZaYXhD4lCILteJ7mZeEWLX7o84uuPA8pYr v5SVhBjqSVoIwM0t2LoeIazQ5+XKuV0CK3HIQGxAzsx0gRVPC0c7iuTzQzBDlpCmiA4twT18vwat HJOXO5L/CF6vOEIy60uyDO/q0QOO8aGDX6P9wf1H2AhSvd2VGMHi+Ry7ocQ/qA9bLqa33JVAkSR+ 8n8ef9UO9zzDZl0l2q5Q95XnYo83AaZ67Ckl9RLKbqW0PQQvQOfp9kqEcCzE1Wm1zoh5kBwDNQRh ++1HQzvfXER+6zYNEiDwqNinP3nUL83t+h3kCmfnnYwUD/JQ6s7mZ2keGJF8s5Iv0GOz4k4aZJoX tDg/FF6OQmKjGlaiRYHzxrZ38pHHYSdM3KmsxwsFrXTvjjAukaNstcAG4MW5qAwl5jDnZZZ5LpOp wHiCqP7eqiH839plDZ99b5pOTiSTgPeTiqZGR63CijjWVhhL3thDw0Gqq/N+49JYx3c1znXGVKN4 9sgq6kSGMoW34YrqsSU94DwKCQobx968tGYkxd7rReW8dBEXu7wdRg0zIW5/1cb7v8B3GfZPujmb vHl/A84mvBA3sSxUPe1EKYwC5Q07ZExqeai3bmaX/B2YCuRt1hF/9F9xu3jOqgEMqGCSWjMiVcBe ZopgqvvXvY3f+3jCI03rxmKjpoR8BfSfqISKXR1BCru1FtyrYgPMQavjtUuSREHPVJr3JKGtDHKC ZHVokyg7BAxYGWRpJcNVyP/ncCJwnEu6BLbgmkJapijV/YxMfIOfgRifQGVbmw0NgkQNIU3GxGyC Und4VaCAt1UqbS9Yz6bRFqyMpl/xAn3mMJ9G9lXNAPaleyJ6EVkm6CpQ9QLPkSu8fgrFpQvQ4IfD tsT4XxB+Uadafedbwy5RWLz8midvALtZWfMzZs0T11TS8033hYjxvZY7m2cNLP6fo7h22j9oTvF9 akVyk+/GSKzzINAYt2dOG+/eFS1SHsOPN8n06ln+auEBWz+GLbjD14bmQTO6gvyvn1vdSHoaD2lH 0Kl2BHd+o6dpHdRo9hTAbqrJ5ljGbQnp3YfvUwklKiDX1LTwF6ukgyADwgDhLm3zdfceCuCFGwjm OdDXbE4Ef7eFORFrIksO9bseX8HMbUkabeYLfbqo12JKjxMjab3J+OzWXmqm0ziyhfCSqGd/cfuU PPHShv6BqYazjOIC2LJVddFIqOutUS06X2F5zYF3+fII4qrFiEsAeBOKyDoXD7BGrxyreoWh4AN3 ngDgyD0ZAWnEPsdFFXpL7+DDNLb5Tl2lQb1aA0Y0hGM3IMnGZG3k1Xtnh9eeWSm/pO2NZmGQAFNT MI25z78VFFjpwdPfP3QYj2+IVshQQP+61DAQ8jyzEtboXbdj7HdhHtMeAE2pAaSFrMFE2xVfKuKE mAZ7ShieJzMCcth2avXKeZC/LubKk1SLjZOuVkwwGdzht5a8Fztfjk+AuRtvhy24sC4GJa91dHgy Gefc2digM9zi4GkSopz1MyGXNxGB84nI78SlLhaVKz1W32xAKnwMPfThCv82K3H4VuEyFtPNqBGx 01ka4dWl9dDywsrwWwPQlfmxtrCa4i6kWFDsXL1L6VF4bmTmTSSdTGpU31F9fAZsboxdYk1cLbW9 FT6lvfIXUgH0znOtZUFehsDo7jlVf5Q9bZVRxF1GYmJmXmXpfv1MvueNerofS16t5j6VE1K0+zdl 1ynyRYGY1iACsHp0yVnctg7ZbIwf/3E+eZLHwr2GQA2udF4Q4HbjQswUMVS/kuAGw7JcdNarSnkU v06Hma2MBjs3vAmtZcDKzcCS68F4VV5GAoSu7IfLQKvpJAl21F7kW7h4wZhC8NLp1O1WEOSbq0US l6Nd/wkO3KlnnQkKH7EFZt9WCcIAr6TRC4XFC7BGXCkNgcE5vqNFSKt9KYWA6hfS4O9jg94kFsyj svtgCBJZy18TWEmuTfc6TQIDlJ31wD0Dokew7neuTxP2ibWXxLQlpxDOzu+wIpN56KO5SwgEnQbr 6BHt3ukt8Aoicnh4zqKg0M9FQBprKw3yk4TRgjpncbjvWUr9eExn/0jIcKDcRnye2dKq/IIkB4u0 fcrY89NJjeYtSj0ycZRn71/S64dAqQ8xNSbS3hvJEOen+EjSRn4aEkkOOoIFRu91sn6r1CQkE1Q6 VutivTqHUPcDhjAx5796DG0BzQWPUA2ui/3cJoYjvSCeQ363e0UK9sUchI78OjdxBzcIZ0MTmZvJ B5Pf1APNh7Nf7+3mbH2JYrR2FJ4aOD1zIczTbqF9daz6D3MGcmmpSxgOiQCqLssb3grqbFZHf+3M 2bl5j4rBhrcugdIWh+nPzzatPQjosWVvXM2UHWgey4OUsiiIXR4Xe8RSYCqB7FKL1XLVZDh5EOkl xxkVpSswrx+JXGvJsyJVf1gg05O4NjdWJhxrIT3j6Hvn6fx8wtyJJd+jF+Y2itSVnBs4Alsk88Hi C8uD/4ap1xzQj70GhqVHsXWOt5BbAWXVgEn8mH0aJY2x/lS5UW2aNdATgYAmB5Op/4pAVn+y6K/W 8lhsQvVkUzNaOoM4eUeJBIpCDuZp6WAxvEmdGbsXBJ+cN/IxhGJFz3RCjGisMn1ikkNZrBncrEtH tO+Zbh63UH8DeobOW4uYN+wWERruVlYm7jdd9TsgQN3GGMYgo+vQrgviZL6+ZpkfumkMobLZ5EeH C2my8sgkwYsd0PBqJffBWyAZVmeXMs6xOSTfTvJDwT+SMSnaprQCaC9vbe07Uc4NhjkKf4tqcAfq dIYuCw2V8Q339PgnutUowf7cIkuYZYvK8CvmbU3aeFVDt38noJTOjJe0k6V67jV1lVD/+vRovLVz 7fJE+qkK/J6ZxDP0l/RJmYnUprliLFv6PqD5AbhOeKMmGFT0wC8mTYGp0quz5MVJtSid6NGAeOHa 2cFtOQTlAfiUICL+wCJIk6OKDIoCwF+p4K6Mg0zvfIWkDpO/WXgwY26yo70MUzs1kgIP3U9IWSDS L+EEYDUZ/QxC3DgVBbtFKDZUvnJ4i54ZVxd21yJi9i/9pMAC27hfFhk2SHgkMUaCfgk90mdlFGuT SHIQG2UMYa+n3N7Id00hKisJgpjtak1WHKIoNTlFL+25C1uixCbhOGsc4IUgshI+uI2VhXfQo55Z PDhjlSsuQF+910SD4EffWOGVAdCwe0MmWCX7aYtfU2yf+8YUfAxyRKfgQHf9UwSTy701KX7M6b9v mI3AF6uM5zVj4YZyMFREyC8xuyIhVjBztZ0VYAh/wlom3yTTZVwGYN2N6+L7Mi9MDsMTRUoNIoYG 490Bn7jLPwYVU5BPkkwlrTnPKAcl6RuENE+YuP0w/+kxWvDE9kq6cH5kaZqdgKpVSfXWV9FnZLLQ iUD0eXO+b8GcHv8I7dl4YNqXDQTDV/ZHj/d7G4oPHGreRpbIhMYpc0sCpdvc7yFhZXIRuR18QCN3 zJQy0cD3jZ7EgzSHdLvu+Pkh9f8fzyggkdqp3OlxIJL1yM0dR1F1vBYxaUYWolTWeAlfYk2Vdm3y iE7bPUG0g+EUBvodfGvnX7Qlo8GMakbiH8Gy1NNSHuummPqF26tpxF/ykoXUbVXoOwHSnlQz9LeK JLgACZzg7xr9+gNTQqzyYc4csSwgB9aO1l8PekTYsHlKPxZ9DAwRxYDBObxIbeW2cc2jq/Cs7ICu Q2mHRfkxbxy60YRvEbqC55Lbm2tO4YLVPOLjkvcjhMtvg5Xm35hkQaBMtqhMm0QWQAB/MBV8H/0l ZQUwRSLPSJhwYaYkWnYiTOXVlWVm2R0fjLQ3i64CLEcw/RNYolVcz+ZFxqRiMrIpheER1WDy96IG g28GWztTGQ2SVg0rHOE/AAF+Y2yKqQtXsyN6cbNhvrHvRJrt4ICe346VjAU0ZqelrtdoxCzYXh3P pBK8p3xiYKPv7V2332olsEt1uHIVRDO7vYrBmemraLSMtEjG4slgjBY6G4drAHxE9fSFAaeq0eZa Xozc3VWGorOfphGHG5qr3nMbRzpMsA5dnW9f9CS0vlKyBgmInTAQpM0JgtqhzoUlJNgYdPQD64pG C/e0fyZ4YRXqlC0UuV6EaZJglggX2jfbSVO4mD1+YiQd1no1NhmQ5AL4/3jtjN5qRpLOyjfj8hNW Y1T5GDSZGVNgfYNs7Jg1ttlyjgtAZecn+Rgm+HqbYHMMRVg//un6V8MVAbnMdJS2N84eZ/UJNrzH u97oN44+HRyjtvqXqgsmHNROejWFBJ9TNXOSgjtwG6D8kbVmXUQPAaY/nQVOTbdG1vGn9o/eu98/ /cpMaaCF/Ow2r+YjMU9cv+gJvk9N/XdXRgYj26iEtc6AvkgKiS3ULPgPw2jTmqNNh0Ka4NUzvvSb coCku11FMVqB3u9RBn5tMnB43FRMJa6But7LLuQYBzn33ZaRVTAT42f/nfSeICSLBThb5KxSTfsR HIj8y49QaF7tAao8ujIf+tzO74G93YC7wSUq6qbulhL0B3bVZZJyJuocX0mu9dz5YEJ3Eb+FbqQL gDyzktPM1pP3n4cG9lmjWOPQeDFkHSHQ+CLPr2NPpd4rSXMnsIQk07xnwdkHt59ikGrw3JV2QEQP AaAMC9FpPS1Ga3ReDoKXYbADb2ZVOt3b9FJr/X6XP8Eal/AyEIxEbM7oIvGO52+WmTH1Jk4vgvib nJI6plOqZ4otJ1JutBVn6cpKPyAl3VO8FdW7FiIqLRrPkYRSaTnhE39w/UsB03kcj9n9evYHXEZ2 qrarMLm9y5AGKYhgVfcCxnLz+W2rZzcFtJKvPIX3cgfKRHr4vK/LgKJgpFySQ/NxzHKGfwf34F0W C90joGyejm4Q2yBEYow9bsMFtMAfS9CzRNXjDJupxD8eYsSMlIZYhxiSMjwJTkhtrvr28GxBVCDq MA9PRVMvn2UaIEXNTApm3q6U6KWmp1xRtguXAcG+xnpQ25zI/OnnHvlWyuNsSZBlbfW8e+OPRvDu fd7nbDUS0fplFCiNWr0kQZ1oYqgq9MyChVZB40EidoJ0z84rTEWQNS98GDTFdQfjHapi4fvHxw1W H833FpvsgFgwuEh0wshbN2e66UCb9Rkg5pASqzFcfFRIiiVimYDePaUb5B47DsNwDq1pXVCur0EC HpArj2fuBccDKMB+lsyl0k3tReLf2NSTJzcoETZHxMiscjUbwwnsB7O/YLQvj1Ro8Wzvecd++TDn NfYwdzrzoIq4M98r98ZHjBKCPbUfMij/dm2tKLR7WFZN1sqtsOwhnnbS4GlMSOY4f1ApSnNbvJYr 0Qv/Bga35Pw3VsOx4o1JjrTJxs3iuVUfbPQeRkBgdKjprnFF94jG3vPbQGORhMjAeGxRWu25Xcye gViRpJgJ2wHaBHRT7ADbHKUlwKzneNs9TQB+o8EWh0Sz/wQw4460mam87IQcluKoODYAYEgw0vZU 8qR5DKY3EOfy1aMqB96phZRnrisL3Trel8lqGFZ7vw7jD5K9IPBavvKxPI4gHEvUcdpy0HpGTVe1 IaZ0nSajI/+WiJ2j0+bu3AbsgEM/41XO79pLVumKIMsXpc6fbgPOhGhMkrOto/UwHmXV0QDTQxFX jimcQ3qATmtL3gSiFwyBVGPn4HBJBRpSCyO5Ej6F3W/wHMjGmirbKMrX/SwTQ8QhOrvKDW++wFpd Md2U9wU+dv5i6SxtpGII23K89IieudqwX/NHWrDHFaPNJ2VELTIHhWzkrpuIVynRtwKWD8NirwY3 YxfdFY2dfSDqvlp29R8aIddoEqwxlPGGDRbigE5+1IdyMDQ7ohGRL+x4VzykMLy0PrBK9SJptHYA Vep396vUuSEW7cToiT3OBIuYYMDY+IOQOyG+UwPeILxiHOyqgBpN6bGBHby8rha+/EESyocOxVJS naNGeFSoKLpvPqzc6P+aP+K5r8hHmgKNAPhI5tujWEP6oxz0dH57dY8pE2hg4XBif+ZYFDTklJsK Dv3BrQnRD5FYv09ceD0MNi4DXAAKrPxQd8uX4rXF+6Zh5LFarLYE9MlrwPdIFfqJIIYjiFGWYRVH LGiKcj48OCfWSKVnfmwkoEJ0ERH1aESvjHLUQOq3M2ir0L9vfixtJ7KHJBLCwvBYmYkV2RUsI6gY VPi3ZbUEhvHTxBFTF8Zhw/rQ3Ygtf+OxKgc1RY7W4ydisevgMuJUT2II/981gxGA6TK46GfkS/ZF ivlvtSRPQOeEnPvZq+jMVEyhKkuuLJ1ktg3IAmqMnm9P/e2jpH0B2n3/3W6lLh72/qujf23R+MRv LfbrqkvhJ4K13VGTe2ZwW4QCv2unqW+l3TPDjtXAqMwHm5hFNY2FyLgsi56Y2i9pE+v/xYEZ8G3e 3P1vK9hoyKSmMzPRDj1eOFrp1ZFMV6ek7zFGUAwcTktRzla32wNZwpTYkx4Ii+A9c63TGTBt1aA0 GXHUDqSk1tjRY3l3UgGDbSKn0ktDeqFAFLhgHkI4VZsO9ivI+9k8Lwrh5IXjqUm5534c5vrRaPNb cYL+HucyszrjsS5TBziittw3g/D/ZNzVs4nEwkw94eAkkS8fD7Q5WDWy9L7pzwt7QmWbbc0PTQ2q i7oJ2PtG6mGV2ReKa5uyvHZ9g7dJeh2mLnXPKUHcZOVP/eTIga63jlwKLs56YWEChbPEmwHglAVl aa83Hl+3e2KyKSGuu8vZea+W253naM05Pgmk/m6GfMNdRcb5OG+NgajHUsv1VygM6IXZlcGLMUZ+ RLxhCmKAgTb5CtikwDHRlh3P2e7agI/DdM461vzC7lYjtgOXN59fAD1br0CH0PBgxOq5R6jUcb5p yFDvATJpfQ9DW3QPdC3bxYwp6fzkIKI/2baqt9XCEAAokKYXvdUPWzBd8pITmCPBRJHXGavUoo4C bPiMIyaOxpthqXZG4S/q+sYrpB1qOCFuQCXwMjyNfsdmUoI+SkFBx9SgjOR671tU/SvzNCTYAIRc dnVylD8p5qvzaaE8Hd9mmD/Z0innY/gNlOi+b/PMgIvckLSNdv7qY9FKMxwGbR630GdgeqGuEsvY JY1QHCh3WQaDoBb2grMgoyCxjGXiFPJEhtSbLK+A9kXCEvVib2rd7g8lV2mgDNAStsJa3dmeuc/V XiTzcLJ+WWUjZ+j4zTxMsPXzXt56bAHo2YtuBpOWQj07qX/G8yN+Pq1hat4JvD/9Gppca0ZJTLVL M9wPE8Uz+7HqDI35g21F4VYqXKvspggk4yhY1oqoyr8JOOPLrxp1C9oq9K6jpX5wU6bDengm8DYW uYJ2To8rff3yDc1NDW9PhBLNJmfrId/KIhmMZlFWq5MeoVqwRZK4amI+428Qb0spSTcjubruwoEm eVIkjR2BcM+igKMTWj6pdnlTj8j7Wlpzv5GJJMTFCWfDpRS4uaDcw0+/pnSuTWojMpoQZgwkoxx/ I8wd8N/LsRps4N8XrSoYlZ25sg2rfRdnrZslKj8QJJgt/1HHOFsR7ADIx1shjCljb2udYmiBex6e Xs/DccPgBTJMllqktvaGr4YqCf0ZJ8nfj4NUNmoCNOinh/lVqwoD/NEyYFcEQW4ChmilRfHUe8K0 qEeVyhWkWWTGrgZSrB53MqAUWFgotACbNJrhzzLmMCzpwKoNeEH3Te08ONNOVvRaKicbzHZFzxPB pTw4seF8IhmcVRaicSrl9B8xHZt8HDYFVbH0W5CDe9SOPhrPmxCS+YMoQlQObpuIX1S4/fs7T21N ZDxHIZqXab8kD2YNRF+XPbI0Hu1f33kON/mcJJDxkhOeGQb5fsSNefhmI2MsdMaFGjbVk2ix7rUn W68bTiwHsTg0ZCQZNGSXBFwssAPWRt4CZ6W3APUsb1BKezr8JPQKuC3gRoUDK04yhx3hb9QzLUII gIfuPs6A1z4O4avUMkVA6cLS+OEwweONbrj1oXqoBT+5jaQY0dLyKcxWIR6I0a27jN4jtIPuLUYW zxt9H5tFRgIygfWPhrWrzXbnYt5rCIA4oAWbGbY43d+PHyEqVUXWWe7c+SrAKJOCC+gdwMyy+jhE AU/cD3gGn4ItYc/m/5yJxnwNdD0J8/SDiy3Y2vMb22YtKVCGDU7U91EbMBBuV1+LPbAdMWBRcsvU O224mZbj3+kG902zj1nJJIE/PTyY/6P5S7SoZaY2QgwmM3fwgbgr1tr70D74ObdmbuxBNAGkHiXL 9D4eBt2hnKwGd1AGqjWuS2hY+B9YDof+JKXjkcE8k+cG5zKYcZrl/0MfH5f5EhHq/Ho+od5uJf6U VMkp6vPfz6wtlntNhDxjIpGVl27wWmlN2CwVW2XajvTbeRruZyQ3YL99visK0P0hm+zLj/hzv63F ikRx5fGods1PeBcoDeC+WwFwZZYwjEzNUT4LJvgkz5x32frnxzOLgFMpLbXimbE1Y4t41wVeCXf7 1o6tyZdNr7UKSI46lpRB8oOEoNiWxVM9v1obAAEDX/Zi8dJiUPwpnrQlqF3B9akE9KVo5tz/gHOX 5/Keln9maKlDk215GU3x8qJ2Hzu+IoTh4iSpXoZhPr76w/UgDgYwrVxNn57aQp6u171KvJr1wVBC tiwEBYq+vxiX7zHZSI5mH+K7pL56btHLuR4va+axCOBeB/o2nd03a6lOgvvDG8okLGAYh8ZCfGXv jpH5iWIMF184l57P2dUyoGtOOLybpuhdxpdsSvJGTGHar9NppWjYM59F4FXX1ipocvJlBuBrqMii w0Tn6eOcdX19vSrDYTB9cP8ezfsoFkJjGUaDaPpxWlBhe9QJWiOY6Zh/GBRFKmtn6EYR4368RH1z 4JT87pr1lzCS39VFex4jJ+ICHYIYnFpLVI4Yj6SoXAhWoXftLjxAg5Qub9cOYs06VK+/UGoePqjx TaWU+X07YwedAbiG9JToHgBaYoaskEY64AL1YXupGtZ5qPdzpS7739UbfixGp2MAnAy+MF7b506S dF7a+8VZAkdkhTSPuJmR9r8fpTe5T89iA/dsUB6GHuYXhFQT7qc7pYov2/gVVmlLUA9jTmuaU0DR 3En47b9mTkPxiMm18k0Uq0GxL0/otWfffRqmQiPMqyTPI/WhSV131AuPVpb1r8cjHooSWB3gUfaD YGC9YuvxNSqzJRAAeuF5k6stYEmwrHQ34KkHZ/EDTcaQWkfsKR749U3APbevC+kcozr9jGJHwLdf Am7VdXKCY4tx8iQG8TZ97TFEcdYQ11xKwhPo99QaNmv7wvxbiKtaNSDCl7cirxnPNJc4WycnQM+8 un4hc28kyTZpL0uzmLnmLMEXEO5EdQo4cp/xA1AuJanCzg1bbgsqFeiC9u4JsQeSxZ2MlseVPWb+ 8DlFfEKSKcwVnfD3YeB3f9xC2HfCwiWITNLIpX9TJHv5JRFHYIav6OwHLhI2bZQhq6f1kNHhA5pI RANIvmXdHrR6IqwyoY4eH/q0Q6RRBe6YoGblml1lzQ+CuA7HaSmTqTX7DjoMX37Qoar2VpUFt8De 2MEjJn99WOviMrlS5mJu8YnoxLpxH7e9H0l0+hhcon5QrWtAI9n4TyA2q+/rNzocYz5NaECS0s3g 6wdtWBNmD+XofQw14xXn/TVozJ3DVR8dZShWpbcy9RzePdaF32cUKfMenfppINrmrfVHiqNRckVw DlVXUzMC3zlzZMZr7hV2TJ3Rqb5QGON6ccpQIycfYAw/ANiwmgNZBCZQLv/0cu993Ml/vpiz4Qxh Fgwxb/T4jJTBHVcNDsoE4ikCwMcSVspBE85oznq+kxk2xPRFWeBy1m27cQUz9DphAQNBS3P65ypW S+aCW+KKFcbjJo1LzVbCzShoc14tOBnZgsO9HgDbRjImKSOSZtKTmrdUrdOPkQdmuqCWZUfH9LfR lkIZzv9BjPcM9gWWrH4ytjE5kvNKVfnRoucB/i1DEoPWWRn6lTL0AaDO4ZNrl+fEjwmlRBhUFK2a vamhiis2yz6t/zuONYpCQVbnnbak1EfgZs/bgczmDeRcm8YNKq06zA8ZD0p3QOhjeg7QLlKrYYTz o5WefDVt7FKUuwiE5EImHF/2nYt9Z/rO13LANrA5KNQwWXK/xLpkpH2v78bvx6yjUyHo8Ct0JQZp IqUNJsJALYbj7KrnFa+YXE86ov4MhjhUdVw7NALr8kaMM1fCudG4MwQo5IVC9FhM93C9bOvATMch 7Nzr5m5+8ptqH/Vkc5MCbzWGO6o9bCw7dXJ24jmCXZWRVcEOj6klvOxUu8HuqrHljW84aEICyvkg BCwPUGz8edhCXrihufAi9TaFNfvi7eAoZWqlP3fEGISoAhagHZHxdYUPVfcZ1qBKRTYjdeHMB0eU 9awj3czb2v+R6hPnzw4AmXGeBrtN97N166sUw8G2c9/KKRzBUvtaqaV9pj0ZaYclldv76QS/7Gqh bSMODPQ0IY5ss84CdtE5Ft9Ebnf6RIljEB6L51Puk+ZU7ZeDm3lzD+OJ6S+sg94KkewSNuwX1iz4 sp97v9waZxOhtZmqPMLbzz9IV1jBetUXTc1RN/c1Ym76bRRp5qS+C6vZE2ldRSNoJyoepQORMYYQ 0Ll3+GNkjtR5gq8A71vczw10jHzfj+TWMuDmpccZv7P/qNiUfEULh/EKGXdnq5vTChT9dq6feiyl 0AAKAelmsi2fLOtIF0yrstvAADchwOVIGXfG6mNsaFvSb3BFt6vagxSYOWigxpf3jEGAtjyexK18 N+VJSK+MR9VCbqiJqzfR3h49XAXLaVnHOxtI71/LGcTYn3Vm/i3O2xo110CwxZxkPYNpf8hZfTU0 rOMtsNXtceegNV5apdgZPRQlIANLWsbJv1R7378kqonHbhUNWN8HcAVoAFdVtv345jkBH4F2iPbV 9sp5F18O+bwZTMEuD1FUUsCGWzHDD9IRMsjDPR7uhu2u9jGAzYtrY/FvqHYOLNmh4KEHbZ8WtMNc 8Gdm1PDHiwvJPh6DVaED/y+RzWJTzv1oLCYEpJ7g8gFZ7OsH0ikKjG/nFaIF1jbSZ4m7me1km52C x1ZbStbhvPH2cqKkA5sWngFfhP0gDDN0wEUCsuxyYnYxHUsOdNhB33b2ytiSdSEpQDhC4gQcj6vu fTugOhoLQK4pJ0gDfHOIi417e/OoB4UCP56D7gEItciBxMADgg3KXYrZyhg844QzXKXO73asCmgP 4Aq/QkkEegQeegEfVUlGXpM7w31G4uIPGiAjFKpUKOmbiWsa6QNWZEGRMhcE85ew7/ZmyScqhDmY Kea+4QgCWLBt+skjzcBlqWBhBFH5sj+ex0W8YPPzsJ3xjYoBwi6rsDW297MKCx/uwyvh6PTfc6QN uxkr5IBK7VZc98x5KaB4B7FyNOOyjlY8QsUTnx/Q6LtivRXCfVL1V1GsL5XU4klHcb1sE4yWi8Jh jRm2BBKqH9rTMDYH7TL3LQovwbQx5pi8tlyIJMSgGWNLBWro2ft4GbZizuSFJ29rh4ehTfh6HNbB OUtg3Pa4zJFIH81wGyBqPKa291asJ/0pqRDV7A8hNBhe6qV5yyK5oj9rCPknoTpMv6gf5w/27w6I QHEMz4g5TZi2araOS2fKeuKrS+T+BG6O99YAmBaCry/NyAaD83lNfZZWG6ZuNhcHKoyez7HsxQ0b +R6dsaX0VwFdse9qkFUjl9Nvx8dD5XxS4ziEQCdzcVHMHMKnlOY0gzy8caILrWyEwY6jW9NAHM4z rUuTU5YeSjcn6gyGx0H9bAx8zcfI5pwVCXyOI6OcZwBmzyC4wSg1grmy8oY9Uvb4PN+DMDR8ca8u oIJvZ4mZITHw0AMAmA0u8s1uPwYUkttK3viHbgKC1jWPPjlGp0EV8Y94dCZsJAYcH77pgSXlvGf/ 3D5Q9qEHiQxm67nw85knes67sqgJsumtobQbjag3ZlrubG3ZbuSUMpSgqE5RR35ZOYDlQLatQhdh L1/kwyr+VdL0kyDXlxhXQKDOU93gvvwWeahjN3+R6zyWZH94f9CBajStE6iphESJnPxxztzucBdb jj2DVszUtj5aUx3K7Yks+UWuxTg/vhNM1zEko0W/1+PW9W9TtB2U2H25Zp/UzYmHfUJgFx1UQZOe 8P+Fz+e9aHuK6Ty9/x7StZ0ujKYhMrpIKjhg8K8uUHwnJKOA2HJYmDdKkZhA1y+YKxtARK0nprrH O1CQ5z/wrU9vmd+7W7IEzeCJHcIPULAIfUMlebLogr66ThnyGAM6h75+lRdbkVsV7rEJ5TXMhk/e 26Mp7XQIE9KguOdztZ/OycJFwDicPDcTjAlflsL40t2SJSg6BQGmmzxH4zd7juqhndp5FXbn1lVh omgOpryX5GNXM4+HIchDwHr2CnWQwUlnM5joVf2410JuPZpGVN043saMPGXers4hGvsteeUkKdwN tqaI6NzL2lhQRk5Ff47hHOaL77x56L2bPw0EFfgvtyHJuFE48IViCWNhpdwtTcRXGMsswS2SBHQB 8xVrYy8Z3kSEFXddrmWodzZHiyYO1W1kE/p5CKWHrZrN3ii0bw9HLcoChkXwsA35HZ5agnaDb80R eQWC3+W6YbNJGhV4iJpLCfGhvvjh8yB9zplxiowuxQ5m8E5s4BQQtoGoQzrKEhq6it8Jz/frSx1w 3qFvNfUu4Pc7bRyClwLSB/SSJdfSjCGidkJiyTVM165rzDnEKlbAIhXnG0iNtDMza/8eSsbUx1ST PROG4tPqEaHsuJk0lCha7Rsyg8a/qnLvdZ0W23d/Ds1KWSyqHWEpnPL7Jg9ihJyCsmGWsDkZhmWt R3ShtXaSmx36gYBOcho0k7cZ7m0mjtVv4tWLx5+GqQDuWtdtFIC357g1MPz25p85LEXtJ5vgyy1q WFl4nWuhpLwQEpbPb4pAtLIdhareyDKDyDm6rq1sikHrB5rCqkUAKom8I9o6Uiwa6RZqXF+jCuVN eIjsT0E0WFbXCmpCuWAS5uYF9j8EiIgHTqX7/ms+XEZ63jCAPj2M9Zh5cQqcP3LlHsdUY8Z9WLrS RxogSqQM5iml+eTcWnVo35gFvskbYqzaOXJeC0nu+4a2g3x2QMq2bbNYu6HrB229boVKndPNjpMN Aw40lUTdJvx99+vRiHMibDXYKmSyyRdc5eGNlNzbTsDjQbNhHAc2DyCq+hcfLP3LSM/gaygMlW2A j339sgWQZlwpaz0FQNsYhY7TCUVvlSknZrKfSdm5ksM8jfxK75zUpN5aTX3K2m0Qwa+Th4qyOZz4 DZTZTSp5dLRT2vTbmKcHkpIe3TOBzfWA+OVCuwAO/4nowpDYDjnyNfsnZrJi7beJsioVFRs+uiE5 WounU8QOQ0/fw7wFgC9jekaB0HcHFjNPs2l2BaPQU3Xg8TEca6h96lIxbFLEr+zhsk/zFpEkGW7B 0VJ/gBsf2CjJp5uNi/X0eoQbu29Rg1txTDv7zii3mfjlbi/7d5g2FvqDt/EzU2m1MDuL+yzYVCRQ haOt4lXDNAJKnVYbYEg7lOdWilFSvcMbW6vZDvuzgcn0bMmFu4GnCTstuqvYhYW2Wi0+cOQvuPvu a8XY9hEdApav1PRPHwsUaro/A82HGPu6NUpVwPOR1bpaSn1HYdLDO+qBaeJwBoM2tSVVDFaTxSRs S/VibR5ge+oRtQTsS7KJRH7E/lAikCL5ke2vKkCm5/y0jyjNyOElSSZ1kH5UgjuCAUBpv8s0qxNP 8slmdqUXR/xTo9O0HyE91J+QUsz8VQVLRrBz7hl5fopEyWJol505GUZHP9HjE63LY8UpSojHqFV5 nkBX5JiCZ3MA/na1j3lpunGZ4EDENv1WqKvs9V0T5lkOKCu4JlsanvF9J1zrdqECfGq/WyKOGFIf 2FnJBmUZOlzGckV08GqyGwwW3EXiNWR99+lVWmacedtSoSgPM5IWyLWJ6/QNm8xlbd9luveWffPJ MiOLEW/bStfce1yHbHRdHeoOSVl+xwFRoAWT5UZBhed0MnrWvvySSMqvenzCTmaNXQpjfKfe08JR arr/azj/0S9ZcVdaJsRdn5X5T0nYCK2mOlLIv5M0OFZbhViXX0UKElLnscMoR5EaqWpRo7f9bq63 U9YoesiBhMRWUcL710UbCxrEcopDAf/xWeFq2+uG5B+OfojMat25dd/Pex+E9MCylNo+OxHoq9EP DMqzX038BswRsEbqVYHaPu3gMg4KlU6igFN+JR4RRP7ed6NeNcuTOOtx+5AzMTnrh5Ya7f+HbZgH eeagkxjLkv6hUoA/Ys5TmxJberPOGC6kBg5+mEKTF2E/GqG4K82oGz/50OAEc81XWopeLhYAHBCm fosbZ6hJ63phDuxji1twUAdgTVeBd0ZLkFG5abl4Mi0zsgFc24hT0fsxiX5h/9VFAm9BWcpcsMG/ yQMdexWC1d94qXqwcTaNaXAQLI03+neZXf80jgOvpYFMjRe0EueMo7cNwxv9qHb0Bpiiotq7RgVO pi4GVKiadC3QaMdXjo+iZakEy1VFNYLk/pNcuEV3cHPg4DivZXip59uTQISrRwj400O2cguotKX1 IP4X//JfMXuDcRQlj8nvAgIeLy5Mqsygb+hAJNbylbmEmGfQ6HTCAMHMy1b4Oh8fDFNm/osv/Y1L VQPMrUr3LE+ZTtMxuJl/14mHv26rKOIi9uY5lgk0xU4+bf/W49TolfRKz3OJwFMrwm33zbNxLJjw P0tyLlZ1txuDK/w8sQqivaq1FsBW4ljjZdqxwSYQn0yhABavba8Z50EJyZlxH4b0JY2EiGEjOkOk 3Xa0AVxFCrXxmoH1TX04dt2N+EepyCgC4XfASsARYnJSzrfTANQSgNgAlW/TpIH42D9VZ63aADSd asX9uWpKMRBdu6opHI61juNdUADRnEXE8Q2feoHoOSymWzqofkePD9ERTFN7uVMJhOzj09oM0+Gd ZcHma7twVpKgpfQ/2eIW7W2ikCymkzlyR6Msqe0MAQlfgPGJally0Pz2FlKk7McIJiOIpvmYZt44 XVnoQWbijqk+0Ux6fKsL6AZyBYzpWlmxlsbyX/APsKJ4gcPKMvxkvP2Nmp+++Cd5FHEtkgULoW4K X3kKLsss0iRnPGf4WufLtfoUuKWP3M5ZO8Y6sS4DaWb+y4yNnZbopUTRikq4ypJM8GFfJLbvdQpw iuqV4DfHwAjQ2iEzTjd2twlAagjjWlfd0XjPBN/PqBrOF96VYR1Yqg5Yjmg1ODaEpBlhajpdj62d hSnBQJTlO3vyt7HzMsjf316JSulvi5pjHxIWFwF8X3Ova1KM+pkldx7G7EFqWx/5I5TeU/blmuo4 f4aujCPcdBuIZfCKuUu9coqW0KKJuG5wf2e8q1H7NueU1Ux5WiCqmQnXMiWjiY/ofqJbxpK2NuW3 fTt+/5jx6zlYQ0kjurJSxUdeM8d1QPNp8KnSsZEtPypFHBdLOcLzAed8udc0hJ0k8XhPYiosXnPO WiCRwlXnIyiD41/KmDn5ly1o+79UeJ8AA62AWVN0wxnX8iXtRKBZGV9rsCPATvYHq+Phmvd6ygim nq43X4eAIL3EpFcWzZx1lt7Ch1cs5Qn4BibGvjtSEh14ZHVYKydQ8V0mRmp2lIPakgiM342xLSv0 gGZOqcD9H0QxmwWwVK7y4F45z/xtruMj4WCOI0Zq2UZnMtT+a9vm/MZky2N1D85Eyb1AB8MuoW1g P3EvBBRVYGtxoFZAs7/GjPOv8MlUE/gsuN+MmSbwUphnb6XNZwfcHtruRuissPKBg4aPMrFqq5jz LE5dq3Nq1QHJuhZTI6GUtrRtytbGJuan+97pM+iz9FI+2yQLbfa0fSfGu+mNt3kMqChZzLp2zBZt 98iI92yCBG2xXeBZVovkPgAhrn5QCLeenEWTA7BYK8xt4HjqenY/BGqeLYmn2evGLRJ3foJ35vFc +1MhPq3zrlIj5g80cqAtiSBLyCB/ZzZUwKICGXwe0xB0gCRaWDyusGpQfxflP55jppis6niyHHLj OwJGsvTwwTTpxKsihGCOQmtNDp1muY/NOAItHYYtjE6xXoCCv35J43iMe6v2lxAIIsipTWGzxjHZ k9tVDuhjooGOvQc+bm30dVBmrFSUFwDP8jtiOlEGQM6nbhcmOQiQHX8UikNF5rqwVRaA21NQANLg e3GT/WMRNGvgev4DkBhSAmG3csT/SWM4BIzrHsDYtdltOY1H5vNcHTkS99YGCp+VCRpWIjlsJ880 HHjyboj7Wxkc0MSexzeXm608Huz3KTdvzlQjjyIDI7Pn0lSmszXZRBF+0sdL8C3OzIGy2pksE3/u L5Edx4N5xgIy4uyFYHLD1Q7Ozb2nC4Tr21zGtXxFUqfnkqDWBGmNQIRR+UyR2ZtKZnQ4K+dDvJb7 PBMXxoIvduOsBuUp7RQ3bkOMiY9FfMadOqLW5pD+xCEF3RXjKwlCczKIhU/JpZzHOQYp6vM0ZPn9 vmegP/UZOG4bR1zOYNI2xq73CHbRSVaeqMN1PCZ2TIbizEVRbAGN9WrbG+gFZMfACc2GB3SevoE8 G/0qvlOGY7aZMqqjOm/NguQt287L5udJwuJ0q2zJeeLeMWTuvQyhQ4g+tkbnHh/T8hhn0UoupCR6 a+Zibo0sG7yBmLxKBwVSVZdBomZ9zPNPLk2oteWMdd/oSDCg8qEpuidHOfi43wqjeMniQIq5A8ZB VFFkvFgD0xIsKbPgfO/ybQ9uYP/qX4gK1wsHA64WP/xZ9qhdGktmFNzQjRw7tPrtUrBoiGCQyU2D NHaueJWz7A9pSqa1FBLaNBC49xZ6TDBdXlg9fUKPqD+Ql0BXP0mLrKT52qk0e3+x6Q7EoKzMEG6/ ssZUeG9aPz0716Oi7eHFarNApuprJVR7pGQM76DloQNRuO+JuFjgSThAndARvx9k80eBNL5a408R s+Gd0/UIRN2uxQHSqzT/qSR2CMbnVQaJWgVDKXNiQ/FYAbGo0OHxlMUSHosWOmVu7XFfXGyDu5o6 N6V1pwOx4jkZ70L6SXZnWAH8fc0s1SIRIQALtfJGoQ2v8T8HS8YnIe3iJyeVFLB9lMJJ0A2B8iKi Z7yZzyl7FWyihXvW3CLlCj34BskV3PIUkVZidfS/WTy6RNV7kipRzuWbfZrSsjpjUPZ/GuWLIb8B tBab4UmpfNv+JbokDA/7kNzaNcYryWkdqUubcZDCaN/6/T20Il1/SfxVuruyAOhx4z8/LgcIGMzN cvC2Ie20NHOKKCyWJl3LFxgffMiqPjTY2JdaF1oCJBuqvsas7C8E6rWaOgvbC/WWX0cOjn9jZbbs mjhxoCDxgfTjj4gA0umY4bIvcIRGrCOnZBC3c/oOjrmsTHgcWBgeETSoJ5CShTT0SLRvLHve+dE4 /Tgq6Tp+egtL+UA/O7xypPIkqyXm5rD0sEtY3SZ7Z9tWFZka+MK6bacOxGnd6k2nPO/OnjBYyy4Y yRUx5IEciMwdnkgnUBPgp6MtK4Neza97fUqcWzveoOoOzCaNFEG2LIrlg0aciRfTB9tbziAnHRrm nQO1Xpz6zh0wprnxq5k6angwbQeb0vWesRI4Q083ZqowN2V800lFIfB55/ZQjc/FqLP30WN48doX mcBMeuRXWBhl5rf8K05V2PSDT40639bK974zpGEHFnC0PHpPkdwnX4jPeb2VyXrjvbWCYILnkLgY XlNp0ac138cm3Iil3SAm40qhcn5VGSCisi3DbWpMX4I6Si9MlQSOe2iBgYWGbJCjuKWfygXBWEPk 2B7ET1X7lh5MoP3j0JzYUdXXbUpSxhXkT05sj64+l8wg9bg/xOZsuDr1vYRniBCQCaF62Lke9xQa 7OHkcD0utXsjkb0qStz9033wxHT6ZgNh9r8KJo6I2Kd/ya9U+MGGCKhBQbO7P7CVIMs6+63yfuRY HPGCbSONRTMUQzAdFypogaWz7UUNkTV8/lwKPgSgx2Wux4pLn9GArrSQwojCqkNpUEPA9IInAGzl FOeiIl5yX0CT3FfoOfxK5wGSuXawpDFmndctjNcichiIbY2fd5Rl8aF+eSMoeZ4sJf+AoPigqdJP lQIZ0YXTApBWtXDzC+T05FJVSHq7qBUcgV8PgTZ072PQQaYLw7wduIQQ3nIVZD5irQVGme7atVQg CW3r/1AKibZTEPpLRE0+3DwNoijc1Z5383kYCAmQiI83usCS3ukS8JcmmUYIBI9hZori7Webh8e5 ywnX8hsUWOX6XbJU9/bpUdpiz+wL7tosIV101HtHNd87ouhc9Hq/Ck9IBvpSno5lqdLT9BahsVkY 6irHRDJMxEEzcUJx8Zc+YP+KgniHxwx7A9QV9KzTJjDGUzSw8D6cde0FrHgCY0EauDeuxKFg3o/n hm5mBZukNVEjRHXw/YKrW8JJi+Y6N+OfACmwaJhA4XH7fj3kqU9CGn1gvqnGken9f4w336HxJoor wIeMf7xw1yx6wPmN0MAp1AlQPPSxEPvw834vogh2dLhsMmfmDT+zgkFuW9tarCvztPRbu44lr/xa VcGQjg6EIFz3YNtVde0RJ+dJoJEqLqteauna6ln7C6NlnHqxS58s70+qqk9xmeH2N6oruoEcQ7PW 8O/ZKPFWw1WhUL1LOgsihOXdZyZqoSZQ36BWHprMeq5ZdWXasBNpBw0h3orrMxPD9qoCFNIxNz3f YE+7Re8ll1xpSS3HCFhMvof6P9UoNXWdyn8rpqwPcnDzXocuHFalmWJGXdlre5XMWwX2rbsEDhOk COttM6T9trFHmtCDTcC14oct7UbyyydNKXOnM/N3dugvAhWME0nRw0ZAHvjtYJaCSUM6a5Dj9tj+ au21NWmeMBldWCW+1mXb7UJmgxSWwpq9hxy/UMBXggqkm+9P6WM+LHQzLlsuDnGW38gvXmYWVvfQ P3smkyMckLUuORMx/cLDapQnaYIuP/SSbTLxijD8NX+8IAC7yohsYBuo5INcP7VcJFnmUuhMQWBB s67Br1vxJaB6yjeE3Ww1tzRUukihmyrm4qQBc7DfhRO7KnbUjeGBFGJOu4RzdStlqnxQJPplZZo7 GUrK+nogbwv8ufuPgsMnR7jUI18P3TK6VMzyjqLy2dtuVXeiYVhQ+EdXzX3SvDgaIZIRdPwDgi1y 2oiDEEx2EgwL6pFpGuNCAfQk7ZjCsqX1+Hv9TrMMfMd2d/WurWTEQuhYihcz1rsjDWL5pKjVTYPg ailut4ovVw95xS9WcG6rcoJgb3PLkwsQkv59HjL0P3Yl4M748dFYvXPqdhcBmjMi7ZGNrW8vHYHS 2eDl0BjEkMnvMOuI3ykfGNuA44GtTTYclUQKnslRJU3JVxrYoleFicKLzahbhpqgihTzczJP+5On 7Xg5vFAPX7cIq+Tcj3UtpdMh1RQfqiKenRrZbdawT1wt0R76DeKTkSio0VjpQPY+YYslWqxuA1gd PoiglICZvxICtz8CciuVPBgyd2HzT7E07TrFR/OHzVAd3eeN+dLZW9Zn+KJZgIvtyUNyTCzoXgpM baeHqn/BZUM+yyXFelmugCMa6C5mnuucgNptLhcb2VMwMIAhvmZ5NjTOSx8WYhmdhCFwlGJCK189 Gy0NT0a9jTHRmz+3l/MhnDblSLDgS9DimZDgZ4UZkKZMVq4MMANYlfzxg6Wnd6LdGsmANJF3piTe VnlFqVlhGBxT1/t2bsDHoFy0oF7a9X2c7HY52izTBcCHfVS7KAn7OHzGBCwi0IGe1EMOQ5yVkFxX +pEOUDGAPZyBVTv8z9gctC10dx2KjJ+wIzyCGVJ0a4S/m/uaZJjHyzM9aiHwbpoiIZN01+VIaXx6 c8cOu4QQ+74MF8L/B3CCjzI31SKwnV2yIpfk5OrybCDToX+ZZ1zcqj0Q4/tLyTnbhOnkTxlx4Ewm bLaiQTMyx+zGftRgv4F+HbE6v9spH6eUDQoLLk+BsBMcyHrNS6PksOCRhJgf6sLQRsm9STtw9xNG oorFnsLD/o9DiR6XHqi17m7TuccnC31d/CJjIkf3PKyBD05UdN8wXoaPBenbCuO4UfQB2rlU58ea gpToxI6h5MtfdbQ7wX8PdDC7+iHf0ZS8Vn2aXz0DKGkIc3jy8AeHXUvC0UwUyFhf+UN/n87X3HCB A7ozgBTEaDYepy+9rnRU+61MTH+mBZIHW3Dohcz7EZ6lKFqzfHAK4Ezp+aPdIwqgYX9PZE+ldwc+ xNqMKefoBsZ6sJhNnmGEA25yq2CoI+F2wlFxDQV1c5fozejIdKnBuIH8V73OyT7DMj01YMlVqkvS 7Hrb8f9odHvs3KD1Hhwd3QCy53LuLtNK+EhIW8ywDQNBTMDHIywXAK8qp1bXHLBut5ulVZjKI3AP 1MDEhaH9Q1uhXo441nOs1r410DnmVBAwQtCcER5IFDe+9TJRrUJ01HmhfTBqEW/UHyiep1Jh8uh5 E7ak+SM/AidKcnTh4ZS+0LqWrcqhr5c/Fo1polhfGCjmp4chkaURp7tz1usrroSk8mnuhk8uCaOo EkvhxN5wzk/6bzdjGzAY1xEpVoZJrqsIKApQJhRi1d2lEGim+Hy0olEBYX0yYVmireqSmatTPcVK SS7/ozXFaQQov0ZX1r6UMtgl3VJ9c9ZfPcrFCy5lRmfnchU8ES5FvNpzIOaJE4PmUfDWx63UjzLf yvGjvjWokyF3MsrB9QwYGNMtIh94tw30gjpKdJctw/M12wa0jOd3rT7naXfXoVWFkQPQsAzB36Di qbXTRTTyVqYRVOuBL9ZtnkGa9x4cG9rCNlmT3U3XsPjfEB1dCd13uLMUuIWxJQGoRn20988Mi1gv bnadtW2+WuqxfbHEBvi4U1KUIDz3WNFJ7kWTkimmfhGFiI7UDfNFHoMqA+JszB/bGjDYXjDLkaFV OR518n4dQs7yN3bm6tQlDDBzGRfPtO/zzL43jGQk9G6Cvg/r7IGfvvXOBdmVdceRCZpDbglanHOD A5K6Acz7U6efN4ixtD23aaJJyKj03nw2ozSTYYV3yQUb7EIEs0MpRKwYbvUXxKRujg5xd/pU7EN6 cc1rZKBCAvoK4/tk0vAWOfitLl+FNb9hbcZ1WaIXaVeLIoevNio1ndYodK89wdfxLM/DoNkc4HFe YBa6vPPHGg10vqUI+mIX1e4q3cX9qLjiw8nrlTgm4GaOyufbLFSDAJV3B7f7xCItdicApLnT7zBI TPNrOA9qfSQUDgWJRTd5OAN8G27R79hdz2Rx4OnYAd7ezn/xKJhz362Fj8ZkaZNpmE2+9YlsMQT0 3Z+BMvgZ5z+W5ESwDTqSklI6XbCasXWPXGLHbWxLr98vIQlcVD9r2Ss+4LKmXryIdRjVp9WLHdyh lYNEhl+/I3NfUxCxeoOECWryQR+99R+NyxrpNo9W4haZSS2BMnvuFENc0DvQMIDhuY+YagaJKmKm yIwyjO9PQ49SbPNw6iVw5wB+mVOusrNOk8uwmkKdhz6P9R1EW7kapwz4UzWL1b0eW3Ld4P6ztXWV 1KapOTmuJVfGV3X4nyiFupE6R4m+1WhB7H3iRlmlEsQzL1eCuYOuLZXl8G4dViuNROf6F0MPlk4Y i+G+2ntIKOKqIaBa1z7EboDCLRon4tPJ0i6/VemOxmwZU23Qv436mpZjdTb5lwxfIFo3sq+V3jJG Iyfz9S/TUnayfveTvpBDHlS22KOdRUG8+kVhWr8I0rT3MMZUVaMLaPi4RxDb8IenGlhOD4wOpRmR XYoLqH36XagKlF+9FYiL2lK8c7pc8/oc2TwtDymlK+hZ15dow68Erh5hsEr1T2LGpz16T5GjUT7W SgAqiHmUJLp6m3luhekV0Nf+AamgFaHxywY2IaVGud1Y0pF+1eHZydK5TvJurrg+u8uaMkLHwziK UTIUALUqw79NWoZ97rgw/ox9anZok+HLzg8PKVK6vecgAec0tkzwK0UYpppDn1zg10bvwPHMbVPt 7C6BrG+ERTeThaCYSjQb6p5JPOSTTwNmmfrF04sYEn8iK6V9v6bIx5sRGZ9tW6LD24P6yO8t20Jy u76mIHI7rZFbd3yvywQTj+U72jCBdg3OHlxhcCZkllJ27I/lCi2nlH/aPYb1jw7R71jHjS1tfevO 1isooPzvv2ZvJfNYdekyhRQjmbbjijgKJMq7BeVu5UouYhv087iNbmrIZ22ZmRaORTdqysG6M/HN JPUp4DsGYLwTexKiddeivl1kgK6Vq1Wa9lGwSi9E0TFpiLfapYSuBPDngUjninN6JutmRsiIMASQ KZCANc5mvxskRkavIu4YXmByi5vmrDTaSl1XDsUXEuB7xfF6XdOPoxX4q1IbcvoOueV+QgC0w9+/ p0OQUtjVa98/XwJxB5Cs855wQwyTJrcJrdApV75gbhgNxFp3smVBMlFN2+IeXO0e4GsGBM8yn2PX nnbLPZ6JvEIIm6VSaJa1E3UOiBXMkUtVdbHfED9WjxFKQrpx97xCSGXZeEp3M+CkIjC1KlcAEDFZ mtlPJ3msOwXuZQCF8xFnR+dLXwdL/820sXLhqcHJJz1MEPdhNiAyJJdRdWgpUpjOlDFZFUHkt0E8 67POpdrKFuQJP8h3OfeJruMwghwJY9s5cDHgR3pH/dhKfpYiUluL/3XUe3qVtYuQLflGAWdJ1GFc iZE8jevVSGIBoSH9RLwx+wDjrdV0ykz51r6r8DzaHQsvFEpBUkDwFA05QJZ2v3Y7XH4ktzxG40sn tTUiZVx+iHaM+qMcSCwKc8bAQsyonMnojQC2oXxD1NoGom6GX+Eoa69lWcjFtqfEca6K/F4SYafD CGC1/Npf68aeKo34rQIBULAEtmEtIJmNg0PcdhF6zZZndajV4pgTNCtI6WjmhBC7pTq1ZngvpiY7 WhDlIdNFmF1RDNv6VZPYPbIlemVlxGVuEEMHZmXydQWVtBB5CMeCQPIKJXzIt8TQslIGCrgL7WuT HgK5jC35TidUCQTdK8PsTFroXj2AHjJDiIN85ecw3hxWwOGH9zRggOW1vcmYg3RflKWWZpjqKtDY E36eZqMeXytQuvSeA98fzAd0LNJGD3Z5pT/HRHB2IAWOAzXZr0LahiqHfLKNXXD9WML457YlHB+z P+YzeBt/lNMAou/uC5pp0NPC0WEaNmDOG9QIFKVk3EdiWmiAFiLjQxWlMZwXdP6OHFo/HBJ4ta1j YWmOVkFxQbbfjFBs3VPjCKuDRelOsIm6oswhFnvZy4yUaDKs7DmR4nGmVbyEf7PEUzbTdHK6zhiY 6vpe8f7Y1vRrxkScyIGOl/DFwcu8O22nRViNt+3R5aMfjNAik0yeOyrYki4+QLslcfg3rqGwl6m/ O/UtH44ua6BaNW6TLD9qh1jIwIpkTKjDrfSmODLKioJqzu9AEqmw9cprG1RY6xTPPF/fOHRsJKRS pZxubF/8nAZG8NUV4EKhYYeobmDLS37ZBe0JeVrw0rYGbwTgH331Pkz32JtqBWlRgHg5rRcz5IhZ qXh+nHfAyaYWgTHgQvKvZkIV/ZUeon62Da7iPjArwcp6CqJ6VBZkn3esFKbCrbmqEwSsxR1VzX2F xTlE5MHMCY7j27reJbjJdJc6AE+nRFNKwVK64g2xUMhMApAiuRYwMZQaf9zWu1SMvXmIHeLHP/Xc 0NLZ5AIAA4CxjAz7JPaYlqU+3upmlRh6ZITPIhNmDvxuzF9GUX5GbCHACsCQQ4Hg5W6gb65MZyZw KI+lDO8o6kOc5BmBRSocsw73P4+D7xAp3AOeEe2j6EqCivG9C5874qqUeZGIXaVdp4RiBzeOL70y +Awm6ngOGwiXl8ahfsExX6kOX4yeleDJMKVfZiJCvJSHFO03vvxSTML774PguFeyzv21+V9l5v2Q UeBPPyoD/YXtO7lMNw3Ao9V4Mc/fNHaYJDbsWsjh1N0qsh7UwA5UFqRdvfyWuBRP5petcQhFIQpy 12kwMPc6lKZ25jmfinJsA3oTQXz1YI7iBLUkTmRk9gNTV74xHUMLXjE8rqOJ9jQH6GYp0dQFwJHc YXF7I6/8rI0q87zZYtK46uCAo7DW4fTI7tubNHmk38JsDVKemRmdPkB7rzF8X9ZXH7JG/OxN9JxW zWUnJuU2Gui7MRr/4QKLreIsyhFpeD51xDtMbv16gT2hOdi0+Yk5PJxDazDjFseXoHd410D3oGDf m7Tt3snkKbdI6yIkkX16otkHgKJv4zL5qT6qvz+mqvX9zhfCKVwKlDW71z10rVDlA1ZFnct3sIMz Q97Fq/bDVPnELH5Xf26k/F+zuSPG5Z8ISF1ZSJ9br+J5+DYwOT3X9d5wTmdw8BMsTol8h4ph0Id8 CDc+xUeiMT5Vc8niUUnZOedws0iMWbE2pwQSbd+yCAwd6fEFYQvwec2VGKbbcGHkmioXf5jkXZNV B+WBQE1Uvyq1uh2Tpri+8LzIV1gJCimmQq4WxO/b9JeqwXdz+miyFnOU7wM/xKLgNpeLbfHJ82Vh 6qW2n/38xYgGiAyatZe4lb4m6kR11RW1HahvMqoHTiJHSrR9tWLCfw82Zel/twn7MqfTee+nPl+y UdBr6UNQUSrhlNE5uMv0ByO1RJyt7cjWPs3uTKKZXol7IHi3pDQm4tPzcniqhc7zkdNJ1YeybsiS 6K0EtOjf43LfyyG3AZxNFYF6ssfwjKuMxaptztauLuzTS3wqgziCOCpAoIOBEdnPxPQ1b4oE5HuW aNMIs4jVgFzS0IAunjp8t3/1tGkM+8GcfbN4Vk+Oy2B+yVI7n3z5mHyGWnrl9UA6d2iqF4m9GQ0l 7xi3bcgrMitQiiMjpn7X/3388/VROQ7o9ILuQwErdtOtR5bjuu26g5pU4sCtK3TQCRT0sjA7eUTY mK6CGuf+u6KzpHgMfMbcDhitB+dkSdbUP0OW8pQtvqLHB5jk5K11SBE2gYrds/iu1C3baEFf8pqG ZneJ4nWzCSdmydkmrgPi5LLRqBCcdB7diLnYBkxwvwfbDHFun5lIWgnC0Kqu/+iZbTU8VmrKlVKY iXElFI+GVrvNsQrnzxFaHqYfyAz2fdGHe8bofkPzEhX2aPMBqRABuKSJhGVgFXFBUSuBwZokoSJj SdgmipmIOUBXCrOWdydOhtdPu/zhXfrkZiavMWCgqYCzcwCBDsaQeL6rvfJoyFwxz7+IdCHQl6DP 4nnjEbKAhAahWKO+ugF8riej2wJtKSlk63ewuAwX7T9QqEJMAxYo+C3RIMA/BaEF267EDxZQ+L/H HiL2XqhADlpAtRs3r0ZbsvlgtPopWC16oM+2n1Z3/+NoeYD/ccJMROqdb2VEjPBxD1S9Aie0F9Ff Wgo063uZf9ZBQ8aGYg0b1DcLmVCfuqgrJmVLVfS9gN8suXpe7ncLs21HEdl7ayiBR9tDTJoJTTQS R7XCY+uQzOf0YqY9iUFwNSz3q9dhQhP20jhlVFcPu49UDuOVneMiUZ9z8qmFQnWzx1afT74PBPau qBqyCbTGVaQPGIak0XWRPDWCHQo3MJHn3ObpzhBvacBcwfKt+3kVD0TGAvhNoAmsjq6Sw38F+B7p mk+fpJyI713tL6dgYh39bvBP3rs0DUUpvFvWYVESnHsogpyhddXsWFCWRAVgOql37JcH3I6oqCb2 YsmfBR8wf8LT16DJ1YZ/oB1A6BdS+ILHN1zBq6hFipHHGEBNJg/kBM3nW++WWxo/IrZTRvPBNTP/ cE+T3xoNgSaM+itUBFH/9UbVQFRxEp9japJ8p8KjeXU43gIOglP3qKzUNINj9JnPMTDajWu72wvq eQE0wDd03wgyuYyTPRsA1SFfvsip+ia8YGTJ4lOjZdMgc719hp+5Tt8yRZS5M9gePEPBSVHwBgwN /KTkrwqpWFqulNlq7+Uv8Ki1UYNaMkGXRBkzzoUIrKnT4QNFzJCuKFlmbRnpmicf1A9V0Isg2K6p hDXnKmXO6s3FuomxUPqR4vgz+1TxJsPNoV5JbjaI+lXfF61HX51wL5T2j3t/x4mYUhFwH+QrVXVw mNbbp5N7etdqaEYUOGFtbIZx8Cg2d/WsdkBrhetsNirREpQlcrhO68z/PUqRHbwN915p8ICeARuD KgwP82xCbC0usKgm2aQCr66ClrK9LPloOTTyN/8DQv5JMGdB/8v5wi0HE2k54cLwJ5MkLRvo3lza BxGSW8bJv7SpBudHK8NVadM02XTZV8qJLGzUATI+9n6WEJ3wl8M5/43z8H7ZlxyKRlceshyJs3g4 AK05/1UuaIOhCWD/6ZYBpMhTjQjLVocRb3BvDeVU+yL8XtEol+sje/HNgxuwYaUC+LG+oMLwDcJT HEQ9ymK1AkecCpaJXePUHgvB8gyRwpLA1RKJQ3G10V4/5DRTKfcUGMHfczIMXeRICGz+sM799xX6 Hb8hSAUvNALrArc5E0AJnMpR+p8uuKBhY3G5uyoO8pQSZ2WgXD9/hdng/vcMKr2hCBa17JXwUEmz +sj5XTIa/l3ylw3AvCWSAd5DQkkPYPyrbChBslgxS58fxuoy7HrOh07P8SI0ZwaMJlp0n1dRqNpK 5+XUERqCkpkY6TTVXzV2UF06fps+nisJFEAPXPtrYbYrBCo90/BmId23aQKBLQzgs1uMcmNw5HqH CHJo7VwcIrfJSOR8hZoelA66ctO69PW3U0amg/L2GxN0kE42cZnc/1XQjp0s8MjkEi53Aa2r4LUq CHEdBDrtc+Jw0VVw9+cx53xq9wwQHokJvZCN3/Abibr0V27jlvhivS+2ZY5bny7+4lOQ7GlZnypU IjhGJChSjsOsnpK9O0/sxWgCCd4cGOewG04Hq4YYWecD7AkXb8R+dcHPBO6t64Jb3w0YCxz60K9e D2u99Q9+62/deHWlTAjouD61G99Z7/6wX2ttB9acx/R6MCi5DyFftx7NJx0KwuQs6e8R6ZQLpCID ON7y1udB3TSud5K1NHl1K+sJmyv2BFbWfTOdR2EOBUeqEVatxV5YOT067mLI3cZGvjBrbuwfp9CT pgziQh7WhaJLmpK7iLJtGYzjB4RBJkJ7bshD7VqE2SgGeDXv6wONrWFM60eDqcC942ugnEsTz919 1xGQeSeGCYZkUjps4r69amb4+PNi+PZGoZVBnlCbIisPrLXBcnE3juREzDjmw6ECYaAJZzaolAv5 ry6NfdE5Y0BcEMH6mQnbpcSzY+S70vYR49gii4nfa6Ok2uyisYyauXujI5BF0ydGIcE/oS2bfaXw JfI5HmtE4DD6oQEoEsYys72Uaa/oHKQqCNuiLMFgfw+qnf4KwTDGAMtWS8063teC7WQ5Ou7udiHa z1SEo1gtpWfu3Nb5eVQNr03d47UMnoteY+57dsZe3CCbaG2GqXbCbK1nvRnULoscQtbyudVrLmwG Nu1xDaOwHULvMzRWlBNYApT1AH8aiVGOVwfn68Ol3lOte+7ermU6H+k0+DMhTqRDeL5ACovDM/69 +VdDG5/RvyogdBStSD52qbo4XsMMJK+zX2fJRUhZZp91vxL2wTOBJscU4232ImKeWy4yL3iVeQY8 jcvaZaUK89PUcm/R8dgSV1CpCy4nwEUnw3ZTHfjI9pbckArHEpdlxO6+UCpmF2lJwz0mfur7nI7y DJh8DhndX/nkrP5Wca720Pi2mGao9CyMmfgwCfyuMYRJRm3X9lZ8e0uFQ4PinARj8/yZ3dRXB/fJ NuXgfOLJQNhZihqTZglUIl1c26TNffknIsWVaiIyVDy4oqQ3GBSdthYy7dn49I9ePNjtPi1YVDyv jOc71FB6yMciixtLSlhzjzk/y6up7t30jaYFlXMCs1/ZSGqGsgCA8yZz444ETAYYj3RT6ptp/DQo QbLkuby8+sRAVoG8eu5HbLa1qopagOvLo5GTnvg2ggVqJymi0CDKdWjEpIs1SXE8ZS8+pp5fqzKi l7Jcpmrng6trHBd0yAVgE+dQE09Xmo2KtaU1GlVR5xETSxW5KM4NQRSHsqPj9WGYQdhJRE2Q5wkF PocNE5F/Axbny+gnzL0OGihqvs7eVpKXR13YAaNmmK0bZunoQtk2s9FPHnoj1PIeZ+mAtzd1RufG y4B7OE0lVAERaZWBOTpdi6StzRmKqC7Q64Iz2PWFh/4tYnxRYFwT568iO0wbF7Vkw41MynIkxYET GycXn8aZ7eLwO9MX2HVrrb/LI+tJqsmEDE9DtOyXYlhCsvX7l7utnhEBZmOgG1zHf2fgosPatQV8 Flxp2h0ZFBzKkQy1RbE5OSoT6uC/s4oC/hpCuGVRKfLydYd5wi4jUCtt8sLHhC69PSnFhmS3iP0r B2D9Hc5mRQEh/bUwbWu3mVq0+STDAg1qi2XZw1Ai9kv1twrVyJ4+RnhGHCSE0xco60gTsXjt01e8 jE/AiEOM086LErvwIwg1M4nYbT8Al18OdwNr91eVSOmlexKEk4JG4BJ9ST5HFDljkLeUieOP5e0W iKC28J946+KKzSURCLgze6SknDFj0XHV7/d0dCymJtNXCAocy5U+k3RtyzBZKeNueCB5rkLT7Lqe G+Z0nhKmQWwI/4KvfGDc0jsHXF8vMPWP4lPf4HUvjre/9T5vMDsdVXICa9Pvp4VR8+3V7jOXabMI VKMcps+CJVTrdDKsujfLjRnLLY2Ue05o4r+dLuC3M2KfUrwOnDnCMjsOkePMATvfj4UAm80ZbpLR 5B/asm6JrudtEL/FTCImrmmWFGlQlGp1+LPlsKPp3aTr/7CoPMF/N3zRxFdiggiuTvXCzNmb8xIX jRxPwMrh7Fvpq6KqjCdGHmdNjzqDQd601Sf3VW5kTWrHNNyzrEUXu4dN/iYB1iqJCOM8x0iUCE5I Eg1u7ARDpumgCFbkvctsRI3B5tcLl1fEQjKWl+kLcPb7HTk3r8z8ZW/n1w0vFLMRk/k9nSLuJwpv pO8ZxNgb6TLbDcX2wxsXvC7RNp97u1Iv/1SxCjBRLZxWYww0L3pFj6B03Zfk+hzKAbJQxeqHlqpv OU924c0cQLalhO8UdRI1o5J8wtoy7oGw/oI8N/Ld1oAwjEsNRoADHpvnm2G3hCPJy3v3eirY2XYI 0yHx74APntSk0c+IyTEZuNmAxjNGKvVqT+DHtJubh3K6a4GZW3n959F6L3ENAmqOYwcDThvjAoiy MvKGFoo8LYFXzS/4BUgKHKGt6DovDjTZKMq+9CTMsyg2dwLtCGUktJd4BuaC7+Yau8T8GBWSrF4u p6cWXF/DAferwl5uRT8CKGttoBLFlmswBDDMAheVvgyU2inqa4oHC3dMD4qL1P/h4kWyH/xacaYJ 9FJ71Uj9ufKrxFRTgBQkpnbBMwcz86iymkoD38uhHJb1wWmgW9UWbt3jgs1oRIl+pJPX/I7MVWGi llHDZ9G+ikug+gV9zh6slfW2j7BUQe5uiEeFeqyIp1ZLkm9NUn+PGVcNDnsdgFOGcoooIeoG76Z3 o+UuKqE2gK3jJJklCEDF9aqimEl1kA5HQ81mPkM3GWPmG5AdmoD0K6zZFG2pqyc4Qizgnjle5uS9 Dh30m5JpO68TZuwuiFH0puTvNaF/OaPKCiN+d9O0FyXIcpa8QhBMTvui6ANEY0rqM95K/M0uGHy0 HMkLwme87mAWyAOCKY+UKaqGZd9W9MonCHVEwu3OJhsgGeS+uS+lZSmt0QY6j29UkAewSs8UDg2g ddWcij9dV4Y/g4vLBiKwZ689gDJentwMsULiN2nm/KartGvTHB/MvlPbnUELwrT9UajPo1Iv/+rY y0HheaIMAemdGGpLi5r+nqIZhdunxWOpctaXaQHBhV8wrW7ipMDneYBbACMOEz7oz6gG8zJHU5lv WAxXQZE3hIEhk+Zzgk7IpdgWBXrR93YpBENkiOI5qawnjyohm+L1OeWOhsHJs4spm4RkC0Xf+k+F ho+XGjnQ3mSuY639hDbsAtjWgze2RjL/BdezXLPh2A4L3KfeI0Ejdwxblo8yKegm+Jmc4WRaLilZ L/II6eTvVV03h9k6IJZoEASY4zL/ZSXY7WQUsFBb9zaYBTWpCp90n0D8piWfLu3lF06XNEeYsi40 tSesiR+Pa4py9qZDIoj7IcVngoQL03G5weyVYshAyYGCFjhCKmPci++U9hN5memU6An9rkkob0Dp qm2mHD7hmU9ecRyouMXI8gIUR6r1Z0zKKS8EbrXahBH12099Yl9OCOVzAXnyr7rAOC5Soex7G434 9HJ+CavkTznheYLO0KoHqKkC+Cz/vt4Dvrwh0y67B6oWgKKoVlAWggX6Vy6sapnD+lRw/FhBdloG 7JuQUsagL+YjUfLMzfq+/b6k4qtx2RJ9DOYpFAFiNpYOrFuS8glNoniu1W8uxAxtQyOtEqd0ue6z K7giCcb4y1Q2BfCdpZtQgsM/gbXzuYmDtw3CUQi9sdzZXLojuCuRAslP5Q+GsoN68FBkBPX/x9mR 8aadvgNATPqxEJv+1JIIGoZ0wqbQUrDDahzGnWDrhFgbsUL//nL15YP0UiZ0734SjOrO3zuv19wP d+qu6pvR1y800Llg+QmfgVsKTGNiAJSE/nYUnl1kzXhO6Dz1KbWPSxyZ8j2Ka6FCuMVxniJuxzbL FKmXzkd9WqnjrKFhCidoTPssKyV2WlvbH+esA7vSOwYLZWwF6HiYEERD512D4xAoIwDTmRl1ceYy zoaNEgN5oH9GT16RTWIc+8pXfxLf5xw2L/7TvcHhyU0Ct7PYEpfl/ZFV/Sxcehz6XUQ5ERK4dfAT VkMYo4fqq7xcp9aH1t2PWX4LlJ0UTuFUiXGDhho5spnn1OCK+wPtGXA2r7tpA9c5C5yj9/OwHiK+ XCxcXAuuWPVnkb/dbrMqlAr2d5DtHbSgEHFuT/oNjUwO+91/35+G+slHT4+shqw6fzugKk9XR8Xw usCtvru8XrAPjvlb3/XkNMaxJNwTURvtYIS5/mLYjrW70Udi7MTd+m8gvMi0gHJ4hty3bogkXxqe Idp4Psj0cIu/aApUThlgByPRx/IXpT88381YQltY62YAQcdDIb5iC6K4waPMh5zA0ridYGW1OAqb Qq7hxCMnxL1iI6/cGTeoIADQLFTtJKFQ8olN1OyHlX7tyP4F/9ZNcuiHX4p4M8C0+/9Vb/QwZ+CT ThJ53NWn/2bqacBhgvL3mI7krxuqtRvOCYS6XTbcCGrxRaxmoUXq8DtlcuxNRWJAYIKR7bNpmmzG aGqSQj9QVXYW9NFTubrZxL34mmPyk0w8LM2eRC7oO48a7uBMBtCMja8I0EtZTq+D9XlGNjTr9aOo zlRWMvUzKTHiLRXDCqo17ghNZNszAU13BOArDZlbXJUu0NPgQnTf61gc4w5pdbtli29w3ihFtcLD pBuQ5+2fxupkRJTTDyt3TKZWCjOKKLc3/NioIyFk2DsmZH5PfalxX0a0d/z86nbYLb8a8e8bBxYD sujP9vSON2y8d/WFJSm93IfncJR0RyEZQ6SixqHZjNMLkf0CW/9pQE6SPRoxmtZIFpdiJPgwLzI4 e1d5VI1VNR9hdeMFL3t/C9DQP2Zh/0c0ajrKbWNl66rrtvPebx4JT/wc3QqwnDQsbP/VESVklVL6 9UBovN3GwnxyWgY5BbanlGaNCvoVjCD1JX4lX2Ph7+baqn0FrdbAJ4Q0yNa8ZeMEUZ/3n6WPL2bg hv14tRPV8FCGF7OXupuxGi5MCCYBiCI8oY1KThR0KveYRayrulIGA/45oTLod/lliyv2702IYGD+ JIeFZV/P5VSLMuY1qxhEJlBwVJ6UnfWBgwbU6jCrRco7yJ3dhqsD/Q7GcLbNLSTCoFzgpFCXSRKL cS/+3U1Gnx8f+hcvBjyHElaAuNye+eOvCL28wtwDRI7J5ufuDX64NB/cbJw63WlnTL2QLAPJhPRS d9Zrxrq1HJ9YmuWeTZYwly9+ThdfiDM6whqv5RbD/4kpNT+SVz3Avoyb7JnDw2x2FrwOlzgX4JyY aZ+O94INB+UyjvRjOYVj9J+cBzQMS6AQLEMgKFSM4G3kg6GcIqdo/iYw1XI8BCfUV7Rkcj20K53r rnvhWjJ6MEqmc+a6MbfT9Uz5ScuDdV3giseryButmaEqCwiWw0ujn+JD2nfOJp0olEQ49033mcAI OxYW8Y95O3FQTyIShaKZxkWUC9I6zLT/GGiGvR89N+vuwe3uEwmbNk9iNGJOHn8+mnETruNsiFSI ohuDN8nYQHdgnoWnjs0idquKmD+7dNis+VRxctiJz6RukkeihedbVQiIUBsYqMGJs1gZkfGTjy7Q Wv/g1Glz0dz8CiVwuo6CS5aN0D5DfoXm30yAP74+6AnZ7+9OZp+CH3M+XLsfxNu0XLiWesjX95CH MpewxRHk1+oPgxBfNKVyz55Op7MXBi890UDAgEC+GmUaWlakEmDoTDUATQVH9xNYBVAr9ovfi8DB YfmY9RDHPa/OfBecDcNyWf32kXsMTlX3mfaG2QB/OGkIMKNSdH8HXdRbawz90dcLcTRPLr1ONZVj WQkKAjRiSH+62KFHnHdwjO2mWKBWFW8DqyyD0uyFOcxRrLA1BNk6P8wgZGKsp2cKW0I/ZdSg0EoC zLgEHdDTVW8i9z1ozIbMT73WQNHLw5jBQ36UebQ24Psd/8On9tGsKOjZ2qf4rffFxStnuvw28LMm 3ae7Fr+b+rJ/NvzdRYP55oOs1UTb56KwoJ2ERDyKttN83aHeZ1Xe8Dau3Dpv6G+2a6JPM1A3puIR +/G6obNYQUSPUEosoq8I2f3xOReCcQPjucKh5yKeGFq8sef3p48104PI63T4rXrSiKE7GVpMEL23 PoxmyImNCAtWh6z8bRxdymg56n0Fsmj8G8LIj8HHjKtE37cbnwVuO8wBbwo3KP2PHUQnqGoUGf1T nr5AG38lUumKgsOaWGRah10FBD+cxgjhvlQsWFM+U8gic9aqr5//zfWjLQ6+6abdkJQLC4s0YuI/ sYdQkoD8KGq5WZZ8QAJ0AvLFHudiXUsfWEtRdrHgcmXFRi1cLC+3uIF9H69El2E6abXTMtP9B5Qo fzfCxUcNIWRvknlf5DKUqS9EEDWrUF/jIz9P6oE9PMZWnoQnqIu89aqnGInJ5noLCvOI/WPv8Zly jn+6flcXRKjkx/vqRVBdbWlc44SvSrH4EIeIzSY/ALMszGyRQznRpF8td+dhgwksYB5YoHce3H2E MJXetOV6PMQjrzuSt+oFPt8V/0P6qdiQUn61O7RZEt1DxBiUWwpXWdKjIoSXCkDYxTMZ9BvRnvUp TXDBp+n4GR/AZhJ2bF5oxKhW5ZuVnCJvgVXhQzsf0Iwn2kKDBP3dJJO2BA5Kwd0lzCwHglpzU06m 0tAYi7+Q6bUID1LAyr2Ahh3hBZkQU5H0wZUqESKQ1OhxFRFZBWeCWXuREoRn1Xh51zvF8RahPjP8 +4nvytTW6S9nYRyq7Exji6ioOhWKguOl/gquT8WdBvIDT+NuCwJZjtXWXx+IGQ3dajMp1yBAS4+J nGm0xsMrB4dVO9kdUlHM9PGZDbatwlCXxxNJCSsdDP2NcSwUeRVC7wVFoNf305LT1lHy64vHzUMP iOdeWUkWO+ytK95o9r9Yk+Z7QuYk9OzfTAuiz7UT0AjIKJKjEPFchK4S5OyLLHT8LOjdyypBudVR uO2SuBhovUj/qBJ34Rr+A2oS2O7QBQRJ+lrCg83pJjncJqhvNsKE962vaoynDA4Pth1VDYVxLetz Lm6ulc1eOpghhvkOomoQWQNAwXwr06mHZTRM73MmoL7Wi3UaNvlKI0H/yXgM/UwDOv/wzlCRO7fo 9qanhgyIXfm1OG4swGeQ+ZJorTOt9LBHyUyGuUmVQlSq+vv8Ix9YXLmn1I2V/UDwTveAgrn05NOF +Omdqj3kn/0mUfgsGaCq7jJjO/3v6OcCT8708s+InBOLltS5f0V09NoDiCkEi4efkOUfvgWyJh+3 k/hyLG1lu7qGSece57OO343ALWuxaJpc3/ggGN5RuRKwDIItxFuNdmLe9drHI5O7a4wbk99puP6y y6TOMiyaSxMtQc3JgRoxyO4gUhaBBDVzz/xVn7xrAKH3L/si2keg0D3K3D8mBKQo5ELUiyjF8avm dGXF6zu6kjDyl9E6uCHCh3mZxB5vPTYRSd9TGh2AUeima5Ayww89QxPIoBOG9SdvltVi3fRzYbxX SuTpBk8iE7Yz/O2B6JtdYF7dofnag8JV5QlqLN0WC/lcdUSCuqn++mvbf6e6/oSgbj/1B6SEbOSr hifDSPDzzX9HrDTU8kFGMRmah+gk4sQGM1llSr64rT9ZI95zabid6Agzmd0IvcfAlB7Dmlz+uT0K QPniZjhvbtkPIUi8x+NaCrG4alQbN1n/GDRbwD2SYYA4YthmUsCqhrAwlIgYoLYkjyb2eRS49Wt8 KcMB6Qj6HmzyTeNw2+ItDZ3p0PRR9ATY7QPW7+enfSFvMc/VF5xGyJZDBQPMacog8c3riylfmLm3 7qaHmcmu6P+8gMUNfSZ460M9MWdoyYUZnLCWCGXShN6RcQ4xlE3/L9ak+TisGNmvpP/Aate3pcUu yDVE26bCIIP2QMsWd2dMimJozGjunc8tbbnpPZO8VJRYNbUWgPu6yUL0ugbDGHYqQs/A1zhB7pJt +cIvK6g0bG3/gLZuYlkBT5QfpQC3J1APa3XuIYC4aB20ZMiwo/o13hkUlccGZKcyVGtQTqfEngou hgGVx9otvRPWJStqWUUMv14B+St2dC74Ekw1lDPhmot0kuk9mgIsPoXBIBYg/efNjaDQ1Lg0kMuQ KY3dfp+1fCoFdP85wRrwW6lK26afttS/EOdYMbrp4QPC8QslFjHutH15Wm82rSgwklj7BkFuGpz+ sgK7yp9Ljt76vJwGCethWdPW7boaI+Ci+FLKrPlBkuVCMyqrE2Gy1KeGI3Y5drPq/PsjRGZSGjB9 EC6QiZiVcxzxXCQ0qOfu1V00zYINphz4/oh3u0bPP/1LW4nk8uqDdIhQFbO4GogN0MuSnvNkTrip sDsdf7kVzkxhtkrHfxu310xhSDwB738TFK2ujl6gldUIMOx61H+A9t7iT6zjRkns8fUX5+woKJxl Awlp/zLCNXMil+9kb3xqqGgEwwbNnvw976s22ASJpNBL5lE0r2LseyDoG+UhofvZHiymPIq4nq7z sUE4g2fvchbzVsMMjI9v8ZjfM5QUogtTPV0aHy5x3IK787hN9OfvCZMopt9nWb7wEZO5OUVWm1// ewIvk3UgOmz/DzMfYU8fpTuoIbGJ5+vLjNVL4+Zzn4Lo5t8aN1+APeagF7K+PVaRVCphW6JPm/Ku vv4M9j/Lxd6dx/jaT6zx0bfPd/z5gWZcy5h5Uu+qG8C0+hqdGUKDMPEu8pu9v8MwbAeOqtAu1Vf/ lkk3kq9u+sE5NKcGFb20/gS1IOkuFqHT0AV5LI1oZC4NRuEVWN7nC/Y+gXM1BvRm1gLzNMw+PFsE GF7/B1MtNIicZH1JMCQfPOoTmIBA/LlpKXAKu8bt/2OaG8YWOIMm36vFQm3zQh23iE6UenhozmnZ lkXXWoJd6+laR/vhBKJIwg/HfuwJoGB109ZaDjXP8GOK7erih5JXRseqTD0SNI304HD3OdtadpUv SVgeuU4zaljiH35ux6COKelt7G1PFjv4bU6UMGIdbKnle37N8ux5NVNnH7fVlZqjGW5F6IMldNfG WglzMX/BwzZOuGit8uokr7kT9jayyUw4Xoqz2ybxapvY+kFgXMIqPrbc6b2+1XvkQw1KMImFxzj6 ZhFy/8pjmxh6/B1kU6q9s0nbZ7gm0ZHA0fdMZxUohlzmwauHxWV8G2BTppON95USd1/23mTHUHIM Iw20+jRucsh2iLmnDylgkzPwwzLhn3LYIkUw96hhNPVGeKo2E3AQzDZMITTwPAIWVPwV2YiKjPyt gufqfMQ4zcq8Pmm3fnIfXavGmR0DpIXBh81bEkwi2WFoXAUGOGQ1qougCs7Ks4w0S+rRCst1ndkJ EFDkErSLMhY0XlsOhZQayR/M5csqmfW74DZzP2ZMpqQ0R08J5EG4aglipM0msM3qqvSj17X2fHDh ZGXbGgbe+RZp3+Ostvl2Xf22z8Vy6P36d6D7wIM+dlF7rSk8U3rPByujXJCZnIvzM/+ArpUKu0Qo 8Ui+Ztm+n7KbMxR6avpak8s98GIgLDOiBEaoOD2axodlPkcZzmi9zzZlUU+KZ1yA22+L+FO5ttNr 4nNj6I8dlsSytn8ReXPAWDPl4ZEh+bymWZX3uLPCMGrAbAew1t9sAAa9n3XksFPCaJpb5Y0oSptf cihhNXbvyrI9Q7CKu5EKgNH6JOWXxRDbDPLSubjJf+AMXXWdynm9Fw4MUjpy4qzHK2lu7eGEvfNo XhxktVZix/PL/CneILipZfT9cJ6yb+2PMkIv7wZD5Jj6JAEnkk9+tYavtQxMlIAbOlo6Slia6rik SkkUM8oHheEkiBuynFY7aUTHv+KEP+kWKFVbbm1NfxQjQ2HGC0/yRJLgnjHZDbw8+Z0uAqxSxRpe bDoFogucg6cuEQQjCjBao3HXJeK5nmXE8iuN18QTLj7E050pivA4TPTfUFv5EAjfh8MRRmsAHYuV ElzUDP5MhiHX0PZnPQjH2FDIAyeFCRyLZAcp9dYPDt0uAaVNzlqWtERTkNikYNu17nX/OtpeOFqN tauHiZfQFbWUjzmINFliAvdlys1VS2P0evtHlHY7K2LmY36N0ODQmVAT5kBOV71v7LbfcfsSdQiN Lu40vRBJGGANB33vzILyUD3M/yyVnYDrw+Fvy4fDLdfoi3zjm6rfqj5bLGpvLFlyqFefr48UpRlV EGwGkRVXuq8nNxHvYa58nPRpzo36Tp9+WCJPWjlDhM6vvicJNL3zv8zfoC2dBV6mnAP/0sreY1m7 4jFEKk9/2Cg16MhtrA3Kk3pLGXIJkq6iNjppQ6sudYIB+4Sapfy+T6fst3MBBgvUHdnE3C108cXw t6wBT4Wax3oDWtsq/qfeofUesPmbWfqtKKzVeb7hkur5VYAeE1acwQ3T6f1oGsLeH3IrSxFGH8yK NKEXN4KUptx8XtkR/UQZ9BWfDsOtgXlWfFURP75Vrx51btfdBTFl4BySJf32PKzSsvS0k7VLUDJl MfTcf85xtffVP6dzZ/z4C8jeykob0Q82eGXfVX5spErwUgeowHn/mwClop40NYNiCUq5e0RPB7OB h/49245EJ0PMJKd5Q+WNeqxbEJPifcfoUKKpZTerPDeToJPNqQCKTwOoCr6pORnyAw1LxCl8QZP5 2nxdskA/jXqi9+JeR98INYA3jCfdjnnHqhufgRQhrZeddc3ohroZBLGxRg4THbgIpG7U+DuPHe+9 m7yngrjt/t4wxW4iIgFn8zruHeuOeYMz0FE7k96rgAPC6WMigWrVz7GncZu8xtu1HYYG1epgOCsz ZuXtRytFK9rY/KaXAH8ckxDth9cPx29mGkH/3sk66wlIAww7L/Oe5PqWzlYssdsU+R/SQE0DAcDT pSvCI/7gcmVGNNQR8kP43GCz1PGWZslw7EhL9Go575/3K3BDrVrVpUi3Ht9BsxAXL2Poo1aO1kK4 ilGZIUiUS9mWJWjJyTklUFs78CRJ/+Wu4j+49jW1KHyoYTVnjijXKBDnPL65C2AQnCxf425LeZe2 Npd07sYZTvdjadZ+RQcSwLTfU3S/jXuh9Zh2nh7CVzaKG8JgSVTMW8UFw8WZlkMEjIoUJ0EF6dPI sQ1utJAGTJ/dbc6mqLy03I1mBZTn6thBjkp6kR1TFHY3n4uEqUrUjpb272fvntZE0zFfVlXztWq3 dWZbNNCUWVEha11Dz6zoDOxqzswAqoXzJLh0WEh5V9WQUWxbwW3RqFejrvmjeGPwPrE6faEKPe6i g6y1K+JhK2C5qYNKRgbRKOFrDL/GPD3N/wRn4ZDHGY70YO1TZ5284Q== `protect end_protected
mit
68abc8ba23bfb6ff6004f4b0a139038b
0.952936
1.820636
false
false
false
false
zcold/fft.vhdl
lib/fixed_pkg_c.vhdl
1
304,037
-- -------------------------------------------------------------------- -- "fixed_pkg_c.vhdl" package contains functions for fixed point math. -- Please see the documentation for the fixed point package. -- This package should be compiled into "ieee_proposed" and used as follows: -- use ieee.std_logic_1164.all; -- use ieee.numeric_std.all; -- use ieee_proposed.fixed_float_types.all; -- use ieee_proposed.fixed_pkg.all; -- -- This verison is designed to work with the VHDL-93 compilers -- synthesis tools. Please note the "%%%" comments. These are where we -- diverge from the VHDL-200X LRM. -- -------------------------------------------------------------------- -- Version : $Revision: 2.0 $ -- Date : $Date: 2011/01/26 15:55:27 $ -- -------------------------------------------------------------------- use STD.TEXTIO.all; library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; library IEEE_PROPOSED; use IEEE_PROPOSED.fixed_float_types.all; package fixed_pkg is -- generic ( -- Rounding routine to use in fixed point, fixed_round or fixed_truncate constant fixed_round_style : fixed_round_style_type := fixed_round; -- Overflow routine to use in fixed point, fixed_saturate or fixed_wrap constant fixed_overflow_style : fixed_overflow_style_type := fixed_saturate; -- Extra bits used in divide routines constant fixed_guard_bits : NATURAL := 3; -- If TRUE, then turn off warnings on "X" propagation constant no_warning : BOOLEAN := (false ); -- Author David Bishop ([email protected]) -- base Unsigned fixed point type, downto direction assumed type UNRESOLVED_ufixed is array (INTEGER range <>) of STD_ULOGIC; -- base Signed fixed point type, downto direction assumed type UNRESOLVED_sfixed is array (INTEGER range <>) of STD_ULOGIC; subtype U_ufixed is UNRESOLVED_ufixed; subtype U_sfixed is UNRESOLVED_sfixed; subtype ufixed is UNRESOLVED_ufixed; subtype sfixed is UNRESOLVED_sfixed; --=========================================================================== -- Arithmetic Operators: --=========================================================================== -- Absolute value, 2's complement -- abs sfixed(a downto b) = sfixed(a+1 downto b) function "abs" (arg : UNRESOLVED_sfixed) return UNRESOLVED_sfixed; -- Negation, 2's complement -- - sfixed(a downto b) = sfixed(a+1 downto b) function "-" (arg : UNRESOLVED_sfixed)return UNRESOLVED_sfixed; -- Addition -- ufixed(a downto b) + ufixed(c downto d) -- = ufixed(maximum(a,c)+1 downto minimum(b,d)) function "+" (l, r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed; -- sfixed(a downto b) + sfixed(c downto d) -- = sfixed(maximum(a,c)+1 downto minimum(b,d)) function "+" (l, r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed; -- Subtraction -- ufixed(a downto b) - ufixed(c downto d) -- = ufixed(maximum(a,c)+1 downto minimum(b,d)) function "-" (l, r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed; -- sfixed(a downto b) - sfixed(c downto d) -- = sfixed(maximum(a,c)+1 downto minimum(b,d)) function "-" (l, r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed; -- Multiplication -- ufixed(a downto b) * ufixed(c downto d) = ufixed(a+c+1 downto b+d) function "*" (l, r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed; -- sfixed(a downto b) * sfixed(c downto d) = sfixed(a+c+1 downto b+d) function "*" (l, r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed; -- Division -- ufixed(a downto b) / ufixed(c downto d) = ufixed(a-d downto b-c-1) function "/" (l, r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed; -- sfixed(a downto b) / sfixed(c downto d) = sfixed(a-d+1 downto b-c) function "/" (l, r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed; -- Remainder -- ufixed (a downto b) rem ufixed (c downto d) -- = ufixed (minimum(a,c) downto minimum(b,d)) function "rem" (l, r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed; -- sfixed (a downto b) rem sfixed (c downto d) -- = sfixed (minimum(a,c) downto minimum(b,d)) function "rem" (l, r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed; -- Modulo -- ufixed (a downto b) mod ufixed (c downto d) -- = ufixed (minimum(a,c) downto minimum(b, d)) function "mod" (l, r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed; -- sfixed (a downto b) mod sfixed (c downto d) -- = sfixed (c downto minimum(b, d)) function "mod" (l, r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed; ---------------------------------------------------------------------------- -- In these routines the "real" or "natural" (integer) -- are converted into a fixed point number and then the operation is -- performed. It is assumed that the array will be large enough. -- If the input is "real" then the real number is converted into a fixed of -- the same size as the fixed point input. If the number is an "integer" -- then it is converted into fixed with the range (l'high downto 0). ---------------------------------------------------------------------------- -- ufixed(a downto b) + ufixed(a downto b) = ufixed(a+1 downto b) function "+" (l : UNRESOLVED_ufixed; r : REAL) return UNRESOLVED_ufixed; -- ufixed(c downto d) + ufixed(c downto d) = ufixed(c+1 downto d) function "+" (l : REAL; r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed; -- ufixed(a downto b) + ufixed(a downto 0) = ufixed(a+1 downto minimum(0,b)) function "+" (l : UNRESOLVED_ufixed; r : NATURAL) return UNRESOLVED_ufixed; -- ufixed(a downto 0) + ufixed(c downto d) = ufixed(c+1 downto minimum(0,d)) function "+" (l : NATURAL; r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed; -- ufixed(a downto b) - ufixed(a downto b) = ufixed(a+1 downto b) function "-" (l : UNRESOLVED_ufixed; r : REAL) return UNRESOLVED_ufixed; -- ufixed(c downto d) - ufixed(c downto d) = ufixed(c+1 downto d) function "-" (l : REAL; r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed; -- ufixed(a downto b) - ufixed(a downto 0) = ufixed(a+1 downto minimum(0,b)) function "-" (l : UNRESOLVED_ufixed; r : NATURAL) return UNRESOLVED_ufixed; -- ufixed(a downto 0) + ufixed(c downto d) = ufixed(c+1 downto minimum(0,d)) function "-" (l : NATURAL; r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed; -- ufixed(a downto b) * ufixed(a downto b) = ufixed(2a+1 downto 2b) function "*" (l : UNRESOLVED_ufixed; r : REAL) return UNRESOLVED_ufixed; -- ufixed(c downto d) * ufixed(c downto d) = ufixed(2c+1 downto 2d) function "*" (l : REAL; r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed; -- ufixed (a downto b) * ufixed (a downto 0) = ufixed (2a+1 downto b) function "*" (l : UNRESOLVED_ufixed; r : NATURAL) return UNRESOLVED_ufixed; -- ufixed (a downto b) * ufixed (a downto 0) = ufixed (2a+1 downto b) function "*" (l : NATURAL; r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed; -- ufixed(a downto b) / ufixed(a downto b) = ufixed(a-b downto b-a-1) function "/" (l : UNRESOLVED_ufixed; r : REAL) return UNRESOLVED_ufixed; -- ufixed(a downto b) / ufixed(a downto b) = ufixed(a-b downto b-a-1) function "/" (l : REAL; r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed; -- ufixed(a downto b) / ufixed(a downto 0) = ufixed(a downto b-a-1) function "/" (l : UNRESOLVED_ufixed; r : NATURAL) return UNRESOLVED_ufixed; -- ufixed(c downto 0) / ufixed(c downto d) = ufixed(c-d downto -c-1) function "/" (l : NATURAL; r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed; -- ufixed (a downto b) rem ufixed (a downto b) = ufixed (a downto b) function "rem" (l : UNRESOLVED_ufixed; r : REAL) return UNRESOLVED_ufixed; -- ufixed (c downto d) rem ufixed (c downto d) = ufixed (c downto d) function "rem" (l : REAL; r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed; -- ufixed (a downto b) rem ufixed (a downto 0) = ufixed (a downto minimum(b,0)) function "rem" (l : UNRESOLVED_ufixed; r : NATURAL) return UNRESOLVED_ufixed; -- ufixed (c downto 0) rem ufixed (c downto d) = ufixed (c downto minimum(d,0)) function "rem" (l : NATURAL; r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed; -- ufixed (a downto b) mod ufixed (a downto b) = ufixed (a downto b) function "mod" (l : UNRESOLVED_ufixed; r : REAL) return UNRESOLVED_ufixed; -- ufixed (c downto d) mod ufixed (c downto d) = ufixed (c downto d) function "mod" (l : REAL; r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed; -- ufixed (a downto b) mod ufixed (a downto 0) = ufixed (a downto minimum(b,0)) function "mod" (l : UNRESOLVED_ufixed; r : NATURAL) return UNRESOLVED_ufixed; -- ufixed (c downto 0) mod ufixed (c downto d) = ufixed (c downto minimum(d,0)) function "mod" (l : NATURAL; r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed; -- sfixed(a downto b) + sfixed(a downto b) = sfixed(a+1 downto b) function "+" (l : UNRESOLVED_sfixed; r : REAL) return UNRESOLVED_sfixed; -- sfixed(c downto d) + sfixed(c downto d) = sfixed(c+1 downto d) function "+" (l : REAL; r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed; -- sfixed(a downto b) + sfixed(a downto 0) = sfixed(a+1 downto minimum(0,b)) function "+" (l : UNRESOLVED_sfixed; r : INTEGER) return UNRESOLVED_sfixed; -- sfixed(c downto 0) + sfixed(c downto d) = sfixed(c+1 downto minimum(0,d)) function "+" (l : INTEGER; r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed; -- sfixed(a downto b) - sfixed(a downto b) = sfixed(a+1 downto b) function "-" (l : UNRESOLVED_sfixed; r : REAL) return UNRESOLVED_sfixed; -- sfixed(c downto d) - sfixed(c downto d) = sfixed(c+1 downto d) function "-" (l : REAL; r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed; -- sfixed(a downto b) - sfixed(a downto 0) = sfixed(a+1 downto minimum(0,b)) function "-" (l : UNRESOLVED_sfixed; r : INTEGER) return UNRESOLVED_sfixed; -- sfixed(c downto 0) - sfixed(c downto d) = sfixed(c+1 downto minimum(0,d)) function "-" (l : INTEGER; r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed; -- sfixed(a downto b) * sfixed(a downto b) = sfixed(2a+1 downto 2b) function "*" (l : UNRESOLVED_sfixed; r : REAL) return UNRESOLVED_sfixed; -- sfixed(c downto d) * sfixed(c downto d) = sfixed(2c+1 downto 2d) function "*" (l : REAL; r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed; -- sfixed(a downto b) * sfixed(a downto 0) = sfixed(2a+1 downto b) function "*" (l : UNRESOLVED_sfixed; r : INTEGER) return UNRESOLVED_sfixed; -- sfixed(c downto 0) * sfixed(c downto d) = sfixed(2c+1 downto d) function "*" (l : INTEGER; r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed; -- sfixed(a downto b) / sfixed(a downto b) = sfixed(a-b+1 downto b-a) function "/" (l : UNRESOLVED_sfixed; r : REAL) return UNRESOLVED_sfixed; -- sfixed(c downto d) / sfixed(c downto d) = sfixed(c-d+1 downto d-c) function "/" (l : REAL; r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed; -- sfixed(a downto b) / sfixed(a downto 0) = sfixed(a+1 downto b-a) function "/" (l : UNRESOLVED_sfixed; r : INTEGER) return UNRESOLVED_sfixed; -- sfixed(c downto 0) / sfixed(c downto d) = sfixed(c-d+1 downto -c) function "/" (l : INTEGER; r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed; -- sfixed (a downto b) rem sfixed (a downto b) = sfixed (a downto b) function "rem" (l : UNRESOLVED_sfixed; r : REAL) return UNRESOLVED_sfixed; -- sfixed (c downto d) rem sfixed (c downto d) = sfixed (c downto d) function "rem" (l : REAL; r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed; -- sfixed (a downto b) rem sfixed (a downto 0) = sfixed (a downto minimum(b,0)) function "rem" (l : UNRESOLVED_sfixed; r : INTEGER) return UNRESOLVED_sfixed; -- sfixed (c downto 0) rem sfixed (c downto d) = sfixed (c downto minimum(d,0)) function "rem" (l : INTEGER; r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed; -- sfixed (a downto b) mod sfixed (a downto b) = sfixed (a downto b) function "mod" (l : UNRESOLVED_sfixed; r : REAL) return UNRESOLVED_sfixed; -- sfixed (c downto d) mod sfixed (c downto d) = sfixed (c downto d) function "mod" (l : REAL; r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed; -- sfixed (a downto b) mod sfixed (a downto 0) = sfixed (a downto minimum(b,0)) function "mod" (l : UNRESOLVED_sfixed; r : INTEGER) return UNRESOLVED_sfixed; -- sfixed (c downto 0) mod sfixed (c downto d) = sfixed (c downto minimum(d,0)) function "mod" (l : INTEGER; r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed; -- This version of divide gives the user more control -- ufixed(a downto b) / ufixed(c downto d) = ufixed(a-d downto b-c-1) function divide ( l, r : UNRESOLVED_ufixed; constant round_style : fixed_round_style_type := fixed_round_style; constant guard_bits : NATURAL := fixed_guard_bits) return UNRESOLVED_ufixed; -- This version of divide gives the user more control -- sfixed(a downto b) / sfixed(c downto d) = sfixed(a-d+1 downto b-c) function divide ( l, r : UNRESOLVED_sfixed; constant round_style : fixed_round_style_type := fixed_round_style; constant guard_bits : NATURAL := fixed_guard_bits) return UNRESOLVED_sfixed; -- These functions return 1/X -- 1 / ufixed(a downto b) = ufixed(-b downto -a-1) function reciprocal ( arg : UNRESOLVED_ufixed; -- fixed point input constant round_style : fixed_round_style_type := fixed_round_style; constant guard_bits : NATURAL := fixed_guard_bits) return UNRESOLVED_ufixed; -- 1 / sfixed(a downto b) = sfixed(-b+1 downto -a) function reciprocal ( arg : UNRESOLVED_sfixed; -- fixed point input constant round_style : fixed_round_style_type := fixed_round_style; constant guard_bits : NATURAL := fixed_guard_bits) return UNRESOLVED_sfixed; -- REM function -- ufixed (a downto b) rem ufixed (c downto d) -- = ufixed (minimum(a,c) downto minimum(b,d)) function remainder ( l, r : UNRESOLVED_ufixed; constant round_style : fixed_round_style_type := fixed_round_style; constant guard_bits : NATURAL := fixed_guard_bits) return UNRESOLVED_ufixed; -- sfixed (a downto b) rem sfixed (c downto d) -- = sfixed (minimum(a,c) downto minimum(b,d)) function remainder ( l, r : UNRESOLVED_sfixed; constant round_style : fixed_round_style_type := fixed_round_style; constant guard_bits : NATURAL := fixed_guard_bits) return UNRESOLVED_sfixed; -- mod function -- ufixed (a downto b) mod ufixed (c downto d) -- = ufixed (minimum(a,c) downto minimum(b, d)) function modulo ( l, r : UNRESOLVED_ufixed; constant round_style : fixed_round_style_type := fixed_round_style; constant guard_bits : NATURAL := fixed_guard_bits) return UNRESOLVED_ufixed; -- sfixed (a downto b) mod sfixed (c downto d) -- = sfixed (c downto minimum(b, d)) function modulo ( l, r : UNRESOLVED_sfixed; constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; constant round_style : fixed_round_style_type := fixed_round_style; constant guard_bits : NATURAL := fixed_guard_bits) return UNRESOLVED_sfixed; -- Procedure for those who need an "accumulator" function. -- add_carry (ufixed(a downto b), ufixed (c downto d)) -- = ufixed (maximum(a,c) downto minimum(b,d)) procedure add_carry ( L, R : in UNRESOLVED_ufixed; c_in : in STD_ULOGIC; result : out UNRESOLVED_ufixed; c_out : out STD_ULOGIC); -- add_carry (sfixed(a downto b), sfixed (c downto d)) -- = sfixed (maximum(a,c) downto minimum(b,d)) procedure add_carry ( L, R : in UNRESOLVED_sfixed; c_in : in STD_ULOGIC; result : out UNRESOLVED_sfixed; c_out : out STD_ULOGIC); -- Scales the result by a power of 2. Width of input = width of output with -- the binary point moved. function scalb (y : UNRESOLVED_ufixed; N : INTEGER) return UNRESOLVED_ufixed; function scalb (y : UNRESOLVED_ufixed; N : SIGNED) return UNRESOLVED_ufixed; function scalb (y : UNRESOLVED_sfixed; N : INTEGER) return UNRESOLVED_sfixed; function scalb (y : UNRESOLVED_sfixed; N : SIGNED) return UNRESOLVED_sfixed; function Is_Negative (arg : UNRESOLVED_sfixed) return BOOLEAN; --=========================================================================== -- Comparison Operators --=========================================================================== function ">" (l, r : UNRESOLVED_ufixed) return BOOLEAN; function ">" (l, r : UNRESOLVED_sfixed) return BOOLEAN; function "<" (l, r : UNRESOLVED_ufixed) return BOOLEAN; function "<" (l, r : UNRESOLVED_sfixed) return BOOLEAN; function "<=" (l, r : UNRESOLVED_ufixed) return BOOLEAN; function "<=" (l, r : UNRESOLVED_sfixed) return BOOLEAN; function ">=" (l, r : UNRESOLVED_ufixed) return BOOLEAN; function ">=" (l, r : UNRESOLVED_sfixed) return BOOLEAN; function "=" (l, r : UNRESOLVED_ufixed) return BOOLEAN; function "=" (l, r : UNRESOLVED_sfixed) return BOOLEAN; function "/=" (l, r : UNRESOLVED_ufixed) return BOOLEAN; function "/=" (l, r : UNRESOLVED_sfixed) return BOOLEAN; function \?=\ (l, r : UNRESOLVED_ufixed) return STD_ULOGIC; function \?/=\ (l, r : UNRESOLVED_ufixed) return STD_ULOGIC; function \?>\ (l, r : UNRESOLVED_ufixed) return STD_ULOGIC; function \?>=\ (l, r : UNRESOLVED_ufixed) return STD_ULOGIC; function \?<\ (l, r : UNRESOLVED_ufixed) return STD_ULOGIC; function \?<=\ (l, r : UNRESOLVED_ufixed) return STD_ULOGIC; function \?=\ (l, r : UNRESOLVED_sfixed) return STD_ULOGIC; function \?/=\ (l, r : UNRESOLVED_sfixed) return STD_ULOGIC; function \?>\ (l, r : UNRESOLVED_sfixed) return STD_ULOGIC; function \?>=\ (l, r : UNRESOLVED_sfixed) return STD_ULOGIC; function \?<\ (l, r : UNRESOLVED_sfixed) return STD_ULOGIC; function \?<=\ (l, r : UNRESOLVED_sfixed) return STD_ULOGIC; function std_match (l, r : UNRESOLVED_ufixed) return BOOLEAN; function std_match (l, r : UNRESOLVED_sfixed) return BOOLEAN; -- Overloads the default "maximum" and "minimum" function function maximum (l, r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed; function minimum (l, r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed; function maximum (l, r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed; function minimum (l, r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed; ---------------------------------------------------------------------------- -- In these compare functions a natural is converted into a -- fixed point number of the bounds "maximum(l'high,0) downto 0" ---------------------------------------------------------------------------- function "=" (l : UNRESOLVED_ufixed; r : NATURAL) return BOOLEAN; function "/=" (l : UNRESOLVED_ufixed; r : NATURAL) return BOOLEAN; function ">=" (l : UNRESOLVED_ufixed; r : NATURAL) return BOOLEAN; function "<=" (l : UNRESOLVED_ufixed; r : NATURAL) return BOOLEAN; function ">" (l : UNRESOLVED_ufixed; r : NATURAL) return BOOLEAN; function "<" (l : UNRESOLVED_ufixed; r : NATURAL) return BOOLEAN; function "=" (l : NATURAL; r : UNRESOLVED_ufixed) return BOOLEAN; function "/=" (l : NATURAL; r : UNRESOLVED_ufixed) return BOOLEAN; function ">=" (l : NATURAL; r : UNRESOLVED_ufixed) return BOOLEAN; function "<=" (l : NATURAL; r : UNRESOLVED_ufixed) return BOOLEAN; function ">" (l : NATURAL; r : UNRESOLVED_ufixed) return BOOLEAN; function "<" (l : NATURAL; r : UNRESOLVED_ufixed) return BOOLEAN; function \?=\ (l : UNRESOLVED_ufixed; r : NATURAL) return STD_ULOGIC; function \?/=\ (l : UNRESOLVED_ufixed; r : NATURAL) return STD_ULOGIC; function \?>=\ (l : UNRESOLVED_ufixed; r : NATURAL) return STD_ULOGIC; function \?<=\ (l : UNRESOLVED_ufixed; r : NATURAL) return STD_ULOGIC; function \?>\ (l : UNRESOLVED_ufixed; r : NATURAL) return STD_ULOGIC; function \?<\ (l : UNRESOLVED_ufixed; r : NATURAL) return STD_ULOGIC; function \?=\ (l : NATURAL; r : UNRESOLVED_ufixed) return STD_ULOGIC; function \?/=\ (l : NATURAL; r : UNRESOLVED_ufixed) return STD_ULOGIC; function \?>=\ (l : NATURAL; r : UNRESOLVED_ufixed) return STD_ULOGIC; function \?<=\ (l : NATURAL; r : UNRESOLVED_ufixed) return STD_ULOGIC; function \?>\ (l : NATURAL; r : UNRESOLVED_ufixed) return STD_ULOGIC; function \?<\ (l : NATURAL; r : UNRESOLVED_ufixed) return STD_ULOGIC; function maximum (l : UNRESOLVED_ufixed; r : NATURAL) return UNRESOLVED_ufixed; function minimum (l : UNRESOLVED_ufixed; r : NATURAL) return UNRESOLVED_ufixed; function maximum (l : NATURAL; r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed; function minimum (l : NATURAL; r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed; ---------------------------------------------------------------------------- -- In these compare functions a real is converted into a -- fixed point number of the bounds "l'high+1 downto l'low" ---------------------------------------------------------------------------- function "=" (l : UNRESOLVED_ufixed; r : REAL) return BOOLEAN; function "/=" (l : UNRESOLVED_ufixed; r : REAL) return BOOLEAN; function ">=" (l : UNRESOLVED_ufixed; r : REAL) return BOOLEAN; function "<=" (l : UNRESOLVED_ufixed; r : REAL) return BOOLEAN; function ">" (l : UNRESOLVED_ufixed; r : REAL) return BOOLEAN; function "<" (l : UNRESOLVED_ufixed; r : REAL) return BOOLEAN; function "=" (l : REAL; r : UNRESOLVED_ufixed) return BOOLEAN; function "/=" (l : REAL; r : UNRESOLVED_ufixed) return BOOLEAN; function ">=" (l : REAL; r : UNRESOLVED_ufixed) return BOOLEAN; function "<=" (l : REAL; r : UNRESOLVED_ufixed) return BOOLEAN; function ">" (l : REAL; r : UNRESOLVED_ufixed) return BOOLEAN; function "<" (l : REAL; r : UNRESOLVED_ufixed) return BOOLEAN; function \?=\ (l : UNRESOLVED_ufixed; r : REAL) return STD_ULOGIC; function \?/=\ (l : UNRESOLVED_ufixed; r : REAL) return STD_ULOGIC; function \?>=\ (l : UNRESOLVED_ufixed; r : REAL) return STD_ULOGIC; function \?<=\ (l : UNRESOLVED_ufixed; r : REAL) return STD_ULOGIC; function \?>\ (l : UNRESOLVED_ufixed; r : REAL) return STD_ULOGIC; function \?<\ (l : UNRESOLVED_ufixed; r : REAL) return STD_ULOGIC; function \?=\ (l : REAL; r : UNRESOLVED_ufixed) return STD_ULOGIC; function \?/=\ (l : REAL; r : UNRESOLVED_ufixed) return STD_ULOGIC; function \?>=\ (l : REAL; r : UNRESOLVED_ufixed) return STD_ULOGIC; function \?<=\ (l : REAL; r : UNRESOLVED_ufixed) return STD_ULOGIC; function \?>\ (l : REAL; r : UNRESOLVED_ufixed) return STD_ULOGIC; function \?<\ (l : REAL; r : UNRESOLVED_ufixed) return STD_ULOGIC; function maximum (l : UNRESOLVED_ufixed; r : REAL) return UNRESOLVED_ufixed; function maximum (l : REAL; r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed; function minimum (l : UNRESOLVED_ufixed; r : REAL) return UNRESOLVED_ufixed; function minimum (l : REAL; r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed; ---------------------------------------------------------------------------- -- In these compare functions an integer is converted into a -- fixed point number of the bounds "maximum(l'high,1) downto 0" ---------------------------------------------------------------------------- function "=" (l : UNRESOLVED_sfixed; r : INTEGER) return BOOLEAN; function "/=" (l : UNRESOLVED_sfixed; r : INTEGER) return BOOLEAN; function ">=" (l : UNRESOLVED_sfixed; r : INTEGER) return BOOLEAN; function "<=" (l : UNRESOLVED_sfixed; r : INTEGER) return BOOLEAN; function ">" (l : UNRESOLVED_sfixed; r : INTEGER) return BOOLEAN; function "<" (l : UNRESOLVED_sfixed; r : INTEGER) return BOOLEAN; function "=" (l : INTEGER; r : UNRESOLVED_sfixed) return BOOLEAN; function "/=" (l : INTEGER; r : UNRESOLVED_sfixed) return BOOLEAN; function ">=" (l : INTEGER; r : UNRESOLVED_sfixed) return BOOLEAN; function "<=" (l : INTEGER; r : UNRESOLVED_sfixed) return BOOLEAN; function ">" (l : INTEGER; r : UNRESOLVED_sfixed) return BOOLEAN; function "<" (l : INTEGER; r : UNRESOLVED_sfixed) return BOOLEAN; function \?=\ (l : UNRESOLVED_sfixed; r : INTEGER) return STD_ULOGIC; function \?/=\ (l : UNRESOLVED_sfixed; r : INTEGER) return STD_ULOGIC; function \?>=\ (l : UNRESOLVED_sfixed; r : INTEGER) return STD_ULOGIC; function \?<=\ (l : UNRESOLVED_sfixed; r : INTEGER) return STD_ULOGIC; function \?>\ (l : UNRESOLVED_sfixed; r : INTEGER) return STD_ULOGIC; function \?<\ (l : UNRESOLVED_sfixed; r : INTEGER) return STD_ULOGIC; function \?=\ (l : INTEGER; r : UNRESOLVED_sfixed) return STD_ULOGIC; function \?/=\ (l : INTEGER; r : UNRESOLVED_sfixed) return STD_ULOGIC; function \?>=\ (l : INTEGER; r : UNRESOLVED_sfixed) return STD_ULOGIC; function \?<=\ (l : INTEGER; r : UNRESOLVED_sfixed) return STD_ULOGIC; function \?>\ (l : INTEGER; r : UNRESOLVED_sfixed) return STD_ULOGIC; function \?<\ (l : INTEGER; r : UNRESOLVED_sfixed) return STD_ULOGIC; function maximum (l : UNRESOLVED_sfixed; r : INTEGER) return UNRESOLVED_sfixed; function maximum (l : INTEGER; r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed; function minimum (l : UNRESOLVED_sfixed; r : INTEGER) return UNRESOLVED_sfixed; function minimum (l : INTEGER; r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed; ---------------------------------------------------------------------------- -- In these compare functions a real is converted into a -- fixed point number of the bounds "l'high+1 downto l'low" ---------------------------------------------------------------------------- function "=" (l : UNRESOLVED_sfixed; r : REAL) return BOOLEAN; function "/=" (l : UNRESOLVED_sfixed; r : REAL) return BOOLEAN; function ">=" (l : UNRESOLVED_sfixed; r : REAL) return BOOLEAN; function "<=" (l : UNRESOLVED_sfixed; r : REAL) return BOOLEAN; function ">" (l : UNRESOLVED_sfixed; r : REAL) return BOOLEAN; function "<" (l : UNRESOLVED_sfixed; r : REAL) return BOOLEAN; function "=" (l : REAL; r : UNRESOLVED_sfixed) return BOOLEAN; function "/=" (l : REAL; r : UNRESOLVED_sfixed) return BOOLEAN; function ">=" (l : REAL; r : UNRESOLVED_sfixed) return BOOLEAN; function "<=" (l : REAL; r : UNRESOLVED_sfixed) return BOOLEAN; function ">" (l : REAL; r : UNRESOLVED_sfixed) return BOOLEAN; function "<" (l : REAL; r : UNRESOLVED_sfixed) return BOOLEAN; function \?=\ (l : UNRESOLVED_sfixed; r : REAL) return STD_ULOGIC; function \?/=\ (l : UNRESOLVED_sfixed; r : REAL) return STD_ULOGIC; function \?>=\ (l : UNRESOLVED_sfixed; r : REAL) return STD_ULOGIC; function \?<=\ (l : UNRESOLVED_sfixed; r : REAL) return STD_ULOGIC; function \?>\ (l : UNRESOLVED_sfixed; r : REAL) return STD_ULOGIC; function \?<\ (l : UNRESOLVED_sfixed; r : REAL) return STD_ULOGIC; function \?=\ (l : REAL; r : UNRESOLVED_sfixed) return STD_ULOGIC; function \?/=\ (l : REAL; r : UNRESOLVED_sfixed) return STD_ULOGIC; function \?>=\ (l : REAL; r : UNRESOLVED_sfixed) return STD_ULOGIC; function \?<=\ (l : REAL; r : UNRESOLVED_sfixed) return STD_ULOGIC; function \?>\ (l : REAL; r : UNRESOLVED_sfixed) return STD_ULOGIC; function \?<\ (l : REAL; r : UNRESOLVED_sfixed) return STD_ULOGIC; function maximum (l : UNRESOLVED_sfixed; r : REAL) return UNRESOLVED_sfixed; function maximum (l : REAL; r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed; function minimum (l : UNRESOLVED_sfixed; r : REAL) return UNRESOLVED_sfixed; function minimum (l : REAL; r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed; --=========================================================================== -- Shift and Rotate Functions. -- Note that sra and sla are not the same as the BIT_VECTOR version --=========================================================================== function "sll" (ARG : UNRESOLVED_ufixed; COUNT : INTEGER) return UNRESOLVED_ufixed; function "srl" (ARG : UNRESOLVED_ufixed; COUNT : INTEGER) return UNRESOLVED_ufixed; function "rol" (ARG : UNRESOLVED_ufixed; COUNT : INTEGER) return UNRESOLVED_ufixed; function "ror" (ARG : UNRESOLVED_ufixed; COUNT : INTEGER) return UNRESOLVED_ufixed; function "sla" (ARG : UNRESOLVED_ufixed; COUNT : INTEGER) return UNRESOLVED_ufixed; function "sra" (ARG : UNRESOLVED_ufixed; COUNT : INTEGER) return UNRESOLVED_ufixed; function "sll" (ARG : UNRESOLVED_sfixed; COUNT : INTEGER) return UNRESOLVED_sfixed; function "srl" (ARG : UNRESOLVED_sfixed; COUNT : INTEGER) return UNRESOLVED_sfixed; function "rol" (ARG : UNRESOLVED_sfixed; COUNT : INTEGER) return UNRESOLVED_sfixed; function "ror" (ARG : UNRESOLVED_sfixed; COUNT : INTEGER) return UNRESOLVED_sfixed; function "sla" (ARG : UNRESOLVED_sfixed; COUNT : INTEGER) return UNRESOLVED_sfixed; function "sra" (ARG : UNRESOLVED_sfixed; COUNT : INTEGER) return UNRESOLVED_sfixed; function SHIFT_LEFT (ARG : UNRESOLVED_ufixed; COUNT : NATURAL) return UNRESOLVED_ufixed; function SHIFT_RIGHT (ARG : UNRESOLVED_ufixed; COUNT : NATURAL) return UNRESOLVED_ufixed; function SHIFT_LEFT (ARG : UNRESOLVED_sfixed; COUNT : NATURAL) return UNRESOLVED_sfixed; function SHIFT_RIGHT (ARG : UNRESOLVED_sfixed; COUNT : NATURAL) return UNRESOLVED_sfixed; ---------------------------------------------------------------------------- -- logical functions ---------------------------------------------------------------------------- function "not" (l : UNRESOLVED_ufixed) return UNRESOLVED_ufixed; function "and" (l, r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed; function "or" (l, r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed; function "nand" (l, r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed; function "nor" (l, r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed; function "xor" (l, r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed; function "xnor" (l, r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed; function "not" (l : UNRESOLVED_sfixed) return UNRESOLVED_sfixed; function "and" (l, r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed; function "or" (l, r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed; function "nand" (l, r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed; function "nor" (l, r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed; function "xor" (l, r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed; function "xnor" (l, r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed; -- Vector and std_ulogic functions, same as functions in numeric_std function "and" (l : STD_ULOGIC; r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed; function "and" (l : UNRESOLVED_ufixed; r : STD_ULOGIC) return UNRESOLVED_ufixed; function "or" (l : STD_ULOGIC; r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed; function "or" (l : UNRESOLVED_ufixed; r : STD_ULOGIC) return UNRESOLVED_ufixed; function "nand" (l : STD_ULOGIC; r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed; function "nand" (l : UNRESOLVED_ufixed; r : STD_ULOGIC) return UNRESOLVED_ufixed; function "nor" (l : STD_ULOGIC; r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed; function "nor" (l : UNRESOLVED_ufixed; r : STD_ULOGIC) return UNRESOLVED_ufixed; function "xor" (l : STD_ULOGIC; r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed; function "xor" (l : UNRESOLVED_ufixed; r : STD_ULOGIC) return UNRESOLVED_ufixed; function "xnor" (l : STD_ULOGIC; r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed; function "xnor" (l : UNRESOLVED_ufixed; r : STD_ULOGIC) return UNRESOLVED_ufixed; function "and" (l : STD_ULOGIC; r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed; function "and" (l : UNRESOLVED_sfixed; r : STD_ULOGIC) return UNRESOLVED_sfixed; function "or" (l : STD_ULOGIC; r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed; function "or" (l : UNRESOLVED_sfixed; r : STD_ULOGIC) return UNRESOLVED_sfixed; function "nand" (l : STD_ULOGIC; r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed; function "nand" (l : UNRESOLVED_sfixed; r : STD_ULOGIC) return UNRESOLVED_sfixed; function "nor" (l : STD_ULOGIC; r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed; function "nor" (l : UNRESOLVED_sfixed; r : STD_ULOGIC) return UNRESOLVED_sfixed; function "xor" (l : STD_ULOGIC; r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed; function "xor" (l : UNRESOLVED_sfixed; r : STD_ULOGIC) return UNRESOLVED_sfixed; function "xnor" (l : STD_ULOGIC; r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed; function "xnor" (l : UNRESOLVED_sfixed; r : STD_ULOGIC) return UNRESOLVED_sfixed; -- Reduction operators, same as numeric_std functions function and_reduce (l : UNRESOLVED_ufixed) return STD_ULOGIC; function nand_reduce (l : UNRESOLVED_ufixed) return STD_ULOGIC; function or_reduce (l : UNRESOLVED_ufixed) return STD_ULOGIC; function nor_reduce (l : UNRESOLVED_ufixed) return STD_ULOGIC; function xor_reduce (l : UNRESOLVED_ufixed) return STD_ULOGIC; function xnor_reduce (l : UNRESOLVED_ufixed) return STD_ULOGIC; function and_reduce (l : UNRESOLVED_sfixed) return STD_ULOGIC; function nand_reduce (l : UNRESOLVED_sfixed) return STD_ULOGIC; function or_reduce (l : UNRESOLVED_sfixed) return STD_ULOGIC; function nor_reduce (l : UNRESOLVED_sfixed) return STD_ULOGIC; function xor_reduce (l : UNRESOLVED_sfixed) return STD_ULOGIC; function xnor_reduce (l : UNRESOLVED_sfixed) return STD_ULOGIC; -- returns arg'low-1 if not found function find_leftmost (arg : UNRESOLVED_ufixed; y : STD_ULOGIC) return INTEGER; function find_leftmost (arg : UNRESOLVED_sfixed; y : STD_ULOGIC) return INTEGER; -- returns arg'high+1 if not found function find_rightmost (arg : UNRESOLVED_ufixed; y : STD_ULOGIC) return INTEGER; function find_rightmost (arg : UNRESOLVED_sfixed; y : STD_ULOGIC) return INTEGER; --=========================================================================== -- RESIZE Functions --=========================================================================== -- resizes the number (larger or smaller) -- The returned result will be ufixed (left_index downto right_index) -- If "round_style" is fixed_round, then the result will be rounded. -- If the MSB of the remainder is a "1" AND the LSB of the unrounded result -- is a '1' or the lower bits of the remainder include a '1' then the result -- will be increased by the smallest representable number for that type. -- "overflow_style" can be fixed_saturate or fixed_wrap. -- In saturate mode, if the number overflows then the largest possible -- representable number is returned. If wrap mode, then the upper bits -- of the number are truncated. function resize ( arg : UNRESOLVED_ufixed; -- input constant left_index : INTEGER; -- integer portion constant right_index : INTEGER; -- size of fraction constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; constant round_style : fixed_round_style_type := fixed_round_style) return UNRESOLVED_ufixed; -- "size_res" functions create the size of the output from the indices -- of the "size_res" input. The actual value of "size_res" is not used. function resize ( arg : UNRESOLVED_ufixed; -- input size_res : UNRESOLVED_ufixed; -- for size only constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; constant round_style : fixed_round_style_type := fixed_round_style) return UNRESOLVED_ufixed; -- Note that in "wrap" mode the sign bit is not replicated. Thus the -- resize of a negative number can have a positive result in wrap mode. function resize ( arg : UNRESOLVED_sfixed; -- input constant left_index : INTEGER; -- integer portion constant right_index : INTEGER; -- size of fraction constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; constant round_style : fixed_round_style_type := fixed_round_style) return UNRESOLVED_sfixed; function resize ( arg : UNRESOLVED_sfixed; -- input size_res : UNRESOLVED_sfixed; -- for size only constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; constant round_style : fixed_round_style_type := fixed_round_style) return UNRESOLVED_sfixed; --=========================================================================== -- Conversion Functions --=========================================================================== -- integer (natural) to unsigned fixed point. -- arguments are the upper and lower bounds of the number, thus -- ufixed (7 downto -3) <= to_ufixed (int, 7, -3); function to_ufixed ( arg : NATURAL; -- integer constant left_index : INTEGER; -- left index (high index) constant right_index : INTEGER := 0; -- right index constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; constant round_style : fixed_round_style_type := fixed_round_style) return UNRESOLVED_ufixed; function to_ufixed ( arg : NATURAL; -- integer size_res : UNRESOLVED_ufixed; -- for size only constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; constant round_style : fixed_round_style_type := fixed_round_style) return UNRESOLVED_ufixed; -- real to unsigned fixed point function to_ufixed ( arg : REAL; -- real constant left_index : INTEGER; -- left index (high index) constant right_index : INTEGER; -- right index constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; constant round_style : fixed_round_style_type := fixed_round_style; constant guard_bits : NATURAL := fixed_guard_bits) return UNRESOLVED_ufixed; function to_ufixed ( arg : REAL; -- real size_res : UNRESOLVED_ufixed; -- for size only constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; constant round_style : fixed_round_style_type := fixed_round_style; constant guard_bits : NATURAL := fixed_guard_bits) return UNRESOLVED_ufixed; -- unsigned to unsigned fixed point function to_ufixed ( arg : UNSIGNED; -- unsigned constant left_index : INTEGER; -- left index (high index) constant right_index : INTEGER := 0; -- right index constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; constant round_style : fixed_round_style_type := fixed_round_style) return UNRESOLVED_ufixed; function to_ufixed ( arg : UNSIGNED; -- unsigned size_res : UNRESOLVED_ufixed; -- for size only constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; constant round_style : fixed_round_style_type := fixed_round_style) return UNRESOLVED_ufixed; -- Performs a conversion. ufixed (arg'range) is returned function to_ufixed ( arg : UNSIGNED) -- unsigned return UNRESOLVED_ufixed; -- unsigned fixed point to unsigned function to_unsigned ( arg : UNRESOLVED_ufixed; -- fixed point input constant size : NATURAL; -- length of output constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; constant round_style : fixed_round_style_type := fixed_round_style) return UNSIGNED; -- unsigned fixed point to unsigned function to_unsigned ( arg : UNRESOLVED_ufixed; -- fixed point input size_res : UNSIGNED; -- used for length of output constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; constant round_style : fixed_round_style_type := fixed_round_style) return UNSIGNED; -- unsigned fixed point to real function to_real ( arg : UNRESOLVED_ufixed) -- fixed point input return REAL; -- unsigned fixed point to integer function to_integer ( arg : UNRESOLVED_ufixed; -- fixed point input constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; constant round_style : fixed_round_style_type := fixed_round_style) return NATURAL; -- Integer to UNRESOLVED_sfixed function to_sfixed ( arg : INTEGER; -- integer constant left_index : INTEGER; -- left index (high index) constant right_index : INTEGER := 0; -- right index constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; constant round_style : fixed_round_style_type := fixed_round_style) return UNRESOLVED_sfixed; function to_sfixed ( arg : INTEGER; -- integer size_res : UNRESOLVED_sfixed; -- for size only constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; constant round_style : fixed_round_style_type := fixed_round_style) return UNRESOLVED_sfixed; -- Real to sfixed function to_sfixed ( arg : REAL; -- real constant left_index : INTEGER; -- left index (high index) constant right_index : INTEGER; -- right index constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; constant round_style : fixed_round_style_type := fixed_round_style; constant guard_bits : NATURAL := fixed_guard_bits) return UNRESOLVED_sfixed; function to_sfixed ( arg : REAL; -- real size_res : UNRESOLVED_sfixed; -- for size only constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; constant round_style : fixed_round_style_type := fixed_round_style; constant guard_bits : NATURAL := fixed_guard_bits) return UNRESOLVED_sfixed; -- signed to sfixed function to_sfixed ( arg : SIGNED; -- signed constant left_index : INTEGER; -- left index (high index) constant right_index : INTEGER := 0; -- right index constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; constant round_style : fixed_round_style_type := fixed_round_style) return UNRESOLVED_sfixed; function to_sfixed ( arg : SIGNED; -- signed size_res : UNRESOLVED_sfixed; -- for size only constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; constant round_style : fixed_round_style_type := fixed_round_style) return UNRESOLVED_sfixed; -- signed to sfixed (output assumed to be size of signed input) function to_sfixed ( arg : SIGNED) -- signed return UNRESOLVED_sfixed; -- Conversion from ufixed to sfixed function to_sfixed ( arg : UNRESOLVED_ufixed) return UNRESOLVED_sfixed; -- signed fixed point to signed function to_signed ( arg : UNRESOLVED_sfixed; -- fixed point input constant size : NATURAL; -- length of output constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; constant round_style : fixed_round_style_type := fixed_round_style) return SIGNED; -- signed fixed point to signed function to_signed ( arg : UNRESOLVED_sfixed; -- fixed point input size_res : SIGNED; -- used for length of output constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; constant round_style : fixed_round_style_type := fixed_round_style) return SIGNED; -- signed fixed point to real function to_real ( arg : UNRESOLVED_sfixed) -- fixed point input return REAL; -- signed fixed point to integer function to_integer ( arg : UNRESOLVED_sfixed; -- fixed point input constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; constant round_style : fixed_round_style_type := fixed_round_style) return INTEGER; -- Because of the fairly complicated sizing rules in the fixed point -- packages these functions are provided to compute the result ranges -- Example: -- signal uf1 : ufixed (3 downto -3); -- signal uf2 : ufixed (4 downto -2); -- signal uf1multuf2 : ufixed (ufixed_high (3, -3, '*', 4, -2) downto -- ufixed_low (3, -3, '*', 4, -2)); -- uf1multuf2 <= uf1 * uf2; -- Valid characters: '+', '-', '*', '/', 'r' or 'R' (rem), 'm' or 'M' (mod), -- '1' (reciprocal), 'a' or 'A' (abs), 'n' or 'N' (unary -) function ufixed_high (left_index, right_index : INTEGER; operation : CHARACTER := 'X'; left_index2, right_index2 : INTEGER := 0) return INTEGER; function ufixed_low (left_index, right_index : INTEGER; operation : CHARACTER := 'X'; left_index2, right_index2 : INTEGER := 0) return INTEGER; function sfixed_high (left_index, right_index : INTEGER; operation : CHARACTER := 'X'; left_index2, right_index2 : INTEGER := 0) return INTEGER; function sfixed_low (left_index, right_index : INTEGER; operation : CHARACTER := 'X'; left_index2, right_index2 : INTEGER := 0) return INTEGER; -- Same as above, but using the "size_res" input only for their ranges: -- signal uf1multuf2 : ufixed (ufixed_high (uf1, '*', uf2) downto -- ufixed_low (uf1, '*', uf2)); -- uf1multuf2 <= uf1 * uf2; -- function ufixed_high (size_res : UNRESOLVED_ufixed; operation : CHARACTER := 'X'; size_res2 : UNRESOLVED_ufixed) return INTEGER; function ufixed_low (size_res : UNRESOLVED_ufixed; operation : CHARACTER := 'X'; size_res2 : UNRESOLVED_ufixed) return INTEGER; function sfixed_high (size_res : UNRESOLVED_sfixed; operation : CHARACTER := 'X'; size_res2 : UNRESOLVED_sfixed) return INTEGER; function sfixed_low (size_res : UNRESOLVED_sfixed; operation : CHARACTER := 'X'; size_res2 : UNRESOLVED_sfixed) return INTEGER; -- purpose: returns a saturated number function saturate ( constant left_index : INTEGER; constant right_index : INTEGER) return UNRESOLVED_ufixed; -- purpose: returns a saturated number function saturate ( constant left_index : INTEGER; constant right_index : INTEGER) return UNRESOLVED_sfixed; function saturate ( size_res : UNRESOLVED_ufixed) -- only the size of this is used return UNRESOLVED_ufixed; function saturate ( size_res : UNRESOLVED_sfixed) -- only the size of this is used return UNRESOLVED_sfixed; --=========================================================================== -- Translation Functions --=========================================================================== -- maps meta-logical values function to_01 ( s : UNRESOLVED_ufixed; -- fixed point input constant XMAP : STD_ULOGIC := '0') -- Map x to return UNRESOLVED_ufixed; -- maps meta-logical values function to_01 ( s : UNRESOLVED_sfixed; -- fixed point input constant XMAP : STD_ULOGIC := '0') -- Map x to return UNRESOLVED_sfixed; function Is_X (arg : UNRESOLVED_ufixed) return BOOLEAN; function Is_X (arg : UNRESOLVED_sfixed) return BOOLEAN; function to_X01 (arg : UNRESOLVED_ufixed) return UNRESOLVED_ufixed; function to_X01 (arg : UNRESOLVED_sfixed) return UNRESOLVED_sfixed; function to_X01Z (arg : UNRESOLVED_ufixed) return UNRESOLVED_ufixed; function to_X01Z (arg : UNRESOLVED_sfixed) return UNRESOLVED_sfixed; function to_UX01 (arg : UNRESOLVED_ufixed) return UNRESOLVED_ufixed; function to_UX01 (arg : UNRESOLVED_sfixed) return UNRESOLVED_sfixed; -- straight vector conversion routines, needed for synthesis. -- These functions are here so that a std_logic_vector can be -- converted to and from sfixed and ufixed. Note that you can -- not convert these vectors because of their negative index. function to_slv ( arg : UNRESOLVED_ufixed) -- fixed point vector return STD_LOGIC_VECTOR; alias to_StdLogicVector is to_slv [UNRESOLVED_ufixed return STD_LOGIC_VECTOR]; alias to_Std_Logic_Vector is to_slv [UNRESOLVED_ufixed return STD_LOGIC_VECTOR]; function to_slv ( arg : UNRESOLVED_sfixed) -- fixed point vector return STD_LOGIC_VECTOR; alias to_StdLogicVector is to_slv [UNRESOLVED_sfixed return STD_LOGIC_VECTOR]; alias to_Std_Logic_Vector is to_slv [UNRESOLVED_sfixed return STD_LOGIC_VECTOR]; function to_sulv ( arg : UNRESOLVED_ufixed) -- fixed point vector return STD_ULOGIC_VECTOR; alias to_StdULogicVector is to_sulv [UNRESOLVED_ufixed return STD_ULOGIC_VECTOR]; alias to_Std_ULogic_Vector is to_sulv [UNRESOLVED_ufixed return STD_ULOGIC_VECTOR]; function to_sulv ( arg : UNRESOLVED_sfixed) -- fixed point vector return STD_ULOGIC_VECTOR; alias to_StdULogicVector is to_sulv [UNRESOLVED_sfixed return STD_ULOGIC_VECTOR]; alias to_Std_ULogic_Vector is to_sulv [UNRESOLVED_sfixed return STD_ULOGIC_VECTOR]; function to_ufixed ( arg : STD_ULOGIC_VECTOR; -- shifted vector constant left_index : INTEGER; constant right_index : INTEGER) return UNRESOLVED_ufixed; function to_ufixed ( arg : STD_ULOGIC_VECTOR; -- shifted vector size_res : UNRESOLVED_ufixed) -- for size only return UNRESOLVED_ufixed; function to_sfixed ( arg : STD_ULOGIC_VECTOR; -- shifted vector constant left_index : INTEGER; constant right_index : INTEGER) return UNRESOLVED_sfixed; function to_sfixed ( arg : STD_ULOGIC_VECTOR; -- shifted vector size_res : UNRESOLVED_sfixed) -- for size only return UNRESOLVED_sfixed; -- As a concession to those who use a graphical DSP environment, -- these functions take parameters in those tools format and create -- fixed point numbers. These functions are designed to convert from -- a std_logic_vector to the VHDL fixed point format using the conventions -- of these packages. In a pure VHDL environment you should use the -- "to_ufixed" and "to_sfixed" routines. -- unsigned fixed point function to_UFix ( arg : STD_ULOGIC_VECTOR; width : NATURAL; -- width of vector fraction : NATURAL) -- width of fraction return UNRESOLVED_ufixed; -- signed fixed point function to_SFix ( arg : STD_ULOGIC_VECTOR; width : NATURAL; -- width of vector fraction : NATURAL) -- width of fraction return UNRESOLVED_sfixed; -- finding the bounds of a number. These functions can be used like this: -- signal xxx : ufixed (7 downto -3); -- -- Which is the same as "ufixed (UFix_high (11,3) downto UFix_low(11,3))" -- signal yyy : ufixed (UFix_high (11, 3, "+", 11, 3) -- downto UFix_low(11, 3, "+", 11, 3)); -- Where "11" is the width of xxx (xxx'length), -- and 3 is the lower bound (abs (xxx'low)) -- In a pure VHDL environment use "ufixed_high" and "ufixed_low" function UFix_high (width, fraction : NATURAL; operation : CHARACTER := 'X'; width2, fraction2 : NATURAL := 0) return INTEGER; function UFix_low (width, fraction : NATURAL; operation : CHARACTER := 'X'; width2, fraction2 : NATURAL := 0) return INTEGER; -- Same as above but for signed fixed point. Note that the width -- of a signed fixed point number ignores the sign bit, thus -- width = sxxx'length-1 function SFix_high (width, fraction : NATURAL; operation : CHARACTER := 'X'; width2, fraction2 : NATURAL := 0) return INTEGER; function SFix_low (width, fraction : NATURAL; operation : CHARACTER := 'X'; width2, fraction2 : NATURAL := 0) return INTEGER; -- rtl_synthesis off -- pragma synthesis_off --=========================================================================== -- string and textio Functions --=========================================================================== -- purpose: writes fixed point into a line procedure WRITE ( L : inout LINE; -- input line VALUE : in UNRESOLVED_ufixed; -- fixed point input JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0); -- purpose: writes fixed point into a line procedure WRITE ( L : inout LINE; -- input line VALUE : in UNRESOLVED_sfixed; -- fixed point input JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0); procedure READ(L : inout LINE; VALUE : out UNRESOLVED_ufixed); procedure READ(L : inout LINE; VALUE : out UNRESOLVED_ufixed; GOOD : out BOOLEAN); procedure READ(L : inout LINE; VALUE : out UNRESOLVED_sfixed); procedure READ(L : inout LINE; VALUE : out UNRESOLVED_sfixed; GOOD : out BOOLEAN); alias bwrite is WRITE [LINE, UNRESOLVED_ufixed, SIDE, width]; alias bwrite is WRITE [LINE, UNRESOLVED_sfixed, SIDE, width]; alias bread is READ [LINE, UNRESOLVED_ufixed]; alias bread is READ [LINE, UNRESOLVED_ufixed, BOOLEAN]; alias bread is READ [LINE, UNRESOLVED_sfixed]; alias bread is READ [LINE, UNRESOLVED_sfixed, BOOLEAN]; alias BINARY_WRITE is WRITE [LINE, UNRESOLVED_ufixed, SIDE, width]; alias BINARY_WRITE is WRITE [LINE, UNRESOLVED_sfixed, SIDE, width]; alias BINARY_READ is READ [LINE, UNRESOLVED_ufixed, BOOLEAN]; alias BINARY_READ is READ [LINE, UNRESOLVED_ufixed]; alias BINARY_READ is READ [LINE, UNRESOLVED_sfixed, BOOLEAN]; alias BINARY_READ is READ [LINE, UNRESOLVED_sfixed]; -- octal read and write procedure OWRITE ( L : inout LINE; -- input line VALUE : in UNRESOLVED_ufixed; -- fixed point input JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0); procedure OWRITE ( L : inout LINE; -- input line VALUE : in UNRESOLVED_sfixed; -- fixed point input JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0); procedure OREAD(L : inout LINE; VALUE : out UNRESOLVED_ufixed); procedure OREAD(L : inout LINE; VALUE : out UNRESOLVED_ufixed; GOOD : out BOOLEAN); procedure OREAD(L : inout LINE; VALUE : out UNRESOLVED_sfixed); procedure OREAD(L : inout LINE; VALUE : out UNRESOLVED_sfixed; GOOD : out BOOLEAN); alias OCTAL_READ is OREAD [LINE, UNRESOLVED_ufixed, BOOLEAN]; alias OCTAL_READ is OREAD [LINE, UNRESOLVED_ufixed]; alias OCTAL_READ is OREAD [LINE, UNRESOLVED_sfixed, BOOLEAN]; alias OCTAL_READ is OREAD [LINE, UNRESOLVED_sfixed]; alias OCTAL_WRITE is OWRITE [LINE, UNRESOLVED_ufixed, SIDE, WIDTH]; alias OCTAL_WRITE is OWRITE [LINE, UNRESOLVED_sfixed, SIDE, WIDTH]; -- hex read and write procedure HWRITE ( L : inout LINE; -- input line VALUE : in UNRESOLVED_ufixed; -- fixed point input JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0); -- purpose: writes fixed point into a line procedure HWRITE ( L : inout LINE; -- input line VALUE : in UNRESOLVED_sfixed; -- fixed point input JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0); procedure HREAD(L : inout LINE; VALUE : out UNRESOLVED_ufixed); procedure HREAD(L : inout LINE; VALUE : out UNRESOLVED_ufixed; GOOD : out BOOLEAN); procedure HREAD(L : inout LINE; VALUE : out UNRESOLVED_sfixed); procedure HREAD(L : inout LINE; VALUE : out UNRESOLVED_sfixed; GOOD : out BOOLEAN); alias HEX_READ is HREAD [LINE, UNRESOLVED_ufixed, BOOLEAN]; alias HEX_READ is HREAD [LINE, UNRESOLVED_sfixed, BOOLEAN]; alias HEX_READ is HREAD [LINE, UNRESOLVED_ufixed]; alias HEX_READ is HREAD [LINE, UNRESOLVED_sfixed]; alias HEX_WRITE is HWRITE [LINE, UNRESOLVED_ufixed, SIDE, WIDTH]; alias HEX_WRITE is HWRITE [LINE, UNRESOLVED_sfixed, SIDE, WIDTH]; -- returns a string, useful for: -- assert (x = y) report "error found " & to_string(x) severity error; function to_string (value : UNRESOLVED_ufixed) return STRING; alias to_bstring is to_string [UNRESOLVED_ufixed return STRING]; alias TO_BINARY_STRING is TO_STRING [UNRESOLVED_ufixed return STRING]; function to_ostring (value : UNRESOLVED_ufixed) return STRING; alias TO_OCTAL_STRING is TO_OSTRING [UNRESOLVED_ufixed return STRING]; function to_hstring (value : UNRESOLVED_ufixed) return STRING; alias TO_HEX_STRING is TO_HSTRING [UNRESOLVED_ufixed return STRING]; function to_string (value : UNRESOLVED_sfixed) return STRING; alias to_bstring is to_string [UNRESOLVED_sfixed return STRING]; alias TO_BINARY_STRING is TO_STRING [UNRESOLVED_sfixed return STRING]; function to_ostring (value : UNRESOLVED_sfixed) return STRING; alias TO_OCTAL_STRING is TO_OSTRING [UNRESOLVED_sfixed return STRING]; function to_hstring (value : UNRESOLVED_sfixed) return STRING; alias TO_HEX_STRING is TO_HSTRING [UNRESOLVED_sfixed return STRING]; -- From string functions allow you to convert a string into a fixed -- point number. Example: -- signal uf1 : ufixed (3 downto -3); -- uf1 <= from_string ("0110.100", uf1'high, uf1'low); -- 6.5 -- The "." is optional in this syntax, however it exist and is -- in the wrong location an error is produced. Overflow will -- result in saturation. function from_string ( bstring : STRING; -- binary string constant left_index : INTEGER; constant right_index : INTEGER) return UNRESOLVED_ufixed; alias from_bstring is from_string [STRING, INTEGER, INTEGER return UNRESOLVED_ufixed]; alias from_binary_string is from_string [STRING, INTEGER, INTEGER return UNRESOLVED_ufixed]; -- Octal and hex conversions work as follows: -- uf1 <= from_hstring ("6.8", 3, -3); -- 6.5 (bottom zeros dropped) -- uf1 <= from_ostring ("06.4", 3, -3); -- 6.5 (top zeros dropped) function from_ostring ( ostring : STRING; -- Octal string constant left_index : INTEGER; constant right_index : INTEGER) return UNRESOLVED_ufixed; alias from_octal_string is from_ostring [STRING, INTEGER, INTEGER return UNRESOLVED_ufixed]; function from_hstring ( hstring : STRING; -- hex string constant left_index : INTEGER; constant right_index : INTEGER) return UNRESOLVED_ufixed; alias from_hex_string is from_hstring [STRING, INTEGER, INTEGER return UNRESOLVED_ufixed]; function from_string ( bstring : STRING; -- binary string constant left_index : INTEGER; constant right_index : INTEGER) return UNRESOLVED_sfixed; alias from_bstring is from_string [STRING, INTEGER, INTEGER return UNRESOLVED_sfixed]; alias from_binary_string is from_string [STRING, INTEGER, INTEGER return UNRESOLVED_sfixed]; function from_ostring ( ostring : STRING; -- Octal string constant left_index : INTEGER; constant right_index : INTEGER) return UNRESOLVED_sfixed; alias from_octal_string is from_ostring [STRING, INTEGER, INTEGER return UNRESOLVED_sfixed]; function from_hstring ( hstring : STRING; -- hex string constant left_index : INTEGER; constant right_index : INTEGER) return UNRESOLVED_sfixed; alias from_hex_string is from_hstring [STRING, INTEGER, INTEGER return UNRESOLVED_sfixed]; -- Same as above, "size_res" is used for it's range only. function from_string ( bstring : STRING; -- binary string size_res : UNRESOLVED_ufixed) return UNRESOLVED_ufixed; alias from_bstring is from_string [STRING, UNRESOLVED_ufixed return UNRESOLVED_ufixed]; alias from_binary_string is from_string [STRING, UNRESOLVED_ufixed return UNRESOLVED_ufixed]; function from_ostring ( ostring : STRING; -- Octal string size_res : UNRESOLVED_ufixed) return UNRESOLVED_ufixed; alias from_octal_string is from_ostring [STRING, UNRESOLVED_ufixed return UNRESOLVED_ufixed]; function from_hstring ( hstring : STRING; -- hex string size_res : UNRESOLVED_ufixed) return UNRESOLVED_ufixed; alias from_hex_string is from_hstring [STRING, UNRESOLVED_ufixed return UNRESOLVED_ufixed]; function from_string ( bstring : STRING; -- binary string size_res : UNRESOLVED_sfixed) return UNRESOLVED_sfixed; alias from_bstring is from_string [STRING, UNRESOLVED_sfixed return UNRESOLVED_sfixed]; alias from_binary_string is from_string [STRING, UNRESOLVED_sfixed return UNRESOLVED_sfixed]; function from_ostring ( ostring : STRING; -- Octal string size_res : UNRESOLVED_sfixed) return UNRESOLVED_sfixed; alias from_octal_string is from_ostring [STRING, UNRESOLVED_sfixed return UNRESOLVED_sfixed]; function from_hstring ( hstring : STRING; -- hex string size_res : UNRESOLVED_sfixed) return UNRESOLVED_sfixed; alias from_hex_string is from_hstring [STRING, UNRESOLVED_sfixed return UNRESOLVED_sfixed]; -- Direct conversion functions. Example: -- signal uf1 : ufixed (3 downto -3); -- uf1 <= from_string ("0110.100"); -- 6.5 -- In this case the "." is not optional, and the size of -- the output must match exactly. function from_string ( bstring : STRING) -- binary string return UNRESOLVED_ufixed; alias from_bstring is from_string [STRING return UNRESOLVED_ufixed]; alias from_binary_string is from_string [STRING return UNRESOLVED_ufixed]; -- Direct octal and hex conversion functions. In this case -- the string lengths must match. Example: -- signal sf1 := sfixed (5 downto -3); -- sf1 <= from_ostring ("71.4") -- -6.5 function from_ostring ( ostring : STRING) -- Octal string return UNRESOLVED_ufixed; alias from_octal_string is from_ostring [STRING return UNRESOLVED_ufixed]; function from_hstring ( hstring : STRING) -- hex string return UNRESOLVED_ufixed; alias from_hex_string is from_hstring [STRING return UNRESOLVED_ufixed]; function from_string ( bstring : STRING) -- binary string return UNRESOLVED_sfixed; alias from_bstring is from_string [STRING return UNRESOLVED_sfixed]; alias from_binary_string is from_string [STRING return UNRESOLVED_sfixed]; function from_ostring ( ostring : STRING) -- Octal string return UNRESOLVED_sfixed; alias from_octal_string is from_ostring [STRING return UNRESOLVED_sfixed]; function from_hstring ( hstring : STRING) -- hex string return UNRESOLVED_sfixed; alias from_hex_string is from_hstring [STRING return UNRESOLVED_sfixed]; -- rtl_synthesis on -- pragma synthesis_on -- IN VHDL-2006 std_logic_vector is a subtype of std_ulogic_vector, so these -- extra functions are needed for compatability. function to_ufixed ( arg : STD_LOGIC_VECTOR; -- shifted vector constant left_index : INTEGER; constant right_index : INTEGER) return UNRESOLVED_ufixed; function to_ufixed ( arg : STD_LOGIC_VECTOR; -- shifted vector size_res : UNRESOLVED_ufixed) -- for size only return UNRESOLVED_ufixed; function to_sfixed ( arg : STD_LOGIC_VECTOR; -- shifted vector constant left_index : INTEGER; constant right_index : INTEGER) return UNRESOLVED_sfixed; function to_sfixed ( arg : STD_LOGIC_VECTOR; -- shifted vector size_res : UNRESOLVED_sfixed) -- for size only return UNRESOLVED_sfixed; -- unsigned fixed point function to_UFix ( arg : STD_LOGIC_VECTOR; width : NATURAL; -- width of vector fraction : NATURAL) -- width of fraction return UNRESOLVED_ufixed; -- signed fixed point function to_SFix ( arg : STD_LOGIC_VECTOR; width : NATURAL; -- width of vector fraction : NATURAL) -- width of fraction return UNRESOLVED_sfixed; end package fixed_pkg; ------------------------------------------------------------------------------- -- Proposed package body for the VHDL-200x-FT fixed_pkg package -- (Fixed point math package) -- This package body supplies a recommended implementation of these functions -- Version : $Revision: 2.0 $ -- Date : $Date: 2011/01/26 15:55:27 $ -- -- Created for VHDL-200X-ft, David Bishop ([email protected]) ------------------------------------------------------------------------------- library IEEE; use IEEE.MATH_REAL.all; package body fixed_pkg is -- Author David Bishop ([email protected]) -- Other contributers: Jim Lewis, Yannick Grugni, Ryan W. Hilton -- null array constants constant NAUF : UNRESOLVED_ufixed (0 downto 1) := (others => '0'); constant NASF : UNRESOLVED_sfixed (0 downto 1) := (others => '0'); constant NSLV : STD_ULOGIC_VECTOR (0 downto 1) := (others => '0'); -- This differed constant will tell you if the package body is synthesizable -- or implemented as real numbers, set to "true" if synthesizable. constant fixedsynth_or_real : BOOLEAN := true; -- %%% Replicated functions function maximum ( l, r : integer) -- inputs return integer is begin -- function max if l > r then return l; else return r; end if; end function maximum; function minimum ( l, r : integer) -- inputs return integer is begin -- function min if l > r then return r; else return l; end if; end function minimum; function "sra" (arg : SIGNED; count : INTEGER) return SIGNED is begin if (COUNT >= 0) then return SHIFT_RIGHT(arg, count); else return SHIFT_LEFT(arg, -count); end if; end function "sra"; function or_reduce (arg : STD_ULOGIC_VECTOR) return STD_LOGIC is variable Upper, Lower : STD_ULOGIC; variable Half : INTEGER; variable BUS_int : STD_ULOGIC_VECTOR (arg'length - 1 downto 0); variable Result : STD_ULOGIC; begin if (arg'length < 1) then -- In the case of a NULL range Result := '0'; else BUS_int := to_ux01 (arg); if (BUS_int'length = 1) then Result := BUS_int (BUS_int'left); elsif (BUS_int'length = 2) then Result := BUS_int (BUS_int'right) or BUS_int (BUS_int'left); else Half := (BUS_int'length + 1) / 2 + BUS_int'right; Upper := or_reduce (BUS_int (BUS_int'left downto Half)); Lower := or_reduce (BUS_int (Half - 1 downto BUS_int'right)); Result := Upper or Lower; end if; end if; return Result; end function or_reduce; -- purpose: AND all of the bits in a vector together -- This is a copy of the proposed "and_reduce" from 1076.3 function and_reduce (arg : STD_ULOGIC_VECTOR) return STD_LOGIC is variable Upper, Lower : STD_ULOGIC; variable Half : INTEGER; variable BUS_int : STD_ULOGIC_VECTOR (arg'length - 1 downto 0); variable Result : STD_ULOGIC; begin if (arg'length < 1) then -- In the case of a NULL range Result := '1'; else BUS_int := to_ux01 (arg); if (BUS_int'length = 1) then Result := BUS_int (BUS_int'left); elsif (BUS_int'length = 2) then Result := BUS_int (BUS_int'right) and BUS_int (BUS_int'left); else Half := (BUS_int'length + 1) / 2 + BUS_int'right; Upper := and_reduce (BUS_int (BUS_int'left downto Half)); Lower := and_reduce (BUS_int (Half - 1 downto BUS_int'right)); Result := Upper and Lower; end if; end if; return Result; end function and_reduce; function xor_reduce (arg : STD_ULOGIC_VECTOR) return STD_ULOGIC is variable Upper, Lower : STD_ULOGIC; variable Half : INTEGER; variable BUS_int : STD_ULOGIC_VECTOR (arg'length - 1 downto 0); variable Result : STD_ULOGIC := '0'; -- In the case of a NULL range begin if (arg'length >= 1) then BUS_int := to_ux01 (arg); if (BUS_int'length = 1) then Result := BUS_int (BUS_int'left); elsif (BUS_int'length = 2) then Result := BUS_int(BUS_int'right) xor BUS_int(BUS_int'left); else Half := (BUS_int'length + 1) / 2 + BUS_int'right; Upper := xor_reduce (BUS_int (BUS_int'left downto Half)); Lower := xor_reduce (BUS_int (Half - 1 downto BUS_int'right)); Result := Upper xor Lower; end if; end if; return Result; end function xor_reduce; function nand_reduce(arg : std_ulogic_vector) return STD_ULOGIC is begin return not and_reduce (arg); end function nand_reduce; function nor_reduce(arg : std_ulogic_vector) return STD_ULOGIC is begin return not or_reduce (arg); end function nor_reduce; function xnor_reduce(arg : std_ulogic_vector) return STD_ULOGIC is begin return not xor_reduce (arg); end function xnor_reduce; -- Match table, copied form new std_logic_1164 type stdlogic_table is array(STD_ULOGIC, STD_ULOGIC) of STD_ULOGIC; -- constant match_logic_table : stdlogic_table := ( -- ----------------------------------------------------- -- -- U X 0 1 Z W L H - | | -- ----------------------------------------------------- -- ('U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', '1'), -- | U | -- ('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '1'), -- | X | -- ('U', 'X', '1', '0', 'X', 'X', '1', '0', '1'), -- | 0 | -- ('U', 'X', '0', '1', 'X', 'X', '0', '1', '1'), -- | 1 | -- ('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '1'), -- | Z | -- ('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '1'), -- | W | -- ('U', 'X', '1', '0', 'X', 'X', '1', '0', '1'), -- | L | -- ('U', 'X', '0', '1', 'X', 'X', '0', '1', '1'), -- | H | -- ('1', '1', '1', '1', '1', '1', '1', '1', '1') -- | - | -- ); -- purpose: Syntheis verison of the match_logic_table function match_logic_table ( l, r : std_ulogic) return std_ulogic is variable lx, rx : STD_ULOGIC; begin -- match_logic_table lx := to_x01(l); rx := to_x01(r); if lx = 'X' or rx = 'X' then return 'X'; elsif lx = rx then return '1'; else return '0'; end if; end match_logic_table; -- constant no_match_logic_table : stdlogic_table := ( -- ----------------------------------------------------- -- -- U X 0 1 Z W L H - | | -- ----------------------------------------------------- -- ('U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', '0'), -- | U | -- ('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '0'), -- | X | -- ('U', 'X', '0', '1', 'X', 'X', '0', '1', '0'), -- | 0 | -- ('U', 'X', '1', '0', 'X', 'X', '1', '0', '0'), -- | 1 | -- ('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '0'), -- | Z | -- ('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '0'), -- | W | -- ('U', 'X', '0', '1', 'X', 'X', '0', '1', '0'), -- | L | -- ('U', 'X', '1', '0', 'X', 'X', '1', '0', '0'), -- | H | -- ('0', '0', '0', '0', '0', '0', '0', '0', '0') -- | - | -- ); function no_match_logic_table ( l, r : std_ulogic) return std_ulogic is begin -- no_match_logic_table return not match_logic_table (l, r); end no_match_logic_table; ------------------------------------------------------------------- -- ?= functions, Similar to "std_match", but returns "std_ulogic". ------------------------------------------------------------------- function \?=\ (l, r : STD_ULOGIC) return STD_ULOGIC is begin return match_logic_table (l, r); end function \?=\; function \?/=\ (l, r : STD_ULOGIC) return STD_ULOGIC is begin return no_match_logic_table (l, r); end function \?/=\; -- "?=" operator is similar to "std_match", but returns a std_ulogic.. -- Id: M.2B function \?=\ (L, R: UNSIGNED) return STD_ULOGIC is constant L_LEFT : INTEGER := L'LENGTH-1; constant R_LEFT : INTEGER := R'LENGTH-1; alias XL : UNSIGNED(L_LEFT downto 0) is L; alias XR : UNSIGNED(R_LEFT downto 0) is R; constant SIZE : NATURAL := MAXIMUM(L'LENGTH, R'LENGTH); variable LX : UNSIGNED(SIZE-1 downto 0); variable RX : UNSIGNED(SIZE-1 downto 0); variable result, result1 : STD_ULOGIC; -- result begin -- Logically identical to an "=" operator. if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_STD.""?="": null detected, returning X" severity warning; return 'X'; else LX := RESIZE(XL, SIZE); RX := RESIZE(XR, SIZE); result := '1'; for i in LX'low to LX'high loop result1 := \?=\(LX(i), RX(i)); if result1 = 'U' then return 'U'; elsif result1 = 'X' or result = 'X' then result := 'X'; else result := result and result1; end if; end loop; return result; end if; end function \?=\; -- Id: M.3B function \?=\ (L, R: SIGNED) return std_ulogic is constant L_LEFT : INTEGER := L'LENGTH-1; constant R_LEFT : INTEGER := R'LENGTH-1; alias XL : SIGNED(L_LEFT downto 0) is L; alias XR : SIGNED(R_LEFT downto 0) is R; constant SIZE : NATURAL := MAXIMUM(L'LENGTH, R'LENGTH); variable LX : SIGNED(SIZE-1 downto 0); variable RX : SIGNED(SIZE-1 downto 0); variable result, result1 : STD_ULOGIC; -- result begin -- ?= if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_STD.""?="": null detected, returning X" severity warning; return 'X'; else LX := RESIZE(XL, SIZE); RX := RESIZE(XR, SIZE); result := '1'; for i in LX'low to LX'high loop result1 := \?=\ (LX(i), RX(i)); if result1 = 'U' then return 'U'; elsif result1 = 'X' or result = 'X' then result := 'X'; else result := result and result1; end if; end loop; return result; end if; end function \?=\; function \?/=\ (L, R : UNSIGNED) return std_ulogic is constant L_LEFT : INTEGER := L'LENGTH-1; constant R_LEFT : INTEGER := R'LENGTH-1; alias XL : UNSIGNED(L_LEFT downto 0) is L; alias XR : UNSIGNED(R_LEFT downto 0) is R; constant SIZE : NATURAL := MAXIMUM(L'LENGTH, R'LENGTH); variable LX : UNSIGNED(SIZE-1 downto 0); variable RX : UNSIGNED(SIZE-1 downto 0); variable result, result1 : STD_ULOGIC; -- result begin -- ?= if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_STD.""?/="": null detected, returning X" severity warning; return 'X'; else LX := RESIZE(XL, SIZE); RX := RESIZE(XR, SIZE); result := '0'; for i in LX'low to LX'high loop result1 := \?/=\ (LX(i), RX(i)); if result1 = 'U' then result := 'U'; elsif result1 = 'X' or result = 'X' then result := 'X'; else result := result or result1; end if; end loop; return result; end if; end function \?/=\; function \?/=\ (L, R : SIGNED) return std_ulogic is constant L_LEFT : INTEGER := L'LENGTH-1; constant R_LEFT : INTEGER := R'LENGTH-1; alias XL : SIGNED(L_LEFT downto 0) is L; alias XR : SIGNED(R_LEFT downto 0) is R; constant SIZE : NATURAL := MAXIMUM(L'LENGTH, R'LENGTH); variable LX : SIGNED(SIZE-1 downto 0); variable RX : SIGNED(SIZE-1 downto 0); variable result, result1 : STD_ULOGIC; -- result begin -- ?= if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_STD.""?/="": null detected, returning X" severity warning; return 'X'; else LX := RESIZE(XL, SIZE); RX := RESIZE(XR, SIZE); result := '0'; for i in LX'low to LX'high loop result1 := \?/=\ (LX(i), RX(i)); if result1 = 'U' then return 'U'; elsif result1 = 'X' or result = 'X' then result := 'X'; else result := result or result1; end if; end loop; return result; end if; end function \?/=\; function Is_X ( s : UNSIGNED ) return BOOLEAN is begin return Is_X (STD_LOGIC_VECTOR (s)); end function Is_X; function Is_X ( s : SIGNED ) return BOOLEAN is begin return Is_X (STD_LOGIC_VECTOR (s)); end function Is_X; function \?>\ (L, R : UNSIGNED) return STD_ULOGIC is begin if ((l'length < 1) or (r'length < 1)) then assert NO_WARNING report "NUMERIC_STD.""?>"": null detected, returning X" severity warning; return 'X'; else for i in L'range loop if L(i) = '-' then report "NUMERIC_STD.""?>"": '-' found in compare string" severity error; return 'X'; end if; end loop; for i in R'range loop if R(i) = '-' then report "NUMERIC_STD.""?>"": '-' found in compare string" severity error; return 'X'; end if; end loop; if is_x(l) or is_x(r) then return 'X'; elsif l > r then return '1'; else return '0'; end if; end if; end function \?>\; -- %%% function "?>" (L, R : UNSIGNED) return std_ulogic is -- %%% end function "?>"\; function \?>\ (L, R : SIGNED) return STD_ULOGIC is begin if ((l'length < 1) or (r'length < 1)) then assert NO_WARNING report "NUMERIC_STD.""?>"": null detected, returning X" severity warning; return 'X'; else for i in L'range loop if L(i) = '-' then report "NUMERIC_STD.""?>"": '-' found in compare string" severity error; return 'X'; end if; end loop; for i in R'range loop if R(i) = '-' then report "NUMERIC_STD.""?>"": '-' found in compare string" severity error; return 'X'; end if; end loop; if is_x(l) or is_x(r) then return 'X'; elsif l > r then return '1'; else return '0'; end if; end if; end function \?>\; function \?>=\ (L, R : UNSIGNED) return STD_ULOGIC is begin if ((l'length < 1) or (r'length < 1)) then assert NO_WARNING report "NUMERIC_STD.""?>="": null detected, returning X" severity warning; return 'X'; else for i in L'range loop if L(i) = '-' then report "NUMERIC_STD.""?>="": '-' found in compare string" severity error; return 'X'; end if; end loop; for i in R'range loop if R(i) = '-' then report "NUMERIC_STD.""?>="": '-' found in compare string" severity error; return 'X'; end if; end loop; if is_x(l) or is_x(r) then return 'X'; elsif l >= r then return '1'; else return '0'; end if; end if; end function \?>=\; -- %%% function "?>=" (L, R : UNSIGNED) return std_ulogic is -- %%% end function "?>="; function \?>=\ (L, R : SIGNED) return STD_ULOGIC is begin if ((l'length < 1) or (r'length < 1)) then assert NO_WARNING report "NUMERIC_STD.""?>="": null detected, returning X" severity warning; return 'X'; else for i in L'range loop if L(i) = '-' then report "NUMERIC_STD.""?>="": '-' found in compare string" severity error; return 'X'; end if; end loop; for i in R'range loop if R(i) = '-' then report "NUMERIC_STD.""?>="": '-' found in compare string" severity error; return 'X'; end if; end loop; if is_x(l) or is_x(r) then return 'X'; elsif l >= r then return '1'; else return '0'; end if; end if; end function \?>=\; function \?<\ (L, R : UNSIGNED) return STD_ULOGIC is begin if ((l'length < 1) or (r'length < 1)) then assert NO_WARNING report "NUMERIC_STD.""?<"": null detected, returning X" severity warning; return 'X'; else for i in L'range loop if L(i) = '-' then report "NUMERIC_STD.""?<"": '-' found in compare string" severity error; return 'X'; end if; end loop; for i in R'range loop if R(i) = '-' then report "NUMERIC_STD.""?<"": '-' found in compare string" severity error; return 'X'; end if; end loop; if is_x(l) or is_x(r) then return 'X'; elsif l < r then return '1'; else return '0'; end if; end if; end function \?<\; -- %%% function "?<" (L, R : UNSIGNED) return std_ulogic is -- %%% end function "?<"; function \?<\ (L, R : SIGNED) return STD_ULOGIC is begin if ((l'length < 1) or (r'length < 1)) then assert NO_WARNING report "NUMERIC_STD.""?<"": null detected, returning X" severity warning; return 'X'; else for i in L'range loop if L(i) = '-' then report "NUMERIC_STD.""?<"": '-' found in compare string" severity error; return 'X'; end if; end loop; for i in R'range loop if R(i) = '-' then report "NUMERIC_STD.""?<"": '-' found in compare string" severity error; return 'X'; end if; end loop; if is_x(l) or is_x(r) then return 'X'; elsif l < r then return '1'; else return '0'; end if; end if; end function \?<\; function \?<=\ (L, R : UNSIGNED) return STD_ULOGIC is begin if ((l'length < 1) or (r'length < 1)) then assert NO_WARNING report "NUMERIC_STD.""?<="": null detected, returning X" severity warning; return 'X'; else for i in L'range loop if L(i) = '-' then report "NUMERIC_STD.""?<="": '-' found in compare string" severity error; return 'X'; end if; end loop; for i in R'range loop if R(i) = '-' then report "NUMERIC_STD.""?<="": '-' found in compare string" severity error; return 'X'; end if; end loop; if is_x(l) or is_x(r) then return 'X'; elsif l <= r then return '1'; else return '0'; end if; end if; end function \?<=\; -- %%% function "?<=" (L, R : UNSIGNED) return std_ulogic is -- %%% end function "?<="; function \?<=\ (L, R : SIGNED) return STD_ULOGIC is begin if ((l'length < 1) or (r'length < 1)) then assert NO_WARNING report "NUMERIC_STD.""?<="": null detected, returning X" severity warning; return 'X'; else for i in L'range loop if L(i) = '-' then report "NUMERIC_STD.""?<="": '-' found in compare string" severity error; return 'X'; end if; end loop; for i in R'range loop if R(i) = '-' then report "NUMERIC_STD.""?<="": '-' found in compare string" severity error; return 'X'; end if; end loop; if is_x(l) or is_x(r) then return 'X'; elsif l <= r then return '1'; else return '0'; end if; end if; end function \?<=\; -- %%% END replicated functions -- Special version of "minimum" to do some boundary checking without errors function mins (l, r : INTEGER) return INTEGER is begin -- function mins if (L = INTEGER'low or R = INTEGER'low) then return 0; -- error condition, silent end if; return minimum (L, R); end function mins; -- Special version of "minimum" to do some boundary checking with errors function mine (l, r : INTEGER) return INTEGER is begin -- function mine if (L = INTEGER'low or R = INTEGER'low) then report fixed_pkg'instance_name & " Unbounded number passed, was a literal used?" severity error; return 0; end if; return minimum (L, R); end function mine; -- The following functions are used only internally. Every function -- calls "cleanvec" either directly or indirectly. -- purpose: Fixes "downto" problem and resolves meta states function cleanvec ( arg : UNRESOLVED_sfixed) -- input return UNRESOLVED_sfixed is constant left_index : INTEGER := maximum(arg'left, arg'right); constant right_index : INTEGER := mins(arg'left, arg'right); variable result : UNRESOLVED_sfixed (arg'range); begin -- function cleanvec assert not (arg'ascending and (arg'low /= INTEGER'low)) report fixed_pkg'instance_name & " Vector passed using a ""to"" range, expected is ""downto""" severity error; return arg; end function cleanvec; -- purpose: Fixes "downto" problem and resolves meta states function cleanvec ( arg : UNRESOLVED_ufixed) -- input return UNRESOLVED_ufixed is constant left_index : INTEGER := maximum(arg'left, arg'right); constant right_index : INTEGER := mins(arg'left, arg'right); variable result : UNRESOLVED_ufixed (arg'range); begin -- function cleanvec assert not (arg'ascending and (arg'low /= INTEGER'low)) report fixed_pkg'instance_name & " Vector passed using a ""to"" range, expected is ""downto""" severity error; return arg; end function cleanvec; -- Type convert a "unsigned" into a "ufixed", used internally function to_fixed ( arg : UNSIGNED; -- shifted vector constant left_index : INTEGER; constant right_index : INTEGER) return UNRESOLVED_ufixed is variable result : UNRESOLVED_ufixed (left_index downto right_index); begin -- function to_fixed result := UNRESOLVED_ufixed(arg); return result; end function to_fixed; -- Type convert a "signed" into an "sfixed", used internally function to_fixed ( arg : SIGNED; -- shifted vector constant left_index : INTEGER; constant right_index : INTEGER) return UNRESOLVED_sfixed is variable result : UNRESOLVED_sfixed (left_index downto right_index); begin -- function to_fixed result := UNRESOLVED_sfixed(arg); return result; end function to_fixed; -- Type convert a "ufixed" into an "unsigned", used internally function to_uns ( arg : UNRESOLVED_ufixed) -- fp vector return UNSIGNED is subtype t is UNSIGNED(arg'high - arg'low downto 0); variable slv : t; begin -- function to_uns slv := t(arg); return slv; end function to_uns; -- Type convert an "sfixed" into a "signed", used internally function to_s ( arg : UNRESOLVED_sfixed) -- fp vector return SIGNED is subtype t is SIGNED(arg'high - arg'low downto 0); variable slv : t; begin -- function to_s slv := t(arg); return slv; end function to_s; -- adds 1 to the LSB of the number procedure round_up (arg : in UNRESOLVED_ufixed; result : out UNRESOLVED_ufixed; overflowx : out BOOLEAN) is variable arguns, resuns : UNSIGNED (arg'high-arg'low+1 downto 0) := (others => '0'); begin -- round_up arguns (arguns'high-1 downto 0) := to_uns (arg); resuns := arguns + 1; result := to_fixed(resuns(arg'high-arg'low downto 0), arg'high, arg'low); overflowx := (resuns(resuns'high) = '1'); end procedure round_up; -- adds 1 to the LSB of the number procedure round_up (arg : in UNRESOLVED_sfixed; result : out UNRESOLVED_sfixed; overflowx : out BOOLEAN) is variable args, ress : SIGNED (arg'high-arg'low+1 downto 0); begin -- round_up args (args'high-1 downto 0) := to_s (arg); args(args'high) := arg(arg'high); -- sign extend ress := args + 1; result := to_fixed(ress (ress'high-1 downto 0), arg'high, arg'low); overflowx := ((arg(arg'high) /= ress(ress'high-1)) and (or_reduce (STD_ULOGIC_VECTOR(ress)) /= '0')); end procedure round_up; -- Rounding - Performs a "round_nearest" (IEEE 754) which rounds up -- when the remainder is > 0.5. If the remainder IS 0.5 then if the -- bottom bit is a "1" it is rounded, otherwise it remains the same. function round_fixed (arg : UNRESOLVED_ufixed; remainder : UNRESOLVED_ufixed; overflow_style : fixed_overflow_style_type := fixed_overflow_style) return UNRESOLVED_ufixed is variable rounds : BOOLEAN; variable round_overflow : BOOLEAN; variable result : UNRESOLVED_ufixed (arg'range); begin rounds := false; if (remainder'length > 1) then if (remainder (remainder'high) = '1') then rounds := (arg(arg'low) = '1') or (or_reduce (to_sulv(remainder(remainder'high-1 downto remainder'low))) = '1'); end if; else rounds := (arg(arg'low) = '1') and (remainder (remainder'high) = '1'); end if; if rounds then round_up(arg => arg, result => result, overflowx => round_overflow); else result := arg; end if; if (overflow_style = fixed_saturate) and round_overflow then result := saturate (result'high, result'low); end if; return result; end function round_fixed; -- Rounding case statement function round_fixed (arg : UNRESOLVED_sfixed; remainder : UNRESOLVED_sfixed; overflow_style : fixed_overflow_style_type := fixed_overflow_style) return UNRESOLVED_sfixed is variable rounds : BOOLEAN; variable round_overflow : BOOLEAN; variable result : UNRESOLVED_sfixed (arg'range); begin rounds := false; if (remainder'length > 1) then if (remainder (remainder'high) = '1') then rounds := (arg(arg'low) = '1') or (or_reduce (to_sulv(remainder(remainder'high-1 downto remainder'low))) = '1'); end if; else rounds := (arg(arg'low) = '1') and (remainder (remainder'high) = '1'); end if; if rounds then round_up(arg => arg, result => result, overflowx => round_overflow); else result := arg; end if; if round_overflow then if (overflow_style = fixed_saturate) then if arg(arg'high) = '0' then result := saturate (result'high, result'low); else result := not saturate (result'high, result'low); end if; -- Sign bit not fixed when wrapping end if; end if; return result; end function round_fixed; -- converts an sfixed into a ufixed. The output is the same length as the -- input, because abs("1000") = "1000" = 8. function to_ufixed ( arg : UNRESOLVED_sfixed) return UNRESOLVED_ufixed is constant left_index : INTEGER := arg'high; constant right_index : INTEGER := mine(arg'low, arg'low); variable xarg : UNRESOLVED_sfixed(left_index+1 downto right_index); variable result : UNRESOLVED_ufixed(left_index downto right_index); begin if arg'length < 1 then return NAUF; end if; xarg := abs(arg); result := UNRESOLVED_ufixed (xarg (left_index downto right_index)); return result; end function to_ufixed; ----------------------------------------------------------------------------- -- Visible functions ----------------------------------------------------------------------------- -- Conversion functions. These are needed for synthesis where typically -- the only input and output type is a std_logic_vector. function to_sulv ( arg : UNRESOLVED_ufixed) -- fixed point vector return STD_ULOGIC_VECTOR is variable result : STD_ULOGIC_VECTOR (arg'length-1 downto 0); begin if arg'length < 1 then return NSLV; end if; result := STD_ULOGIC_VECTOR (arg); return result; end function to_sulv; function to_sulv ( arg : UNRESOLVED_sfixed) -- fixed point vector return STD_ULOGIC_VECTOR is variable result : STD_ULOGIC_VECTOR (arg'length-1 downto 0); begin if arg'length < 1 then return NSLV; end if; result := STD_ULOGIC_VECTOR (arg); return result; end function to_sulv; function to_slv ( arg : UNRESOLVED_ufixed) -- fixed point vector return STD_LOGIC_VECTOR is begin return to_stdlogicvector(to_sulv(arg)); end function to_slv; function to_slv ( arg : UNRESOLVED_sfixed) -- fixed point vector return STD_LOGIC_VECTOR is begin return to_stdlogicvector(to_sulv(arg)); end function to_slv; function to_ufixed ( arg : STD_ULOGIC_VECTOR; -- shifted vector constant left_index : INTEGER; constant right_index : INTEGER) return unresolved_ufixed is variable result : UNRESOLVED_ufixed (left_index downto right_index); begin if (arg'length < 1 or right_index > left_index) then return NAUF; end if; if (arg'length /= result'length) then report fixed_pkg'instance_name & "TO_UFIXED(SLV) " & "Vector lengths do not match. Input length is " & INTEGER'image(arg'length) & " and output will be " & INTEGER'image(result'length) & " wide." severity error; return NAUF; else result := to_fixed (arg => UNSIGNED(arg), left_index => left_index, right_index => right_index); return result; end if; end function to_ufixed; function to_sfixed ( arg : STD_ULOGIC_VECTOR; -- shifted vector constant left_index : INTEGER; constant right_index : INTEGER) return unresolved_sfixed is variable result : UNRESOLVED_sfixed (left_index downto right_index); begin if (arg'length < 1 or right_index > left_index) then return NASF; end if; if (arg'length /= result'length) then report fixed_pkg'instance_name & "TO_SFIXED(SLV) " & "Vector lengths do not match. Input length is " & INTEGER'image(arg'length) & " and output will be " & INTEGER'image(result'length) & " wide." severity error; return NASF; else result := to_fixed (arg => SIGNED(arg), left_index => left_index, right_index => right_index); return result; end if; end function to_sfixed; -- Two's complement number, Grows the vector by 1 bit. -- because "abs (1000.000) = 01000.000" or abs(-16) = 16. function "abs" ( arg : UNRESOLVED_sfixed) -- fixed point input return UNRESOLVED_sfixed is constant left_index : INTEGER := arg'high; constant right_index : INTEGER := mine(arg'low, arg'low); variable ressns : SIGNED (arg'length downto 0); variable result : UNRESOLVED_sfixed (left_index+1 downto right_index); begin if (arg'length < 1 or result'length < 1) then return NASF; end if; ressns (arg'length-1 downto 0) := to_s (cleanvec (arg)); ressns (arg'length) := ressns (arg'length-1); -- expand sign bit result := to_fixed (abs(ressns), left_index+1, right_index); return result; end function "abs"; -- also grows the vector by 1 bit. function "-" ( arg : UNRESOLVED_sfixed) -- fixed point input return UNRESOLVED_sfixed is constant left_index : INTEGER := arg'high+1; constant right_index : INTEGER := mine(arg'low, arg'low); variable ressns : SIGNED (arg'length downto 0); variable result : UNRESOLVED_sfixed (left_index downto right_index); begin if (arg'length < 1 or result'length < 1) then return NASF; end if; ressns (arg'length-1 downto 0) := to_s (cleanvec(arg)); ressns (arg'length) := ressns (arg'length-1); -- expand sign bit result := to_fixed (-ressns, left_index, right_index); return result; end function "-"; -- Addition function "+" ( l, r : UNRESOLVED_ufixed) -- ufixed(a downto b) + ufixed(c downto d) = return UNRESOLVED_ufixed is -- ufixed(max(a,c)+1 downto min(b,d)) constant left_index : INTEGER := maximum(l'high, r'high)+1; constant right_index : INTEGER := mine(l'low, r'low); variable lresize, rresize : UNRESOLVED_ufixed (left_index downto right_index); variable result : UNRESOLVED_ufixed (left_index downto right_index); variable lslv, rslv : UNSIGNED (left_index-right_index downto 0); variable result_slv : UNSIGNED (left_index-right_index downto 0); begin if (l'length < 1 or r'length < 1 or result'length < 1) then return NAUF; end if; lresize := resize (l, left_index, right_index); rresize := resize (r, left_index, right_index); lslv := to_uns (lresize); rslv := to_uns (rresize); result_slv := lslv + rslv; result := to_fixed(result_slv, left_index, right_index); return result; end function "+"; function "+" ( l, r : UNRESOLVED_sfixed) -- sfixed(a downto b) + sfixed(c downto d) = return UNRESOLVED_sfixed is -- sfixed(max(a,c)+1 downto min(b,d)) constant left_index : INTEGER := maximum(l'high, r'high)+1; constant right_index : INTEGER := mine(l'low, r'low); variable lresize, rresize : UNRESOLVED_sfixed (left_index downto right_index); variable result : UNRESOLVED_sfixed (left_index downto right_index); variable lslv, rslv : SIGNED (left_index-right_index downto 0); variable result_slv : SIGNED (left_index-right_index downto 0); begin if (l'length < 1 or r'length < 1 or result'length < 1) then return NASF; end if; lresize := resize (l, left_index, right_index); rresize := resize (r, left_index, right_index); lslv := to_s (lresize); rslv := to_s (rresize); result_slv := lslv + rslv; result := to_fixed(result_slv, left_index, right_index); return result; end function "+"; -- Subtraction function "-" ( l, r : UNRESOLVED_ufixed) -- ufixed(a downto b) - ufixed(c downto d) = return UNRESOLVED_ufixed is -- ufixed(max(a,c)+1 downto min(b,d)) constant left_index : INTEGER := maximum(l'high, r'high)+1; constant right_index : INTEGER := mine(l'low, r'low); variable lresize, rresize : UNRESOLVED_ufixed (left_index downto right_index); variable result : UNRESOLVED_ufixed (left_index downto right_index); variable lslv, rslv : UNSIGNED (left_index-right_index downto 0); variable result_slv : UNSIGNED (left_index-right_index downto 0); begin if (l'length < 1 or r'length < 1 or result'length < 1) then return NAUF; end if; lresize := resize (l, left_index, right_index); rresize := resize (r, left_index, right_index); lslv := to_uns (lresize); rslv := to_uns (rresize); result_slv := lslv - rslv; result := to_fixed(result_slv, left_index, right_index); return result; end function "-"; function "-" ( l, r : UNRESOLVED_sfixed) -- sfixed(a downto b) - sfixed(c downto d) = return UNRESOLVED_sfixed is -- sfixed(max(a,c)+1 downto min(b,d)) constant left_index : INTEGER := maximum(l'high, r'high)+1; constant right_index : INTEGER := mine(l'low, r'low); variable lresize, rresize : UNRESOLVED_sfixed (left_index downto right_index); variable result : UNRESOLVED_sfixed (left_index downto right_index); variable lslv, rslv : SIGNED (left_index-right_index downto 0); variable result_slv : SIGNED (left_index-right_index downto 0); begin if (l'length < 1 or r'length < 1 or result'length < 1) then return NASF; end if; lresize := resize (l, left_index, right_index); rresize := resize (r, left_index, right_index); lslv := to_s (lresize); rslv := to_s (rresize); result_slv := lslv - rslv; result := to_fixed(result_slv, left_index, right_index); return result; end function "-"; function "*" ( l, r : UNRESOLVED_ufixed) -- ufixed(a downto b) * ufixed(c downto d) = return UNRESOLVED_ufixed is -- ufixed(a+c+1 downto b+d) variable lslv : UNSIGNED (l'length-1 downto 0); variable rslv : UNSIGNED (r'length-1 downto 0); variable result_slv : UNSIGNED (r'length+l'length-1 downto 0); variable result : UNRESOLVED_ufixed (l'high + r'high+1 downto mine(l'low, l'low) + mine(r'low, r'low)); begin if (l'length < 1 or r'length < 1 or result'length /= result_slv'length) then return NAUF; end if; lslv := to_uns (cleanvec(l)); rslv := to_uns (cleanvec(r)); result_slv := lslv * rslv; result := to_fixed (result_slv, result'high, result'low); return result; end function "*"; function "*" ( l, r : UNRESOLVED_sfixed) -- sfixed(a downto b) * sfixed(c downto d) = return UNRESOLVED_sfixed is -- sfixed(a+c+1 downto b+d) variable lslv : SIGNED (l'length-1 downto 0); variable rslv : SIGNED (r'length-1 downto 0); variable result_slv : SIGNED (r'length+l'length-1 downto 0); variable result : UNRESOLVED_sfixed (l'high + r'high+1 downto mine(l'low, l'low) + mine(r'low, r'low)); begin if (l'length < 1 or r'length < 1 or result'length /= result_slv'length) then return NASF; end if; lslv := to_s (cleanvec(l)); rslv := to_s (cleanvec(r)); result_slv := lslv * rslv; result := to_fixed (result_slv, result'high, result'low); return result; end function "*"; function "/" ( l, r : UNRESOLVED_ufixed) -- ufixed(a downto b) / ufixed(c downto d) = return UNRESOLVED_ufixed is -- ufixed(a-d downto b-c-1) begin return divide (l, r); end function "/"; function "/" ( l, r : UNRESOLVED_sfixed) -- sfixed(a downto b) / sfixed(c downto d) = return UNRESOLVED_sfixed is -- sfixed(a-d+1 downto b-c) begin return divide (l, r); end function "/"; -- This version of divide gives the user more control -- ufixed(a downto b) / ufixed(c downto d) = ufixed(a-d downto b-c-1) function divide ( l, r : UNRESOLVED_ufixed; constant round_style : fixed_round_style_type := fixed_round_style; constant guard_bits : NATURAL := fixed_guard_bits) return UNRESOLVED_ufixed is variable result : UNRESOLVED_ufixed (l'high - mine(r'low, r'low) downto mine (l'low, l'low) - r'high -1); variable dresult : UNRESOLVED_ufixed (result'high downto result'low -guard_bits); variable lresize : UNRESOLVED_ufixed (l'high downto l'high - dresult'length+1); variable lslv : UNSIGNED (lresize'length-1 downto 0); variable rslv : UNSIGNED (r'length-1 downto 0); variable result_slv : UNSIGNED (lresize'length-1 downto 0); begin if (l'length < 1 or r'length < 1 or mins(r'low, r'low) /= r'low or mins(l'low, l'low) /= l'low) then return NAUF; end if; lresize := resize (arg => l, left_index => lresize'high, right_index => lresize'low, overflow_style => fixed_wrap, -- vector only grows round_style => fixed_truncate); lslv := to_uns (cleanvec (lresize)); rslv := to_uns (cleanvec (r)); if (rslv = 0) then report fixed_pkg'instance_name & "DIVIDE(ufixed) Division by zero" severity error; result := saturate (result'high, result'low); -- saturate else result_slv := lslv / rslv; dresult := to_fixed (result_slv, dresult'high, dresult'low); result := resize (arg => dresult, left_index => result'high, right_index => result'low, overflow_style => fixed_wrap, -- overflow impossible round_style => round_style); end if; return result; end function divide; -- sfixed(a downto b) / sfixed(c downto d) = sfixed(a-d+1 downto b-c) function divide ( l, r : UNRESOLVED_sfixed; constant round_style : fixed_round_style_type := fixed_round_style; constant guard_bits : NATURAL := fixed_guard_bits) return UNRESOLVED_sfixed is variable result : UNRESOLVED_sfixed (l'high - mine(r'low, r'low) + 1 downto mine (l'low, l'low) - r'high); variable dresult : UNRESOLVED_sfixed (result'high downto result'low-guard_bits); variable lresize : UNRESOLVED_sfixed (l'high+1 downto l'high+1 -dresult'length+1); variable lslv : SIGNED (lresize'length-1 downto 0); variable rslv : SIGNED (r'length-1 downto 0); variable result_slv : SIGNED (lresize'length-1 downto 0); begin if (l'length < 1 or r'length < 1 or mins(r'low, r'low) /= r'low or mins(l'low, l'low) /= l'low) then return NASF; end if; lresize := resize (arg => l, left_index => lresize'high, right_index => lresize'low, overflow_style => fixed_wrap, -- vector only grows round_style => fixed_truncate); lslv := to_s (cleanvec (lresize)); rslv := to_s (cleanvec (r)); if (rslv = 0) then report fixed_pkg'instance_name & "DIVIDE(sfixed) Division by zero" severity error; result := saturate (result'high, result'low); else result_slv := lslv / rslv; dresult := to_fixed (result_slv, dresult'high, dresult'low); result := resize (arg => dresult, left_index => result'high, right_index => result'low, overflow_style => fixed_wrap, -- overflow impossible round_style => round_style); end if; return result; end function divide; -- 1 / ufixed(a downto b) = ufixed(-b downto -a-1) function reciprocal ( arg : UNRESOLVED_ufixed; -- fixed point input constant round_style : fixed_round_style_type := fixed_round_style; constant guard_bits : NATURAL := fixed_guard_bits) return UNRESOLVED_ufixed is constant one : UNRESOLVED_ufixed (0 downto 0) := "1"; begin return divide (l => one, r => arg, round_style => round_style, guard_bits => guard_bits); end function reciprocal; -- 1 / sfixed(a downto b) = sfixed(-b+1 downto -a) function reciprocal ( arg : UNRESOLVED_sfixed; -- fixed point input constant round_style : fixed_round_style_type := fixed_round_style; constant guard_bits : NATURAL := fixed_guard_bits) return UNRESOLVED_sfixed is constant one : UNRESOLVED_sfixed (1 downto 0) := "01"; -- extra bit. variable resultx : UNRESOLVED_sfixed (-mine(arg'low, arg'low)+2 downto -arg'high); begin if (arg'length < 1 or resultx'length < 1) then return NASF; else resultx := divide (l => one, r => arg, round_style => round_style, guard_bits => guard_bits); return resultx (resultx'high-1 downto resultx'low); -- remove extra bit end if; end function reciprocal; -- ufixed (a downto b) rem ufixed (c downto d) -- = ufixed (min(a,c) downto min(b,d)) function "rem" ( l, r : UNRESOLVED_ufixed) -- fixed point input return UNRESOLVED_ufixed is begin return remainder (l, r); end function "rem"; -- remainder -- sfixed (a downto b) rem sfixed (c downto d) -- = sfixed (min(a,c) downto min(b,d)) function "rem" ( l, r : UNRESOLVED_sfixed) -- fixed point input return UNRESOLVED_sfixed is begin return remainder (l, r); end function "rem"; -- ufixed (a downto b) rem ufixed (c downto d) -- = ufixed (min(a,c) downto min(b,d)) function remainder ( l, r : UNRESOLVED_ufixed; -- fixed point input constant round_style : fixed_round_style_type := fixed_round_style; constant guard_bits : NATURAL := fixed_guard_bits) return UNRESOLVED_ufixed is variable result : UNRESOLVED_ufixed (minimum(l'high, r'high) downto mine(l'low, r'low)); variable lresize : UNRESOLVED_ufixed (maximum(l'high, r'low) downto mins(r'low, r'low)-guard_bits); variable rresize : UNRESOLVED_ufixed (r'high downto r'low-guard_bits); variable dresult : UNRESOLVED_ufixed (rresize'range); variable lslv : UNSIGNED (lresize'length-1 downto 0); variable rslv : UNSIGNED (rresize'length-1 downto 0); variable result_slv : UNSIGNED (rslv'range); begin if (l'length < 1 or r'length < 1 or mins(r'low, r'low) /= r'low or mins(l'low, l'low) /= l'low) then return NAUF; end if; lresize := resize (arg => l, left_index => lresize'high, right_index => lresize'low, overflow_style => fixed_wrap, -- vector only grows round_style => fixed_truncate); lslv := to_uns (lresize); rresize := resize (arg => r, left_index => rresize'high, right_index => rresize'low, overflow_style => fixed_wrap, -- vector only grows round_style => fixed_truncate); rslv := to_uns (rresize); if (rslv = 0) then report fixed_pkg'instance_name & "remainder(ufixed) Division by zero" severity error; result := saturate (result'high, result'low); -- saturate else if (r'low <= l'high) then result_slv := lslv rem rslv; dresult := to_fixed (result_slv, dresult'high, dresult'low); result := resize (arg => dresult, left_index => result'high, right_index => result'low, overflow_style => fixed_wrap, -- can't overflow round_style => round_style); end if; if l'low < r'low then result(mins(r'low-1, l'high) downto l'low) := cleanvec(l(mins(r'low-1, l'high) downto l'low)); end if; end if; return result; end function remainder; -- remainder -- sfixed (a downto b) rem sfixed (c downto d) -- = sfixed (min(a,c) downto min(b,d)) function remainder ( l, r : UNRESOLVED_sfixed; -- fixed point input constant round_style : fixed_round_style_type := fixed_round_style; constant guard_bits : NATURAL := fixed_guard_bits) return UNRESOLVED_sfixed is variable l_abs : UNRESOLVED_ufixed (l'range); variable r_abs : UNRESOLVED_ufixed (r'range); variable result : UNRESOLVED_sfixed (minimum(r'high, l'high) downto mine(r'low, l'low)); variable neg_result : UNRESOLVED_sfixed (minimum(r'high, l'high)+1 downto mins(r'low, l'low)); begin if (l'length < 1 or r'length < 1 or mins(r'low, r'low) /= r'low or mins(l'low, l'low) /= l'low) then return NASF; end if; l_abs := to_ufixed (l); r_abs := to_ufixed (r); result := UNRESOLVED_sfixed (remainder ( l => l_abs, r => r_abs, round_style => round_style)); neg_result := -result; if l(l'high) = '1' then result := neg_result(result'range); end if; return result; end function remainder; -- modulo -- ufixed (a downto b) mod ufixed (c downto d) -- = ufixed (min(a,c) downto min(b, d)) function "mod" ( l, r : UNRESOLVED_ufixed) -- fixed point input return UNRESOLVED_ufixed is begin return modulo (l, r); end function "mod"; -- sfixed (a downto b) mod sfixed (c downto d) -- = sfixed (c downto min(b, d)) function "mod" ( l, r : UNRESOLVED_sfixed) -- fixed point input return UNRESOLVED_sfixed is begin return modulo(l, r); end function "mod"; -- modulo -- ufixed (a downto b) mod ufixed (c downto d) -- = ufixed (min(a,c) downto min(b, d)) function modulo ( l, r : UNRESOLVED_ufixed; -- fixed point input constant round_style : fixed_round_style_type := fixed_round_style; constant guard_bits : NATURAL := fixed_guard_bits) return UNRESOLVED_ufixed is begin return remainder(l => l, r => r, round_style => round_style, guard_bits => guard_bits); end function modulo; -- sfixed (a downto b) mod sfixed (c downto d) -- = sfixed (c downto min(b, d)) function modulo ( l, r : UNRESOLVED_sfixed; -- fixed point input constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; constant round_style : fixed_round_style_type := fixed_round_style; constant guard_bits : NATURAL := fixed_guard_bits) return UNRESOLVED_sfixed is variable l_abs : UNRESOLVED_ufixed (l'range); variable r_abs : UNRESOLVED_ufixed (r'range); variable result : UNRESOLVED_sfixed (r'high downto mine(r'low, l'low)); variable dresult : UNRESOLVED_sfixed (minimum(r'high, l'high)+1 downto mins(r'low, l'low)); variable dresult_not_zero : BOOLEAN; begin if (l'length < 1 or r'length < 1 or mins(r'low, r'low) /= r'low or mins(l'low, l'low) /= l'low) then return NASF; end if; l_abs := to_ufixed (l); r_abs := to_ufixed (r); dresult := "0" & UNRESOLVED_sfixed(remainder (l => l_abs, r => r_abs, round_style => round_style)); if (to_s(dresult) = 0) then dresult_not_zero := false; else dresult_not_zero := true; end if; if to_x01(l(l'high)) = '1' and to_x01(r(r'high)) = '0' and dresult_not_zero then result := resize (arg => r - dresult, left_index => result'high, right_index => result'low, overflow_style => overflow_style, round_style => round_style); elsif to_x01(l(l'high)) = '1' and to_x01(r(r'high)) = '1' then result := resize (arg => -dresult, left_index => result'high, right_index => result'low, overflow_style => overflow_style, round_style => round_style); elsif to_x01(l(l'high)) = '0' and to_x01(r(r'high)) = '1' and dresult_not_zero then result := resize (arg => dresult + r, left_index => result'high, right_index => result'low, overflow_style => overflow_style, round_style => round_style); else result := resize (arg => dresult, left_index => result'high, right_index => result'low, overflow_style => overflow_style, round_style => round_style); end if; return result; end function modulo; -- Procedure for those who need an "accumulator" function procedure add_carry ( L, R : in UNRESOLVED_ufixed; c_in : in STD_ULOGIC; result : out UNRESOLVED_ufixed; c_out : out STD_ULOGIC) is constant left_index : INTEGER := maximum(l'high, r'high)+1; constant right_index : INTEGER := mins(l'low, r'low); variable lresize, rresize : UNRESOLVED_ufixed (left_index downto right_index); variable lslv, rslv : UNSIGNED (left_index-right_index downto 0); variable result_slv : UNSIGNED (left_index-right_index downto 0); variable cx : UNSIGNED (0 downto 0); -- Carry in begin if (l'length < 1 or r'length < 1) then result := NAUF; c_out := '0'; else cx (0) := c_in; lresize := resize (l, left_index, right_index); rresize := resize (r, left_index, right_index); lslv := to_uns (lresize); rslv := to_uns (rresize); result_slv := lslv + rslv + cx; c_out := result_slv(left_index); result := to_fixed(result_slv (left_index-right_index-1 downto 0), left_index-1, right_index); end if; end procedure add_carry; procedure add_carry ( L, R : in UNRESOLVED_sfixed; c_in : in STD_ULOGIC; result : out UNRESOLVED_sfixed; c_out : out STD_ULOGIC) is constant left_index : INTEGER := maximum(l'high, r'high)+1; constant right_index : INTEGER := mins(l'low, r'low); variable lresize, rresize : UNRESOLVED_sfixed (left_index downto right_index); variable lslv, rslv : SIGNED (left_index-right_index downto 0); variable result_slv : SIGNED (left_index-right_index downto 0); variable cx : SIGNED (1 downto 0); -- Carry in begin if (l'length < 1 or r'length < 1) then result := NASF; c_out := '0'; else cx (1) := '0'; cx (0) := c_in; lresize := resize (l, left_index, right_index); rresize := resize (r, left_index, right_index); lslv := to_s (lresize); rslv := to_s (rresize); result_slv := lslv + rslv + cx; c_out := result_slv(left_index); result := to_fixed(result_slv (left_index-right_index-1 downto 0), left_index-1, right_index); end if; end procedure add_carry; -- Scales the result by a power of 2. Width of input = width of output with -- the decimal point moved. function scalb (y : UNRESOLVED_ufixed; N : INTEGER) return UNRESOLVED_ufixed is variable result : UNRESOLVED_ufixed (y'high+N downto y'low+N); begin if y'length < 1 then return NAUF; else result := y; return result; end if; end function scalb; function scalb (y : UNRESOLVED_ufixed; N : SIGNED) return UNRESOLVED_ufixed is begin return scalb (y => y, N => to_integer(N)); end function scalb; function scalb (y : UNRESOLVED_sfixed; N : INTEGER) return UNRESOLVED_sfixed is variable result : UNRESOLVED_sfixed (y'high+N downto y'low+N); begin if y'length < 1 then return NASF; else result := y; return result; end if; end function scalb; function scalb (y : UNRESOLVED_sfixed; N : SIGNED) return UNRESOLVED_sfixed is begin return scalb (y => y, N => to_integer(N)); end function scalb; function Is_Negative (arg : UNRESOLVED_sfixed) return BOOLEAN is begin if to_X01(arg(arg'high)) = '1' then return true; else return false; end if; end function Is_Negative; function find_rightmost (arg : UNRESOLVED_ufixed; y : STD_ULOGIC) return INTEGER is begin for_loop : for i in arg'reverse_range loop if \?=\ (arg(i), y) = '1' then return i; end if; end loop; return arg'high+1; -- return out of bounds 'high end function find_rightmost; function find_leftmost (arg : UNRESOLVED_ufixed; y : STD_ULOGIC) return INTEGER is begin for_loop : for i in arg'range loop if \?=\ (arg(i), y) = '1' then return i; end if; end loop; return arg'low-1; -- return out of bounds 'low end function find_leftmost; function find_rightmost (arg : UNRESOLVED_sfixed; y : STD_ULOGIC) return INTEGER is begin for_loop : for i in arg'reverse_range loop if \?=\ (arg(i), y) = '1' then return i; end if; end loop; return arg'high+1; -- return out of bounds 'high end function find_rightmost; function find_leftmost (arg : UNRESOLVED_sfixed; y : STD_ULOGIC) return INTEGER is begin for_loop : for i in arg'range loop if \?=\ (arg(i), y) = '1' then return i; end if; end loop; return arg'low-1; -- return out of bounds 'low end function find_leftmost; function "sll" (ARG : UNRESOLVED_ufixed; COUNT : INTEGER) return UNRESOLVED_ufixed is variable argslv : UNSIGNED (arg'length-1 downto 0); variable result : UNRESOLVED_ufixed (arg'range); begin argslv := to_uns (arg); argslv := argslv sll COUNT; result := to_fixed (argslv, result'high, result'low); return result; end function "sll"; function "srl" (ARG : UNRESOLVED_ufixed; COUNT : INTEGER) return UNRESOLVED_ufixed is variable argslv : UNSIGNED (arg'length-1 downto 0); variable result : UNRESOLVED_ufixed (arg'range); begin argslv := to_uns (arg); argslv := argslv srl COUNT; result := to_fixed (argslv, result'high, result'low); return result; end function "srl"; function "rol" (ARG : UNRESOLVED_ufixed; COUNT : INTEGER) return UNRESOLVED_ufixed is variable argslv : UNSIGNED (arg'length-1 downto 0); variable result : UNRESOLVED_ufixed (arg'range); begin argslv := to_uns (arg); argslv := argslv rol COUNT; result := to_fixed (argslv, result'high, result'low); return result; end function "rol"; function "ror" (ARG : UNRESOLVED_ufixed; COUNT : INTEGER) return UNRESOLVED_ufixed is variable argslv : UNSIGNED (arg'length-1 downto 0); variable result : UNRESOLVED_ufixed (arg'range); begin argslv := to_uns (arg); argslv := argslv ror COUNT; result := to_fixed (argslv, result'high, result'low); return result; end function "ror"; function "sla" (ARG : UNRESOLVED_ufixed; COUNT : INTEGER) return UNRESOLVED_ufixed is variable argslv : UNSIGNED (arg'length-1 downto 0); variable result : UNRESOLVED_ufixed (arg'range); begin argslv := to_uns (arg); -- Arithmetic shift on an unsigned is a logical shift argslv := argslv sll COUNT; result := to_fixed (argslv, result'high, result'low); return result; end function "sla"; function "sra" (ARG : UNRESOLVED_ufixed; COUNT : INTEGER) return UNRESOLVED_ufixed is variable argslv : UNSIGNED (arg'length-1 downto 0); variable result : UNRESOLVED_ufixed (arg'range); begin argslv := to_uns (arg); -- Arithmetic shift on an unsigned is a logical shift argslv := argslv srl COUNT; result := to_fixed (argslv, result'high, result'low); return result; end function "sra"; function "sll" (ARG : UNRESOLVED_sfixed; COUNT : INTEGER) return UNRESOLVED_sfixed is variable argslv : SIGNED (arg'length-1 downto 0); variable result : UNRESOLVED_sfixed (arg'range); begin argslv := to_s (arg); argslv := argslv sll COUNT; result := to_fixed (argslv, result'high, result'low); return result; end function "sll"; function "srl" (ARG : UNRESOLVED_sfixed; COUNT : INTEGER) return UNRESOLVED_sfixed is variable argslv : SIGNED (arg'length-1 downto 0); variable result : UNRESOLVED_sfixed (arg'range); begin argslv := to_s (arg); argslv := argslv srl COUNT; result := to_fixed (argslv, result'high, result'low); return result; end function "srl"; function "rol" (ARG : UNRESOLVED_sfixed; COUNT : INTEGER) return UNRESOLVED_sfixed is variable argslv : SIGNED (arg'length-1 downto 0); variable result : UNRESOLVED_sfixed (arg'range); begin argslv := to_s (arg); argslv := argslv rol COUNT; result := to_fixed (argslv, result'high, result'low); return result; end function "rol"; function "ror" (ARG : UNRESOLVED_sfixed; COUNT : INTEGER) return UNRESOLVED_sfixed is variable argslv : SIGNED (arg'length-1 downto 0); variable result : UNRESOLVED_sfixed (arg'range); begin argslv := to_s (arg); argslv := argslv ror COUNT; result := to_fixed (argslv, result'high, result'low); return result; end function "ror"; function "sla" (ARG : UNRESOLVED_sfixed; COUNT : INTEGER) return UNRESOLVED_sfixed is variable argslv : SIGNED (arg'length-1 downto 0); variable result : UNRESOLVED_sfixed (arg'range); begin argslv := to_s (arg); if COUNT > 0 then -- Arithmetic shift left on a 2's complement number is a logic shift argslv := argslv sll COUNT; else argslv := argslv sra -COUNT; end if; result := to_fixed (argslv, result'high, result'low); return result; end function "sla"; function "sra" (ARG : UNRESOLVED_sfixed; COUNT : INTEGER) return UNRESOLVED_sfixed is variable argslv : SIGNED (arg'length-1 downto 0); variable result : UNRESOLVED_sfixed (arg'range); begin argslv := to_s (arg); if COUNT > 0 then argslv := argslv sra COUNT; else -- Arithmetic shift left on a 2's complement number is a logic shift argslv := argslv sll -COUNT; end if; result := to_fixed (argslv, result'high, result'low); return result; end function "sra"; -- Because some people want the older functions. function SHIFT_LEFT (ARG : UNRESOLVED_ufixed; COUNT : NATURAL) return UNRESOLVED_ufixed is begin if (ARG'length < 1) then return NAUF; end if; return ARG sla COUNT; end function SHIFT_LEFT; function SHIFT_RIGHT (ARG : UNRESOLVED_ufixed; COUNT : NATURAL) return UNRESOLVED_ufixed is begin if (ARG'length < 1) then return NAUF; end if; return ARG sra COUNT; end function SHIFT_RIGHT; function SHIFT_LEFT (ARG : UNRESOLVED_sfixed; COUNT : NATURAL) return UNRESOLVED_sfixed is begin if (ARG'length < 1) then return NASF; end if; return ARG sla COUNT; end function SHIFT_LEFT; function SHIFT_RIGHT (ARG : UNRESOLVED_sfixed; COUNT : NATURAL) return UNRESOLVED_sfixed is begin if (ARG'length < 1) then return NASF; end if; return ARG sra COUNT; end function SHIFT_RIGHT; ---------------------------------------------------------------------------- -- logical functions ---------------------------------------------------------------------------- function "not" (L : UNRESOLVED_ufixed) return UNRESOLVED_ufixed is variable RESULT : STD_ULOGIC_VECTOR(L'length-1 downto 0); -- force downto begin RESULT := not to_sulv(L); return to_ufixed(RESULT, L'high, L'low); end function "not"; function "and" (L, R : UNRESOLVED_ufixed) return UNRESOLVED_ufixed is variable RESULT : STD_ULOGIC_VECTOR(L'length-1 downto 0); -- force downto begin if (L'high = R'high and L'low = R'low) then RESULT := to_sulv(L) and to_sulv(R); else assert NO_WARNING report fixed_pkg'instance_name & """and"": Range error L'RANGE /= R'RANGE" severity warning; RESULT := (others => 'X'); end if; return to_ufixed(RESULT, L'high, L'low); end function "and"; function "or" (L, R : UNRESOLVED_ufixed) return UNRESOLVED_ufixed is variable RESULT : STD_ULOGIC_VECTOR(L'length-1 downto 0); -- force downto begin if (L'high = R'high and L'low = R'low) then RESULT := to_sulv(L) or to_sulv(R); else assert NO_WARNING report fixed_pkg'instance_name & """or"": Range error L'RANGE /= R'RANGE" severity warning; RESULT := (others => 'X'); end if; return to_ufixed(RESULT, L'high, L'low); end function "or"; function "nand" (L, R : UNRESOLVED_ufixed) return UNRESOLVED_ufixed is variable RESULT : STD_ULOGIC_VECTOR(L'length-1 downto 0); -- force downto begin if (L'high = R'high and L'low = R'low) then RESULT := to_sulv(L) nand to_sulv(R); else assert NO_WARNING report fixed_pkg'instance_name & """nand"": Range error L'RANGE /= R'RANGE" severity warning; RESULT := (others => 'X'); end if; return to_ufixed(RESULT, L'high, L'low); end function "nand"; function "nor" (L, R : UNRESOLVED_ufixed) return UNRESOLVED_ufixed is variable RESULT : STD_ULOGIC_VECTOR(L'length-1 downto 0); -- force downto begin if (L'high = R'high and L'low = R'low) then RESULT := to_sulv(L) nor to_sulv(R); else assert NO_WARNING report fixed_pkg'instance_name & """nor"": Range error L'RANGE /= R'RANGE" severity warning; RESULT := (others => 'X'); end if; return to_ufixed(RESULT, L'high, L'low); end function "nor"; function "xor" (L, R : UNRESOLVED_ufixed) return UNRESOLVED_ufixed is variable RESULT : STD_ULOGIC_VECTOR(L'length-1 downto 0); -- force downto begin if (L'high = R'high and L'low = R'low) then RESULT := to_sulv(L) xor to_sulv(R); else assert NO_WARNING report fixed_pkg'instance_name & """xor"": Range error L'RANGE /= R'RANGE" severity warning; RESULT := (others => 'X'); end if; return to_ufixed(RESULT, L'high, L'low); end function "xor"; function "xnor" (L, R : UNRESOLVED_ufixed) return UNRESOLVED_ufixed is variable RESULT : STD_ULOGIC_VECTOR(L'length-1 downto 0); -- force downto begin if (L'high = R'high and L'low = R'low) then RESULT := to_sulv(L) xnor to_sulv(R); else assert NO_WARNING report fixed_pkg'instance_name & """xnor"": Range error L'RANGE /= R'RANGE" severity warning; RESULT := (others => 'X'); end if; return to_ufixed(RESULT, L'high, L'low); end function "xnor"; function "not" (L : UNRESOLVED_sfixed) return UNRESOLVED_sfixed is variable RESULT : STD_ULOGIC_VECTOR(L'length-1 downto 0); -- force downto begin RESULT := not to_sulv(L); return to_sfixed(RESULT, L'high, L'low); end function "not"; function "and" (L, R : UNRESOLVED_sfixed) return UNRESOLVED_sfixed is variable RESULT : STD_ULOGIC_VECTOR(L'length-1 downto 0); -- force downto begin if (L'high = R'high and L'low = R'low) then RESULT := to_sulv(L) and to_sulv(R); else assert NO_WARNING report fixed_pkg'instance_name & """and"": Range error L'RANGE /= R'RANGE" severity warning; RESULT := (others => 'X'); end if; return to_sfixed(RESULT, L'high, L'low); end function "and"; function "or" (L, R : UNRESOLVED_sfixed) return UNRESOLVED_sfixed is variable RESULT : STD_ULOGIC_VECTOR(L'length-1 downto 0); -- force downto begin if (L'high = R'high and L'low = R'low) then RESULT := to_sulv(L) or to_sulv(R); else assert NO_WARNING report fixed_pkg'instance_name & """or"": Range error L'RANGE /= R'RANGE" severity warning; RESULT := (others => 'X'); end if; return to_sfixed(RESULT, L'high, L'low); end function "or"; function "nand" (L, R : UNRESOLVED_sfixed) return UNRESOLVED_sfixed is variable RESULT : STD_ULOGIC_VECTOR(L'length-1 downto 0); -- force downto begin if (L'high = R'high and L'low = R'low) then RESULT := to_sulv(L) nand to_sulv(R); else assert NO_WARNING report fixed_pkg'instance_name & """nand"": Range error L'RANGE /= R'RANGE" severity warning; RESULT := (others => 'X'); end if; return to_sfixed(RESULT, L'high, L'low); end function "nand"; function "nor" (L, R : UNRESOLVED_sfixed) return UNRESOLVED_sfixed is variable RESULT : STD_ULOGIC_VECTOR(L'length-1 downto 0); -- force downto begin if (L'high = R'high and L'low = R'low) then RESULT := to_sulv(L) nor to_sulv(R); else assert NO_WARNING report fixed_pkg'instance_name & """nor"": Range error L'RANGE /= R'RANGE" severity warning; RESULT := (others => 'X'); end if; return to_sfixed(RESULT, L'high, L'low); end function "nor"; function "xor" (L, R : UNRESOLVED_sfixed) return UNRESOLVED_sfixed is variable RESULT : STD_ULOGIC_VECTOR(L'length-1 downto 0); -- force downto begin if (L'high = R'high and L'low = R'low) then RESULT := to_sulv(L) xor to_sulv(R); else assert NO_WARNING report fixed_pkg'instance_name & """xor"": Range error L'RANGE /= R'RANGE" severity warning; RESULT := (others => 'X'); end if; return to_sfixed(RESULT, L'high, L'low); end function "xor"; function "xnor" (L, R : UNRESOLVED_sfixed) return UNRESOLVED_sfixed is variable RESULT : STD_ULOGIC_VECTOR(L'length-1 downto 0); -- force downto begin if (L'high = R'high and L'low = R'low) then RESULT := to_sulv(L) xnor to_sulv(R); else assert NO_WARNING report fixed_pkg'instance_name & """xnor"": Range error L'RANGE /= R'RANGE" severity warning; RESULT := (others => 'X'); end if; return to_sfixed(RESULT, L'high, L'low); end function "xnor"; -- Vector and std_ulogic functions, same as functions in numeric_std function "and" (L : STD_ULOGIC; R : UNRESOLVED_ufixed) return UNRESOLVED_ufixed is variable result : UNRESOLVED_ufixed (R'range); begin for i in result'range loop result(i) := L and R(i); end loop; return result; end function "and"; function "and" (L : UNRESOLVED_ufixed; R : STD_ULOGIC) return UNRESOLVED_ufixed is variable result : UNRESOLVED_ufixed (L'range); begin for i in result'range loop result(i) := L(i) and R; end loop; return result; end function "and"; function "or" (L : STD_ULOGIC; R : UNRESOLVED_ufixed) return UNRESOLVED_ufixed is variable result : UNRESOLVED_ufixed (R'range); begin for i in result'range loop result(i) := L or R(i); end loop; return result; end function "or"; function "or" (L : UNRESOLVED_ufixed; R : STD_ULOGIC) return UNRESOLVED_ufixed is variable result : UNRESOLVED_ufixed (L'range); begin for i in result'range loop result(i) := L(i) or R; end loop; return result; end function "or"; function "nand" (L : STD_ULOGIC; R : UNRESOLVED_ufixed) return UNRESOLVED_ufixed is variable result : UNRESOLVED_ufixed (R'range); begin for i in result'range loop result(i) := L nand R(i); end loop; return result; end function "nand"; function "nand" (L : UNRESOLVED_ufixed; R : STD_ULOGIC) return UNRESOLVED_ufixed is variable result : UNRESOLVED_ufixed (L'range); begin for i in result'range loop result(i) := L(i) nand R; end loop; return result; end function "nand"; function "nor" (L : STD_ULOGIC; R : UNRESOLVED_ufixed) return UNRESOLVED_ufixed is variable result : UNRESOLVED_ufixed (R'range); begin for i in result'range loop result(i) := L nor R(i); end loop; return result; end function "nor"; function "nor" (L : UNRESOLVED_ufixed; R : STD_ULOGIC) return UNRESOLVED_ufixed is variable result : UNRESOLVED_ufixed (L'range); begin for i in result'range loop result(i) := L(i) nor R; end loop; return result; end function "nor"; function "xor" (L : STD_ULOGIC; R : UNRESOLVED_ufixed) return UNRESOLVED_ufixed is variable result : UNRESOLVED_ufixed (R'range); begin for i in result'range loop result(i) := L xor R(i); end loop; return result; end function "xor"; function "xor" (L : UNRESOLVED_ufixed; R : STD_ULOGIC) return UNRESOLVED_ufixed is variable result : UNRESOLVED_ufixed (L'range); begin for i in result'range loop result(i) := L(i) xor R; end loop; return result; end function "xor"; function "xnor" (L : STD_ULOGIC; R : UNRESOLVED_ufixed) return UNRESOLVED_ufixed is variable result : UNRESOLVED_ufixed (R'range); begin for i in result'range loop result(i) := L xnor R(i); end loop; return result; end function "xnor"; function "xnor" (L : UNRESOLVED_ufixed; R : STD_ULOGIC) return UNRESOLVED_ufixed is variable result : UNRESOLVED_ufixed (L'range); begin for i in result'range loop result(i) := L(i) xnor R; end loop; return result; end function "xnor"; function "and" (L : STD_ULOGIC; R : UNRESOLVED_sfixed) return UNRESOLVED_sfixed is variable result : UNRESOLVED_sfixed (R'range); begin for i in result'range loop result(i) := L and R(i); end loop; return result; end function "and"; function "and" (L : UNRESOLVED_sfixed; R : STD_ULOGIC) return UNRESOLVED_sfixed is variable result : UNRESOLVED_sfixed (L'range); begin for i in result'range loop result(i) := L(i) and R; end loop; return result; end function "and"; function "or" (L : STD_ULOGIC; R : UNRESOLVED_sfixed) return UNRESOLVED_sfixed is variable result : UNRESOLVED_sfixed (R'range); begin for i in result'range loop result(i) := L or R(i); end loop; return result; end function "or"; function "or" (L : UNRESOLVED_sfixed; R : STD_ULOGIC) return UNRESOLVED_sfixed is variable result : UNRESOLVED_sfixed (L'range); begin for i in result'range loop result(i) := L(i) or R; end loop; return result; end function "or"; function "nand" (L : STD_ULOGIC; R : UNRESOLVED_sfixed) return UNRESOLVED_sfixed is variable result : UNRESOLVED_sfixed (R'range); begin for i in result'range loop result(i) := L nand R(i); end loop; return result; end function "nand"; function "nand" (L : UNRESOLVED_sfixed; R : STD_ULOGIC) return UNRESOLVED_sfixed is variable result : UNRESOLVED_sfixed (L'range); begin for i in result'range loop result(i) := L(i) nand R; end loop; return result; end function "nand"; function "nor" (L : STD_ULOGIC; R : UNRESOLVED_sfixed) return UNRESOLVED_sfixed is variable result : UNRESOLVED_sfixed (R'range); begin for i in result'range loop result(i) := L nor R(i); end loop; return result; end function "nor"; function "nor" (L : UNRESOLVED_sfixed; R : STD_ULOGIC) return UNRESOLVED_sfixed is variable result : UNRESOLVED_sfixed (L'range); begin for i in result'range loop result(i) := L(i) nor R; end loop; return result; end function "nor"; function "xor" (L : STD_ULOGIC; R : UNRESOLVED_sfixed) return UNRESOLVED_sfixed is variable result : UNRESOLVED_sfixed (R'range); begin for i in result'range loop result(i) := L xor R(i); end loop; return result; end function "xor"; function "xor" (L : UNRESOLVED_sfixed; R : STD_ULOGIC) return UNRESOLVED_sfixed is variable result : UNRESOLVED_sfixed (L'range); begin for i in result'range loop result(i) := L(i) xor R; end loop; return result; end function "xor"; function "xnor" (L : STD_ULOGIC; R : UNRESOLVED_sfixed) return UNRESOLVED_sfixed is variable result : UNRESOLVED_sfixed (R'range); begin for i in result'range loop result(i) := L xnor R(i); end loop; return result; end function "xnor"; function "xnor" (L : UNRESOLVED_sfixed; R : STD_ULOGIC) return UNRESOLVED_sfixed is variable result : UNRESOLVED_sfixed (L'range); begin for i in result'range loop result(i) := L(i) xnor R; end loop; return result; end function "xnor"; -- Reduction operator_reduces function and_reduce (l : UNRESOLVED_ufixed) return STD_ULOGIC is begin return and_reduce (to_sulv(l)); end function and_reduce; function nand_reduce (l : UNRESOLVED_ufixed) return STD_ULOGIC is begin return nand_reduce (to_sulv(l)); end function nand_reduce; function or_reduce (l : UNRESOLVED_ufixed) return STD_ULOGIC is begin return or_reduce (to_sulv(l)); end function or_reduce; function nor_reduce (l : UNRESOLVED_ufixed) return STD_ULOGIC is begin return nor_reduce (to_sulv(l)); end function nor_reduce; function xor_reduce (l : UNRESOLVED_ufixed) return STD_ULOGIC is begin return xor_reduce (to_sulv(l)); end function xor_reduce; function xnor_reduce (l : UNRESOLVED_ufixed) return STD_ULOGIC is begin return xnor_reduce (to_sulv(l)); end function xnor_reduce; function and_reduce (l : UNRESOLVED_sfixed) return STD_ULOGIC is begin return and_reduce (to_sulv(l)); end function and_reduce; function nand_reduce (l : UNRESOLVED_sfixed) return STD_ULOGIC is begin return nand_reduce (to_sulv(l)); end function nand_reduce; function or_reduce (l : UNRESOLVED_sfixed) return STD_ULOGIC is begin return or_reduce (to_sulv(l)); end function or_reduce; function nor_reduce (l : UNRESOLVED_sfixed) return STD_ULOGIC is begin return nor_reduce (to_sulv(l)); end function nor_reduce; function xor_reduce (l : UNRESOLVED_sfixed) return STD_ULOGIC is begin return xor_reduce (to_sulv(l)); end function xor_reduce; function xnor_reduce (l : UNRESOLVED_sfixed) return STD_ULOGIC is begin return xnor_reduce (to_sulv(l)); end function xnor_reduce; -- End reduction operator_reduces function \?=\ (L, R : UNRESOLVED_ufixed) return STD_ULOGIC is constant left_index : INTEGER := maximum(l'high, r'high); constant right_index : INTEGER := mins(l'low, r'low); variable lresize, rresize : UNRESOLVED_ufixed (left_index downto right_index); variable lslv, rslv : UNSIGNED (lresize'length-1 downto 0); begin -- ?= if ((L'length < 1) or (R'length < 1)) then assert NO_WARNING report fixed_pkg'instance_name & """?="": null detected, returning X" severity warning; return 'X'; else lresize := resize (l, left_index, right_index); rresize := resize (r, left_index, right_index); lslv := to_uns (lresize); rslv := to_uns (rresize); return \?=\ (lslv, rslv); end if; end function \?=\; function \?/=\ (L, R : UNRESOLVED_ufixed) return STD_ULOGIC is constant left_index : INTEGER := maximum(l'high, r'high); constant right_index : INTEGER := mins(l'low, r'low); variable lresize, rresize : UNRESOLVED_ufixed (left_index downto right_index); variable lslv, rslv : UNSIGNED (lresize'length-1 downto 0); begin -- ?/= if ((L'length < 1) or (R'length < 1)) then assert NO_WARNING report fixed_pkg'instance_name & """?/="": null detected, returning X" severity warning; return 'X'; else lresize := resize (l, left_index, right_index); rresize := resize (r, left_index, right_index); lslv := to_uns (lresize); rslv := to_uns (rresize); return \?/=\ (lslv, rslv); end if; end function \?/=\; function \?>\ (L, R : UNRESOLVED_ufixed) return STD_ULOGIC is constant left_index : INTEGER := maximum(l'high, r'high); constant right_index : INTEGER := mins(l'low, r'low); variable lresize, rresize : UNRESOLVED_ufixed (left_index downto right_index); variable lslv, rslv : UNSIGNED (lresize'length-1 downto 0); begin -- ?> if ((l'length < 1) or (r'length < 1)) then assert NO_WARNING report fixed_pkg'instance_name & """?>"": null detected, returning X" severity warning; return 'X'; else lresize := resize (l, left_index, right_index); rresize := resize (r, left_index, right_index); lslv := to_uns (lresize); rslv := to_uns (rresize); return \?>\ (lslv, rslv); end if; end function \?>\; function \?>=\ (L, R : UNRESOLVED_ufixed) return STD_ULOGIC is constant left_index : INTEGER := maximum(l'high, r'high); constant right_index : INTEGER := mins(l'low, r'low); variable lresize, rresize : UNRESOLVED_ufixed (left_index downto right_index); variable lslv, rslv : UNSIGNED (lresize'length-1 downto 0); begin -- ?>= if ((l'length < 1) or (r'length < 1)) then assert NO_WARNING report fixed_pkg'instance_name & """?>="": null detected, returning X" severity warning; return 'X'; else lresize := resize (l, left_index, right_index); rresize := resize (r, left_index, right_index); lslv := to_uns (lresize); rslv := to_uns (rresize); return \?>=\ (lslv, rslv); end if; end function \?>=\; function \?<\ (L, R : UNRESOLVED_ufixed) return STD_ULOGIC is constant left_index : INTEGER := maximum(l'high, r'high); constant right_index : INTEGER := mins(l'low, r'low); variable lresize, rresize : UNRESOLVED_ufixed (left_index downto right_index); variable lslv, rslv : UNSIGNED (lresize'length-1 downto 0); begin -- ?< if ((l'length < 1) or (r'length < 1)) then assert NO_WARNING report fixed_pkg'instance_name & """?<"": null detected, returning X" severity warning; return 'X'; else lresize := resize (l, left_index, right_index); rresize := resize (r, left_index, right_index); lslv := to_uns (lresize); rslv := to_uns (rresize); return \?<\ (lslv, rslv); end if; end function \?<\; function \?<=\ (L, R : UNRESOLVED_ufixed) return STD_ULOGIC is constant left_index : INTEGER := maximum(l'high, r'high); constant right_index : INTEGER := mins(l'low, r'low); variable lresize, rresize : UNRESOLVED_ufixed (left_index downto right_index); variable lslv, rslv : UNSIGNED (lresize'length-1 downto 0); begin -- ?<= if ((l'length < 1) or (r'length < 1)) then assert NO_WARNING report fixed_pkg'instance_name & """?<="": null detected, returning X" severity warning; return 'X'; else lresize := resize (l, left_index, right_index); rresize := resize (r, left_index, right_index); lslv := to_uns (lresize); rslv := to_uns (rresize); return \?<=\ (lslv, rslv); end if; end function \?<=\; function \?=\ (L, R : UNRESOLVED_sfixed) return STD_ULOGIC is constant left_index : INTEGER := maximum(l'high, r'high); constant right_index : INTEGER := mins(l'low, r'low); variable lresize, rresize : UNRESOLVED_sfixed (left_index downto right_index); variable lslv, rslv : SIGNED (lresize'length-1 downto 0); begin -- ?= if ((L'length < 1) or (R'length < 1)) then assert NO_WARNING report fixed_pkg'instance_name & """?="": null detected, returning X" severity warning; return 'X'; else lresize := resize (l, left_index, right_index); rresize := resize (r, left_index, right_index); lslv := to_s (lresize); rslv := to_s (rresize); return \?=\ (lslv, rslv); end if; end function \?=\; function \?/=\ (L, R : UNRESOLVED_sfixed) return STD_ULOGIC is constant left_index : INTEGER := maximum(l'high, r'high); constant right_index : INTEGER := mins(l'low, r'low); variable lresize, rresize : UNRESOLVED_sfixed (left_index downto right_index); variable lslv, rslv : SIGNED (lresize'length-1 downto 0); begin -- ?/= if ((L'length < 1) or (R'length < 1)) then assert NO_WARNING report fixed_pkg'instance_name & """?/="": null detected, returning X" severity warning; return 'X'; else lresize := resize (l, left_index, right_index); rresize := resize (r, left_index, right_index); lslv := to_s (lresize); rslv := to_s (rresize); return \?/=\ (lslv, rslv); end if; end function \?/=\; function \?>\ (L, R : UNRESOLVED_sfixed) return STD_ULOGIC is constant left_index : INTEGER := maximum(l'high, r'high); constant right_index : INTEGER := mins(l'low, r'low); variable lresize, rresize : UNRESOLVED_sfixed (left_index downto right_index); variable lslv, rslv : SIGNED (lresize'length-1 downto 0); begin -- ?> if ((l'length < 1) or (r'length < 1)) then assert NO_WARNING report fixed_pkg'instance_name & """?>"": null detected, returning X" severity warning; return 'X'; else lresize := resize (l, left_index, right_index); rresize := resize (r, left_index, right_index); lslv := to_s (lresize); rslv := to_s (rresize); return \?>\ (lslv, rslv); end if; end function \?>\; function \?>=\ (L, R : UNRESOLVED_sfixed) return STD_ULOGIC is constant left_index : INTEGER := maximum(l'high, r'high); constant right_index : INTEGER := mins(l'low, r'low); variable lresize, rresize : UNRESOLVED_sfixed (left_index downto right_index); variable lslv, rslv : SIGNED (lresize'length-1 downto 0); begin -- ?>= if ((l'length < 1) or (r'length < 1)) then assert NO_WARNING report fixed_pkg'instance_name & """?>="": null detected, returning X" severity warning; return 'X'; else lresize := resize (l, left_index, right_index); rresize := resize (r, left_index, right_index); lslv := to_s (lresize); rslv := to_s (rresize); return \?>=\ (lslv, rslv); end if; end function \?>=\; function \?<\ (L, R : UNRESOLVED_sfixed) return STD_ULOGIC is constant left_index : INTEGER := maximum(l'high, r'high); constant right_index : INTEGER := mins(l'low, r'low); variable lresize, rresize : UNRESOLVED_sfixed (left_index downto right_index); variable lslv, rslv : SIGNED (lresize'length-1 downto 0); begin -- ?< if ((l'length < 1) or (r'length < 1)) then assert NO_WARNING report fixed_pkg'instance_name & """?<"": null detected, returning X" severity warning; return 'X'; else lresize := resize (l, left_index, right_index); rresize := resize (r, left_index, right_index); lslv := to_s (lresize); rslv := to_s (rresize); return \?<\ (lslv, rslv); end if; end function \?<\; function \?<=\ (L, R : UNRESOLVED_sfixed) return STD_ULOGIC is constant left_index : INTEGER := maximum(l'high, r'high); constant right_index : INTEGER := mins(l'low, r'low); variable lresize, rresize : UNRESOLVED_sfixed (left_index downto right_index); variable lslv, rslv : SIGNED (lresize'length-1 downto 0); begin -- ?<= if ((l'length < 1) or (r'length < 1)) then assert NO_WARNING report fixed_pkg'instance_name & """?<="": null detected, returning X" severity warning; return 'X'; else lresize := resize (l, left_index, right_index); rresize := resize (r, left_index, right_index); lslv := to_s (lresize); rslv := to_s (rresize); return \?<=\ (lslv, rslv); end if; end function \?<=\; -- Match function, similar to "std_match" from numeric_std function std_match (L, R : UNRESOLVED_ufixed) return BOOLEAN is begin if (L'high = R'high and L'low = R'low) then return std_match(to_sulv(L), to_sulv(R)); else assert NO_WARNING report fixed_pkg'instance_name & "STD_MATCH: L'RANGE /= R'RANGE, returning FALSE" severity warning; return false; end if; end function std_match; function std_match (L, R : UNRESOLVED_sfixed) return BOOLEAN is begin if (L'high = R'high and L'low = R'low) then return std_match(to_sulv(L), to_sulv(R)); else assert NO_WARNING report fixed_pkg'instance_name & "STD_MATCH: L'RANGE /= R'RANGE, returning FALSE" severity warning; return false; end if; end function std_match; -- compare functions function "=" ( l, r : UNRESOLVED_ufixed) -- fixed point input return BOOLEAN is constant left_index : INTEGER := maximum(l'high, r'high); constant right_index : INTEGER := mins(l'low, r'low); variable lresize, rresize : UNRESOLVED_ufixed (left_index downto right_index); variable lslv, rslv : UNSIGNED (lresize'length-1 downto 0); begin if (l'length < 1 or r'length < 1) then assert NO_WARNING report fixed_pkg'instance_name & """="": null argument detected, returning FALSE" severity warning; return false; elsif (Is_X(l) or Is_X(r)) then assert NO_WARNING report fixed_pkg'instance_name & """="": metavalue detected, returning FALSE" severity warning; return false; end if; lresize := resize (l, left_index, right_index); rresize := resize (r, left_index, right_index); lslv := to_uns (lresize); rslv := to_uns (rresize); return lslv = rslv; end function "="; function "=" ( l, r : UNRESOLVED_sfixed) -- fixed point input return BOOLEAN is constant left_index : INTEGER := maximum(l'high, r'high); constant right_index : INTEGER := mins(l'low, r'low); variable lresize, rresize : UNRESOLVED_sfixed (left_index downto right_index); variable lslv, rslv : SIGNED (lresize'length-1 downto 0); begin if (l'length < 1 or r'length < 1) then assert NO_WARNING report fixed_pkg'instance_name & """="": null argument detected, returning FALSE" severity warning; return false; elsif (Is_X(l) or Is_X(r)) then assert NO_WARNING report fixed_pkg'instance_name & """="": metavalue detected, returning FALSE" severity warning; return false; end if; lresize := resize (l, left_index, right_index); rresize := resize (r, left_index, right_index); lslv := to_s (lresize); rslv := to_s (rresize); return lslv = rslv; end function "="; function "/=" ( l, r : UNRESOLVED_ufixed) -- fixed point input return BOOLEAN is constant left_index : INTEGER := maximum(l'high, r'high); constant right_index : INTEGER := mins(l'low, r'low); variable lresize, rresize : UNRESOLVED_ufixed (left_index downto right_index); variable lslv, rslv : UNSIGNED (lresize'length-1 downto 0); begin if (l'length < 1 or r'length < 1) then assert NO_WARNING report fixed_pkg'instance_name & """/="": null argument detected, returning TRUE" severity warning; return true; elsif (Is_X(l) or Is_X(r)) then assert NO_WARNING report fixed_pkg'instance_name & """/="": metavalue detected, returning TRUE" severity warning; return true; end if; lresize := resize (l, left_index, right_index); rresize := resize (r, left_index, right_index); lslv := to_uns (lresize); rslv := to_uns (rresize); return lslv /= rslv; end function "/="; function "/=" ( l, r : UNRESOLVED_sfixed) -- fixed point input return BOOLEAN is constant left_index : INTEGER := maximum(l'high, r'high); constant right_index : INTEGER := mins(l'low, r'low); variable lresize, rresize : UNRESOLVED_sfixed (left_index downto right_index); variable lslv, rslv : SIGNED (lresize'length-1 downto 0); begin if (l'length < 1 or r'length < 1) then assert NO_WARNING report fixed_pkg'instance_name & """/="": null argument detected, returning TRUE" severity warning; return true; elsif (Is_X(l) or Is_X(r)) then assert NO_WARNING report fixed_pkg'instance_name & """/="": metavalue detected, returning TRUE" severity warning; return true; end if; lresize := resize (l, left_index, right_index); rresize := resize (r, left_index, right_index); lslv := to_s (lresize); rslv := to_s (rresize); return lslv /= rslv; end function "/="; function ">" ( l, r : UNRESOLVED_ufixed) -- fixed point input return BOOLEAN is constant left_index : INTEGER := maximum(l'high, r'high); constant right_index : INTEGER := mins(l'low, r'low); variable lresize, rresize : UNRESOLVED_ufixed (left_index downto right_index); variable lslv, rslv : UNSIGNED (lresize'length-1 downto 0); begin if (l'length < 1 or r'length < 1) then assert NO_WARNING report fixed_pkg'instance_name & """>"": null argument detected, returning FALSE" severity warning; return false; elsif (Is_X(l) or Is_X(r)) then assert NO_WARNING report fixed_pkg'instance_name & """>"": metavalue detected, returning FALSE" severity warning; return false; end if; lresize := resize (l, left_index, right_index); rresize := resize (r, left_index, right_index); lslv := to_uns (lresize); rslv := to_uns (rresize); return lslv > rslv; end function ">"; function ">" ( l, r : UNRESOLVED_sfixed) -- fixed point input return BOOLEAN is constant left_index : INTEGER := maximum(l'high, r'high); constant right_index : INTEGER := mins(l'low, r'low); variable lresize, rresize : UNRESOLVED_sfixed (left_index downto right_index); variable lslv, rslv : SIGNED (lresize'length-1 downto 0); begin if (l'length < 1 or r'length < 1) then assert NO_WARNING report fixed_pkg'instance_name & """>"": null argument detected, returning FALSE" severity warning; return false; elsif (Is_X(l) or Is_X(r)) then assert NO_WARNING report fixed_pkg'instance_name & """>"": metavalue detected, returning FALSE" severity warning; return false; end if; lresize := resize (l, left_index, right_index); rresize := resize (r, left_index, right_index); lslv := to_s (lresize); rslv := to_s (rresize); return lslv > rslv; end function ">"; function "<" ( l, r : UNRESOLVED_ufixed) -- fixed point input return BOOLEAN is constant left_index : INTEGER := maximum(l'high, r'high); constant right_index : INTEGER := mins(l'low, r'low); variable lresize, rresize : UNRESOLVED_ufixed (left_index downto right_index); variable lslv, rslv : UNSIGNED (lresize'length-1 downto 0); begin if (l'length < 1 or r'length < 1) then assert NO_WARNING report fixed_pkg'instance_name & """<"": null argument detected, returning FALSE" severity warning; return false; elsif (Is_X(l) or Is_X(r)) then assert NO_WARNING report fixed_pkg'instance_name & """<"": metavalue detected, returning FALSE" severity warning; return false; end if; lresize := resize (l, left_index, right_index); rresize := resize (r, left_index, right_index); lslv := to_uns (lresize); rslv := to_uns (rresize); return lslv < rslv; end function "<"; function "<" ( l, r : UNRESOLVED_sfixed) -- fixed point input return BOOLEAN is constant left_index : INTEGER := maximum(l'high, r'high); constant right_index : INTEGER := mins(l'low, r'low); variable lresize, rresize : UNRESOLVED_sfixed (left_index downto right_index); variable lslv, rslv : SIGNED (lresize'length-1 downto 0); begin if (l'length < 1 or r'length < 1) then assert NO_WARNING report fixed_pkg'instance_name & """<"": null argument detected, returning FALSE" severity warning; return false; elsif (Is_X(l) or Is_X(r)) then assert NO_WARNING report fixed_pkg'instance_name & """<"": metavalue detected, returning FALSE" severity warning; return false; end if; lresize := resize (l, left_index, right_index); rresize := resize (r, left_index, right_index); lslv := to_s (lresize); rslv := to_s (rresize); return lslv < rslv; end function "<"; function ">=" ( l, r : UNRESOLVED_ufixed) -- fixed point input return BOOLEAN is constant left_index : INTEGER := maximum(l'high, r'high); constant right_index : INTEGER := mins(l'low, r'low); variable lresize, rresize : UNRESOLVED_ufixed (left_index downto right_index); variable lslv, rslv : UNSIGNED (lresize'length-1 downto 0); begin if (l'length < 1 or r'length < 1) then assert NO_WARNING report fixed_pkg'instance_name & """>="": null argument detected, returning FALSE" severity warning; return false; elsif (Is_X(l) or Is_X(r)) then assert NO_WARNING report fixed_pkg'instance_name & """>="": metavalue detected, returning FALSE" severity warning; return false; end if; lresize := resize (l, left_index, right_index); rresize := resize (r, left_index, right_index); lslv := to_uns (lresize); rslv := to_uns (rresize); return lslv >= rslv; end function ">="; function ">=" ( l, r : UNRESOLVED_sfixed) -- fixed point input return BOOLEAN is constant left_index : INTEGER := maximum(l'high, r'high); constant right_index : INTEGER := mins(l'low, r'low); variable lresize, rresize : UNRESOLVED_sfixed (left_index downto right_index); variable lslv, rslv : SIGNED (lresize'length-1 downto 0); begin if (l'length < 1 or r'length < 1) then assert NO_WARNING report fixed_pkg'instance_name & """>="": null argument detected, returning FALSE" severity warning; return false; elsif (Is_X(l) or Is_X(r)) then assert NO_WARNING report fixed_pkg'instance_name & """>="": metavalue detected, returning FALSE" severity warning; return false; end if; lresize := resize (l, left_index, right_index); rresize := resize (r, left_index, right_index); lslv := to_s (lresize); rslv := to_s (rresize); return lslv >= rslv; end function ">="; function "<=" ( l, r : UNRESOLVED_ufixed) -- fixed point input return BOOLEAN is constant left_index : INTEGER := maximum(l'high, r'high); constant right_index : INTEGER := mins(l'low, r'low); variable lresize, rresize : UNRESOLVED_ufixed (left_index downto right_index); variable lslv, rslv : UNSIGNED (lresize'length-1 downto 0); begin if (l'length < 1 or r'length < 1) then assert NO_WARNING report fixed_pkg'instance_name & """<="": null argument detected, returning FALSE" severity warning; return false; elsif (Is_X(l) or Is_X(r)) then assert NO_WARNING report fixed_pkg'instance_name & """<="": metavalue detected, returning FALSE" severity warning; return false; end if; lresize := resize (l, left_index, right_index); rresize := resize (r, left_index, right_index); lslv := to_uns (lresize); rslv := to_uns (rresize); return lslv <= rslv; end function "<="; function "<=" ( l, r : UNRESOLVED_sfixed) -- fixed point input return BOOLEAN is constant left_index : INTEGER := maximum(l'high, r'high); constant right_index : INTEGER := mins(l'low, r'low); variable lresize, rresize : UNRESOLVED_sfixed (left_index downto right_index); variable lslv, rslv : SIGNED (lresize'length-1 downto 0); begin if (l'length < 1 or r'length < 1) then assert NO_WARNING report fixed_pkg'instance_name & """<="": null argument detected, returning FALSE" severity warning; return false; elsif (Is_X(l) or Is_X(r)) then assert NO_WARNING report fixed_pkg'instance_name & """<="": metavalue detected, returning FALSE" severity warning; return false; end if; lresize := resize (l, left_index, right_index); rresize := resize (r, left_index, right_index); lslv := to_s (lresize); rslv := to_s (rresize); return lslv <= rslv; end function "<="; -- overloads of the default maximum and minimum functions function maximum (l, r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed is constant left_index : INTEGER := maximum(l'high, r'high); constant right_index : INTEGER := mins(l'low, r'low); variable lresize, rresize : UNRESOLVED_ufixed (left_index downto right_index); begin if (l'length < 1 or r'length < 1) then return NAUF; end if; lresize := resize (l, left_index, right_index); rresize := resize (r, left_index, right_index); if lresize > rresize then return lresize; else return rresize; end if; end function maximum; function maximum (l, r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed is constant left_index : INTEGER := maximum(l'high, r'high); constant right_index : INTEGER := mins(l'low, r'low); variable lresize, rresize : UNRESOLVED_sfixed (left_index downto right_index); begin if (l'length < 1 or r'length < 1) then return NASF; end if; lresize := resize (l, left_index, right_index); rresize := resize (r, left_index, right_index); if lresize > rresize then return lresize; else return rresize; end if; end function maximum; function minimum (l, r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed is constant left_index : INTEGER := maximum(l'high, r'high); constant right_index : INTEGER := mins(l'low, r'low); variable lresize, rresize : UNRESOLVED_ufixed (left_index downto right_index); begin if (l'length < 1 or r'length < 1) then return NAUF; end if; lresize := resize (l, left_index, right_index); rresize := resize (r, left_index, right_index); if lresize > rresize then return rresize; else return lresize; end if; end function minimum; function minimum (l, r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed is constant left_index : INTEGER := maximum(l'high, r'high); constant right_index : INTEGER := mins(l'low, r'low); variable lresize, rresize : UNRESOLVED_sfixed (left_index downto right_index); begin if (l'length < 1 or r'length < 1) then return NASF; end if; lresize := resize (l, left_index, right_index); rresize := resize (r, left_index, right_index); if lresize > rresize then return rresize; else return lresize; end if; end function minimum; function to_ufixed ( arg : NATURAL; -- integer constant left_index : INTEGER; -- left index (high index) constant right_index : INTEGER := 0; -- right index constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; constant round_style : fixed_round_style_type := fixed_round_style) return UNRESOLVED_ufixed is constant fw : INTEGER := mins (right_index, right_index); -- catch literals variable result : UNRESOLVED_ufixed (left_index downto fw); variable sresult : UNRESOLVED_ufixed (left_index downto 0) := (others => '0'); -- integer portion variable argx : NATURAL; -- internal version of arg begin if (result'length < 1) then return NAUF; end if; if arg /= 0 then argx := arg; for I in 0 to sresult'left loop if (argx mod 2) = 0 then sresult(I) := '0'; else sresult(I) := '1'; end if; argx := argx/2; end loop; if argx /= 0 then assert NO_WARNING report fixed_pkg'instance_name & "TO_UFIXED(NATURAL): vector truncated" severity warning; if overflow_style = fixed_saturate then return saturate (left_index, right_index); end if; end if; result := resize (arg => sresult, left_index => left_index, right_index => right_index, round_style => round_style, overflow_style => overflow_style); else result := (others => '0'); end if; return result; end function to_ufixed; function to_sfixed ( arg : INTEGER; -- integer constant left_index : INTEGER; -- left index (high index) constant right_index : INTEGER := 0; -- right index constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; constant round_style : fixed_round_style_type := fixed_round_style) return UNRESOLVED_sfixed is constant fw : INTEGER := mins (right_index, right_index); -- catch literals variable result : UNRESOLVED_sfixed (left_index downto fw); variable sresult : UNRESOLVED_sfixed (left_index downto 0) := (others => '0'); -- integer portion variable argx : INTEGER; -- internal version of arg variable sign : STD_ULOGIC; -- sign of input begin if (result'length < 1) then -- null range return NASF; end if; if arg /= 0 then if (arg < 0) then sign := '1'; argx := -(arg + 1); else sign := '0'; argx := arg; end if; for I in 0 to sresult'left loop if (argx mod 2) = 0 then sresult(I) := sign; else sresult(I) := not sign; end if; argx := argx/2; end loop; if argx /= 0 or left_index < 0 or sign /= sresult(sresult'left) then assert NO_WARNING report fixed_pkg'instance_name & "TO_SFIXED(INTEGER): vector truncated" severity warning; if overflow_style = fixed_saturate then -- saturate if arg < 0 then result := not saturate (result'high, result'low); -- underflow else result := saturate (result'high, result'low); -- overflow end if; return result; end if; end if; result := resize (arg => sresult, left_index => left_index, right_index => right_index, round_style => round_style, overflow_style => overflow_style); else result := (others => '0'); end if; return result; end function to_sfixed; function to_ufixed ( arg : REAL; -- real constant left_index : INTEGER; -- left index (high index) constant right_index : INTEGER; -- right index constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; constant round_style : fixed_round_style_type := fixed_round_style; constant guard_bits : NATURAL := fixed_guard_bits) -- # of guard bits return UNRESOLVED_ufixed is constant fw : INTEGER := mins (right_index, right_index); -- catch literals variable result : UNRESOLVED_ufixed (left_index downto fw) := (others => '0'); variable Xresult : UNRESOLVED_ufixed (left_index downto fw-guard_bits) := (others => '0'); variable presult : REAL; -- variable overflow_needed : BOOLEAN; begin -- If negative or null range, return. if (left_index < fw) then return NAUF; end if; if (arg < 0.0) then report fixed_pkg'instance_name & "TO_UFIXED: Negative argument passed " & REAL'image(arg) severity error; return result; end if; presult := arg; if presult >= (2.0**(left_index+1)) then assert NO_WARNING report fixed_pkg'instance_name & "TO_UFIXED(REAL): vector truncated" severity warning; if overflow_style = fixed_wrap then presult := presult mod (2.0**(left_index+1)); -- wrap else return saturate (result'high, result'low); end if; end if; for i in Xresult'range loop if presult >= 2.0**i then Xresult(i) := '1'; presult := presult - 2.0**i; else Xresult(i) := '0'; end if; end loop; if guard_bits > 0 and round_style = fixed_round then result := round_fixed (arg => Xresult (left_index downto right_index), remainder => Xresult (right_index-1 downto right_index-guard_bits), overflow_style => overflow_style); else result := Xresult (result'range); end if; return result; end function to_ufixed; function to_sfixed ( arg : REAL; -- real constant left_index : INTEGER; -- left index (high index) constant right_index : INTEGER; -- right index constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; constant round_style : fixed_round_style_type := fixed_round_style; constant guard_bits : NATURAL := fixed_guard_bits) -- # of guard bits return UNRESOLVED_sfixed is constant fw : INTEGER := mins (right_index, right_index); -- catch literals variable result : UNRESOLVED_sfixed (left_index downto fw) := (others => '0'); variable Xresult : UNRESOLVED_sfixed (left_index+1 downto fw-guard_bits) := (others => '0'); variable presult : REAL; begin if (left_index < fw) then -- null range return NASF; end if; if (arg >= (2.0**left_index) or arg < -(2.0**left_index)) then assert NO_WARNING report fixed_pkg'instance_name & "TO_SFIXED(REAL): vector truncated" severity warning; if overflow_style = fixed_saturate then if arg < 0.0 then -- saturate result := not saturate (result'high, result'low); -- underflow else result := saturate (result'high, result'low); -- overflow end if; return result; else presult := abs(arg) mod (2.0**(left_index+1)); -- wrap end if; else presult := abs(arg); end if; for i in Xresult'range loop if presult >= 2.0**i then Xresult(i) := '1'; presult := presult - 2.0**i; else Xresult(i) := '0'; end if; end loop; if arg < 0.0 then Xresult := to_fixed(-to_s(Xresult), Xresult'high, Xresult'low); end if; if guard_bits > 0 and round_style = fixed_round then result := round_fixed (arg => Xresult (left_index downto right_index), remainder => Xresult (right_index-1 downto right_index-guard_bits), overflow_style => overflow_style); else result := Xresult (result'range); end if; return result; end function to_sfixed; function to_ufixed ( arg : UNSIGNED; -- unsigned constant left_index : INTEGER; -- left index (high index) constant right_index : INTEGER := 0; -- right index constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; constant round_style : fixed_round_style_type := fixed_round_style) return UNRESOLVED_ufixed is constant ARG_LEFT : INTEGER := ARG'length-1; alias XARG : UNSIGNED(ARG_LEFT downto 0) is ARG; variable result : UNRESOLVED_ufixed (left_index downto right_index); begin if arg'length < 1 or (left_index < right_index) then return NAUF; end if; result := resize (arg => UNRESOLVED_ufixed (XARG), left_index => left_index, right_index => right_index, round_style => round_style, overflow_style => overflow_style); return result; end function to_ufixed; -- converted version function to_ufixed ( arg : UNSIGNED) -- unsigned return UNRESOLVED_ufixed is constant ARG_LEFT : INTEGER := ARG'length-1; alias XARG : UNSIGNED(ARG_LEFT downto 0) is ARG; begin if arg'length < 1 then return NAUF; end if; return UNRESOLVED_ufixed(xarg); end function to_ufixed; function to_sfixed ( arg : SIGNED; -- signed constant left_index : INTEGER; -- left index (high index) constant right_index : INTEGER := 0; -- right index constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; constant round_style : fixed_round_style_type := fixed_round_style) return UNRESOLVED_sfixed is constant ARG_LEFT : INTEGER := ARG'length-1; alias XARG : SIGNED(ARG_LEFT downto 0) is ARG; variable result : UNRESOLVED_sfixed (left_index downto right_index); begin if arg'length < 1 or (left_index < right_index) then return NASF; end if; result := resize (arg => UNRESOLVED_sfixed (XARG), left_index => left_index, right_index => right_index, round_style => round_style, overflow_style => overflow_style); return result; end function to_sfixed; -- converted version function to_sfixed ( arg : SIGNED) -- signed return UNRESOLVED_sfixed is constant ARG_LEFT : INTEGER := ARG'length-1; alias XARG : SIGNED(ARG_LEFT downto 0) is ARG; begin if arg'length < 1 then return NASF; end if; return UNRESOLVED_sfixed(xarg); end function to_sfixed; function to_sfixed (arg : UNRESOLVED_ufixed) return UNRESOLVED_sfixed is variable result : UNRESOLVED_sfixed (arg'high+1 downto arg'low); begin if arg'length < 1 then return NASF; end if; result (arg'high downto arg'low) := UNRESOLVED_sfixed(cleanvec(arg)); result (arg'high+1) := '0'; return result; end function to_sfixed; -- Because of the fairly complicated sizing rules in the fixed point -- packages these functions are provided to compute the result ranges -- Example: -- signal uf1 : ufixed (3 downto -3); -- signal uf2 : ufixed (4 downto -2); -- signal uf1multuf2 : ufixed (ufixed_high (3, -3, '*', 4, -2) downto -- ufixed_low (3, -3, '*', 4, -2)); -- uf1multuf2 <= uf1 * uf2; -- Valid characters: '+', '-', '*', '/', 'r' or 'R' (rem), 'm' or 'M' (mod), -- '1' (reciprocal), 'A', 'a' (abs), 'N', 'n' (-sfixed) function ufixed_high (left_index, right_index : INTEGER; operation : CHARACTER := 'X'; left_index2, right_index2 : INTEGER := 0) return INTEGER is begin case operation is when '+'| '-' => return maximum (left_index, left_index2) + 1; when '*' => return left_index + left_index2 + 1; when '/' => return left_index - right_index2; when '1' => return -right_index; -- reciprocal when 'R'|'r' => return mins (left_index, left_index2); -- "rem" when 'M'|'m' => return mins (left_index, left_index2); -- "mod" when others => return left_index; -- For abs and default end case; end function ufixed_high; function ufixed_low (left_index, right_index : INTEGER; operation : CHARACTER := 'X'; left_index2, right_index2 : INTEGER := 0) return INTEGER is begin case operation is when '+'| '-' => return mins (right_index, right_index2); when '*' => return right_index + right_index2; when '/' => return right_index - left_index2 - 1; when '1' => return -left_index - 1; -- reciprocal when 'R'|'r' => return mins (right_index, right_index2); -- "rem" when 'M'|'m' => return mins (right_index, right_index2); -- "mod" when others => return right_index; -- for abs and default end case; end function ufixed_low; function sfixed_high (left_index, right_index : INTEGER; operation : CHARACTER := 'X'; left_index2, right_index2 : INTEGER := 0) return INTEGER is begin case operation is when '+'| '-' => return maximum (left_index, left_index2) + 1; when '*' => return left_index + left_index2 + 1; when '/' => return left_index - right_index2 + 1; when '1' => return -right_index + 1; -- reciprocal when 'R'|'r' => return mins (left_index, left_index2); -- "rem" when 'M'|'m' => return left_index2; -- "mod" when 'A'|'a' => return left_index + 1; -- "abs" when 'N'|'n' => return left_index + 1; -- -sfixed when others => return left_index; end case; end function sfixed_high; function sfixed_low (left_index, right_index : INTEGER; operation : CHARACTER := 'X'; left_index2, right_index2 : INTEGER := 0) return INTEGER is begin case operation is when '+'| '-' => return mins (right_index, right_index2); when '*' => return right_index + right_index2; when '/' => return right_index - left_index2; when '1' => return -left_index; -- reciprocal when 'R'|'r' => return mins (right_index, right_index2); -- "rem" when 'M'|'m' => return mins (right_index, right_index2); -- "mod" when others => return right_index; -- default for abs, neg and default end case; end function sfixed_low; -- Same as above, but using the "size_res" input only for their ranges: -- signal uf1multuf2 : ufixed (ufixed_high (uf1, '*', uf2) downto -- ufixed_low (uf1, '*', uf2)); -- uf1multuf2 <= uf1 * uf2; function ufixed_high (size_res : UNRESOLVED_ufixed; operation : CHARACTER := 'X'; size_res2 : UNRESOLVED_ufixed) return INTEGER is begin return ufixed_high (left_index => size_res'high, right_index => size_res'low, operation => operation, left_index2 => size_res2'high, right_index2 => size_res2'low); end function ufixed_high; function ufixed_low (size_res : UNRESOLVED_ufixed; operation : CHARACTER := 'X'; size_res2 : UNRESOLVED_ufixed) return INTEGER is begin return ufixed_low (left_index => size_res'high, right_index => size_res'low, operation => operation, left_index2 => size_res2'high, right_index2 => size_res2'low); end function ufixed_low; function sfixed_high (size_res : UNRESOLVED_sfixed; operation : CHARACTER := 'X'; size_res2 : UNRESOLVED_sfixed) return INTEGER is begin return sfixed_high (left_index => size_res'high, right_index => size_res'low, operation => operation, left_index2 => size_res2'high, right_index2 => size_res2'low); end function sfixed_high; function sfixed_low (size_res : UNRESOLVED_sfixed; operation : CHARACTER := 'X'; size_res2 : UNRESOLVED_sfixed) return INTEGER is begin return sfixed_low (left_index => size_res'high, right_index => size_res'low, operation => operation, left_index2 => size_res2'high, right_index2 => size_res2'low); end function sfixed_low; -- purpose: returns a saturated number function saturate ( constant left_index : INTEGER; constant right_index : INTEGER) return UNRESOLVED_ufixed is constant sat : UNRESOLVED_ufixed (left_index downto right_index) := (others => '1'); begin return sat; end function saturate; -- purpose: returns a saturated number function saturate ( constant left_index : INTEGER; constant right_index : INTEGER) return UNRESOLVED_sfixed is variable sat : UNRESOLVED_sfixed (left_index downto right_index) := (others => '1'); begin -- saturate positive, to saturate negative, just do "not saturate()" sat (left_index) := '0'; return sat; end function saturate; function saturate ( size_res : UNRESOLVED_ufixed) -- only the size of this is used return UNRESOLVED_ufixed is begin return saturate (size_res'high, size_res'low); end function saturate; function saturate ( size_res : UNRESOLVED_sfixed) -- only the size of this is used return UNRESOLVED_sfixed is begin return saturate (size_res'high, size_res'low); end function saturate; -- As a concession to those who use a graphical DSP environment, -- these functions take parameters in those tools format and create -- fixed point numbers. These functions are designed to convert from -- a std_logic_vector to the VHDL fixed point format using the conventions -- of these packages. In a pure VHDL environment you should use the -- "to_ufixed" and "to_sfixed" routines. -- Unsigned fixed point function to_UFix ( arg : STD_ULOGIC_VECTOR; width : NATURAL; -- width of vector fraction : NATURAL) -- width of fraction return UNRESOLVED_ufixed is variable result : UNRESOLVED_ufixed (width-fraction-1 downto -fraction); begin if (arg'length /= result'length) then report fixed_pkg'instance_name & "TO_UFIX (STD_ULOGIC_VECTOR) " & "Vector lengths do not match. Input length is " & INTEGER'image(arg'length) & " and output will be " & INTEGER'image(result'length) & " wide." severity error; return NAUF; else result := to_ufixed (arg, result'high, result'low); return result; end if; end function to_UFix; -- signed fixed point function to_SFix ( arg : STD_ULOGIC_VECTOR; width : NATURAL; -- width of vector fraction : NATURAL) -- width of fraction return UNRESOLVED_sfixed is variable result : UNRESOLVED_sfixed (width-fraction-1 downto -fraction); begin if (arg'length /= result'length) then report fixed_pkg'instance_name & "TO_SFIX (STD_ULOGIC_VECTOR) " & "Vector lengths do not match. Input length is " & INTEGER'image(arg'length) & " and output will be " & INTEGER'image(result'length) & " wide." severity error; return NASF; else result := to_sfixed (arg, result'high, result'low); return result; end if; end function to_SFix; -- finding the bounds of a number. These functions can be used like this: -- signal xxx : ufixed (7 downto -3); -- -- Which is the same as "ufixed (UFix_high (11,3) downto UFix_low(11,3))" -- signal yyy : ufixed (UFix_high (11, 3, "+", 11, 3) -- downto UFix_low(11, 3, "+", 11, 3)); -- Where "11" is the width of xxx (xxx'length), -- and 3 is the lower bound (abs (xxx'low)) -- In a pure VHDL environment use "ufixed_high" and "ufixed_low" function ufix_high ( width, fraction : NATURAL; operation : CHARACTER := 'X'; width2, fraction2 : NATURAL := 0) return INTEGER is begin return ufixed_high (left_index => width - 1 - fraction, right_index => -fraction, operation => operation, left_index2 => width2 - 1 - fraction2, right_index2 => -fraction2); end function ufix_high; function ufix_low ( width, fraction : NATURAL; operation : CHARACTER := 'X'; width2, fraction2 : NATURAL := 0) return INTEGER is begin return ufixed_low (left_index => width - 1 - fraction, right_index => -fraction, operation => operation, left_index2 => width2 - 1 - fraction2, right_index2 => -fraction2); end function ufix_low; function sfix_high ( width, fraction : NATURAL; operation : CHARACTER := 'X'; width2, fraction2 : NATURAL := 0) return INTEGER is begin return sfixed_high (left_index => width - fraction, right_index => -fraction, operation => operation, left_index2 => width2 - fraction2, right_index2 => -fraction2); end function sfix_high; function sfix_low ( width, fraction : NATURAL; operation : CHARACTER := 'X'; width2, fraction2 : NATURAL := 0) return INTEGER is begin return sfixed_low (left_index => width - fraction, right_index => -fraction, operation => operation, left_index2 => width2 - fraction2, right_index2 => -fraction2); end function sfix_low; function to_unsigned ( arg : UNRESOLVED_ufixed; -- ufixed point input constant size : NATURAL; -- length of output constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; constant round_style : fixed_round_style_type := fixed_round_style) return UNSIGNED is begin return to_uns(resize (arg => arg, left_index => size-1, right_index => 0, round_style => round_style, overflow_style => overflow_style)); end function to_unsigned; function to_unsigned ( arg : UNRESOLVED_ufixed; -- ufixed point input size_res : UNSIGNED; -- length of output constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; constant round_style : fixed_round_style_type := fixed_round_style) return UNSIGNED is begin return to_unsigned (arg => arg, size => size_res'length, round_style => round_style, overflow_style => overflow_style); end function to_unsigned; function to_signed ( arg : UNRESOLVED_sfixed; -- sfixed point input constant size : NATURAL; -- length of output constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; constant round_style : fixed_round_style_type := fixed_round_style) return SIGNED is begin return to_s(resize (arg => arg, left_index => size-1, right_index => 0, round_style => round_style, overflow_style => overflow_style)); end function to_signed; function to_signed ( arg : UNRESOLVED_sfixed; -- sfixed point input size_res : SIGNED; -- used for length of output constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; constant round_style : fixed_round_style_type := fixed_round_style) return SIGNED is begin return to_signed (arg => arg, size => size_res'length, round_style => round_style, overflow_style => overflow_style); end function to_signed; function to_real ( arg : UNRESOLVED_ufixed) -- ufixed point input return REAL is constant left_index : INTEGER := arg'high; constant right_index : INTEGER := arg'low; variable result : REAL; -- result variable arg_int : UNRESOLVED_ufixed (left_index downto right_index); begin if (arg'length < 1) then return 0.0; end if; arg_int := to_x01(cleanvec(arg)); if (Is_X(arg_int)) then assert NO_WARNING report fixed_pkg'instance_name & "TO_REAL (ufixed): metavalue detected, returning 0.0" severity warning; return 0.0; end if; result := 0.0; for i in arg_int'range loop if (arg_int(i) = '1') then result := result + (2.0**i); end if; end loop; return result; end function to_real; function to_real ( arg : UNRESOLVED_sfixed) -- ufixed point input return REAL is constant left_index : INTEGER := arg'high; constant right_index : INTEGER := arg'low; variable result : REAL; -- result variable arg_int : UNRESOLVED_sfixed (left_index downto right_index); -- unsigned version of argument variable arg_uns : UNRESOLVED_ufixed (left_index downto right_index); -- absolute of argument begin if (arg'length < 1) then return 0.0; end if; arg_int := to_x01(cleanvec(arg)); if (Is_X(arg_int)) then assert NO_WARNING report fixed_pkg'instance_name & "TO_REAL (sfixed): metavalue detected, returning 0.0" severity warning; return 0.0; end if; arg_uns := to_ufixed (arg_int); result := to_real (arg_uns); if (arg_int(arg_int'high) = '1') then result := -result; end if; return result; end function to_real; function to_integer ( arg : UNRESOLVED_ufixed; -- fixed point input constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; constant round_style : fixed_round_style_type := fixed_round_style) return NATURAL is constant left_index : INTEGER := arg'high; variable arg_uns : UNSIGNED (left_index+1 downto 0) := (others => '0'); begin if (arg'length < 1) then return 0; end if; if (Is_X (arg)) then assert NO_WARNING report fixed_pkg'instance_name & "TO_INTEGER (ufixed): metavalue detected, returning 0" severity warning; return 0; end if; if (left_index < -1) then return 0; end if; arg_uns := to_uns(resize (arg => arg, left_index => arg_uns'high, right_index => 0, round_style => round_style, overflow_style => overflow_style)); return to_integer (arg_uns); end function to_integer; function to_integer ( arg : UNRESOLVED_sfixed; -- fixed point input constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; constant round_style : fixed_round_style_type := fixed_round_style) return INTEGER is constant left_index : INTEGER := arg'high; constant right_index : INTEGER := arg'low; variable arg_s : SIGNED (left_index+1 downto 0); begin if (arg'length < 1) then return 0; end if; if (Is_X (arg)) then assert NO_WARNING report fixed_pkg'instance_name & "TO_INTEGER (sfixed): metavalue detected, returning 0" severity warning; return 0; end if; if (left_index < -1) then return 0; end if; arg_s := to_s(resize (arg => arg, left_index => arg_s'high, right_index => 0, round_style => round_style, overflow_style => overflow_style)); return to_integer (arg_s); end function to_integer; function to_01 ( s : UNRESOLVED_ufixed; -- ufixed point input constant XMAP : STD_ULOGIC := '0') -- Map x to return UNRESOLVED_ufixed is variable result : UNRESOLVED_ufixed (s'range); -- result begin if (s'length < 1) then assert NO_WARNING report fixed_pkg'instance_name & "TO_01(ufixed): null detected, returning NULL" severity warning; return NAUF; end if; return to_fixed (to_01(to_uns(s), XMAP), s'high, s'low); end function to_01; function to_01 ( s : UNRESOLVED_sfixed; -- sfixed point input constant XMAP : STD_ULOGIC := '0') -- Map x to return UNRESOLVED_sfixed is variable result : UNRESOLVED_sfixed (s'range); begin if (s'length < 1) then assert NO_WARNING report fixed_pkg'instance_name & "TO_01(sfixed): null detected, returning NULL" severity warning; return NASF; end if; return to_fixed (to_01(to_s(s), XMAP), s'high, s'low); end function to_01; function Is_X ( arg : UNRESOLVED_ufixed) return BOOLEAN is variable argslv : STD_ULOGIC_VECTOR (arg'length-1 downto 0); -- slv begin argslv := to_sulv(arg); return Is_X (argslv); end function Is_X; function Is_X ( arg : UNRESOLVED_sfixed) return BOOLEAN is variable argslv : STD_ULOGIC_VECTOR (arg'length-1 downto 0); -- slv begin argslv := to_sulv(arg); return Is_X (argslv); end function Is_X; function To_X01 ( arg : UNRESOLVED_ufixed) return UNRESOLVED_ufixed is begin return to_ufixed (To_X01(to_sulv(arg)), arg'high, arg'low); end function To_X01; function to_X01 ( arg : UNRESOLVED_sfixed) return UNRESOLVED_sfixed is begin return to_sfixed (To_X01(to_sulv(arg)), arg'high, arg'low); end function To_X01; function To_X01Z ( arg : UNRESOLVED_ufixed) return UNRESOLVED_ufixed is begin return to_ufixed (To_X01Z(to_sulv(arg)), arg'high, arg'low); end function To_X01Z; function to_X01Z ( arg : UNRESOLVED_sfixed) return UNRESOLVED_sfixed is begin return to_sfixed (To_X01Z(to_sulv(arg)), arg'high, arg'low); end function To_X01Z; function To_UX01 ( arg : UNRESOLVED_ufixed) return UNRESOLVED_ufixed is begin return to_ufixed (To_UX01(to_sulv(arg)), arg'high, arg'low); end function To_UX01; function to_UX01 ( arg : UNRESOLVED_sfixed) return UNRESOLVED_sfixed is begin return to_sfixed (To_UX01(to_sulv(arg)), arg'high, arg'low); end function To_UX01; function resize ( arg : UNRESOLVED_ufixed; -- input constant left_index : INTEGER; -- integer portion constant right_index : INTEGER; -- size of fraction constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; constant round_style : fixed_round_style_type := fixed_round_style) return UNRESOLVED_ufixed is constant arghigh : INTEGER := maximum (arg'high, arg'low); constant arglow : INTEGER := mine (arg'high, arg'low); variable invec : UNRESOLVED_ufixed (arghigh downto arglow); variable result : UNRESOLVED_ufixed(left_index downto right_index) := (others => '0'); variable needs_rounding : BOOLEAN := false; begin -- resize if (arg'length < 1) or (result'length < 1) then return NAUF; elsif (invec'length < 1) then return result; -- string literal value else invec := cleanvec(arg); if (right_index > arghigh) then -- return top zeros needs_rounding := (round_style = fixed_round) and (right_index = arghigh+1); elsif (left_index < arglow) then -- return overflow if (overflow_style = fixed_saturate) and (or_reduce(to_sulv(invec)) = '1') then result := saturate (result'high, result'low); -- saturate end if; elsif (arghigh > left_index) then -- wrap or saturate? if (overflow_style = fixed_saturate and or_reduce (to_sulv(invec(arghigh downto left_index+1))) = '1') then result := saturate (result'high, result'low); -- saturate else if (arglow >= right_index) then result (left_index downto arglow) := invec(left_index downto arglow); else result (left_index downto right_index) := invec (left_index downto right_index); needs_rounding := (round_style = fixed_round); -- round end if; end if; else -- arghigh <= integer width if (arglow >= right_index) then result (arghigh downto arglow) := invec; else result (arghigh downto right_index) := invec (arghigh downto right_index); needs_rounding := (round_style = fixed_round); -- round end if; end if; -- Round result if needs_rounding then result := round_fixed (arg => result, remainder => invec (right_index-1 downto arglow), overflow_style => overflow_style); end if; return result; end if; end function resize; function resize ( arg : UNRESOLVED_sfixed; -- input constant left_index : INTEGER; -- integer portion constant right_index : INTEGER; -- size of fraction constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; constant round_style : fixed_round_style_type := fixed_round_style) return UNRESOLVED_sfixed is constant arghigh : INTEGER := maximum (arg'high, arg'low); constant arglow : INTEGER := mine (arg'high, arg'low); variable invec : UNRESOLVED_sfixed (arghigh downto arglow); variable result : UNRESOLVED_sfixed(left_index downto right_index) := (others => '0'); variable reduced : STD_ULOGIC; variable needs_rounding : BOOLEAN := false; -- rounding begin -- resize if (arg'length < 1) or (result'length < 1) then return NASF; elsif (invec'length < 1) then return result; -- string literal value else invec := cleanvec(arg); if (right_index > arghigh) then -- return top zeros if (arg'low /= INTEGER'low) then -- check for a literal result := (others => arg(arghigh)); -- sign extend end if; needs_rounding := (round_style = fixed_round) and (right_index = arghigh+1); elsif (left_index < arglow) then -- return overflow if (overflow_style = fixed_saturate) then reduced := or_reduce (to_sulv(invec)); if (reduced = '1') then if (invec(arghigh) = '0') then -- saturate POSITIVE result := saturate (result'high, result'low); else -- saturate negative result := not saturate (result'high, result'low); end if; -- else return 0 (input was 0) end if; -- else return 0 (wrap) end if; elsif (arghigh > left_index) then if (invec(arghigh) = '0') then reduced := or_reduce (to_sulv(invec(arghigh-1 downto left_index))); if overflow_style = fixed_saturate and reduced = '1' then -- saturate positive result := saturate (result'high, result'low); else if (right_index > arglow) then result := invec (left_index downto right_index); needs_rounding := (round_style = fixed_round); else result (left_index downto arglow) := invec (left_index downto arglow); end if; end if; else reduced := and_reduce (to_sulv(invec(arghigh-1 downto left_index))); if overflow_style = fixed_saturate and reduced = '0' then result := not saturate (result'high, result'low); else if (right_index > arglow) then result := invec (left_index downto right_index); needs_rounding := (round_style = fixed_round); else result (left_index downto arglow) := invec (left_index downto arglow); end if; end if; end if; else -- arghigh <= integer width if (arglow >= right_index) then result (arghigh downto arglow) := invec; else result (arghigh downto right_index) := invec (arghigh downto right_index); needs_rounding := (round_style = fixed_round); -- round end if; if (left_index > arghigh) then -- sign extend result(left_index downto arghigh+1) := (others => invec(arghigh)); end if; end if; -- Round result if (needs_rounding) then result := round_fixed (arg => result, remainder => invec (right_index-1 downto arglow), overflow_style => overflow_style); end if; return result; end if; end function resize; -- size_res functions -- These functions compute the size from a passed variable named "size_res" -- The only part of this variable used it it's size, it is never passed -- to a lower level routine. function to_ufixed ( arg : STD_ULOGIC_VECTOR; -- shifted vector size_res : UNRESOLVED_ufixed) -- for size only return UNRESOLVED_ufixed is constant fw : INTEGER := mine (size_res'low, size_res'low); -- catch literals variable result : UNRESOLVED_ufixed (size_res'left downto fw); begin if (result'length < 1 or arg'length < 1) then return NAUF; else result := to_ufixed (arg => arg, left_index => size_res'high, right_index => size_res'low); return result; end if; end function to_ufixed; function to_sfixed ( arg : STD_ULOGIC_VECTOR; -- shifted vector size_res : UNRESOLVED_sfixed) -- for size only return UNRESOLVED_sfixed is constant fw : INTEGER := mine (size_res'low, size_res'low); -- catch literals variable result : UNRESOLVED_sfixed (size_res'left downto fw); begin if (result'length < 1 or arg'length < 1) then return NASF; else result := to_sfixed (arg => arg, left_index => size_res'high, right_index => size_res'low); return result; end if; end function to_sfixed; function to_ufixed ( arg : NATURAL; -- integer size_res : UNRESOLVED_ufixed; -- for size only constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; constant round_style : fixed_round_style_type := fixed_round_style) return UNRESOLVED_ufixed is constant fw : INTEGER := mine (size_res'low, size_res'low); -- catch literals variable result : UNRESOLVED_ufixed (size_res'left downto fw); begin if (result'length < 1) then return NAUF; else result := to_ufixed (arg => arg, left_index => size_res'high, right_index => size_res'low, round_style => round_style, overflow_style => overflow_style); return result; end if; end function to_ufixed; function to_sfixed ( arg : INTEGER; -- integer size_res : UNRESOLVED_sfixed; -- for size only constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; constant round_style : fixed_round_style_type := fixed_round_style) return UNRESOLVED_sfixed is constant fw : INTEGER := mine (size_res'low, size_res'low); -- catch literals variable result : UNRESOLVED_sfixed (size_res'left downto fw); begin if (result'length < 1) then return NASF; else result := to_sfixed (arg => arg, left_index => size_res'high, right_index => size_res'low, round_style => round_style, overflow_style => overflow_style); return result; end if; end function to_sfixed; function to_ufixed ( arg : REAL; -- real size_res : UNRESOLVED_ufixed; -- for size only constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; constant round_style : fixed_round_style_type := fixed_round_style; constant guard_bits : NATURAL := fixed_guard_bits) -- # of guard bits return UNRESOLVED_ufixed is constant fw : INTEGER := mine (size_res'low, size_res'low); -- catch literals variable result : UNRESOLVED_ufixed (size_res'left downto fw); begin if (result'length < 1) then return NAUF; else result := to_ufixed (arg => arg, left_index => size_res'high, right_index => size_res'low, guard_bits => guard_bits, round_style => round_style, overflow_style => overflow_style); return result; end if; end function to_ufixed; function to_sfixed ( arg : REAL; -- real size_res : UNRESOLVED_sfixed; -- for size only constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; constant round_style : fixed_round_style_type := fixed_round_style; constant guard_bits : NATURAL := fixed_guard_bits) -- # of guard bits return UNRESOLVED_sfixed is constant fw : INTEGER := mine (size_res'low, size_res'low); -- catch literals variable result : UNRESOLVED_sfixed (size_res'left downto fw); begin if (result'length < 1) then return NASF; else result := to_sfixed (arg => arg, left_index => size_res'high, right_index => size_res'low, guard_bits => guard_bits, round_style => round_style, overflow_style => overflow_style); return result; end if; end function to_sfixed; function to_ufixed ( arg : UNSIGNED; -- unsigned size_res : UNRESOLVED_ufixed; -- for size only constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; constant round_style : fixed_round_style_type := fixed_round_style) return UNRESOLVED_ufixed is constant fw : INTEGER := mine (size_res'low, size_res'low); -- catch literals variable result : UNRESOLVED_ufixed (size_res'left downto fw); begin if (result'length < 1 or arg'length < 1) then return NAUF; else result := to_ufixed (arg => arg, left_index => size_res'high, right_index => size_res'low, round_style => round_style, overflow_style => overflow_style); return result; end if; end function to_ufixed; function to_sfixed ( arg : SIGNED; -- signed size_res : UNRESOLVED_sfixed; -- for size only constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; constant round_style : fixed_round_style_type := fixed_round_style) return UNRESOLVED_sfixed is constant fw : INTEGER := mine (size_res'low, size_res'low); -- catch literals variable result : UNRESOLVED_sfixed (size_res'left downto fw); begin if (result'length < 1 or arg'length < 1) then return NASF; else result := to_sfixed (arg => arg, left_index => size_res'high, right_index => size_res'low, round_style => round_style, overflow_style => overflow_style); return result; end if; end function to_sfixed; function resize ( arg : UNRESOLVED_ufixed; -- input size_res : UNRESOLVED_ufixed; -- for size only constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; constant round_style : fixed_round_style_type := fixed_round_style) return UNRESOLVED_ufixed is constant fw : INTEGER := mine (size_res'low, size_res'low); -- catch literals variable result : UNRESOLVED_ufixed (size_res'high downto fw); begin if (result'length < 1 or arg'length < 1) then return NAUF; else result := resize (arg => arg, left_index => size_res'high, right_index => size_res'low, round_style => round_style, overflow_style => overflow_style); return result; end if; end function resize; function resize ( arg : UNRESOLVED_sfixed; -- input size_res : UNRESOLVED_sfixed; -- for size only constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; constant round_style : fixed_round_style_type := fixed_round_style) return UNRESOLVED_sfixed is constant fw : INTEGER := mine (size_res'low, size_res'low); -- catch literals variable result : UNRESOLVED_sfixed (size_res'high downto fw); begin if (result'length < 1 or arg'length < 1) then return NASF; else result := resize (arg => arg, left_index => size_res'high, right_index => size_res'low, round_style => round_style, overflow_style => overflow_style); return result; end if; end function resize; -- Overloaded math functions for real function "+" ( l : UNRESOLVED_ufixed; -- fixed point input r : REAL) return UNRESOLVED_ufixed is begin return (l + to_ufixed (r, l'high, l'low)); end function "+"; function "+" ( l : REAL; r : UNRESOLVED_ufixed) -- fixed point input return UNRESOLVED_ufixed is begin return (to_ufixed (l, r'high, r'low) + r); end function "+"; function "+" ( l : UNRESOLVED_sfixed; -- fixed point input r : REAL) return UNRESOLVED_sfixed is begin return (l + to_sfixed (r, l'high, l'low)); end function "+"; function "+" ( l : REAL; r : UNRESOLVED_sfixed) -- fixed point input return UNRESOLVED_sfixed is begin return (to_sfixed (l, r'high, r'low) + r); end function "+"; function "-" ( l : UNRESOLVED_ufixed; -- fixed point input r : REAL) return UNRESOLVED_ufixed is begin return (l - to_ufixed (r, l'high, l'low)); end function "-"; function "-" ( l : REAL; r : UNRESOLVED_ufixed) -- fixed point input return UNRESOLVED_ufixed is begin return (to_ufixed (l, r'high, r'low) - r); end function "-"; function "-" ( l : UNRESOLVED_sfixed; -- fixed point input r : REAL) return UNRESOLVED_sfixed is begin return (l - to_sfixed (r, l'high, l'low)); end function "-"; function "-" ( l : REAL; r : UNRESOLVED_sfixed) -- fixed point input return UNRESOLVED_sfixed is begin return (to_sfixed (l, r'high, r'low) - r); end function "-"; function "*" ( l : UNRESOLVED_ufixed; -- fixed point input r : REAL) return UNRESOLVED_ufixed is begin return (l * to_ufixed (r, l'high, l'low)); end function "*"; function "*" ( l : REAL; r : UNRESOLVED_ufixed) -- fixed point input return UNRESOLVED_ufixed is begin return (to_ufixed (l, r'high, r'low) * r); end function "*"; function "*" ( l : UNRESOLVED_sfixed; -- fixed point input r : REAL) return UNRESOLVED_sfixed is begin return (l * to_sfixed (r, l'high, l'low)); end function "*"; function "*" ( l : REAL; r : UNRESOLVED_sfixed) -- fixed point input return UNRESOLVED_sfixed is begin return (to_sfixed (l, r'high, r'low) * r); end function "*"; function "/" ( l : UNRESOLVED_ufixed; -- fixed point input r : REAL) return UNRESOLVED_ufixed is begin return (l / to_ufixed (r, l'high, l'low)); end function "/"; function "/" ( l : REAL; r : UNRESOLVED_ufixed) -- fixed point input return UNRESOLVED_ufixed is begin return (to_ufixed (l, r'high, r'low) / r); end function "/"; function "/" ( l : UNRESOLVED_sfixed; -- fixed point input r : REAL) return UNRESOLVED_sfixed is begin return (l / to_sfixed (r, l'high, l'low)); end function "/"; function "/" ( l : REAL; r : UNRESOLVED_sfixed) -- fixed point input return UNRESOLVED_sfixed is begin return (to_sfixed (l, r'high, r'low) / r); end function "/"; function "rem" ( l : UNRESOLVED_ufixed; -- fixed point input r : REAL) return UNRESOLVED_ufixed is begin return (l rem to_ufixed (r, l'high, l'low)); end function "rem"; function "rem" ( l : REAL; r : UNRESOLVED_ufixed) -- fixed point input return UNRESOLVED_ufixed is begin return (to_ufixed (l, r'high, r'low) rem r); end function "rem"; function "rem" ( l : UNRESOLVED_sfixed; -- fixed point input r : REAL) return UNRESOLVED_sfixed is begin return (l rem to_sfixed (r, l'high, l'low)); end function "rem"; function "rem" ( l : REAL; r : UNRESOLVED_sfixed) -- fixed point input return UNRESOLVED_sfixed is begin return (to_sfixed (l, r'high, r'low) rem r); end function "rem"; function "mod" ( l : UNRESOLVED_ufixed; -- fixed point input r : REAL) return UNRESOLVED_ufixed is begin return (l mod to_ufixed (r, l'high, l'low)); end function "mod"; function "mod" ( l : REAL; r : UNRESOLVED_ufixed) -- fixed point input return UNRESOLVED_ufixed is begin return (to_ufixed (l, r'high, r'low) mod r); end function "mod"; function "mod" ( l : UNRESOLVED_sfixed; -- fixed point input r : REAL) return UNRESOLVED_sfixed is begin return (l mod to_sfixed (r, l'high, l'low)); end function "mod"; function "mod" ( l : REAL; r : UNRESOLVED_sfixed) -- fixed point input return UNRESOLVED_sfixed is begin return (to_sfixed (l, r'high, r'low) mod r); end function "mod"; -- Overloaded math functions for integers function "+" ( l : UNRESOLVED_ufixed; -- fixed point input r : NATURAL) return UNRESOLVED_ufixed is begin return (l + to_ufixed (r, l'high, 0)); end function "+"; function "+" ( l : NATURAL; r : UNRESOLVED_ufixed) -- fixed point input return UNRESOLVED_ufixed is begin return (to_ufixed (l, r'high, 0) + r); end function "+"; function "+" ( l : UNRESOLVED_sfixed; -- fixed point input r : INTEGER) return UNRESOLVED_sfixed is begin return (l + to_sfixed (r, l'high, 0)); end function "+"; function "+" ( l : INTEGER; r : UNRESOLVED_sfixed) -- fixed point input return UNRESOLVED_sfixed is begin return (to_sfixed (l, r'high, 0) + r); end function "+"; -- Overloaded functions function "-" ( l : UNRESOLVED_ufixed; -- fixed point input r : NATURAL) return UNRESOLVED_ufixed is begin return (l - to_ufixed (r, l'high, 0)); end function "-"; function "-" ( l : NATURAL; r : UNRESOLVED_ufixed) -- fixed point input return UNRESOLVED_ufixed is begin return (to_ufixed (l, r'high, 0) - r); end function "-"; function "-" ( l : UNRESOLVED_sfixed; -- fixed point input r : INTEGER) return UNRESOLVED_sfixed is begin return (l - to_sfixed (r, l'high, 0)); end function "-"; function "-" ( l : INTEGER; r : UNRESOLVED_sfixed) -- fixed point input return UNRESOLVED_sfixed is begin return (to_sfixed (l, r'high, 0) - r); end function "-"; -- Overloaded functions function "*" ( l : UNRESOLVED_ufixed; -- fixed point input r : NATURAL) return UNRESOLVED_ufixed is begin return (l * to_ufixed (r, l'high, 0)); end function "*"; function "*" ( l : NATURAL; r : UNRESOLVED_ufixed) -- fixed point input return UNRESOLVED_ufixed is begin return (to_ufixed (l, r'high, 0) * r); end function "*"; function "*" ( l : UNRESOLVED_sfixed; -- fixed point input r : INTEGER) return UNRESOLVED_sfixed is begin return (l * to_sfixed (r, l'high, 0)); end function "*"; function "*" ( l : INTEGER; r : UNRESOLVED_sfixed) -- fixed point input return UNRESOLVED_sfixed is begin return (to_sfixed (l, r'high, 0) * r); end function "*"; -- Overloaded functions function "/" ( l : UNRESOLVED_ufixed; -- fixed point input r : NATURAL) return UNRESOLVED_ufixed is begin return (l / to_ufixed (r, l'high, 0)); end function "/"; function "/" ( l : NATURAL; r : UNRESOLVED_ufixed) -- fixed point input return UNRESOLVED_ufixed is begin return (to_ufixed (l, r'high, 0) / r); end function "/"; function "/" ( l : UNRESOLVED_sfixed; -- fixed point input r : INTEGER) return UNRESOLVED_sfixed is begin return (l / to_sfixed (r, l'high, 0)); end function "/"; function "/" ( l : INTEGER; r : UNRESOLVED_sfixed) -- fixed point input return UNRESOLVED_sfixed is begin return (to_sfixed (l, r'high, 0) / r); end function "/"; function "rem" ( l : UNRESOLVED_ufixed; -- fixed point input r : NATURAL) return UNRESOLVED_ufixed is begin return (l rem to_ufixed (r, l'high, 0)); end function "rem"; function "rem" ( l : NATURAL; r : UNRESOLVED_ufixed) -- fixed point input return UNRESOLVED_ufixed is begin return (to_ufixed (l, r'high, 0) rem r); end function "rem"; function "rem" ( l : UNRESOLVED_sfixed; -- fixed point input r : INTEGER) return UNRESOLVED_sfixed is begin return (l rem to_sfixed (r, l'high, 0)); end function "rem"; function "rem" ( l : INTEGER; r : UNRESOLVED_sfixed) -- fixed point input return UNRESOLVED_sfixed is begin return (to_sfixed (l, r'high, 0) rem r); end function "rem"; function "mod" ( l : UNRESOLVED_ufixed; -- fixed point input r : NATURAL) return UNRESOLVED_ufixed is begin return (l mod to_ufixed (r, l'high, 0)); end function "mod"; function "mod" ( l : NATURAL; r : UNRESOLVED_ufixed) -- fixed point input return UNRESOLVED_ufixed is begin return (to_ufixed (l, r'high, 0) mod r); end function "mod"; function "mod" ( l : UNRESOLVED_sfixed; -- fixed point input r : INTEGER) return UNRESOLVED_sfixed is begin return (l mod to_sfixed (r, l'high, 0)); end function "mod"; function "mod" ( l : INTEGER; r : UNRESOLVED_sfixed) -- fixed point input return UNRESOLVED_sfixed is begin return (to_sfixed (l, r'high, 0) mod r); end function "mod"; -- overloaded ufixed compare functions with integer function "=" ( l : UNRESOLVED_ufixed; r : NATURAL) -- fixed point input return BOOLEAN is begin return (l = to_ufixed (r, l'high, l'low)); end function "="; function "/=" ( l : UNRESOLVED_ufixed; r : NATURAL) -- fixed point input return BOOLEAN is begin return (l /= to_ufixed (r, l'high, l'low)); end function "/="; function ">=" ( l : UNRESOLVED_ufixed; r : NATURAL) -- fixed point input return BOOLEAN is begin return (l >= to_ufixed (r, l'high, l'low)); end function ">="; function "<=" ( l : UNRESOLVED_ufixed; r : NATURAL) -- fixed point input return BOOLEAN is begin return (l <= to_ufixed (r, l'high, l'low)); end function "<="; function ">" ( l : UNRESOLVED_ufixed; r : NATURAL) -- fixed point input return BOOLEAN is begin return (l > to_ufixed (r, l'high, l'low)); end function ">"; function "<" ( l : UNRESOLVED_ufixed; r : NATURAL) -- fixed point input return BOOLEAN is begin return (l < to_ufixed (r, l'high, l'low)); end function "<"; function \?=\ ( l : UNRESOLVED_ufixed; r : NATURAL) -- fixed point input return STD_ULOGIC is begin return \?=\ (l, to_ufixed (r, l'high, l'low)); end function \?=\; function \?/=\ ( l : UNRESOLVED_ufixed; r : NATURAL) -- fixed point input return STD_ULOGIC is begin return \?/=\ (l, to_ufixed (r, l'high, l'low)); end function \?/=\; function \?>=\ ( l : UNRESOLVED_ufixed; r : NATURAL) -- fixed point input return STD_ULOGIC is begin return \?>=\ (l, to_ufixed (r, l'high, l'low)); end function \?>=\; function \?<=\ ( l : UNRESOLVED_ufixed; r : NATURAL) -- fixed point input return STD_ULOGIC is begin return \?<=\ (l, to_ufixed (r, l'high, l'low)); end function \?<=\; function \?>\ ( l : UNRESOLVED_ufixed; r : NATURAL) -- fixed point input return STD_ULOGIC is begin return \?>\ (l, to_ufixed (r, l'high, l'low)); end function \?>\; function \?<\ ( l : UNRESOLVED_ufixed; r : NATURAL) -- fixed point input return STD_ULOGIC is begin return \?<\ (l, to_ufixed (r, l'high, l'low)); end function \?<\; function maximum ( l : UNRESOLVED_ufixed; -- fixed point input r : NATURAL) return UNRESOLVED_ufixed is begin return maximum (l, to_ufixed (r, l'high, l'low)); end function maximum; function minimum ( l : UNRESOLVED_ufixed; -- fixed point input r : NATURAL) return UNRESOLVED_ufixed is begin return minimum (l, to_ufixed (r, l'high, l'low)); end function minimum; -- NATURAL to ufixed function "=" ( l : NATURAL; r : UNRESOLVED_ufixed) -- fixed point input return BOOLEAN is begin return (to_ufixed (l, r'high, r'low) = r); end function "="; function "/=" ( l : NATURAL; r : UNRESOLVED_ufixed) -- fixed point input return BOOLEAN is begin return (to_ufixed (l, r'high, r'low) /= r); end function "/="; function ">=" ( l : NATURAL; r : UNRESOLVED_ufixed) -- fixed point input return BOOLEAN is begin return (to_ufixed (l, r'high, r'low) >= r); end function ">="; function "<=" ( l : NATURAL; r : UNRESOLVED_ufixed) -- fixed point input return BOOLEAN is begin return (to_ufixed (l, r'high, r'low) <= r); end function "<="; function ">" ( l : NATURAL; r : UNRESOLVED_ufixed) -- fixed point input return BOOLEAN is begin return (to_ufixed (l, r'high, r'low) > r); end function ">"; function "<" ( l : NATURAL; r : UNRESOLVED_ufixed) -- fixed point input return BOOLEAN is begin return (to_ufixed (l, r'high, r'low) < r); end function "<"; function \?=\ ( l : NATURAL; r : UNRESOLVED_ufixed) -- fixed point input return STD_ULOGIC is begin return \?=\ (to_ufixed (l, r'high, r'low), r); end function \?=\; function \?/=\ ( l : NATURAL; r : UNRESOLVED_ufixed) -- fixed point input return STD_ULOGIC is begin return \?/=\ (to_ufixed (l, r'high, r'low), r); end function \?/=\; function \?>=\ ( l : NATURAL; r : UNRESOLVED_ufixed) -- fixed point input return STD_ULOGIC is begin return \?>=\ (to_ufixed (l, r'high, r'low), r); end function \?>=\; function \?<=\ ( l : NATURAL; r : UNRESOLVED_ufixed) -- fixed point input return STD_ULOGIC is begin return \?<=\ (to_ufixed (l, r'high, r'low), r); end function \?<=\; function \?>\ ( l : NATURAL; r : UNRESOLVED_ufixed) -- fixed point input return STD_ULOGIC is begin return \?>\ (to_ufixed (l, r'high, r'low), r); end function \?>\; function \?<\ ( l : NATURAL; r : UNRESOLVED_ufixed) -- fixed point input return STD_ULOGIC is begin return \?<\ (to_ufixed (l, r'high, r'low), r); end function \?<\; function maximum ( l : NATURAL; r : UNRESOLVED_ufixed) -- fixed point input return UNRESOLVED_ufixed is begin return maximum (to_ufixed (l, r'high, r'low), r); end function maximum; function minimum ( l : NATURAL; r : UNRESOLVED_ufixed) -- fixed point input return UNRESOLVED_ufixed is begin return minimum (to_ufixed (l, r'high, r'low), r); end function minimum; -- overloaded ufixed compare functions with real function "=" ( l : UNRESOLVED_ufixed; r : REAL) return BOOLEAN is begin return (l = to_ufixed (r, l'high, l'low)); end function "="; function "/=" ( l : UNRESOLVED_ufixed; r : REAL) return BOOLEAN is begin return (l /= to_ufixed (r, l'high, l'low)); end function "/="; function ">=" ( l : UNRESOLVED_ufixed; r : REAL) return BOOLEAN is begin return (l >= to_ufixed (r, l'high, l'low)); end function ">="; function "<=" ( l : UNRESOLVED_ufixed; r : REAL) return BOOLEAN is begin return (l <= to_ufixed (r, l'high, l'low)); end function "<="; function ">" ( l : UNRESOLVED_ufixed; r : REAL) return BOOLEAN is begin return (l > to_ufixed (r, l'high, l'low)); end function ">"; function "<" ( l : UNRESOLVED_ufixed; r : REAL) return BOOLEAN is begin return (l < to_ufixed (r, l'high, l'low)); end function "<"; function \?=\ ( l : UNRESOLVED_ufixed; r : REAL) return STD_ULOGIC is begin return \?=\ (l, to_ufixed (r, l'high, l'low)); end function \?=\; function \?/=\ ( l : UNRESOLVED_ufixed; r : REAL) return STD_ULOGIC is begin return \?/=\ (l, to_ufixed (r, l'high, l'low)); end function \?/=\; function \?>=\ ( l : UNRESOLVED_ufixed; r : REAL) return STD_ULOGIC is begin return \?>=\ (l, to_ufixed (r, l'high, l'low)); end function \?>=\; function \?<=\ ( l : UNRESOLVED_ufixed; r : REAL) return STD_ULOGIC is begin return \?<=\ (l, to_ufixed (r, l'high, l'low)); end function \?<=\; function \?>\ ( l : UNRESOLVED_ufixed; r : REAL) return STD_ULOGIC is begin return \?>\ (l, to_ufixed (r, l'high, l'low)); end function \?>\; function \?<\ ( l : UNRESOLVED_ufixed; r : REAL) return STD_ULOGIC is begin return \?<\ (l, to_ufixed (r, l'high, l'low)); end function \?<\; function maximum ( l : UNRESOLVED_ufixed; r : REAL) return UNRESOLVED_ufixed is begin return maximum (l, to_ufixed (r, l'high, l'low)); end function maximum; function minimum ( l : UNRESOLVED_ufixed; r : REAL) return UNRESOLVED_ufixed is begin return minimum (l, to_ufixed (r, l'high, l'low)); end function minimum; -- real and ufixed function "=" ( l : REAL; r : UNRESOLVED_ufixed) -- fixed point input return BOOLEAN is begin return (to_ufixed (l, r'high, r'low) = r); end function "="; function "/=" ( l : REAL; r : UNRESOLVED_ufixed) -- fixed point input return BOOLEAN is begin return (to_ufixed (l, r'high, r'low) /= r); end function "/="; function ">=" ( l : REAL; r : UNRESOLVED_ufixed) -- fixed point input return BOOLEAN is begin return (to_ufixed (l, r'high, r'low) >= r); end function ">="; function "<=" ( l : REAL; r : UNRESOLVED_ufixed) -- fixed point input return BOOLEAN is begin return (to_ufixed (l, r'high, r'low) <= r); end function "<="; function ">" ( l : REAL; r : UNRESOLVED_ufixed) -- fixed point input return BOOLEAN is begin return (to_ufixed (l, r'high, r'low) > r); end function ">"; function "<" ( l : REAL; r : UNRESOLVED_ufixed) -- fixed point input return BOOLEAN is begin return (to_ufixed (l, r'high, r'low) < r); end function "<"; function \?=\ ( l : REAL; r : UNRESOLVED_ufixed) -- fixed point input return STD_ULOGIC is begin return \?=\ (to_ufixed (l, r'high, r'low), r); end function \?=\; function \?/=\ ( l : REAL; r : UNRESOLVED_ufixed) -- fixed point input return STD_ULOGIC is begin return \?/=\ (to_ufixed (l, r'high, r'low), r); end function \?/=\; function \?>=\ ( l : REAL; r : UNRESOLVED_ufixed) -- fixed point input return STD_ULOGIC is begin return \?>=\ (to_ufixed (l, r'high, r'low), r); end function \?>=\; function \?<=\ ( l : REAL; r : UNRESOLVED_ufixed) -- fixed point input return STD_ULOGIC is begin return \?<=\ (to_ufixed (l, r'high, r'low), r); end function \?<=\; function \?>\ ( l : REAL; r : UNRESOLVED_ufixed) -- fixed point input return STD_ULOGIC is begin return \?>\ (to_ufixed (l, r'high, r'low), r); end function \?>\; function \?<\ ( l : REAL; r : UNRESOLVED_ufixed) -- fixed point input return STD_ULOGIC is begin return \?<\ (to_ufixed (l, r'high, r'low), r); end function \?<\; function maximum ( l : REAL; r : UNRESOLVED_ufixed) -- fixed point input return UNRESOLVED_ufixed is begin return maximum (to_ufixed (l, r'high, r'low), r); end function maximum; function minimum ( l : REAL; r : UNRESOLVED_ufixed) -- fixed point input return UNRESOLVED_ufixed is begin return minimum (to_ufixed (l, r'high, r'low), r); end function minimum; -- overloaded sfixed compare functions with integer function "=" ( l : UNRESOLVED_sfixed; r : INTEGER) return BOOLEAN is begin return (l = to_sfixed (r, l'high, l'low)); end function "="; function "/=" ( l : UNRESOLVED_sfixed; r : INTEGER) return BOOLEAN is begin return (l /= to_sfixed (r, l'high, l'low)); end function "/="; function ">=" ( l : UNRESOLVED_sfixed; r : INTEGER) return BOOLEAN is begin return (l >= to_sfixed (r, l'high, l'low)); end function ">="; function "<=" ( l : UNRESOLVED_sfixed; r : INTEGER) return BOOLEAN is begin return (l <= to_sfixed (r, l'high, l'low)); end function "<="; function ">" ( l : UNRESOLVED_sfixed; r : INTEGER) return BOOLEAN is begin return (l > to_sfixed (r, l'high, l'low)); end function ">"; function "<" ( l : UNRESOLVED_sfixed; r : INTEGER) return BOOLEAN is begin return (l < to_sfixed (r, l'high, l'low)); end function "<"; function \?=\ ( l : UNRESOLVED_sfixed; r : INTEGER) return STD_ULOGIC is begin return \?=\ (l, to_sfixed (r, l'high, l'low)); end function \?=\; function \?/=\ ( l : UNRESOLVED_sfixed; r : INTEGER) return STD_ULOGIC is begin return \?/=\ (l, to_sfixed (r, l'high, l'low)); end function \?/=\; function \?>=\ ( l : UNRESOLVED_sfixed; r : INTEGER) return STD_ULOGIC is begin return \?>=\ (l, to_sfixed (r, l'high, l'low)); end function \?>=\; function \?<=\ ( l : UNRESOLVED_sfixed; r : INTEGER) return STD_ULOGIC is begin return \?<=\ (l, to_sfixed (r, l'high, l'low)); end function \?<=\; function \?>\ ( l : UNRESOLVED_sfixed; r : INTEGER) return STD_ULOGIC is begin return \?>\ (l, to_sfixed (r, l'high, l'low)); end function \?>\; function \?<\ ( l : UNRESOLVED_sfixed; r : INTEGER) return STD_ULOGIC is begin return \?<\ (l, to_sfixed (r, l'high, l'low)); end function \?<\; function maximum ( l : UNRESOLVED_sfixed; r : INTEGER) return UNRESOLVED_sfixed is begin return maximum (l, to_sfixed (r, l'high, l'low)); end function maximum; function minimum ( l : UNRESOLVED_sfixed; r : INTEGER) return UNRESOLVED_sfixed is begin return minimum (l, to_sfixed (r, l'high, l'low)); end function minimum; -- integer and sfixed function "=" ( l : INTEGER; r : UNRESOLVED_sfixed) -- fixed point input return BOOLEAN is begin return (to_sfixed (l, r'high, r'low) = r); end function "="; function "/=" ( l : INTEGER; r : UNRESOLVED_sfixed) -- fixed point input return BOOLEAN is begin return (to_sfixed (l, r'high, r'low) /= r); end function "/="; function ">=" ( l : INTEGER; r : UNRESOLVED_sfixed) -- fixed point input return BOOLEAN is begin return (to_sfixed (l, r'high, r'low) >= r); end function ">="; function "<=" ( l : INTEGER; r : UNRESOLVED_sfixed) -- fixed point input return BOOLEAN is begin return (to_sfixed (l, r'high, r'low) <= r); end function "<="; function ">" ( l : INTEGER; r : UNRESOLVED_sfixed) -- fixed point input return BOOLEAN is begin return (to_sfixed (l, r'high, r'low) > r); end function ">"; function "<" ( l : INTEGER; r : UNRESOLVED_sfixed) -- fixed point input return BOOLEAN is begin return (to_sfixed (l, r'high, r'low) < r); end function "<"; function \?=\ ( l : INTEGER; r : UNRESOLVED_sfixed) -- fixed point input return STD_ULOGIC is begin return \?=\ (to_sfixed (l, r'high, r'low), r); end function \?=\; function \?/=\ ( l : INTEGER; r : UNRESOLVED_sfixed) -- fixed point input return STD_ULOGIC is begin return \?/=\ (to_sfixed (l, r'high, r'low), r); end function \?/=\; function \?>=\ ( l : INTEGER; r : UNRESOLVED_sfixed) -- fixed point input return STD_ULOGIC is begin return \?>=\ (to_sfixed (l, r'high, r'low), r); end function \?>=\; function \?<=\ ( l : INTEGER; r : UNRESOLVED_sfixed) -- fixed point input return STD_ULOGIC is begin return \?<=\ (to_sfixed (l, r'high, r'low), r); end function \?<=\; function \?>\ ( l : INTEGER; r : UNRESOLVED_sfixed) -- fixed point input return STD_ULOGIC is begin return \?>\ (to_sfixed (l, r'high, r'low), r); end function \?>\; function \?<\ ( l : INTEGER; r : UNRESOLVED_sfixed) -- fixed point input return STD_ULOGIC is begin return \?<\ (to_sfixed (l, r'high, r'low), r); end function \?<\; function maximum ( l : INTEGER; r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed is begin return maximum (to_sfixed (l, r'high, r'low), r); end function maximum; function minimum ( l : INTEGER; r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed is begin return minimum (to_sfixed (l, r'high, r'low), r); end function minimum; -- overloaded sfixed compare functions with real function "=" ( l : UNRESOLVED_sfixed; r : REAL) return BOOLEAN is begin return (l = to_sfixed (r, l'high, l'low)); end function "="; function "/=" ( l : UNRESOLVED_sfixed; r : REAL) return BOOLEAN is begin return (l /= to_sfixed (r, l'high, l'low)); end function "/="; function ">=" ( l : UNRESOLVED_sfixed; r : REAL) return BOOLEAN is begin return (l >= to_sfixed (r, l'high, l'low)); end function ">="; function "<=" ( l : UNRESOLVED_sfixed; r : REAL) return BOOLEAN is begin return (l <= to_sfixed (r, l'high, l'low)); end function "<="; function ">" ( l : UNRESOLVED_sfixed; r : REAL) return BOOLEAN is begin return (l > to_sfixed (r, l'high, l'low)); end function ">"; function "<" ( l : UNRESOLVED_sfixed; r : REAL) return BOOLEAN is begin return (l < to_sfixed (r, l'high, l'low)); end function "<"; function \?=\ ( l : UNRESOLVED_sfixed; r : REAL) return STD_ULOGIC is begin return \?=\ (l, to_sfixed (r, l'high, l'low)); end function \?=\; function \?/=\ ( l : UNRESOLVED_sfixed; r : REAL) return STD_ULOGIC is begin return \?/=\ (l, to_sfixed (r, l'high, l'low)); end function \?/=\; function \?>=\ ( l : UNRESOLVED_sfixed; r : REAL) return STD_ULOGIC is begin return \?>=\ (l, to_sfixed (r, l'high, l'low)); end function \?>=\; function \?<=\ ( l : UNRESOLVED_sfixed; r : REAL) return STD_ULOGIC is begin return \?<=\ (l, to_sfixed (r, l'high, l'low)); end function \?<=\; function \?>\ ( l : UNRESOLVED_sfixed; r : REAL) return STD_ULOGIC is begin return \?>\ (l, to_sfixed (r, l'high, l'low)); end function \?>\; function \?<\ ( l : UNRESOLVED_sfixed; r : REAL) return STD_ULOGIC is begin return \?<\ (l, to_sfixed (r, l'high, l'low)); end function \?<\; function maximum ( l : UNRESOLVED_sfixed; r : REAL) return UNRESOLVED_sfixed is begin return maximum (l, to_sfixed (r, l'high, l'low)); end function maximum; function minimum ( l : UNRESOLVED_sfixed; r : REAL) return UNRESOLVED_sfixed is begin return minimum (l, to_sfixed (r, l'high, l'low)); end function minimum; -- REAL and sfixed function "=" ( l : REAL; r : UNRESOLVED_sfixed) -- fixed point input return BOOLEAN is begin return (to_sfixed (l, r'high, r'low) = r); end function "="; function "/=" ( l : REAL; r : UNRESOLVED_sfixed) -- fixed point input return BOOLEAN is begin return (to_sfixed (l, r'high, r'low) /= r); end function "/="; function ">=" ( l : REAL; r : UNRESOLVED_sfixed) -- fixed point input return BOOLEAN is begin return (to_sfixed (l, r'high, r'low) >= r); end function ">="; function "<=" ( l : REAL; r : UNRESOLVED_sfixed) -- fixed point input return BOOLEAN is begin return (to_sfixed (l, r'high, r'low) <= r); end function "<="; function ">" ( l : REAL; r : UNRESOLVED_sfixed) -- fixed point input return BOOLEAN is begin return (to_sfixed (l, r'high, r'low) > r); end function ">"; function "<" ( l : REAL; r : UNRESOLVED_sfixed) -- fixed point input return BOOLEAN is begin return (to_sfixed (l, r'high, r'low) < r); end function "<"; function \?=\ ( l : REAL; r : UNRESOLVED_sfixed) -- fixed point input return STD_ULOGIC is begin return \?=\ (to_sfixed (l, r'high, r'low), r); end function \?=\; function \?/=\ ( l : REAL; r : UNRESOLVED_sfixed) -- fixed point input return STD_ULOGIC is begin return \?/=\ (to_sfixed (l, r'high, r'low), r); end function \?/=\; function \?>=\ ( l : REAL; r : UNRESOLVED_sfixed) -- fixed point input return STD_ULOGIC is begin return \?>=\ (to_sfixed (l, r'high, r'low), r); end function \?>=\; function \?<=\ ( l : REAL; r : UNRESOLVED_sfixed) -- fixed point input return STD_ULOGIC is begin return \?<=\ (to_sfixed (l, r'high, r'low), r); end function \?<=\; function \?>\ ( l : REAL; r : UNRESOLVED_sfixed) -- fixed point input return STD_ULOGIC is begin return \?>\ (to_sfixed (l, r'high, r'low), r); end function \?>\; function \?<\ ( l : REAL; r : UNRESOLVED_sfixed) -- fixed point input return STD_ULOGIC is begin return \?<\ (to_sfixed (l, r'high, r'low), r); end function \?<\; function maximum ( l : REAL; r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed is begin return maximum (to_sfixed (l, r'high, r'low), r); end function maximum; function minimum ( l : REAL; r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed is begin return minimum (to_sfixed (l, r'high, r'low), r); end function minimum; -- rtl_synthesis off -- pragma synthesis_off -- copied from std_logic_textio type MVL9plus is ('U', 'X', '0', '1', 'Z', 'W', 'L', 'H', '-', error); type char_indexed_by_MVL9 is array (STD_ULOGIC) of CHARACTER; type MVL9_indexed_by_char is array (CHARACTER) of STD_ULOGIC; type MVL9plus_indexed_by_char is array (CHARACTER) of MVL9plus; constant MVL9_to_char : char_indexed_by_MVL9 := "UX01ZWLH-"; constant char_to_MVL9 : MVL9_indexed_by_char := ('U' => 'U', 'X' => 'X', '0' => '0', '1' => '1', 'Z' => 'Z', 'W' => 'W', 'L' => 'L', 'H' => 'H', '-' => '-', others => 'U'); constant char_to_MVL9plus : MVL9plus_indexed_by_char := ('U' => 'U', 'X' => 'X', '0' => '0', '1' => '1', 'Z' => 'Z', 'W' => 'W', 'L' => 'L', 'H' => 'H', '-' => '-', others => error); constant NBSP : CHARACTER := CHARACTER'val(160); -- space character constant NUS : STRING(2 to 1) := (others => ' '); -- %%% Replicated Textio functions procedure Char2TriBits (C : CHARACTER; RESULT : out STD_ULOGIC_VECTOR(2 downto 0); GOOD : out BOOLEAN; ISSUE_ERROR : in BOOLEAN) is begin case c is when '0' => result := o"0"; good := true; when '1' => result := o"1"; good := true; when '2' => result := o"2"; good := true; when '3' => result := o"3"; good := true; when '4' => result := o"4"; good := true; when '5' => result := o"5"; good := true; when '6' => result := o"6"; good := true; when '7' => result := o"7"; good := true; when 'Z' => result := "ZZZ"; good := true; when 'X' => result := "XXX"; good := true; when others => assert not ISSUE_ERROR report fixed_pkg'instance_name & "OREAD Error: Read a '" & c & "', expected an Octal character (0-7)." severity error; result := "UUU"; good := false; end case; end procedure Char2TriBits; -- Hex Read and Write procedures for STD_ULOGIC_VECTOR. -- Modified from the original to be more forgiving. procedure Char2QuadBits (C : CHARACTER; RESULT : out STD_ULOGIC_VECTOR(3 downto 0); GOOD : out BOOLEAN; ISSUE_ERROR : in BOOLEAN) is begin case c is when '0' => result := x"0"; good := true; when '1' => result := x"1"; good := true; when '2' => result := x"2"; good := true; when '3' => result := x"3"; good := true; when '4' => result := x"4"; good := true; when '5' => result := x"5"; good := true; when '6' => result := x"6"; good := true; when '7' => result := x"7"; good := true; when '8' => result := x"8"; good := true; when '9' => result := x"9"; good := true; when 'A' | 'a' => result := x"A"; good := true; when 'B' | 'b' => result := x"B"; good := true; when 'C' | 'c' => result := x"C"; good := true; when 'D' | 'd' => result := x"D"; good := true; when 'E' | 'e' => result := x"E"; good := true; when 'F' | 'f' => result := x"F"; good := true; when 'Z' => result := "ZZZZ"; good := true; when 'X' => result := "XXXX"; good := true; when others => assert not ISSUE_ERROR report fixed_pkg'instance_name & "HREAD Error: Read a '" & c & "', expected a Hex character (0-F)." severity error; result := "UUUU"; good := false; end case; end procedure Char2QuadBits; -- purpose: Skips white space procedure skip_whitespace ( L : inout LINE) is variable readOk : BOOLEAN; variable c : CHARACTER; begin while L /= null and L.all'length /= 0 loop if (L.all(1) = ' ' or L.all(1) = NBSP or L.all(1) = HT) then read (l, c, readOk); else exit; end if; end loop; end procedure skip_whitespace; function to_ostring (value : STD_ULOGIC_VECTOR) return STRING is constant ne : INTEGER := (value'length+2)/3; variable pad : STD_ULOGIC_VECTOR(0 to (ne*3 - value'length) - 1); variable ivalue : STD_ULOGIC_VECTOR(0 to ne*3 - 1); variable result : STRING(1 to ne); variable tri : STD_ULOGIC_VECTOR(0 to 2); begin if value'length < 1 then return NUS; else if value (value'left) = 'Z' then pad := (others => 'Z'); else pad := (others => '0'); end if; ivalue := pad & value; for i in 0 to ne-1 loop tri := To_X01Z(ivalue(3*i to 3*i+2)); case tri is when o"0" => result(i+1) := '0'; when o"1" => result(i+1) := '1'; when o"2" => result(i+1) := '2'; when o"3" => result(i+1) := '3'; when o"4" => result(i+1) := '4'; when o"5" => result(i+1) := '5'; when o"6" => result(i+1) := '6'; when o"7" => result(i+1) := '7'; when "ZZZ" => result(i+1) := 'Z'; when others => result(i+1) := 'X'; end case; end loop; return result; end if; end function to_ostring; ------------------------------------------------------------------- function to_hstring (value : STD_ULOGIC_VECTOR) return STRING is constant ne : INTEGER := (value'length+3)/4; variable pad : STD_ULOGIC_VECTOR(0 to (ne*4 - value'length) - 1); variable ivalue : STD_ULOGIC_VECTOR(0 to ne*4 - 1); variable result : STRING(1 to ne); variable quad : STD_ULOGIC_VECTOR(0 to 3); begin if value'length < 1 then return NUS; else if value (value'left) = 'Z' then pad := (others => 'Z'); else pad := (others => '0'); end if; ivalue := pad & value; for i in 0 to ne-1 loop quad := To_X01Z(ivalue(4*i to 4*i+3)); case quad is when x"0" => result(i+1) := '0'; when x"1" => result(i+1) := '1'; when x"2" => result(i+1) := '2'; when x"3" => result(i+1) := '3'; when x"4" => result(i+1) := '4'; when x"5" => result(i+1) := '5'; when x"6" => result(i+1) := '6'; when x"7" => result(i+1) := '7'; when x"8" => result(i+1) := '8'; when x"9" => result(i+1) := '9'; when x"A" => result(i+1) := 'A'; when x"B" => result(i+1) := 'B'; when x"C" => result(i+1) := 'C'; when x"D" => result(i+1) := 'D'; when x"E" => result(i+1) := 'E'; when x"F" => result(i+1) := 'F'; when "ZZZZ" => result(i+1) := 'Z'; when others => result(i+1) := 'X'; end case; end loop; return result; end if; end function to_hstring; -- %%% END replicated textio functions -- purpose: writes fixed point into a line procedure write ( L : inout LINE; -- input line VALUE : in UNRESOLVED_ufixed; -- fixed point input JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is variable s : STRING(1 to value'length +1) := (others => ' '); variable sindx : INTEGER; begin -- function write Example: 0011.1100 sindx := 1; for i in value'high downto value'low loop if i = -1 then s(sindx) := '.'; sindx := sindx + 1; end if; s(sindx) := MVL9_to_char(STD_ULOGIC(value(i))); sindx := sindx + 1; end loop; write(l, s, justified, field); end procedure write; -- purpose: writes fixed point into a line procedure write ( L : inout LINE; -- input line VALUE : in UNRESOLVED_sfixed; -- fixed point input JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is variable s : STRING(1 to value'length +1); variable sindx : INTEGER; begin -- function write Example: 0011.1100 sindx := 1; for i in value'high downto value'low loop if i = -1 then s(sindx) := '.'; sindx := sindx + 1; end if; s(sindx) := MVL9_to_char(STD_ULOGIC(value(i))); sindx := sindx + 1; end loop; write(l, s, justified, field); end procedure write; procedure READ(L : inout LINE; VALUE : out UNRESOLVED_ufixed) is -- Possible data: 00000.0000000 -- 000000000000 variable c : CHARACTER; variable readOk : BOOLEAN; variable i : INTEGER; -- index variable variable mv : ufixed (VALUE'range); variable lastu : BOOLEAN := false; -- last character was an "_" variable founddot : BOOLEAN := false; -- found a "." begin -- READ VALUE := (VALUE'range => 'U'); Skip_whitespace (L); if VALUE'length > 0 then -- non Null input string read (l, c, readOk); i := value'high; while i >= VALUE'low loop if readOk = false then -- Bail out if there was a bad read report fixed_pkg'instance_name & "READ(ufixed) " & "End of string encountered" severity error; return; elsif c = '_' then if i = value'high then report fixed_pkg'instance_name & "READ(ufixed) " & "String begins with an ""_""" severity error; return; elsif lastu then report fixed_pkg'instance_name & "READ(ufixed) " & "Two underscores detected in input string ""__""" severity error; return; else lastu := true; end if; elsif c = '.' then -- binary point if founddot then report fixed_pkg'instance_name & "READ(ufixed) " & "Two binary points found in input string" severity error; return; elsif i /= -1 then -- Seperator in the wrong spot report fixed_pkg'instance_name & "READ(ufixed) " & "Decimal point does not match number format " severity error; return; end if; founddot := true; lastu := false; elsif c = ' ' or c = NBSP or c = HT then -- reading done. report fixed_pkg'instance_name & "READ(ufixed) " & "Short read, Space encounted in input string" severity error; return; elsif char_to_MVL9plus(c) = error then report fixed_pkg'instance_name & "READ(ufixed) " & "Character '" & c & "' read, expected STD_ULOGIC literal." severity error; return; else mv(i) := char_to_MVL9(c); i := i - 1; if i < mv'low then VALUE := mv; return; end if; lastu := false; end if; read(L, c, readOk); end loop; end if; end procedure READ; procedure READ(L : inout LINE; VALUE : out UNRESOLVED_ufixed; GOOD : out BOOLEAN) is -- Possible data: 00000.0000000 -- 000000000000 variable c : CHARACTER; variable readOk : BOOLEAN; variable mv : ufixed (VALUE'range); variable i : INTEGER; -- index variable variable lastu : BOOLEAN := false; -- last character was an "_" variable founddot : BOOLEAN := false; -- found a "." begin -- READ VALUE := (VALUE'range => 'U'); Skip_whitespace (L); if VALUE'length > 0 then read (l, c, readOk); i := value'high; GOOD := false; while i >= VALUE'low loop if not readOk then -- Bail out if there was a bad read return; elsif c = '_' then if i = value'high then -- Begins with an "_" return; elsif lastu then -- "__" detected return; else lastu := true; end if; elsif c = '.' then -- binary point if founddot then return; elsif i /= -1 then -- Seperator in the wrong spot return; end if; founddot := true; lastu := false; elsif (char_to_MVL9plus(c) = error) then -- Illegal character/short read return; else mv(i) := char_to_MVL9(c); i := i - 1; if i < mv'low then -- reading done GOOD := true; VALUE := mv; return; end if; lastu := false; end if; read(L, c, readOk); end loop; else GOOD := true; -- read into a null array end if; end procedure READ; procedure READ(L : inout LINE; VALUE : out UNRESOLVED_sfixed) is variable c : CHARACTER; variable readOk : BOOLEAN; variable i : INTEGER; -- index variable variable mv : sfixed (VALUE'range); variable lastu : BOOLEAN := false; -- last character was an "_" variable founddot : BOOLEAN := false; -- found a "." begin -- READ VALUE := (VALUE'range => 'U'); Skip_whitespace (L); if VALUE'length > 0 then -- non Null input string read (l, c, readOk); i := value'high; while i >= VALUE'low loop if readOk = false then -- Bail out if there was a bad read report fixed_pkg'instance_name & "READ(sfixed) " & "End of string encountered" severity error; return; elsif c = '_' then if i = value'high then report fixed_pkg'instance_name & "READ(sfixed) " & "String begins with an ""_""" severity error; return; elsif lastu then report fixed_pkg'instance_name & "READ(sfixed) " & "Two underscores detected in input string ""__""" severity error; return; else lastu := true; end if; elsif c = '.' then -- binary point if founddot then report fixed_pkg'instance_name & "READ(sfixed) " & "Two binary points found in input string" severity error; return; elsif i /= -1 then -- Seperator in the wrong spot report fixed_pkg'instance_name & "READ(sfixed) " & "Decimal point does not match number format " severity error; return; end if; founddot := true; lastu := false; elsif c = ' ' or c = NBSP or c = HT then -- reading done. report fixed_pkg'instance_name & "READ(sfixed) " & "Short read, Space encounted in input string" severity error; return; elsif char_to_MVL9plus(c) = error then report fixed_pkg'instance_name & "READ(sfixed) " & "Character '" & c & "' read, expected STD_ULOGIC literal." severity error; return; else mv(i) := char_to_MVL9(c); i := i - 1; if i < mv'low then VALUE := mv; return; end if; lastu := false; end if; read(L, c, readOk); end loop; end if; end procedure READ; procedure READ(L : inout LINE; VALUE : out UNRESOLVED_sfixed; GOOD : out BOOLEAN) is variable value_ufixed : UNRESOLVED_ufixed (VALUE'range); begin -- READ READ (L => L, VALUE => value_ufixed, GOOD => GOOD); VALUE := UNRESOLVED_sfixed (value_ufixed); end procedure READ; -- octal read and write procedure owrite ( L : inout LINE; -- input line VALUE : in UNRESOLVED_ufixed; -- fixed point input JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is begin -- Example 03.30 write (L => L, VALUE => to_ostring (VALUE), JUSTIFIED => JUSTIFIED, FIELD => FIELD); end procedure owrite; procedure owrite ( L : inout LINE; -- input line VALUE : in UNRESOLVED_sfixed; -- fixed point input JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is begin -- Example 03.30 write (L => L, VALUE => to_ostring (VALUE), JUSTIFIED => JUSTIFIED, FIELD => FIELD); end procedure owrite; -- purpose: Routines common to the OREAD routines procedure OREAD_common ( L : inout LINE; slv : out STD_ULOGIC_VECTOR; igood : out BOOLEAN; idex : out INTEGER; constant bpoint : in INTEGER; -- binary point constant message : in BOOLEAN; constant smath : in BOOLEAN) is -- purpose: error message routine procedure errmes ( constant mess : in STRING) is -- error message begin if message then if smath then report fixed_pkg'instance_name & "OREAD(sfixed) " & mess severity error; else report fixed_pkg'instance_name & "OREAD(ufixed) " & mess severity error; end if; end if; end procedure errmes; variable xgood : BOOLEAN; variable nybble : STD_ULOGIC_VECTOR (2 downto 0); -- 3 bits variable c : CHARACTER; variable i : INTEGER; variable lastu : BOOLEAN := false; -- last character was an "_" variable founddot : BOOLEAN := false; -- found a dot. begin Skip_whitespace (L); if slv'length > 0 then i := slv'high; read (l, c, xgood); while i > 0 loop if xgood = false then errmes ("Error: end of string encountered"); exit; elsif c = '_' then if i = slv'length then errmes ("Error: String begins with an ""_"""); xgood := false; exit; elsif lastu then errmes ("Error: Two underscores detected in input string ""__"""); xgood := false; exit; else lastu := true; end if; elsif (c = '.') then if (i + 1 /= bpoint) then errmes ("encountered ""."" at wrong index"); xgood := false; exit; elsif i = slv'length then errmes ("encounted a ""."" at the beginning of the line"); xgood := false; exit; elsif founddot then errmes ("Two ""."" encounted in input string"); xgood := false; exit; end if; founddot := true; lastu := false; else Char2triBits(c, nybble, xgood, message); if not xgood then exit; end if; slv (i downto i-2) := nybble; i := i - 3; lastu := false; end if; if i > 0 then read (L, c, xgood); end if; end loop; idex := i; igood := xgood; else igood := true; -- read into a null array idex := -1; end if; end procedure OREAD_common; -- Note that for Octal and Hex read, you can not start with a ".", -- the read is for numbers formatted "A.BC". These routines go to -- the nearest bounds, so "F.E" will fit into an sfixed (2 downto -3). procedure OREAD (L : inout LINE; VALUE : out UNRESOLVED_ufixed) is constant hbv : INTEGER := (((maximum(3, (VALUE'high+1))+2)/3)*3)-1; constant lbv : INTEGER := ((mine(0, VALUE'low)-2)/3)*3; variable slv : STD_ULOGIC_VECTOR (hbv-lbv downto 0); -- high bits variable valuex : UNRESOLVED_ufixed (hbv downto lbv); variable igood : BOOLEAN; variable i : INTEGER; begin VALUE := (VALUE'range => 'U'); OREAD_common ( L => L, slv => slv, igood => igood, idex => i, bpoint => -lbv, message => true, smath => false); if igood then -- We did not get another error if not ((i = -1) and -- We read everything, and high bits 0 (or_reduce (slv(hbv-lbv downto VALUE'high+1-lbv)) = '0')) then report fixed_pkg'instance_name & "OREAD(ufixed): Vector truncated." severity error; else if (or_reduce (slv(VALUE'low-lbv-1 downto 0)) = '1') then assert NO_WARNING report fixed_pkg'instance_name & "OREAD(ufixed): Vector truncated" severity warning; end if; valuex := to_ufixed (slv, hbv, lbv); VALUE := valuex (VALUE'range); end if; end if; end procedure OREAD; procedure OREAD(L : inout LINE; VALUE : out UNRESOLVED_ufixed; GOOD : out BOOLEAN) is constant hbv : INTEGER := (((maximum(3, (VALUE'high+1))+2)/3)*3)-1; constant lbv : INTEGER := ((mine(0, VALUE'low)-2)/3)*3; variable slv : STD_ULOGIC_VECTOR (hbv-lbv downto 0); -- high bits variable valuex : UNRESOLVED_ufixed (hbv downto lbv); variable igood : BOOLEAN; variable i : INTEGER; begin VALUE := (VALUE'range => 'U'); OREAD_common ( L => L, slv => slv, igood => igood, idex => i, bpoint => -lbv, message => false, smath => false); if (igood and -- We did not get another error (i = -1) and -- We read everything, and high bits 0 (or_reduce (slv(hbv-lbv downto VALUE'high+1-lbv)) = '0')) then valuex := to_ufixed (slv, hbv, lbv); VALUE := valuex (VALUE'range); good := true; else good := false; end if; end procedure OREAD; procedure OREAD(L : inout LINE; VALUE : out UNRESOLVED_sfixed) is constant hbv : INTEGER := (((maximum(3, (VALUE'high+1))+2)/3)*3)-1; constant lbv : INTEGER := ((mine(0, VALUE'low)-2)/3)*3; variable slv : STD_ULOGIC_VECTOR (hbv-lbv downto 0); -- high bits variable valuex : UNRESOLVED_sfixed (hbv downto lbv); variable igood : BOOLEAN; variable i : INTEGER; begin VALUE := (VALUE'range => 'U'); OREAD_common ( L => L, slv => slv, igood => igood, idex => i, bpoint => -lbv, message => true, smath => true); if igood then -- We did not get another error if not ((i = -1) and -- We read everything ((slv(VALUE'high-lbv) = '0' and -- sign bits = extra bits or_reduce (slv(hbv-lbv downto VALUE'high+1-lbv)) = '0') or (slv(VALUE'high-lbv) = '1' and and_reduce (slv(hbv-lbv downto VALUE'high+1-lbv)) = '1'))) then report fixed_pkg'instance_name & "OREAD(sfixed): Vector truncated." severity error; else if (or_reduce (slv(VALUE'low-lbv-1 downto 0)) = '1') then assert NO_WARNING report fixed_pkg'instance_name & "OREAD(sfixed): Vector truncated" severity warning; end if; valuex := to_sfixed (slv, hbv, lbv); VALUE := valuex (VALUE'range); end if; end if; end procedure OREAD; procedure OREAD(L : inout LINE; VALUE : out UNRESOLVED_sfixed; GOOD : out BOOLEAN) is constant hbv : INTEGER := (((maximum(3, (VALUE'high+1))+2)/3)*3)-1; constant lbv : INTEGER := ((mine(0, VALUE'low)-2)/3)*3; variable slv : STD_ULOGIC_VECTOR (hbv-lbv downto 0); -- high bits variable valuex : UNRESOLVED_sfixed (hbv downto lbv); variable igood : BOOLEAN; variable i : INTEGER; begin VALUE := (VALUE'range => 'U'); OREAD_common ( L => L, slv => slv, igood => igood, idex => i, bpoint => -lbv, message => false, smath => true); if (igood -- We did not get another error and (i = -1) -- We read everything and ((slv(VALUE'high-lbv) = '0' and -- sign bits = extra bits or_reduce (slv(hbv-lbv downto VALUE'high+1-lbv)) = '0') or (slv(VALUE'high-lbv) = '1' and and_reduce (slv(hbv-lbv downto VALUE'high+1-lbv)) = '1'))) then valuex := to_sfixed (slv, hbv, lbv); VALUE := valuex (VALUE'range); good := true; else good := false; end if; end procedure OREAD; -- hex read and write procedure hwrite ( L : inout LINE; -- input line VALUE : in UNRESOLVED_ufixed; -- fixed point input JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is begin -- Example 03.30 write (L => L, VALUE => to_hstring (VALUE), JUSTIFIED => JUSTIFIED, FIELD => FIELD); end procedure hwrite; -- purpose: writes fixed point into a line procedure hwrite ( L : inout LINE; -- input line VALUE : in UNRESOLVED_sfixed; -- fixed point input JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is begin -- Example 03.30 write (L => L, VALUE => to_hstring (VALUE), JUSTIFIED => JUSTIFIED, FIELD => FIELD); end procedure hwrite; -- purpose: Routines common to the OREAD routines procedure HREAD_common ( L : inout LINE; slv : out STD_ULOGIC_VECTOR; igood : out BOOLEAN; idex : out INTEGER; constant bpoint : in INTEGER; -- binary point constant message : in BOOLEAN; constant smath : in BOOLEAN) is -- purpose: error message routine procedure errmes ( constant mess : in STRING) is -- error message begin if message then if smath then report fixed_pkg'instance_name & "HREAD(sfixed) " & mess severity error; else report fixed_pkg'instance_name & "HREAD(ufixed) " & mess severity error; end if; end if; end procedure errmes; variable xgood : BOOLEAN; variable nybble : STD_ULOGIC_VECTOR (3 downto 0); -- 4 bits variable c : CHARACTER; variable i : INTEGER; variable lastu : BOOLEAN := false; -- last character was an "_" variable founddot : BOOLEAN := false; -- found a dot. begin Skip_whitespace (L); if slv'length > 0 then i := slv'high; read (l, c, xgood); while i > 0 loop if xgood = false then errmes ("Error: end of string encountered"); exit; elsif c = '_' then if i = slv'length then errmes ("Error: String begins with an ""_"""); xgood := false; exit; elsif lastu then errmes ("Error: Two underscores detected in input string ""__"""); xgood := false; exit; else lastu := true; end if; elsif (c = '.') then if (i + 1 /= bpoint) then errmes ("encountered ""."" at wrong index"); xgood := false; exit; elsif i = slv'length then errmes ("encounted a ""."" at the beginning of the line"); xgood := false; exit; elsif founddot then errmes ("Two ""."" encounted in input string"); xgood := false; exit; end if; founddot := true; lastu := false; else Char2QuadBits(c, nybble, xgood, message); if not xgood then exit; end if; slv (i downto i-3) := nybble; i := i - 4; lastu := false; end if; if i > 0 then read (L, c, xgood); end if; end loop; idex := i; igood := xgood; else idex := -1; igood := true; -- read null string end if; end procedure HREAD_common; procedure HREAD(L : inout LINE; VALUE : out UNRESOLVED_ufixed) is constant hbv : INTEGER := (((maximum(4, (VALUE'high+1))+3)/4)*4)-1; constant lbv : INTEGER := ((mine(0, VALUE'low)-3)/4)*4; variable slv : STD_ULOGIC_VECTOR (hbv-lbv downto 0); -- high bits variable valuex : UNRESOLVED_ufixed (hbv downto lbv); variable igood : BOOLEAN; variable i : INTEGER; begin VALUE := (VALUE'range => 'U'); HREAD_common ( L => L, slv => slv, igood => igood, idex => i, bpoint => -lbv, message => false, smath => false); if igood then if not ((i = -1) and -- We read everything, and high bits 0 (or_reduce (slv(hbv-lbv downto VALUE'high+1-lbv)) = '0')) then report fixed_pkg'instance_name & "HREAD(ufixed): Vector truncated." severity error; else if (or_reduce (slv(VALUE'low-lbv-1 downto 0)) = '1') then assert NO_WARNING report fixed_pkg'instance_name & "HREAD(ufixed): Vector truncated" severity warning; end if; valuex := to_ufixed (slv, hbv, lbv); VALUE := valuex (VALUE'range); end if; end if; end procedure HREAD; procedure HREAD(L : inout LINE; VALUE : out UNRESOLVED_ufixed; GOOD : out BOOLEAN) is constant hbv : INTEGER := (((maximum(4, (VALUE'high+1))+3)/4)*4)-1; constant lbv : INTEGER := ((mine(0, VALUE'low)-3)/4)*4; variable slv : STD_ULOGIC_VECTOR (hbv-lbv downto 0); -- high bits variable valuex : UNRESOLVED_ufixed (hbv downto lbv); variable igood : BOOLEAN; variable i : INTEGER; begin VALUE := (VALUE'range => 'U'); HREAD_common ( L => L, slv => slv, igood => igood, idex => i, bpoint => -lbv, message => false, smath => false); if (igood and -- We did not get another error (i = -1) and -- We read everything, and high bits 0 (or_reduce (slv(hbv-lbv downto VALUE'high+1-lbv)) = '0')) then valuex := to_ufixed (slv, hbv, lbv); VALUE := valuex (VALUE'range); good := true; else good := false; end if; end procedure HREAD; procedure HREAD(L : inout LINE; VALUE : out UNRESOLVED_sfixed) is constant hbv : INTEGER := (((maximum(4, (VALUE'high+1))+3)/4)*4)-1; constant lbv : INTEGER := ((mine(0, VALUE'low)-3)/4)*4; variable slv : STD_ULOGIC_VECTOR (hbv-lbv downto 0); -- high bits variable valuex : UNRESOLVED_sfixed (hbv downto lbv); variable igood : BOOLEAN; variable i : INTEGER; begin VALUE := (VALUE'range => 'U'); HREAD_common ( L => L, slv => slv, igood => igood, idex => i, bpoint => -lbv, message => true, smath => true); if igood then -- We did not get another error if not ((i = -1) -- We read everything and ((slv(VALUE'high-lbv) = '0' and -- sign bits = extra bits or_reduce (slv(hbv-lbv downto VALUE'high+1-lbv)) = '0') or (slv(VALUE'high-lbv) = '1' and and_reduce (slv(hbv-lbv downto VALUE'high+1-lbv)) = '1'))) then report fixed_pkg'instance_name & "HREAD(sfixed): Vector truncated." severity error; else if (or_reduce (slv(VALUE'low-lbv-1 downto 0)) = '1') then assert NO_WARNING report fixed_pkg'instance_name & "HREAD(sfixed): Vector truncated" severity warning; end if; valuex := to_sfixed (slv, hbv, lbv); VALUE := valuex (VALUE'range); end if; end if; end procedure HREAD; procedure HREAD(L : inout LINE; VALUE : out UNRESOLVED_sfixed; GOOD : out BOOLEAN) is constant hbv : INTEGER := (((maximum(4, (VALUE'high+1))+3)/4)*4)-1; constant lbv : INTEGER := ((mine(0, VALUE'low)-3)/4)*4; variable slv : STD_ULOGIC_VECTOR (hbv-lbv downto 0); -- high bits variable valuex : UNRESOLVED_sfixed (hbv downto lbv); variable igood : BOOLEAN; variable i : INTEGER; begin VALUE := (VALUE'range => 'U'); HREAD_common ( L => L, slv => slv, igood => igood, idex => i, bpoint => -lbv, message => false, smath => true); if (igood and -- We did not get another error (i = -1) and -- We read everything ((slv(VALUE'high-lbv) = '0' and -- sign bits = extra bits or_reduce (slv(hbv-lbv downto VALUE'high+1-lbv)) = '0') or (slv(VALUE'high-lbv) = '1' and and_reduce (slv(hbv-lbv downto VALUE'high+1-lbv)) = '1'))) then valuex := to_sfixed (slv, hbv, lbv); VALUE := valuex (VALUE'range); good := true; else good := false; end if; end procedure HREAD; function to_string (value : UNRESOLVED_ufixed) return STRING is variable s : STRING(1 to value'length +1) := (others => ' '); variable subval : UNRESOLVED_ufixed (value'high downto -1); variable sindx : INTEGER; begin if value'length < 1 then return NUS; else if value'high < 0 then if value(value'high) = 'Z' then return to_string (resize (sfixed(value), 0, value'low)); else return to_string (resize (value, 0, value'low)); end if; elsif value'low >= 0 then if Is_X (value(value'low)) then subval := (others => value(value'low)); subval (value'range) := value; return to_string(subval); else return to_string (resize (value, value'high, -1)); end if; else sindx := 1; for i in value'high downto value'low loop if i = -1 then s(sindx) := '.'; sindx := sindx + 1; end if; s(sindx) := MVL9_to_char(STD_ULOGIC(value(i))); sindx := sindx + 1; end loop; return s; end if; end if; end function to_string; function to_string (value : UNRESOLVED_sfixed) return STRING is variable s : STRING(1 to value'length + 1) := (others => ' '); variable subval : UNRESOLVED_sfixed (value'high downto -1); variable sindx : INTEGER; begin if value'length < 1 then return NUS; else if value'high < 0 then return to_string (resize (value, 0, value'low)); elsif value'low >= 0 then if Is_X (value(value'low)) then subval := (others => value(value'low)); subval (value'range) := value; return to_string(subval); else return to_string (resize (value, value'high, -1)); end if; else sindx := 1; for i in value'high downto value'low loop if i = -1 then s(sindx) := '.'; sindx := sindx + 1; end if; s(sindx) := MVL9_to_char(STD_ULOGIC(value(i))); sindx := sindx + 1; end loop; return s; end if; end if; end function to_string; function to_ostring (value : UNRESOLVED_ufixed) return STRING is constant lne : INTEGER := (-VALUE'low+2)/3; variable subval : UNRESOLVED_ufixed (value'high downto -3); variable lpad : STD_ULOGIC_VECTOR (0 to (lne*3 + VALUE'low) -1); variable slv : STD_ULOGIC_VECTOR (value'length-1 downto 0); begin if value'length < 1 then return NUS; else if value'high < 0 then if value(value'high) = 'Z' then return to_ostring (resize (sfixed(value), 2, value'low)); else return to_ostring (resize (value, 2, value'low)); end if; elsif value'low >= 0 then if Is_X (value(value'low)) then subval := (others => value(value'low)); subval (value'range) := value; return to_ostring(subval); else return to_ostring (resize (value, value'high, -3)); end if; else slv := to_sulv (value); if Is_X (value (value'low)) then lpad := (others => value (value'low)); else lpad := (others => '0'); end if; return to_ostring(slv(slv'high downto slv'high-VALUE'high)) & "." & to_ostring(slv(slv'high-VALUE'high-1 downto 0) & lpad); end if; end if; end function to_ostring; function to_hstring (value : UNRESOLVED_ufixed) return STRING is constant lne : INTEGER := (-VALUE'low+3)/4; variable subval : UNRESOLVED_ufixed (value'high downto -4); variable lpad : STD_ULOGIC_VECTOR (0 to (lne*4 + VALUE'low) -1); variable slv : STD_ULOGIC_VECTOR (value'length-1 downto 0); begin if value'length < 1 then return NUS; else if value'high < 0 then if value(value'high) = 'Z' then return to_hstring (resize (sfixed(value), 3, value'low)); else return to_hstring (resize (value, 3, value'low)); end if; elsif value'low >= 0 then if Is_X (value(value'low)) then subval := (others => value(value'low)); subval (value'range) := value; return to_hstring(subval); else return to_hstring (resize (value, value'high, -4)); end if; else slv := to_sulv (value); if Is_X (value (value'low)) then lpad := (others => value(value'low)); else lpad := (others => '0'); end if; return to_hstring(slv(slv'high downto slv'high-VALUE'high)) & "." & to_hstring(slv(slv'high-VALUE'high-1 downto 0)&lpad); end if; end if; end function to_hstring; function to_ostring (value : UNRESOLVED_sfixed) return STRING is constant ne : INTEGER := ((value'high+1)+2)/3; variable pad : STD_ULOGIC_VECTOR(0 to (ne*3 - (value'high+1)) - 1); constant lne : INTEGER := (-VALUE'low+2)/3; variable subval : UNRESOLVED_sfixed (value'high downto -3); variable lpad : STD_ULOGIC_VECTOR (0 to (lne*3 + VALUE'low) -1); variable slv : STD_ULOGIC_VECTOR (VALUE'high - VALUE'low downto 0); begin if value'length < 1 then return NUS; else if value'high < 0 then return to_ostring (resize (value, 2, value'low)); elsif value'low >= 0 then if Is_X (value(value'low)) then subval := (others => value(value'low)); subval (value'range) := value; return to_ostring(subval); else return to_ostring (resize (value, value'high, -3)); end if; else pad := (others => value(value'high)); slv := to_sulv (value); if Is_X (value (value'low)) then lpad := (others => value(value'low)); else lpad := (others => '0'); end if; return to_ostring(pad & slv(slv'high downto slv'high-VALUE'high)) & "." & to_ostring(slv(slv'high-VALUE'high-1 downto 0) & lpad); end if; end if; end function to_ostring; function to_hstring (value : UNRESOLVED_sfixed) return STRING is constant ne : INTEGER := ((value'high+1)+3)/4; variable pad : STD_ULOGIC_VECTOR(0 to (ne*4 - (value'high+1)) - 1); constant lne : INTEGER := (-VALUE'low+3)/4; variable subval : UNRESOLVED_sfixed (value'high downto -4); variable lpad : STD_ULOGIC_VECTOR (0 to (lne*4 + VALUE'low) -1); variable slv : STD_ULOGIC_VECTOR (value'length-1 downto 0); begin if value'length < 1 then return NUS; else if value'high < 0 then return to_hstring (resize (value, 3, value'low)); elsif value'low >= 0 then if Is_X (value(value'low)) then subval := (others => value(value'low)); subval (value'range) := value; return to_hstring(subval); else return to_hstring (resize (value, value'high, -4)); end if; else slv := to_sulv (value); pad := (others => value(value'high)); if Is_X (value (value'low)) then lpad := (others => value(value'low)); else lpad := (others => '0'); end if; return to_hstring(pad & slv(slv'high downto slv'high-VALUE'high)) & "." & to_hstring(slv(slv'high-VALUE'high-1 downto 0) & lpad); end if; end if; end function to_hstring; -- From string functions allow you to convert a string into a fixed -- point number. Example: -- signal uf1 : ufixed (3 downto -3); -- uf1 <= from_string ("0110.100", uf1'high, uf1'low); -- 6.5 -- The "." is optional in this syntax, however it exist and is -- in the wrong location an error is produced. Overflow will -- result in saturation. function from_string ( bstring : STRING; -- binary string constant left_index : INTEGER; constant right_index : INTEGER) return UNRESOLVED_ufixed is variable result : UNRESOLVED_ufixed (left_index downto right_index); variable L : LINE; variable good : BOOLEAN; begin L := new STRING'(bstring); read (L, result, good); deallocate (L); assert (good) report fixed_pkg'instance_name & "from_string: Bad string "& bstring severity error; return result; end function from_string; -- Octal and hex conversions work as follows: -- uf1 <= from_hstring ("6.8", 3, -3); -- 6.5 (bottom zeros dropped) -- uf1 <= from_ostring ("06.4", 3, -3); -- 6.5 (top zeros dropped) function from_ostring ( ostring : STRING; -- Octal string constant left_index : INTEGER; constant right_index : INTEGER) return UNRESOLVED_ufixed is variable result : UNRESOLVED_ufixed (left_index downto right_index); variable L : LINE; variable good : BOOLEAN; begin L := new STRING'(ostring); oread (L, result, good); deallocate (L); assert (good) report fixed_pkg'instance_name & "from_ostring: Bad string "& ostring severity error; return result; end function from_ostring; function from_hstring ( hstring : STRING; -- hex string constant left_index : INTEGER; constant right_index : INTEGER) return UNRESOLVED_ufixed is variable result : UNRESOLVED_ufixed (left_index downto right_index); variable L : LINE; variable good : BOOLEAN; begin L := new STRING'(hstring); hread (L, result, good); deallocate (L); assert (good) report fixed_pkg'instance_name & "from_hstring: Bad string "& hstring severity error; return result; end function from_hstring; function from_string ( bstring : STRING; -- binary string constant left_index : INTEGER; constant right_index : INTEGER) return UNRESOLVED_sfixed is variable result : UNRESOLVED_sfixed (left_index downto right_index); variable L : LINE; variable good : BOOLEAN; begin L := new STRING'(bstring); read (L, result, good); deallocate (L); assert (good) report fixed_pkg'instance_name & "from_string: Bad string "& bstring severity error; return result; end function from_string; function from_ostring ( ostring : STRING; -- Octal string constant left_index : INTEGER; constant right_index : INTEGER) return UNRESOLVED_sfixed is variable result : UNRESOLVED_sfixed (left_index downto right_index); variable L : LINE; variable good : BOOLEAN; begin L := new STRING'(ostring); oread (L, result, good); deallocate (L); assert (good) report fixed_pkg'instance_name & "from_ostring: Bad string "& ostring severity error; return result; end function from_ostring; function from_hstring ( hstring : STRING; -- hex string constant left_index : INTEGER; constant right_index : INTEGER) return UNRESOLVED_sfixed is variable result : UNRESOLVED_sfixed (left_index downto right_index); variable L : LINE; variable good : BOOLEAN; begin L := new STRING'(hstring); hread (L, result, good); deallocate (L); assert (good) report fixed_pkg'instance_name & "from_hstring: Bad string "& hstring severity error; return result; end function from_hstring; -- Same as above, "size_res" is used for it's range only. function from_string ( bstring : STRING; -- binary string size_res : UNRESOLVED_ufixed) return UNRESOLVED_ufixed is begin return from_string (bstring, size_res'high, size_res'low); end function from_string; function from_ostring ( ostring : STRING; -- Octal string size_res : UNRESOLVED_ufixed) return UNRESOLVED_ufixed is begin return from_ostring (ostring, size_res'high, size_res'low); end function from_ostring; function from_hstring ( hstring : STRING; -- hex string size_res : UNRESOLVED_ufixed) return UNRESOLVED_ufixed is begin return from_hstring(hstring, size_res'high, size_res'low); end function from_hstring; function from_string ( bstring : STRING; -- binary string size_res : UNRESOLVED_sfixed) return UNRESOLVED_sfixed is begin return from_string (bstring, size_res'high, size_res'low); end function from_string; function from_ostring ( ostring : STRING; -- Octal string size_res : UNRESOLVED_sfixed) return UNRESOLVED_sfixed is begin return from_ostring (ostring, size_res'high, size_res'low); end function from_ostring; function from_hstring ( hstring : STRING; -- hex string size_res : UNRESOLVED_sfixed) return UNRESOLVED_sfixed is begin return from_hstring (hstring, size_res'high, size_res'low); end function from_hstring; -- purpose: Calculate the string boundaries procedure calculate_string_boundry ( arg : in STRING; -- input string left_index : out INTEGER; -- left right_index : out INTEGER) is -- right -- examples "10001.111" would return +4, -3 -- "07X.44" would return +2, -2 (then the octal routine would multiply) -- "A_B_._C" would return +1, -1 (then the hex routine would multiply) alias xarg : STRING (arg'length downto 1) is arg; -- make it downto range variable l, r : INTEGER; -- internal indexes variable founddot : BOOLEAN := false; begin if arg'length > 0 then l := xarg'high - 1; r := 0; for i in xarg'range loop if xarg(i) = '_' then if r = 0 then l := l - 1; else r := r + 1; end if; elsif xarg(i) = ' ' or xarg(i) = NBSP or xarg(i) = HT then report fixed_pkg'instance_name & "Found a space in the input STRING " & xarg severity error; elsif xarg(i) = '.' then if founddot then report fixed_pkg'instance_name & "Found two binary points in input string " & xarg severity error; else l := l - i; r := -i + 1; founddot := true; end if; end if; end loop; left_index := l; right_index := r; else left_index := 0; right_index := 0; end if; end procedure calculate_string_boundry; -- Direct conversion functions. Example: -- signal uf1 : ufixed (3 downto -3); -- uf1 <= from_string ("0110.100"); -- 6.5 -- In this case the "." is not optional, and the size of -- the output must match exactly. function from_string ( bstring : STRING) -- binary string return UNRESOLVED_ufixed is variable left_index, right_index : INTEGER; begin calculate_string_boundry (bstring, left_index, right_index); return from_string (bstring, left_index, right_index); end function from_string; -- Direct octal and hex conversion functions. In this case -- the string lengths must match. Example: -- signal sf1 := sfixed (5 downto -3); -- sf1 <= from_ostring ("71.4") -- -6.5 function from_ostring ( ostring : STRING) -- Octal string return UNRESOLVED_ufixed is variable left_index, right_index : INTEGER; begin calculate_string_boundry (ostring, left_index, right_index); return from_ostring (ostring, ((left_index+1)*3)-1, right_index*3); end function from_ostring; function from_hstring ( hstring : STRING) -- hex string return UNRESOLVED_ufixed is variable left_index, right_index : INTEGER; begin calculate_string_boundry (hstring, left_index, right_index); return from_hstring (hstring, ((left_index+1)*4)-1, right_index*4); end function from_hstring; function from_string ( bstring : STRING) -- binary string return UNRESOLVED_sfixed is variable left_index, right_index : INTEGER; begin calculate_string_boundry (bstring, left_index, right_index); return from_string (bstring, left_index, right_index); end function from_string; function from_ostring ( ostring : STRING) -- Octal string return UNRESOLVED_sfixed is variable left_index, right_index : INTEGER; begin calculate_string_boundry (ostring, left_index, right_index); return from_ostring (ostring, ((left_index+1)*3)-1, right_index*3); end function from_ostring; function from_hstring ( hstring : STRING) -- hex string return UNRESOLVED_sfixed is variable left_index, right_index : INTEGER; begin calculate_string_boundry (hstring, left_index, right_index); return from_hstring (hstring, ((left_index+1)*4)-1, right_index*4); end function from_hstring; -- pragma synthesis_on -- rtl_synthesis on -- IN VHDL-2006 std_logic_vector is a subtype of std_ulogic_vector, so these -- extra functions are needed for compatability. function to_ufixed ( arg : STD_LOGIC_VECTOR; -- shifted vector constant left_index : INTEGER; constant right_index : INTEGER) return UNRESOLVED_ufixed is begin return to_ufixed ( arg => to_stdulogicvector (arg), left_index => left_index, right_index => right_index); end function to_ufixed; function to_ufixed ( arg : STD_LOGIC_VECTOR; -- shifted vector size_res : UNRESOLVED_ufixed) -- for size only return UNRESOLVED_ufixed is begin return to_ufixed ( arg => to_stdulogicvector (arg), size_res => size_res); end function to_ufixed; function to_sfixed ( arg : STD_LOGIC_VECTOR; -- shifted vector constant left_index : INTEGER; constant right_index : INTEGER) return UNRESOLVED_sfixed is begin return to_sfixed ( arg => to_stdulogicvector (arg), left_index => left_index, right_index => right_index); end function to_sfixed; function to_sfixed ( arg : STD_LOGIC_VECTOR; -- shifted vector size_res : UNRESOLVED_sfixed) -- for size only return UNRESOLVED_sfixed is begin return to_sfixed ( arg => to_stdulogicvector (arg), size_res => size_res); end function to_sfixed; -- unsigned fixed point function to_UFix ( arg : STD_LOGIC_VECTOR; width : NATURAL; -- width of vector fraction : NATURAL) -- width of fraction return UNRESOLVED_ufixed is begin return to_UFix ( arg => to_stdulogicvector (arg), width => width, fraction => fraction); end function to_UFix; -- signed fixed point function to_SFix ( arg : STD_LOGIC_VECTOR; width : NATURAL; -- width of vector fraction : NATURAL) -- width of fraction return UNRESOLVED_sfixed is begin return to_SFix ( arg => to_stdulogicvector (arg), width => width, fraction => fraction); end function to_SFix; end package body fixed_pkg;
mit
1a66fa50ea3ef66a3a23ee1c79906c4b
0.568138
3.973457
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/axi_sg_v4_1/hdl/src/vhdl/axi_sg_ftch_pntr.vhd
1
21,571
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_ftch_pntr.vhd -- Description: This entity manages descriptor pointers and determine scatter -- gather idle mode. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_sg_v4_1; use axi_sg_v4_1.axi_sg_pkg.all; ------------------------------------------------------------------------------- entity axi_sg_ftch_pntr is generic ( C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32 ; -- Master AXI Memory Map Address Width for Scatter Gather R/W Port C_INCLUDE_CH1 : integer range 0 to 1 := 1 ; -- Include or Exclude channel 1 scatter gather engine -- 0 = Exclude Channel 1 SG Engine -- 1 = Include Channel 1 SG Engine C_INCLUDE_CH2 : integer range 0 to 1 := 1 -- Include or Exclude channel 2 scatter gather engine -- 0 = Exclude Channel 2 SG Engine -- 1 = Include Channel 2 SG Engine ); port ( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- nxtdesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- -- ------------------------------- -- -- CHANNEL 1 -- ------------------------------- -- ch1_run_stop : in std_logic ; -- ch1_desc_flush : in std_logic ; --CR568950 -- -- -- CURDESC update to fetch pointer on run/stop assertion -- ch1_curdesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- -- -- TAILDESC update on CPU write (from axi_dma_reg_module) -- ch1_tailpntr_enabled : in std_logic ; -- ch1_taildesc_wren : in std_logic ; -- ch1_taildesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- -- -- NXTDESC update on descriptor fetch (from axi_sg_ftchq_if) -- ch1_nxtdesc_wren : in std_logic ; -- -- -- Current address of descriptor to fetch -- ch1_fetch_address : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- ch1_sg_idle : out std_logic ; -- -- ------------------------------- -- -- CHANNEL 2 -- ------------------------------- -- ch2_run_stop : in std_logic ; -- ch2_desc_flush : in std_logic ;--CR568950 -- ch2_eof_detected : in std_logic ; -- -- -- CURDESC update to fetch pointer on run/stop assertion -- ch2_curdesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- -- -- TAILDESC update on CPU write (from axi_dma_reg_module) -- ch2_tailpntr_enabled : in std_logic ; -- ch2_taildesc_wren : in std_logic ; -- ch2_taildesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- tail_updt : in std_logic; tail_updt_latch : out std_logic; ch2_updt_done : in std_logic; -- -- NXTDESC update on descriptor fetch (from axi_sg_ftchq_if) -- ch2_nxtdesc_wren : in std_logic ; -- -- -- Current address of descriptor to fetch -- ch2_fetch_address : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- ch2_sg_idle : out std_logic -- ); end axi_sg_ftch_pntr; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_sg_ftch_pntr is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; attribute mark_debug : string; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- No Constants Declared ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal ch1_run_stop_d1 : std_logic := '0'; signal ch1_run_stop_re : std_logic := '0'; signal ch1_use_crntdesc : std_logic := '0'; signal ch1_fetch_address_i : std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal ch2_run_stop_d1 : std_logic := '0'; signal ch2_run_stop_re : std_logic := '0'; signal ch2_use_crntdesc : std_logic := '0'; signal ch2_fetch_address_i : std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal first : std_logic; signal eof_latch : std_logic; signal ch2_sg_idle_int : std_logic; attribute mark_debug of ch1_fetch_address_i : signal is "true"; attribute mark_debug of ch2_fetch_address_i : signal is "true"; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin -- Channel 1 is included therefore generate pointer logic GEN_PNTR_FOR_CH1 : if C_INCLUDE_CH1 = 1 generate begin GEN_RUNSTOP_RE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch1_run_stop_d1 <= '0'; else ch1_run_stop_d1 <= ch1_run_stop; end if; end if; end process GEN_RUNSTOP_RE; ch1_run_stop_re <= ch1_run_stop and not ch1_run_stop_d1; --------------------------------------------------------------------------- -- At setting of run/stop need to use current descriptor pointer therefor -- flag for use --------------------------------------------------------------------------- GEN_INIT_PNTR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or ch1_nxtdesc_wren = '1')then ch1_use_crntdesc <= '0'; elsif(ch1_run_stop_re = '1')then ch1_use_crntdesc <= '1'; end if; end if; end process GEN_INIT_PNTR; --------------------------------------------------------------------------- -- Register Current Fetch Address. During start (run/stop asserts) reg -- curdesc pointer from register module. Once running use nxtdesc pointer. --------------------------------------------------------------------------- REG_FETCH_ADDRESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch1_fetch_address_i <= (others => '0'); -- On initial tail pointer write use current desc pointer elsif(ch1_use_crntdesc = '1' and ch1_nxtdesc_wren = '0')then ch1_fetch_address_i <= ch1_curdesc; -- On desriptor fetch capture next pointer elsif(ch1_nxtdesc_wren = '1')then ch1_fetch_address_i <= nxtdesc; end if; end if; end process REG_FETCH_ADDRESS; -- Pass address out of module -- Addresses are always 16 word 32-bit aligned ch1_fetch_address <= ch1_fetch_address_i (C_M_AXI_SG_ADDR_WIDTH-1 downto 6) & "000000"; --------------------------------------------------------------------------- -- Compair tail descriptor pointer to scatter gather engine current -- descriptor pointer. Set idle if matched. Only check if DMA engine -- is running and current descriptor is in process of being fetched. This -- forces at least 1 descriptor fetch before checking for IDLE condition. --------------------------------------------------------------------------- COMPARE_ADDRESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- SG is IDLE on reset and on stop. --CR568950 - reset idlag on descriptor flush --if(m_axi_sg_aresetn = '0' or ch1_run_stop = '0')then if(m_axi_sg_aresetn = '0' or ch1_run_stop = '0' or ch1_desc_flush = '1')then ch1_sg_idle <= '1'; -- taildesc_wren must be in this 'if' to force a minimum -- of 1 clock of sg_idle = '0'. elsif(ch1_taildesc_wren = '1' or ch1_tailpntr_enabled = '0')then ch1_sg_idle <= '0'; -- Descriptor at fetch_address is being fetched (wren=1) -- therefore safe to check if tail matches the fetch address elsif(ch1_nxtdesc_wren = '1' and ch1_taildesc = ch1_fetch_address_i)then ch1_sg_idle <= '1'; end if; end if; end process COMPARE_ADDRESS; end generate GEN_PNTR_FOR_CH1; -- Channel 1 is NOT included therefore tie off pointer logic GEN_NO_PNTR_FOR_CH1 : if C_INCLUDE_CH1 = 0 generate begin ch1_fetch_address <= (others =>'0'); ch1_sg_idle <= '0'; end generate GEN_NO_PNTR_FOR_CH1; -- Channel 2 is included therefore generate pointer logic GEN_PNTR_FOR_CH2 : if C_INCLUDE_CH2 = 1 generate begin --------------------------------------------------------------------------- -- Create clock delay of run_stop in order to generate a rising edge pulse --------------------------------------------------------------------------- GEN_RUNSTOP_RE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch2_run_stop_d1 <= '0'; else ch2_run_stop_d1 <= ch2_run_stop; end if; end if; end process GEN_RUNSTOP_RE; ch2_run_stop_re <= ch2_run_stop and not ch2_run_stop_d1; --------------------------------------------------------------------------- -- At setting of run/stop need to use current descriptor pointer therefor -- flag for use --------------------------------------------------------------------------- GEN_INIT_PNTR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or ch2_nxtdesc_wren = '1')then ch2_use_crntdesc <= '0'; elsif(ch2_run_stop_re = '1')then ch2_use_crntdesc <= '1'; end if; end if; end process GEN_INIT_PNTR; --------------------------------------------------------------------------- -- Register Current Fetch Address. During start (run/stop asserts) reg -- curdesc pointer from register module. Once running use nxtdesc pointer. --------------------------------------------------------------------------- REG_FETCH_ADDRESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch2_fetch_address_i <= (others => '0'); -- On initial tail pointer write use current desc pointer elsif((ch2_use_crntdesc = '1' and ch2_nxtdesc_wren = '0'))then ch2_fetch_address_i <= ch2_curdesc; -- On descirptor fetch capture next pointer elsif(ch2_nxtdesc_wren = '1')then ch2_fetch_address_i <= nxtdesc; end if; end if; end process REG_FETCH_ADDRESS; -- Pass address out of module -- Addresses are always 16 word 32-bit aligned ch2_fetch_address <= ch2_fetch_address_i (C_M_AXI_SG_ADDR_WIDTH-1 downto 6) & "000000"; --------------------------------------------------------------------------- -- Compair tail descriptor pointer to scatter gather engine current -- descriptor pointer. Set idle if matched. Only check if DMA engine -- is running and current descriptor is in process of being fetched. This -- forces at least 1 descriptor fetch before checking for IDLE condition. --------------------------------------------------------------------------- COMPARE_ADDRESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- SG is IDLE on reset and on stop. --CR568950 - reset idlag on descriptor flush --if(m_axi_sg_aresetn = '0' or ch2_run_stop = '0')then if(m_axi_sg_aresetn = '0' or ch2_run_stop = '0' or ch2_desc_flush = '1' or ch2_eof_detected = '1')then ch2_sg_idle <= '1'; ch2_sg_idle_int <= '1'; -- taildesc_wren must be in this 'if' to force a minimum -- of 1 clock of sg_idle = '0'. elsif(ch2_taildesc_wren = '1' or ch2_tailpntr_enabled = '0')then ch2_sg_idle <= '0'; ch2_sg_idle_int <= '0'; -- Descriptor at fetch_address is being fetched (wren=1) -- therefore safe to check if tail matches the fetch address elsif(ch2_nxtdesc_wren = '1' and ch2_taildesc = ch2_fetch_address_i)then ch2_sg_idle <= '1'; ch2_sg_idle_int <= '1'; end if; end if; end process COMPARE_ADDRESS; -- Needed for multi channel EOF_LATCH_PROC : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or ch2_taildesc_wren = '1' or eof_latch = '1')then -- nned to have some reset condition here eof_latch <= '0'; elsif (ch2_sg_idle_int = '1' and ch2_updt_done = '1') then eof_latch <= '1'; end if; end if; end process EOF_LATCH_PROC; TAILUPDT_LATCH : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or eof_latch = '1')then -- nned to have some reset condition here tail_updt_latch <= '0'; first <= '0'; elsif (tail_updt = '1') then tail_updt_latch <= '0'; elsif(ch2_taildesc_wren = '1' and first = '0')then first <= '1'; elsif(ch2_taildesc_wren = '1' and first = '1')then tail_updt_latch <= '1'; end if; end if; end process TAILUPDT_LATCH; end generate GEN_PNTR_FOR_CH2; -- Channel 2 is NOT included therefore tie off pointer logic GEN_NO_PNTR_FOR_CH2 : if C_INCLUDE_CH2 = 0 generate begin ch2_fetch_address <= (others =>'0'); ch2_sg_idle <= '0'; tail_updt_latch <= '0'; end generate GEN_NO_PNTR_FOR_CH2; end implementation;
bsd-2-clause
18cf332a0550fd4451924ad8a0a37776
0.420426
4.858333
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/entity/rule_200_test_input.vhd
1
356
entity FIFO is generic ( G_WIDTH : integer := 256; G_DEPTH : integer := 32 ); end entity FIFO; package my_pkg is generic ( G_WIDTH : integer := 256; G_DEPTH : integer := 32 ); end package my_pkg; -- Violation below entity FIFO is generic ( G_WIDTH : integer := 256; G_DEPTH : integer := 32 ); end entity FIFO;
gpl-3.0
fdd61fd3d1380fe15698fdf0416582e0
0.581461
3.358491
false
false
false
false
zcold/fft.vhdl
src/cbf.vhdl
1
4,722
-- The MIT License (MIT) -- Copyright (c) 2014 Shuo Li -- Permission is hereby granted, free of charge, to any person obtaining a copy -- of this software and associated documentation files (the "Software"), to deal -- in the Software without restriction, including without limitation the rights -- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell -- copies of the Software, and to permit persons to whom the Software is -- furnished to do so, subject to the following conditions: -- The above copyright notice and this permission notice shall be included in all -- copies or substantial portions of the Software. -- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR -- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, -- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE -- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER -- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, -- OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE -- SOFTWARE. ---------------------- -- clocked butterfly operation ---------------------- -- Description -- This design unit `cbf` is for performing clocked butterfly operation on complex -- fixed point numbers with configurable data width. The value of the inputs are -- limited to (+1, -1]. MSB is sign bit and the rest bits are all decimal part. library ieee_proposed; use ieee_proposed.fixed_float_types.all; use ieee_proposed.fixed_pkg.all; library ieee; use ieee.std_logic_1164.all; entity cbf is generic ( -- data width of the real and imaginary part data_width : integer range 0 to 128 := 16 ); port ( -- clock clk : in std_logic; nrst : in std_logic; -- x0, input 0 x0_re : in sfixed (0 downto 1 - data_width); x0_im : in sfixed (0 downto 1 - data_width); -- x1, input 1 x1_re : in sfixed (0 downto 1 - data_width); x1_im : in sfixed (0 downto 1 - data_width); -- wk, twiddle factor wk_re : in sfixed (0 downto 1 - data_width); wk_im : in sfixed (0 downto 1 - data_width); -- y0, output 0 y0_re : out sfixed(0 downto 1 - data_width); y0_im : out sfixed(0 downto 1 - data_width); -- y1, output 1 y1_re : out sfixed(0 downto 1 - data_width); y1_im : out sfixed(0 downto 1 - data_width) ); end cbf; -- Function Implementation 0 architecture FIMP_0 of cbf is -- internal signals for x signal x0_re_int : sfixed (0 downto 1 - data_width); signal x0_im_int : sfixed (0 downto 1 - data_width); signal x1_re_int : sfixed (0 downto 1 - data_width); signal x1_im_int : sfixed (0 downto 1 - data_width); -- internal signals for twiddle factor signal wk_re_int : sfixed (0 downto 1 - data_width); signal wk_im_int : sfixed (0 downto 1 - data_width); -- combinatorial butterfly operation component bf is generic ( -- data width of the real and imaginary part data_width : integer range 0 to 128 := 16 ); port ( -- x0, input 0 x0_re : in sfixed (0 downto 1 - data_width); x0_im : in sfixed (0 downto 1 - data_width); -- x1, input 1 x1_re : in sfixed (0 downto 1 - data_width); x1_im : in sfixed (0 downto 1 - data_width); -- wk, twiddle factor wk_re : in sfixed (0 downto 1 - data_width); wk_im : in sfixed (0 downto 1 - data_width); -- y0, output 0 y0_re : out sfixed(0 downto 1 - data_width); y0_im : out sfixed(0 downto 1 - data_width); -- y1, output 1 y1_re : out sfixed(0 downto 1 - data_width); y1_im : out sfixed(0 downto 1 - data_width) ); end component; begin -- registered inputs process(clk, nrst) begin if (nrst = '0') then x0_re_int <= (others => '0'); x0_im_int <= (others => '0'); x1_re_int <= (others => '0'); x1_im_int <= (others => '0'); wk_re_int <= (others => '0'); wk_im_int <= (others => '0'); elsif (clk'event and clk = '1') then x0_re_int <= x0_re; x0_im_int <= x0_im; x1_re_int <= x1_re; x1_im_int <= x1_im; wk_re_int <= wk_re; wk_im_int <= wk_im; end if; end process; -- connect the combinatorial butterfly operation bf_0: bf generic map (data_width) port map (x0_re_int, x0_im_int, x1_re_int, x1_im_int, wk_re_int, wk_im_int, y0_re, y0_im, y1_re, y1_im); end FIMP_0;
mit
597b207d90be543ab8baadcbbc1dd84e
0.59784
3.547708
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/case/rule_005_test_input.vhd
1
610
architecture ARCH of ENTITY is begin PROC_1 : process (a, b, c) is begin case boolean_1 is when STATE_1 => a <= b; b <= c; c <= d; when others => z <= a; end case; end process PROC_1; PROC_2 : process (a, b, c) is begin case boolean_1 is when STATE_1=> a <= b; b <= c; c <= d; when STATE_1 => a <= b; b <= c; c <= d; when others=> z <= a; when others => z <= a; end case; end process PROC_2; end architecture ARCH;
gpl-3.0
726902d183662a81b11fb7403b8b5a36
0.409836
3.505747
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_strb_gen2.vhd
1
102,358
------------------------------------------------------------------------------- -- axi_datamover_strb_gen2.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_strb_gen2.vhd -- -- Description: -- Second generation AXI Strobe Generator module. This design leverages -- look up table approach vs real-time calculation. This design method is -- used to reduce logic levels and improve final Fmax timing. -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_datamover_strb_gen2.vhd -- ------------------------------------------------------------------------------- -- Revision History: -- -- -- Author: DET -- -- History: -- DET 04/19/2011 Initial Version for EDK 13.3 -- -- DET 6/20/2011 Initial Version for EDK 13.3 -- ~~~~~~ -- - Added 512 and 1024 data width support -- ^^^^^^ -- -- -- DET 9/1/2011 Initial Version for EDK 13.3 -- ~~~~~~ -- - Fixed Lint reported excesive line length for lines 2404 through 2964 -- by removing commented-out code. -- ^^^^^^ -- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; ------------------------------------------------------------------------------- entity axi_datamover_strb_gen2 is generic ( C_OP_MODE : Integer range 0 to 1 := 0; -- 0 = offset/length mode -- 1 = offset/offset mode, C_STRB_WIDTH : Integer := 8; -- number of addr bits needed C_OFFSET_WIDTH : Integer := 3; -- log2(C_STRB_WIDTH) C_NUM_BYTES_WIDTH : Integer := 4 -- log2(C_STRB_WIDTH)+1 in offset/length mode (C_OP_MODE = 0) -- log2(C_STRB_WIDTH) in offset/offset mode (C_OP_MODE = 1) ); port ( -- Starting offset input ----------------------------------------------------- -- start_addr_offset : In std_logic_vector(C_OFFSET_WIDTH-1 downto 0); -- -- Specifies the starting address offset of the strobe value -- ------------------------------------------------------------------------------ -- used in both offset/offset and offset/length modes -- Endig Offset Input -------------------------------------------------------- -- end_addr_offset : In std_logic_vector(C_OFFSET_WIDTH-1 downto 0); -- -- Specifies the ending address offset of the strobe value -- -- used in only offset/offset mode (C_OP_MODE = 1) -- ------------------------------------------------------------------------------ -- Number of valid Bytes input (from starting offset) ------------------------ -- num_valid_bytes : In std_logic_vector(C_NUM_BYTES_WIDTH-1 downto 0); -- -- Specifies the number of valid bytes from starting offset -- -- used in only offset/length mode (C_OP_MODE = 0) -- ------------------------------------------------------------------------------ -- Generated Strobe output --------------------------------------------------- -- strb_out : out std_logic_vector(C_STRB_WIDTH-1 downto 0) -- ------------------------------------------------------------------------------ ); end entity axi_datamover_strb_gen2; architecture implementation of axi_datamover_strb_gen2 is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------- -- Function -- -- Function Name: get_start_2 -- -- Function Description: -- returns the 2-bit vector filled with '1's from the start -- offset to the end of of the vector -- ------------------------------------------------------------------- function get_start_2 (start_offset : natural) return std_logic_vector is Variable var_start_vector : std_logic_vector(1 downto 0) := (others => '0'); begin case start_offset is when 0 => var_start_vector := "11"; when others => var_start_vector := "10"; end case; Return (var_start_vector); end function get_start_2; ------------------------------------------------------------------- -- Function -- -- Function Name: get_end_2 -- -- Function Description: -- Returns the 2-bit vector filled with '1's from the lsbit -- of the vector to the end offset. -- ------------------------------------------------------------------- function get_end_2 (end_offset : natural) return std_logic_vector is Variable var_end_vector : std_logic_vector(1 downto 0) := (others => '0'); begin case end_offset is when 0 => var_end_vector := "01"; when others => var_end_vector := "11"; end case; Return (var_end_vector); end function get_end_2; ------------------------------------------------------------------- -- Function -- -- Function Name: get_start_4 -- -- Function Description: -- returns the 4-bit vector filled with '1's from the start -- offset to the end of of the vector -- ------------------------------------------------------------------- function get_start_4 (start_offset : natural) return std_logic_vector is Variable var_start_vector : std_logic_vector(3 downto 0) := (others => '0'); begin case start_offset is when 0 => var_start_vector := "1111"; when 1 => var_start_vector := "1110"; when 2 => var_start_vector := "1100"; when others => var_start_vector := "1000"; end case; Return (var_start_vector); end function get_start_4; ------------------------------------------------------------------- -- Function -- -- Function Name: get_end_4 -- -- Function Description: -- Returns the 4-bit vector filled with '1's from the lsbit -- of the vector to the end offset. -- ------------------------------------------------------------------- function get_end_4 (end_offset : natural) return std_logic_vector is Variable var_end_vector : std_logic_vector(3 downto 0) := (others => '0'); begin case end_offset is when 0 => var_end_vector := "0001"; when 1 => var_end_vector := "0011"; when 2 => var_end_vector := "0111"; when others => var_end_vector := "1111"; end case; Return (var_end_vector); end function get_end_4; ------------------------------------------------------------------- -- Function -- -- Function Name: get_start_8 -- -- Function Description: -- returns the 8-bit vector filled with '1's from the start -- offset to the end of of the vector -- ------------------------------------------------------------------- function get_start_8 (start_offset : natural) return std_logic_vector is Variable var_start_vector : std_logic_vector(7 downto 0) := (others => '0'); begin case start_offset is when 0 => var_start_vector := "11111111"; when 1 => var_start_vector := "11111110"; when 2 => var_start_vector := "11111100"; when 3 => var_start_vector := "11111000"; when 4 => var_start_vector := "11110000"; when 5 => var_start_vector := "11100000"; when 6 => var_start_vector := "11000000"; when others => var_start_vector := "10000000"; end case; Return (var_start_vector); end function get_start_8; ------------------------------------------------------------------- -- Function -- -- Function Name: get_end_8 -- -- Function Description: -- Returns the 8-bit vector filled with '1's from the lsbit -- of the vector to the end offset. -- ------------------------------------------------------------------- function get_end_8 (end_offset : natural) return std_logic_vector is Variable var_end_vector : std_logic_vector(7 downto 0) := (others => '0'); begin case end_offset is when 0 => var_end_vector := "00000001"; when 1 => var_end_vector := "00000011"; when 2 => var_end_vector := "00000111"; when 3 => var_end_vector := "00001111"; when 4 => var_end_vector := "00011111"; when 5 => var_end_vector := "00111111"; when 6 => var_end_vector := "01111111"; when others => var_end_vector := "11111111"; end case; Return (var_end_vector); end function get_end_8; ------------------------------------------------------------------- -- Function -- -- Function Name: get_start_16 -- -- Function Description: -- returns the 16-bit vector filled with '1's from the start -- offset to the end of of the vector -- ------------------------------------------------------------------- function get_start_16 (start_offset : natural) return std_logic_vector is Variable var_start_vector : std_logic_vector(15 downto 0) := (others => '0'); begin case start_offset is when 0 => var_start_vector := "1111111111111111"; when 1 => var_start_vector := "1111111111111110"; when 2 => var_start_vector := "1111111111111100"; when 3 => var_start_vector := "1111111111111000"; when 4 => var_start_vector := "1111111111110000"; when 5 => var_start_vector := "1111111111100000"; when 6 => var_start_vector := "1111111111000000"; when 7 => var_start_vector := "1111111110000000"; when 8 => var_start_vector := "1111111100000000"; when 9 => var_start_vector := "1111111000000000"; when 10 => var_start_vector := "1111110000000000"; when 11 => var_start_vector := "1111100000000000"; when 12 => var_start_vector := "1111000000000000"; when 13 => var_start_vector := "1110000000000000"; when 14 => var_start_vector := "1100000000000000"; when others => var_start_vector := "1000000000000000"; end case; Return (var_start_vector); end function get_start_16; ------------------------------------------------------------------- -- Function -- -- Function Name: get_end_16 -- -- Function Description: -- Returns the 16-bit vector filled with '1's from the lsbit -- of the vector to the end offset. -- ------------------------------------------------------------------- function get_end_16 (end_offset : natural) return std_logic_vector is Variable var_end_vector : std_logic_vector(15 downto 0) := (others => '0'); begin case end_offset is when 0 => var_end_vector := "0000000000000001"; when 1 => var_end_vector := "0000000000000011"; when 2 => var_end_vector := "0000000000000111"; when 3 => var_end_vector := "0000000000001111"; when 4 => var_end_vector := "0000000000011111"; when 5 => var_end_vector := "0000000000111111"; when 6 => var_end_vector := "0000000001111111"; when 7 => var_end_vector := "0000000011111111"; when 8 => var_end_vector := "0000000111111111"; when 9 => var_end_vector := "0000001111111111"; when 10 => var_end_vector := "0000011111111111"; when 11 => var_end_vector := "0000111111111111"; when 12 => var_end_vector := "0001111111111111"; when 13 => var_end_vector := "0011111111111111"; when 14 => var_end_vector := "0111111111111111"; when others => var_end_vector := "1111111111111111"; end case; Return (var_end_vector); end function get_end_16; ------------------------------------------------------------------- -- Function -- -- Function Name: get_start_32 -- -- Function Description: -- returns the 32-bit vector filled with '1's from the start -- offset to the end of of the vector -- ------------------------------------------------------------------- function get_start_32 (start_offset : natural) return std_logic_vector is Variable var_start_vector : std_logic_vector(31 downto 0) := (others => '0'); begin case start_offset is when 0 => var_start_vector := "11111111111111111111111111111111"; when 1 => var_start_vector := "11111111111111111111111111111110"; when 2 => var_start_vector := "11111111111111111111111111111100"; when 3 => var_start_vector := "11111111111111111111111111111000"; when 4 => var_start_vector := "11111111111111111111111111110000"; when 5 => var_start_vector := "11111111111111111111111111100000"; when 6 => var_start_vector := "11111111111111111111111111000000"; when 7 => var_start_vector := "11111111111111111111111110000000"; when 8 => var_start_vector := "11111111111111111111111100000000"; when 9 => var_start_vector := "11111111111111111111111000000000"; when 10 => var_start_vector := "11111111111111111111110000000000"; when 11 => var_start_vector := "11111111111111111111100000000000"; when 12 => var_start_vector := "11111111111111111111000000000000"; when 13 => var_start_vector := "11111111111111111110000000000000"; when 14 => var_start_vector := "11111111111111111100000000000000"; when 15 => var_start_vector := "11111111111111111000000000000000"; when 16 => var_start_vector := "11111111111111110000000000000000"; when 17 => var_start_vector := "11111111111111100000000000000000"; when 18 => var_start_vector := "11111111111111000000000000000000"; when 19 => var_start_vector := "11111111111110000000000000000000"; when 20 => var_start_vector := "11111111111100000000000000000000"; when 21 => var_start_vector := "11111111111000000000000000000000"; when 22 => var_start_vector := "11111111110000000000000000000000"; when 23 => var_start_vector := "11111111100000000000000000000000"; when 24 => var_start_vector := "11111111000000000000000000000000"; when 25 => var_start_vector := "11111110000000000000000000000000"; when 26 => var_start_vector := "11111100000000000000000000000000"; when 27 => var_start_vector := "11111000000000000000000000000000"; when 28 => var_start_vector := "11110000000000000000000000000000"; when 29 => var_start_vector := "11100000000000000000000000000000"; when 30 => var_start_vector := "11000000000000000000000000000000"; when others => var_start_vector := "10000000000000000000000000000000"; end case; Return (var_start_vector); end function get_start_32; ------------------------------------------------------------------- -- Function -- -- Function Name: get_end_32 -- -- Function Description: -- Returns the 32-bit vector filled with '1's from the lsbit -- of the vector to the end offset. -- ------------------------------------------------------------------- function get_end_32 (end_offset : natural) return std_logic_vector is Variable var_end_vector : std_logic_vector(31 downto 0) := (others => '0'); begin case end_offset is when 0 => var_end_vector := "00000000000000000000000000000001"; when 1 => var_end_vector := "00000000000000000000000000000011"; when 2 => var_end_vector := "00000000000000000000000000000111"; when 3 => var_end_vector := "00000000000000000000000000001111"; when 4 => var_end_vector := "00000000000000000000000000011111"; when 5 => var_end_vector := "00000000000000000000000000111111"; when 6 => var_end_vector := "00000000000000000000000001111111"; when 7 => var_end_vector := "00000000000000000000000011111111"; when 8 => var_end_vector := "00000000000000000000000111111111"; when 9 => var_end_vector := "00000000000000000000001111111111"; when 10 => var_end_vector := "00000000000000000000011111111111"; when 11 => var_end_vector := "00000000000000000000111111111111"; when 12 => var_end_vector := "00000000000000000001111111111111"; when 13 => var_end_vector := "00000000000000000011111111111111"; when 14 => var_end_vector := "00000000000000000111111111111111"; when 15 => var_end_vector := "00000000000000001111111111111111"; when 16 => var_end_vector := "00000000000000011111111111111111"; when 17 => var_end_vector := "00000000000000111111111111111111"; when 18 => var_end_vector := "00000000000001111111111111111111"; when 19 => var_end_vector := "00000000000011111111111111111111"; when 20 => var_end_vector := "00000000000111111111111111111111"; when 21 => var_end_vector := "00000000001111111111111111111111"; when 22 => var_end_vector := "00000000011111111111111111111111"; when 23 => var_end_vector := "00000000111111111111111111111111"; when 24 => var_end_vector := "00000001111111111111111111111111"; when 25 => var_end_vector := "00000011111111111111111111111111"; when 26 => var_end_vector := "00000111111111111111111111111111"; when 27 => var_end_vector := "00001111111111111111111111111111"; when 28 => var_end_vector := "00011111111111111111111111111111"; when 29 => var_end_vector := "00111111111111111111111111111111"; when 30 => var_end_vector := "01111111111111111111111111111111"; when others => var_end_vector := "11111111111111111111111111111111"; end case; Return (var_end_vector); end function get_end_32; ------------------------------------------------------------------- -- Function -- -- Function Name: get_start_64 -- -- Function Description: -- returns the 64-bit vector filled with '1's from the start -- offset to the end of of the vector -- ------------------------------------------------------------------- function get_start_64 (start_offset : natural) return std_logic_vector is Variable var_start_vector : std_logic_vector(63 downto 0) := (others => '0'); begin case start_offset is when 0 => var_start_vector := "1111111111111111111111111111111111111111111111111111111111111111"; when 1 => var_start_vector := "1111111111111111111111111111111111111111111111111111111111111110"; when 2 => var_start_vector := "1111111111111111111111111111111111111111111111111111111111111100"; when 3 => var_start_vector := "1111111111111111111111111111111111111111111111111111111111111000"; when 4 => var_start_vector := "1111111111111111111111111111111111111111111111111111111111110000"; when 5 => var_start_vector := "1111111111111111111111111111111111111111111111111111111111100000"; when 6 => var_start_vector := "1111111111111111111111111111111111111111111111111111111111000000"; when 7 => var_start_vector := "1111111111111111111111111111111111111111111111111111111110000000"; when 8 => var_start_vector := "1111111111111111111111111111111111111111111111111111111100000000"; when 9 => var_start_vector := "1111111111111111111111111111111111111111111111111111111000000000"; when 10 => var_start_vector := "1111111111111111111111111111111111111111111111111111110000000000"; when 11 => var_start_vector := "1111111111111111111111111111111111111111111111111111100000000000"; when 12 => var_start_vector := "1111111111111111111111111111111111111111111111111111000000000000"; when 13 => var_start_vector := "1111111111111111111111111111111111111111111111111110000000000000"; when 14 => var_start_vector := "1111111111111111111111111111111111111111111111111100000000000000"; when 15 => var_start_vector := "1111111111111111111111111111111111111111111111111000000000000000"; when 16 => var_start_vector := "1111111111111111111111111111111111111111111111110000000000000000"; when 17 => var_start_vector := "1111111111111111111111111111111111111111111111100000000000000000"; when 18 => var_start_vector := "1111111111111111111111111111111111111111111111000000000000000000"; when 19 => var_start_vector := "1111111111111111111111111111111111111111111110000000000000000000"; when 20 => var_start_vector := "1111111111111111111111111111111111111111111100000000000000000000"; when 21 => var_start_vector := "1111111111111111111111111111111111111111111000000000000000000000"; when 22 => var_start_vector := "1111111111111111111111111111111111111111110000000000000000000000"; when 23 => var_start_vector := "1111111111111111111111111111111111111111100000000000000000000000"; when 24 => var_start_vector := "1111111111111111111111111111111111111111000000000000000000000000"; when 25 => var_start_vector := "1111111111111111111111111111111111111110000000000000000000000000"; when 26 => var_start_vector := "1111111111111111111111111111111111111100000000000000000000000000"; when 27 => var_start_vector := "1111111111111111111111111111111111111000000000000000000000000000"; when 28 => var_start_vector := "1111111111111111111111111111111111110000000000000000000000000000"; when 29 => var_start_vector := "1111111111111111111111111111111111100000000000000000000000000000"; when 30 => var_start_vector := "1111111111111111111111111111111111000000000000000000000000000000"; when 31 => var_start_vector := "1111111111111111111111111111111110000000000000000000000000000000"; when 32 => var_start_vector := "1111111111111111111111111111111100000000000000000000000000000000"; when 33 => var_start_vector := "1111111111111111111111111111111000000000000000000000000000000000"; when 34 => var_start_vector := "1111111111111111111111111111110000000000000000000000000000000000"; when 35 => var_start_vector := "1111111111111111111111111111100000000000000000000000000000000000"; when 36 => var_start_vector := "1111111111111111111111111111000000000000000000000000000000000000"; when 37 => var_start_vector := "1111111111111111111111111110000000000000000000000000000000000000"; when 38 => var_start_vector := "1111111111111111111111111100000000000000000000000000000000000000"; when 39 => var_start_vector := "1111111111111111111111111000000000000000000000000000000000000000"; when 40 => var_start_vector := "1111111111111111111111110000000000000000000000000000000000000000"; when 41 => var_start_vector := "1111111111111111111111100000000000000000000000000000000000000000"; when 42 => var_start_vector := "1111111111111111111111000000000000000000000000000000000000000000"; when 43 => var_start_vector := "1111111111111111111110000000000000000000000000000000000000000000"; when 44 => var_start_vector := "1111111111111111111100000000000000000000000000000000000000000000"; when 45 => var_start_vector := "1111111111111111111000000000000000000000000000000000000000000000"; when 46 => var_start_vector := "1111111111111111110000000000000000000000000000000000000000000000"; when 47 => var_start_vector := "1111111111111111100000000000000000000000000000000000000000000000"; when 48 => var_start_vector := "1111111111111111000000000000000000000000000000000000000000000000"; when 49 => var_start_vector := "1111111111111110000000000000000000000000000000000000000000000000"; when 50 => var_start_vector := "1111111111111100000000000000000000000000000000000000000000000000"; when 51 => var_start_vector := "1111111111111000000000000000000000000000000000000000000000000000"; when 52 => var_start_vector := "1111111111110000000000000000000000000000000000000000000000000000"; when 53 => var_start_vector := "1111111111100000000000000000000000000000000000000000000000000000"; when 54 => var_start_vector := "1111111111000000000000000000000000000000000000000000000000000000"; when 55 => var_start_vector := "1111111110000000000000000000000000000000000000000000000000000000"; when 56 => var_start_vector := "1111111100000000000000000000000000000000000000000000000000000000"; when 57 => var_start_vector := "1111111000000000000000000000000000000000000000000000000000000000"; when 58 => var_start_vector := "1111110000000000000000000000000000000000000000000000000000000000"; when 59 => var_start_vector := "1111100000000000000000000000000000000000000000000000000000000000"; when 60 => var_start_vector := "1111000000000000000000000000000000000000000000000000000000000000"; when 61 => var_start_vector := "1110000000000000000000000000000000000000000000000000000000000000"; when 62 => var_start_vector := "1100000000000000000000000000000000000000000000000000000000000000"; when others => var_start_vector := "1000000000000000000000000000000000000000000000000000000000000000"; end case; Return (var_start_vector); end function get_start_64; ------------------------------------------------------------------- -- Function -- -- Function Name: get_end_64 -- -- Function Description: -- Returns the 64-bit vector filled with '1's from the lsbit -- of the vector to the end offset. -- ------------------------------------------------------------------- function get_end_64 (end_offset : natural) return std_logic_vector is Variable var_end_vector : std_logic_vector(63 downto 0) := (others => '0'); begin case end_offset is when 0 => var_end_vector := "0000000000000000000000000000000000000000000000000000000000000001"; when 1 => var_end_vector := "0000000000000000000000000000000000000000000000000000000000000011"; when 2 => var_end_vector := "0000000000000000000000000000000000000000000000000000000000000111"; when 3 => var_end_vector := "0000000000000000000000000000000000000000000000000000000000001111"; when 4 => var_end_vector := "0000000000000000000000000000000000000000000000000000000000011111"; when 5 => var_end_vector := "0000000000000000000000000000000000000000000000000000000000111111"; when 6 => var_end_vector := "0000000000000000000000000000000000000000000000000000000001111111"; when 7 => var_end_vector := "0000000000000000000000000000000000000000000000000000000011111111"; when 8 => var_end_vector := "0000000000000000000000000000000000000000000000000000000111111111"; when 9 => var_end_vector := "0000000000000000000000000000000000000000000000000000001111111111"; when 10 => var_end_vector := "0000000000000000000000000000000000000000000000000000011111111111"; when 11 => var_end_vector := "0000000000000000000000000000000000000000000000000000111111111111"; when 12 => var_end_vector := "0000000000000000000000000000000000000000000000000001111111111111"; when 13 => var_end_vector := "0000000000000000000000000000000000000000000000000011111111111111"; when 14 => var_end_vector := "0000000000000000000000000000000000000000000000000111111111111111"; when 15 => var_end_vector := "0000000000000000000000000000000000000000000000001111111111111111"; when 16 => var_end_vector := "0000000000000000000000000000000000000000000000011111111111111111"; when 17 => var_end_vector := "0000000000000000000000000000000000000000000000111111111111111111"; when 18 => var_end_vector := "0000000000000000000000000000000000000000000001111111111111111111"; when 19 => var_end_vector := "0000000000000000000000000000000000000000000011111111111111111111"; when 20 => var_end_vector := "0000000000000000000000000000000000000000000111111111111111111111"; when 21 => var_end_vector := "0000000000000000000000000000000000000000001111111111111111111111"; when 22 => var_end_vector := "0000000000000000000000000000000000000000011111111111111111111111"; when 23 => var_end_vector := "0000000000000000000000000000000000000000111111111111111111111111"; when 24 => var_end_vector := "0000000000000000000000000000000000000001111111111111111111111111"; when 25 => var_end_vector := "0000000000000000000000000000000000000011111111111111111111111111"; when 26 => var_end_vector := "0000000000000000000000000000000000000111111111111111111111111111"; when 27 => var_end_vector := "0000000000000000000000000000000000001111111111111111111111111111"; when 28 => var_end_vector := "0000000000000000000000000000000000011111111111111111111111111111"; when 29 => var_end_vector := "0000000000000000000000000000000000111111111111111111111111111111"; when 30 => var_end_vector := "0000000000000000000000000000000001111111111111111111111111111111"; when 31 => var_end_vector := "0000000000000000000000000000000011111111111111111111111111111111"; when 32 => var_end_vector := "0000000000000000000000000000000111111111111111111111111111111111"; when 33 => var_end_vector := "0000000000000000000000000000001111111111111111111111111111111111"; when 34 => var_end_vector := "0000000000000000000000000000011111111111111111111111111111111111"; when 35 => var_end_vector := "0000000000000000000000000000111111111111111111111111111111111111"; when 36 => var_end_vector := "0000000000000000000000000001111111111111111111111111111111111111"; when 37 => var_end_vector := "0000000000000000000000000011111111111111111111111111111111111111"; when 38 => var_end_vector := "0000000000000000000000000111111111111111111111111111111111111111"; when 39 => var_end_vector := "0000000000000000000000001111111111111111111111111111111111111111"; when 40 => var_end_vector := "0000000000000000000000011111111111111111111111111111111111111111"; when 41 => var_end_vector := "0000000000000000000000111111111111111111111111111111111111111111"; when 42 => var_end_vector := "0000000000000000000001111111111111111111111111111111111111111111"; when 43 => var_end_vector := "0000000000000000000011111111111111111111111111111111111111111111"; when 44 => var_end_vector := "0000000000000000000111111111111111111111111111111111111111111111"; when 45 => var_end_vector := "0000000000000000001111111111111111111111111111111111111111111111"; when 46 => var_end_vector := "0000000000000000011111111111111111111111111111111111111111111111"; when 47 => var_end_vector := "0000000000000000111111111111111111111111111111111111111111111111"; when 48 => var_end_vector := "0000000000000001111111111111111111111111111111111111111111111111"; when 49 => var_end_vector := "0000000000000011111111111111111111111111111111111111111111111111"; when 50 => var_end_vector := "0000000000000111111111111111111111111111111111111111111111111111"; when 51 => var_end_vector := "0000000000001111111111111111111111111111111111111111111111111111"; when 52 => var_end_vector := "0000000000011111111111111111111111111111111111111111111111111111"; when 53 => var_end_vector := "0000000000111111111111111111111111111111111111111111111111111111"; when 54 => var_end_vector := "0000000001111111111111111111111111111111111111111111111111111111"; when 55 => var_end_vector := "0000000011111111111111111111111111111111111111111111111111111111"; when 56 => var_end_vector := "0000000111111111111111111111111111111111111111111111111111111111"; when 57 => var_end_vector := "0000001111111111111111111111111111111111111111111111111111111111"; when 58 => var_end_vector := "0000011111111111111111111111111111111111111111111111111111111111"; when 59 => var_end_vector := "0000111111111111111111111111111111111111111111111111111111111111"; when 60 => var_end_vector := "0001111111111111111111111111111111111111111111111111111111111111"; when 61 => var_end_vector := "0011111111111111111111111111111111111111111111111111111111111111"; when 62 => var_end_vector := "0111111111111111111111111111111111111111111111111111111111111111"; when others => var_end_vector := "1111111111111111111111111111111111111111111111111111111111111111"; end case; Return (var_end_vector); end function get_end_64; ------------------------------------------------------------------- -- Function -- -- Function Name: get_start_128 -- -- Function Description: -- returns the 128-bit vector filled with '1's from the start -- offset to the end of of the vector -- ------------------------------------------------------------------- function get_start_128 (start_offset : natural) return std_logic_vector is Variable var_start_vector : std_logic_vector(127 downto 0) := (others => '0'); begin case start_offset is when 0 => var_start_vector(127 downto 0) := (others => '1'); when 1 => var_start_vector(127 downto 1) := (others => '1'); var_start_vector( 0 downto 0) := (others => '0'); when 2 => var_start_vector(127 downto 2) := (others => '1'); var_start_vector( 1 downto 0) := (others => '0'); when 3 => var_start_vector(127 downto 3) := (others => '1'); var_start_vector( 2 downto 0) := (others => '0'); when 4 => var_start_vector(127 downto 4) := (others => '1'); var_start_vector( 3 downto 0) := (others => '0'); when 5 => var_start_vector(127 downto 5) := (others => '1'); var_start_vector( 4 downto 0) := (others => '0'); when 6 => var_start_vector(127 downto 6) := (others => '1'); var_start_vector( 5 downto 0) := (others => '0'); when 7 => var_start_vector(127 downto 7) := (others => '1'); var_start_vector( 6 downto 0) := (others => '0'); when 8 => var_start_vector(127 downto 8) := (others => '1'); var_start_vector( 7 downto 0) := (others => '0'); when 9 => var_start_vector(127 downto 9) := (others => '1'); var_start_vector( 8 downto 0) := (others => '0'); when 10 => var_start_vector(127 downto 10) := (others => '1'); var_start_vector( 9 downto 0) := (others => '0'); when 11 => var_start_vector(127 downto 11) := (others => '1'); var_start_vector( 10 downto 0) := (others => '0'); when 12 => var_start_vector(127 downto 12) := (others => '1'); var_start_vector( 11 downto 0) := (others => '0'); when 13 => var_start_vector(127 downto 13) := (others => '1'); var_start_vector( 12 downto 0) := (others => '0'); when 14 => var_start_vector(127 downto 14) := (others => '1'); var_start_vector( 13 downto 0) := (others => '0'); when 15 => var_start_vector(127 downto 15) := (others => '1'); var_start_vector( 14 downto 0) := (others => '0'); when 16 => var_start_vector(127 downto 16) := (others => '1'); var_start_vector( 15 downto 0) := (others => '0'); when 17 => var_start_vector(127 downto 17) := (others => '1'); var_start_vector( 16 downto 0) := (others => '0'); when 18 => var_start_vector(127 downto 18) := (others => '1'); var_start_vector( 17 downto 0) := (others => '0'); when 19 => var_start_vector(127 downto 19) := (others => '1'); var_start_vector( 18 downto 0) := (others => '0'); when 20 => var_start_vector(127 downto 20) := (others => '1'); var_start_vector( 19 downto 0) := (others => '0'); when 21 => var_start_vector(127 downto 21) := (others => '1'); var_start_vector( 20 downto 0) := (others => '0'); when 22 => var_start_vector(127 downto 22) := (others => '1'); var_start_vector( 21 downto 0) := (others => '0'); when 23 => var_start_vector(127 downto 23) := (others => '1'); var_start_vector( 22 downto 0) := (others => '0'); when 24 => var_start_vector(127 downto 24) := (others => '1'); var_start_vector( 23 downto 0) := (others => '0'); when 25 => var_start_vector(127 downto 25) := (others => '1'); var_start_vector( 24 downto 0) := (others => '0'); when 26 => var_start_vector(127 downto 26) := (others => '1'); var_start_vector( 25 downto 0) := (others => '0'); when 27 => var_start_vector(127 downto 27) := (others => '1'); var_start_vector( 26 downto 0) := (others => '0'); when 28 => var_start_vector(127 downto 28) := (others => '1'); var_start_vector( 27 downto 0) := (others => '0'); when 29 => var_start_vector(127 downto 29) := (others => '1'); var_start_vector( 28 downto 0) := (others => '0'); when 30 => var_start_vector(127 downto 30) := (others => '1'); var_start_vector( 29 downto 0) := (others => '0'); when 31 => var_start_vector(127 downto 31) := (others => '1'); var_start_vector( 30 downto 0) := (others => '0'); when 32 => var_start_vector(127 downto 32) := (others => '1'); var_start_vector( 31 downto 0) := (others => '0'); when 33 => var_start_vector(127 downto 33) := (others => '1'); var_start_vector( 32 downto 0) := (others => '0'); when 34 => var_start_vector(127 downto 34) := (others => '1'); var_start_vector( 33 downto 0) := (others => '0'); when 35 => var_start_vector(127 downto 35) := (others => '1'); var_start_vector( 34 downto 0) := (others => '0'); when 36 => var_start_vector(127 downto 36) := (others => '1'); var_start_vector( 35 downto 0) := (others => '0'); when 37 => var_start_vector(127 downto 37) := (others => '1'); var_start_vector( 36 downto 0) := (others => '0'); when 38 => var_start_vector(127 downto 38) := (others => '1'); var_start_vector( 37 downto 0) := (others => '0'); when 39 => var_start_vector(127 downto 39) := (others => '1'); var_start_vector( 38 downto 0) := (others => '0'); when 40 => var_start_vector(127 downto 40) := (others => '1'); var_start_vector( 39 downto 0) := (others => '0'); when 41 => var_start_vector(127 downto 41) := (others => '1'); var_start_vector( 40 downto 0) := (others => '0'); when 42 => var_start_vector(127 downto 42) := (others => '1'); var_start_vector( 41 downto 0) := (others => '0'); when 43 => var_start_vector(127 downto 43) := (others => '1'); var_start_vector( 42 downto 0) := (others => '0'); when 44 => var_start_vector(127 downto 44) := (others => '1'); var_start_vector( 43 downto 0) := (others => '0'); when 45 => var_start_vector(127 downto 45) := (others => '1'); var_start_vector( 44 downto 0) := (others => '0'); when 46 => var_start_vector(127 downto 46) := (others => '1'); var_start_vector( 45 downto 0) := (others => '0'); when 47 => var_start_vector(127 downto 47) := (others => '1'); var_start_vector( 46 downto 0) := (others => '0'); when 48 => var_start_vector(127 downto 48) := (others => '1'); var_start_vector( 47 downto 0) := (others => '0'); when 49 => var_start_vector(127 downto 49) := (others => '1'); var_start_vector( 48 downto 0) := (others => '0'); when 50 => var_start_vector(127 downto 50) := (others => '1'); var_start_vector( 49 downto 0) := (others => '0'); when 51 => var_start_vector(127 downto 51) := (others => '1'); var_start_vector( 50 downto 0) := (others => '0'); when 52 => var_start_vector(127 downto 52) := (others => '1'); var_start_vector( 51 downto 0) := (others => '0'); when 53 => var_start_vector(127 downto 53) := (others => '1'); var_start_vector( 52 downto 0) := (others => '0'); when 54 => var_start_vector(127 downto 54) := (others => '1'); var_start_vector( 53 downto 0) := (others => '0'); when 55 => var_start_vector(127 downto 55) := (others => '1'); var_start_vector( 54 downto 0) := (others => '0'); when 56 => var_start_vector(127 downto 56) := (others => '1'); var_start_vector( 55 downto 0) := (others => '0'); when 57 => var_start_vector(127 downto 57) := (others => '1'); var_start_vector( 56 downto 0) := (others => '0'); when 58 => var_start_vector(127 downto 58) := (others => '1'); var_start_vector( 57 downto 0) := (others => '0'); when 59 => var_start_vector(127 downto 59) := (others => '1'); var_start_vector( 58 downto 0) := (others => '0'); when 60 => var_start_vector(127 downto 60) := (others => '1'); var_start_vector( 59 downto 0) := (others => '0'); when 61 => var_start_vector(127 downto 61) := (others => '1'); var_start_vector( 60 downto 0) := (others => '0'); when 62 => var_start_vector(127 downto 62) := (others => '1'); var_start_vector( 61 downto 0) := (others => '0'); when 63 => var_start_vector(127 downto 63) := (others => '1'); var_start_vector( 62 downto 0) := (others => '0'); when 64 => var_start_vector(127 downto 64) := (others => '1'); var_start_vector( 63 downto 0) := (others => '0'); when 65 => var_start_vector(127 downto 65) := (others => '1'); var_start_vector( 64 downto 0) := (others => '0'); when 66 => var_start_vector(127 downto 66) := (others => '1'); var_start_vector( 65 downto 0) := (others => '0'); when 67 => var_start_vector(127 downto 67) := (others => '1'); var_start_vector( 66 downto 0) := (others => '0'); when 68 => var_start_vector(127 downto 68) := (others => '1'); var_start_vector( 67 downto 0) := (others => '0'); when 69 => var_start_vector(127 downto 69) := (others => '1'); var_start_vector( 68 downto 0) := (others => '0'); when 70 => var_start_vector(127 downto 70) := (others => '1'); var_start_vector( 69 downto 0) := (others => '0'); when 71 => var_start_vector(127 downto 71) := (others => '1'); var_start_vector( 70 downto 0) := (others => '0'); when 72 => var_start_vector(127 downto 72) := (others => '1'); var_start_vector( 71 downto 0) := (others => '0'); when 73 => var_start_vector(127 downto 73) := (others => '1'); var_start_vector( 72 downto 0) := (others => '0'); when 74 => var_start_vector(127 downto 74) := (others => '1'); var_start_vector( 73 downto 0) := (others => '0'); when 75 => var_start_vector(127 downto 75) := (others => '1'); var_start_vector( 74 downto 0) := (others => '0'); when 76 => var_start_vector(127 downto 76) := (others => '1'); var_start_vector( 75 downto 0) := (others => '0'); when 77 => var_start_vector(127 downto 77) := (others => '1'); var_start_vector( 76 downto 0) := (others => '0'); when 78 => var_start_vector(127 downto 78) := (others => '1'); var_start_vector( 77 downto 0) := (others => '0'); when 79 => var_start_vector(127 downto 79) := (others => '1'); var_start_vector( 78 downto 0) := (others => '0'); when 80 => var_start_vector(127 downto 80) := (others => '1'); var_start_vector( 79 downto 0) := (others => '0'); when 81 => var_start_vector(127 downto 81) := (others => '1'); var_start_vector( 80 downto 0) := (others => '0'); when 82 => var_start_vector(127 downto 82) := (others => '1'); var_start_vector( 81 downto 0) := (others => '0'); when 83 => var_start_vector(127 downto 83) := (others => '1'); var_start_vector( 82 downto 0) := (others => '0'); when 84 => var_start_vector(127 downto 84) := (others => '1'); var_start_vector( 83 downto 0) := (others => '0'); when 85 => var_start_vector(127 downto 85) := (others => '1'); var_start_vector( 84 downto 0) := (others => '0'); when 86 => var_start_vector(127 downto 86) := (others => '1'); var_start_vector( 85 downto 0) := (others => '0'); when 87 => var_start_vector(127 downto 87) := (others => '1'); var_start_vector( 86 downto 0) := (others => '0'); when 88 => var_start_vector(127 downto 88) := (others => '1'); var_start_vector( 87 downto 0) := (others => '0'); when 89 => var_start_vector(127 downto 89) := (others => '1'); var_start_vector( 88 downto 0) := (others => '0'); when 90 => var_start_vector(127 downto 90) := (others => '1'); var_start_vector( 89 downto 0) := (others => '0'); when 91 => var_start_vector(127 downto 91) := (others => '1'); var_start_vector( 90 downto 0) := (others => '0'); when 92 => var_start_vector(127 downto 92) := (others => '1'); var_start_vector( 91 downto 0) := (others => '0'); when 93 => var_start_vector(127 downto 93) := (others => '1'); var_start_vector( 92 downto 0) := (others => '0'); when 94 => var_start_vector(127 downto 94) := (others => '1'); var_start_vector( 93 downto 0) := (others => '0'); when 95 => var_start_vector(127 downto 95) := (others => '1'); var_start_vector( 94 downto 0) := (others => '0'); when 96 => var_start_vector(127 downto 96) := (others => '1'); var_start_vector( 95 downto 0) := (others => '0'); when 97 => var_start_vector(127 downto 97) := (others => '1'); var_start_vector( 96 downto 0) := (others => '0'); when 98 => var_start_vector(127 downto 98) := (others => '1'); var_start_vector( 97 downto 0) := (others => '0'); when 99 => var_start_vector(127 downto 99) := (others => '1'); var_start_vector( 98 downto 0) := (others => '0'); when 100 => var_start_vector(127 downto 100) := (others => '1'); var_start_vector( 99 downto 0) := (others => '0'); when 101 => var_start_vector(127 downto 101) := (others => '1'); var_start_vector(100 downto 0) := (others => '0'); when 102 => var_start_vector(127 downto 102) := (others => '1'); var_start_vector(101 downto 0) := (others => '0'); when 103 => var_start_vector(127 downto 103) := (others => '1'); var_start_vector(102 downto 0) := (others => '0'); when 104 => var_start_vector(127 downto 104) := (others => '1'); var_start_vector(103 downto 0) := (others => '0'); when 105 => var_start_vector(127 downto 105) := (others => '1'); var_start_vector(104 downto 0) := (others => '0'); when 106 => var_start_vector(127 downto 106) := (others => '1'); var_start_vector(105 downto 0) := (others => '0'); when 107 => var_start_vector(127 downto 107) := (others => '1'); var_start_vector(106 downto 0) := (others => '0'); when 108 => var_start_vector(127 downto 108) := (others => '1'); var_start_vector(107 downto 0) := (others => '0'); when 109 => var_start_vector(127 downto 109) := (others => '1'); var_start_vector(108 downto 0) := (others => '0'); when 110 => var_start_vector(127 downto 110) := (others => '1'); var_start_vector(109 downto 0) := (others => '0'); when 111 => var_start_vector(127 downto 111) := (others => '1'); var_start_vector(110 downto 0) := (others => '0'); when 112 => var_start_vector(127 downto 112) := (others => '1'); var_start_vector(111 downto 0) := (others => '0'); when 113 => var_start_vector(127 downto 113) := (others => '1'); var_start_vector(112 downto 0) := (others => '0'); when 114 => var_start_vector(127 downto 114) := (others => '1'); var_start_vector(113 downto 0) := (others => '0'); when 115 => var_start_vector(127 downto 115) := (others => '1'); var_start_vector(114 downto 0) := (others => '0'); when 116 => var_start_vector(127 downto 116) := (others => '1'); var_start_vector(115 downto 0) := (others => '0'); when 117 => var_start_vector(127 downto 117) := (others => '1'); var_start_vector(116 downto 0) := (others => '0'); when 118 => var_start_vector(127 downto 118) := (others => '1'); var_start_vector(117 downto 0) := (others => '0'); when 119 => var_start_vector(127 downto 119) := (others => '1'); var_start_vector(118 downto 0) := (others => '0'); when 120 => var_start_vector(127 downto 120) := (others => '1'); var_start_vector(119 downto 0) := (others => '0'); when 121 => var_start_vector(127 downto 121) := (others => '1'); var_start_vector(120 downto 0) := (others => '0'); when 122 => var_start_vector(127 downto 122) := (others => '1'); var_start_vector(121 downto 0) := (others => '0'); when 123 => var_start_vector(127 downto 123) := (others => '1'); var_start_vector(122 downto 0) := (others => '0'); when 124 => var_start_vector(127 downto 124) := (others => '1'); var_start_vector(123 downto 0) := (others => '0'); when 125 => var_start_vector(127 downto 125) := (others => '1'); var_start_vector(124 downto 0) := (others => '0'); when 126 => var_start_vector(127 downto 126) := (others => '1'); var_start_vector(125 downto 0) := (others => '0'); when others => var_start_vector(127 downto 127) := (others => '1'); var_start_vector(126 downto 0) := (others => '0'); end case; Return (var_start_vector); end function get_start_128; ------------------------------------------------------------------- -- Function -- -- Function Name: get_end_128 -- -- Function Description: -- Returns the 128-bit vector filled with '1's from the lsbit -- of the vector to the end offset. -- ------------------------------------------------------------------- function get_end_128 (end_offset : natural) return std_logic_vector is Variable var_end_vector : std_logic_vector(127 downto 0) := (others => '0'); begin case end_offset is when 0 => var_end_vector(127 downto 1) := (others => '0'); var_end_vector( 0 downto 0) := (others => '1'); when 1 => var_end_vector(127 downto 2) := (others => '0'); var_end_vector( 1 downto 0) := (others => '1'); when 2 => var_end_vector(127 downto 3) := (others => '0'); var_end_vector( 2 downto 0) := (others => '1'); when 3 => var_end_vector(127 downto 4) := (others => '0'); var_end_vector( 3 downto 0) := (others => '1'); when 4 => var_end_vector(127 downto 5) := (others => '0'); var_end_vector( 4 downto 0) := (others => '1'); when 5 => var_end_vector(127 downto 6) := (others => '0'); var_end_vector( 5 downto 0) := (others => '1'); when 6 => var_end_vector(127 downto 7) := (others => '0'); var_end_vector( 6 downto 0) := (others => '1'); when 7 => var_end_vector(127 downto 8) := (others => '0'); var_end_vector( 7 downto 0) := (others => '1'); when 8 => var_end_vector(127 downto 9) := (others => '0'); var_end_vector( 8 downto 0) := (others => '1'); when 9 => var_end_vector(127 downto 10) := (others => '0'); var_end_vector( 9 downto 0) := (others => '1'); when 10 => var_end_vector(127 downto 11) := (others => '0'); var_end_vector( 10 downto 0) := (others => '1'); when 11 => var_end_vector(127 downto 12) := (others => '0'); var_end_vector( 11 downto 0) := (others => '1'); when 12 => var_end_vector(127 downto 13) := (others => '0'); var_end_vector( 12 downto 0) := (others => '1'); when 13 => var_end_vector(127 downto 14) := (others => '0'); var_end_vector( 13 downto 0) := (others => '1'); when 14 => var_end_vector(127 downto 15) := (others => '0'); var_end_vector( 14 downto 0) := (others => '1'); when 15 => var_end_vector(127 downto 16) := (others => '0'); var_end_vector( 15 downto 0) := (others => '1'); when 16 => var_end_vector(127 downto 17) := (others => '0'); var_end_vector( 16 downto 0) := (others => '1'); when 17 => var_end_vector(127 downto 18) := (others => '0'); var_end_vector( 17 downto 0) := (others => '1'); when 18 => var_end_vector(127 downto 19) := (others => '0'); var_end_vector( 18 downto 0) := (others => '1'); when 19 => var_end_vector(127 downto 20) := (others => '0'); var_end_vector( 19 downto 0) := (others => '1'); when 20 => var_end_vector(127 downto 21) := (others => '0'); var_end_vector( 20 downto 0) := (others => '1'); when 21 => var_end_vector(127 downto 22) := (others => '0'); var_end_vector( 21 downto 0) := (others => '1'); when 22 => var_end_vector(127 downto 23) := (others => '0'); var_end_vector( 22 downto 0) := (others => '1'); when 23 => var_end_vector(127 downto 24) := (others => '0'); var_end_vector( 23 downto 0) := (others => '1'); when 24 => var_end_vector(127 downto 25) := (others => '0'); var_end_vector( 24 downto 0) := (others => '1'); when 25 => var_end_vector(127 downto 26) := (others => '0'); var_end_vector( 25 downto 0) := (others => '1'); when 26 => var_end_vector(127 downto 27) := (others => '0'); var_end_vector( 26 downto 0) := (others => '1'); when 27 => var_end_vector(127 downto 28) := (others => '0'); var_end_vector( 27 downto 0) := (others => '1'); when 28 => var_end_vector(127 downto 29) := (others => '0'); var_end_vector( 28 downto 0) := (others => '1'); when 29 => var_end_vector(127 downto 30) := (others => '0'); var_end_vector( 29 downto 0) := (others => '1'); when 30 => var_end_vector(127 downto 31) := (others => '0'); var_end_vector( 30 downto 0) := (others => '1'); when 31 => var_end_vector(127 downto 32) := (others => '0'); var_end_vector( 31 downto 0) := (others => '1'); when 32 => var_end_vector(127 downto 33) := (others => '0'); var_end_vector( 32 downto 0) := (others => '1'); when 33 => var_end_vector(127 downto 34) := (others => '0'); var_end_vector( 33 downto 0) := (others => '1'); when 34 => var_end_vector(127 downto 35) := (others => '0'); var_end_vector( 34 downto 0) := (others => '1'); when 35 => var_end_vector(127 downto 36) := (others => '0'); var_end_vector( 35 downto 0) := (others => '1'); when 36 => var_end_vector(127 downto 37) := (others => '0'); var_end_vector( 36 downto 0) := (others => '1'); when 37 => var_end_vector(127 downto 38) := (others => '0'); var_end_vector( 37 downto 0) := (others => '1'); when 38 => var_end_vector(127 downto 39) := (others => '0'); var_end_vector( 38 downto 0) := (others => '1'); when 39 => var_end_vector(127 downto 40) := (others => '0'); var_end_vector( 39 downto 0) := (others => '1'); when 40 => var_end_vector(127 downto 41) := (others => '0'); var_end_vector( 40 downto 0) := (others => '1'); when 41 => var_end_vector(127 downto 42) := (others => '0'); var_end_vector( 41 downto 0) := (others => '1'); when 42 => var_end_vector(127 downto 43) := (others => '0'); var_end_vector( 42 downto 0) := (others => '1'); when 43 => var_end_vector(127 downto 44) := (others => '0'); var_end_vector( 43 downto 0) := (others => '1'); when 44 => var_end_vector(127 downto 45) := (others => '0'); var_end_vector( 44 downto 0) := (others => '1'); when 45 => var_end_vector(127 downto 46) := (others => '0'); var_end_vector( 45 downto 0) := (others => '1'); when 46 => var_end_vector(127 downto 47) := (others => '0'); var_end_vector( 46 downto 0) := (others => '1'); when 47 => var_end_vector(127 downto 48) := (others => '0'); var_end_vector( 47 downto 0) := (others => '1'); when 48 => var_end_vector(127 downto 49) := (others => '0'); var_end_vector( 48 downto 0) := (others => '1'); when 49 => var_end_vector(127 downto 50) := (others => '0'); var_end_vector( 49 downto 0) := (others => '1'); when 50 => var_end_vector(127 downto 51) := (others => '0'); var_end_vector( 50 downto 0) := (others => '1'); when 51 => var_end_vector(127 downto 52) := (others => '0'); var_end_vector( 51 downto 0) := (others => '1'); when 52 => var_end_vector(127 downto 53) := (others => '0'); var_end_vector( 52 downto 0) := (others => '1'); when 53 => var_end_vector(127 downto 54) := (others => '0'); var_end_vector( 53 downto 0) := (others => '1'); when 54 => var_end_vector(127 downto 55) := (others => '0'); var_end_vector( 54 downto 0) := (others => '1'); when 55 => var_end_vector(127 downto 56) := (others => '0'); var_end_vector( 55 downto 0) := (others => '1'); when 56 => var_end_vector(127 downto 57) := (others => '0'); var_end_vector( 56 downto 0) := (others => '1'); when 57 => var_end_vector(127 downto 58) := (others => '0'); var_end_vector( 57 downto 0) := (others => '1'); when 58 => var_end_vector(127 downto 59) := (others => '0'); var_end_vector( 58 downto 0) := (others => '1'); when 59 => var_end_vector(127 downto 60) := (others => '0'); var_end_vector( 59 downto 0) := (others => '1'); when 60 => var_end_vector(127 downto 61) := (others => '0'); var_end_vector( 60 downto 0) := (others => '1'); when 61 => var_end_vector(127 downto 62) := (others => '0'); var_end_vector( 61 downto 0) := (others => '1'); when 62 => var_end_vector(127 downto 63) := (others => '0'); var_end_vector( 62 downto 0) := (others => '1'); when 63 => var_end_vector(127 downto 64) := (others => '0'); var_end_vector( 63 downto 0) := (others => '1'); when 64 => var_end_vector(127 downto 65) := (others => '0'); var_end_vector( 64 downto 0) := (others => '1'); when 65 => var_end_vector(127 downto 66) := (others => '0'); var_end_vector( 65 downto 0) := (others => '1'); when 66 => var_end_vector(127 downto 67) := (others => '0'); var_end_vector( 66 downto 0) := (others => '1'); when 67 => var_end_vector(127 downto 68) := (others => '0'); var_end_vector( 67 downto 0) := (others => '1'); when 68 => var_end_vector(127 downto 69) := (others => '0'); var_end_vector( 68 downto 0) := (others => '1'); when 69 => var_end_vector(127 downto 70) := (others => '0'); var_end_vector( 69 downto 0) := (others => '1'); when 70 => var_end_vector(127 downto 71) := (others => '0'); var_end_vector( 70 downto 0) := (others => '1'); when 71 => var_end_vector(127 downto 72) := (others => '0'); var_end_vector( 71 downto 0) := (others => '1'); when 72 => var_end_vector(127 downto 73) := (others => '0'); var_end_vector( 72 downto 0) := (others => '1'); when 73 => var_end_vector(127 downto 74) := (others => '0'); var_end_vector( 73 downto 0) := (others => '1'); when 74 => var_end_vector(127 downto 75) := (others => '0'); var_end_vector( 74 downto 0) := (others => '1'); when 75 => var_end_vector(127 downto 76) := (others => '0'); var_end_vector( 75 downto 0) := (others => '1'); when 76 => var_end_vector(127 downto 77) := (others => '0'); var_end_vector( 76 downto 0) := (others => '1'); when 77 => var_end_vector(127 downto 78) := (others => '0'); var_end_vector( 77 downto 0) := (others => '1'); when 78 => var_end_vector(127 downto 79) := (others => '0'); var_end_vector( 78 downto 0) := (others => '1'); when 79 => var_end_vector(127 downto 80) := (others => '0'); var_end_vector( 79 downto 0) := (others => '1'); when 80 => var_end_vector(127 downto 81) := (others => '0'); var_end_vector( 80 downto 0) := (others => '1'); when 81 => var_end_vector(127 downto 82) := (others => '0'); var_end_vector( 81 downto 0) := (others => '1'); when 82 => var_end_vector(127 downto 83) := (others => '0'); var_end_vector( 82 downto 0) := (others => '1'); when 83 => var_end_vector(127 downto 84) := (others => '0'); var_end_vector( 83 downto 0) := (others => '1'); when 84 => var_end_vector(127 downto 85) := (others => '0'); var_end_vector( 84 downto 0) := (others => '1'); when 85 => var_end_vector(127 downto 86) := (others => '0'); var_end_vector( 85 downto 0) := (others => '1'); when 86 => var_end_vector(127 downto 87) := (others => '0'); var_end_vector( 86 downto 0) := (others => '1'); when 87 => var_end_vector(127 downto 88) := (others => '0'); var_end_vector( 87 downto 0) := (others => '1'); when 88 => var_end_vector(127 downto 89) := (others => '0'); var_end_vector( 88 downto 0) := (others => '1'); when 89 => var_end_vector(127 downto 90) := (others => '0'); var_end_vector( 89 downto 0) := (others => '1'); when 90 => var_end_vector(127 downto 91) := (others => '0'); var_end_vector( 90 downto 0) := (others => '1'); when 91 => var_end_vector(127 downto 92) := (others => '0'); var_end_vector( 91 downto 0) := (others => '1'); when 92 => var_end_vector(127 downto 93) := (others => '0'); var_end_vector( 92 downto 0) := (others => '1'); when 93 => var_end_vector(127 downto 94) := (others => '0'); var_end_vector( 93 downto 0) := (others => '1'); when 94 => var_end_vector(127 downto 95) := (others => '0'); var_end_vector( 94 downto 0) := (others => '1'); when 95 => var_end_vector(127 downto 96) := (others => '0'); var_end_vector( 95 downto 0) := (others => '1'); when 96 => var_end_vector(127 downto 97) := (others => '0'); var_end_vector( 96 downto 0) := (others => '1'); when 97 => var_end_vector(127 downto 98) := (others => '0'); var_end_vector( 97 downto 0) := (others => '1'); when 98 => var_end_vector(127 downto 99) := (others => '0'); var_end_vector( 98 downto 0) := (others => '1'); when 99 => var_end_vector(127 downto 100) := (others => '0'); var_end_vector( 99 downto 0) := (others => '1'); when 100 => var_end_vector(127 downto 101) := (others => '0'); var_end_vector(100 downto 0) := (others => '1'); when 101 => var_end_vector(127 downto 102) := (others => '0'); var_end_vector(101 downto 0) := (others => '1'); when 102 => var_end_vector(127 downto 103) := (others => '0'); var_end_vector(102 downto 0) := (others => '1'); when 103 => var_end_vector(127 downto 104) := (others => '0'); var_end_vector(103 downto 0) := (others => '1'); when 104 => var_end_vector(127 downto 105) := (others => '0'); var_end_vector(104 downto 0) := (others => '1'); when 105 => var_end_vector(127 downto 106) := (others => '0'); var_end_vector(105 downto 0) := (others => '1'); when 106 => var_end_vector(127 downto 107) := (others => '0'); var_end_vector(106 downto 0) := (others => '1'); when 107 => var_end_vector(127 downto 108) := (others => '0'); var_end_vector(107 downto 0) := (others => '1'); when 108 => var_end_vector(127 downto 109) := (others => '0'); var_end_vector(108 downto 0) := (others => '1'); when 109 => var_end_vector(127 downto 110) := (others => '0'); var_end_vector(109 downto 0) := (others => '1'); when 110 => var_end_vector(127 downto 111) := (others => '0'); var_end_vector(110 downto 0) := (others => '1'); when 111 => var_end_vector(127 downto 112) := (others => '0'); var_end_vector(111 downto 0) := (others => '1'); when 112 => var_end_vector(127 downto 113) := (others => '0'); var_end_vector(112 downto 0) := (others => '1'); when 113 => var_end_vector(127 downto 114) := (others => '0'); var_end_vector(113 downto 0) := (others => '1'); when 114 => var_end_vector(127 downto 115) := (others => '0'); var_end_vector(114 downto 0) := (others => '1'); when 115 => var_end_vector(127 downto 116) := (others => '0'); var_end_vector(115 downto 0) := (others => '1'); when 116 => var_end_vector(127 downto 117) := (others => '0'); var_end_vector(116 downto 0) := (others => '1'); when 117 => var_end_vector(127 downto 118) := (others => '0'); var_end_vector(117 downto 0) := (others => '1'); when 118 => var_end_vector(127 downto 119) := (others => '0'); var_end_vector(118 downto 0) := (others => '1'); when 119 => var_end_vector(127 downto 120) := (others => '0'); var_end_vector(119 downto 0) := (others => '1'); when 120 => var_end_vector(127 downto 121) := (others => '0'); var_end_vector(120 downto 0) := (others => '1'); when 121 => var_end_vector(127 downto 122) := (others => '0'); var_end_vector(121 downto 0) := (others => '1'); when 122 => var_end_vector(127 downto 123) := (others => '0'); var_end_vector(122 downto 0) := (others => '1'); when 123 => var_end_vector(127 downto 124) := (others => '0'); var_end_vector(123 downto 0) := (others => '1'); when 124 => var_end_vector(127 downto 125) := (others => '0'); var_end_vector(124 downto 0) := (others => '1'); when 125 => var_end_vector(127 downto 126) := (others => '0'); var_end_vector(125 downto 0) := (others => '1'); when 126 => var_end_vector(127 downto 127) := (others => '0'); var_end_vector(126 downto 0) := (others => '1'); when others => var_end_vector(127 downto 0) := (others => '1'); end case; Return (var_end_vector); end function get_end_128; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_clip_value -- -- Function Description: -- Returns a value that cannot exceed a clip value. -- ------------------------------------------------------------------- function funct_clip_value (input_value : natural; max_value : natural) return natural is Variable temp_value : Natural := 0; begin If (input_value <= max_value) Then temp_value := input_value; Else temp_value := max_value; End if; Return (temp_value); end function funct_clip_value; -- Constants Constant INTERNAL_CALC_WIDTH : integer := C_NUM_BYTES_WIDTH+(C_OP_MODE*2); -- Add 2 bits of math headroom -- if op Mode = 1 -- Signals signal sig_ouput_stbs : std_logic_vector(C_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_start_offset_un : unsigned(INTERNAL_CALC_WIDTH-1 downto 0) := (others => '0'); signal sig_end_offset_un : unsigned(INTERNAL_CALC_WIDTH-1 downto 0) := (others => '0'); begin --(architecture implementation) -- Assign the output strobe value strb_out <= sig_ouput_stbs ; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_OFF_OFF_CASE -- -- If Generate Description: -- Calculates the internal start and end offsets for the -- case when start and end offsets are being provided. -- -- ------------------------------------------------------------ GEN_OFF_OFF_CASE : if (C_OP_MODE = 1) generate begin sig_start_offset_un <= RESIZE(UNSIGNED(start_addr_offset), INTERNAL_CALC_WIDTH); sig_end_offset_un <= RESIZE(UNSIGNED(end_addr_offset), INTERNAL_CALC_WIDTH); end generate GEN_OFF_OFF_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_OFF_LEN_CASE -- -- If Generate Description: -- Calculates the internal start and end offsets for the -- case when start offset and length are being provided. -- ------------------------------------------------------------ GEN_OFF_LEN_CASE : if (C_OP_MODE = 0) generate -- Local Constants Declarations Constant L_INTERNAL_CALC_WIDTH : integer := INTERNAL_CALC_WIDTH; Constant L_ONE : unsigned := TO_UNSIGNED(1, L_INTERNAL_CALC_WIDTH); Constant L_ZERO : unsigned := TO_UNSIGNED(0, L_INTERNAL_CALC_WIDTH); Constant MAX_VALUE : natural := C_STRB_WIDTH-1; -- local signals signal lsig_addr_offset_us : unsigned(L_INTERNAL_CALC_WIDTH-1 downto 0) := (others => '0'); signal lsig_num_valid_bytes_us : unsigned(L_INTERNAL_CALC_WIDTH-1 downto 0) := (others => '0'); signal lsig_length_adjust_us : unsigned(L_INTERNAL_CALC_WIDTH-1 downto 0) := (others => '0'); signal lsig_incr_offset_bytes_us : unsigned(L_INTERNAL_CALC_WIDTH-1 downto 0) := (others => '0'); signal lsig_end_addr_us : unsigned(L_INTERNAL_CALC_WIDTH-1 downto 0) := (others => '0'); signal lsig_end_addr_int : integer := 0; signal lsig_strt_addr_int : integer := 0; begin lsig_addr_offset_us <= RESIZE(UNSIGNED(start_addr_offset), L_INTERNAL_CALC_WIDTH); lsig_num_valid_bytes_us <= RESIZE(UNSIGNED(num_valid_bytes) , L_INTERNAL_CALC_WIDTH); lsig_length_adjust_us <= L_ZERO When (lsig_num_valid_bytes_us = L_ZERO) Else L_ONE; lsig_incr_offset_bytes_us <= lsig_num_valid_bytes_us - lsig_length_adjust_us; lsig_end_addr_us <= lsig_addr_offset_us + lsig_incr_offset_bytes_us; lsig_strt_addr_int <= TO_INTEGER(lsig_addr_offset_us); lsig_end_addr_int <= TO_INTEGER(lsig_end_addr_us); sig_start_offset_un <= TO_UNSIGNED(funct_clip_value(lsig_strt_addr_int, MAX_VALUE), INTERNAL_CALC_WIDTH); sig_end_offset_un <= TO_UNSIGNED(funct_clip_value(lsig_end_addr_int, MAX_VALUE), INTERNAL_CALC_WIDTH) ; end generate GEN_OFF_LEN_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_1BIT_CASE -- -- If Generate Description: -- Generates the strobes for the 1-bit strobe width case. -- -- ------------------------------------------------------------ GEN_1BIT_CASE : if (C_STRB_WIDTH = 1) generate begin sig_ouput_stbs <= (others => '1') ; end generate GEN_1BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_2BIT_CASE -- -- If Generate Description: -- Generates the strobes for the 2-bit strobe width case. -- -- ------------------------------------------------------------ GEN_2BIT_CASE : if (C_STRB_WIDTH = 2) generate -- local signals Signal lsig_start_offset : Natural := 0; Signal lsig_end_offset : Natural := 1; Signal lsig_start_vect : std_logic_vector(1 downto 0) := (others => '0'); Signal lsig_end_vect : std_logic_vector(1 downto 0) := (others => '0'); Signal lsig_cmplt_vect : std_logic_vector(1 downto 0) := (others => '0'); begin lsig_start_offset <= TO_INTEGER(sig_start_offset_un) ; lsig_end_offset <= TO_INTEGER(sig_end_offset_un ) ; lsig_start_vect <= get_start_2(lsig_start_offset); lsig_end_vect <= get_end_2(lsig_end_offset) ; lsig_cmplt_vect <= lsig_start_vect and lsig_end_vect; sig_ouput_stbs <= lsig_cmplt_vect ; end generate GEN_2BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_4BIT_CASE -- -- If Generate Description: -- Generates the strobes for the 4-bit strobe width case. -- -- ------------------------------------------------------------ GEN_4BIT_CASE : if (C_STRB_WIDTH = 4) generate -- local signals Signal lsig_start_offset : Natural := 0; Signal lsig_end_offset : Natural := 3; Signal lsig_start_vect : std_logic_vector(3 downto 0) := (others => '0'); Signal lsig_end_vect : std_logic_vector(3 downto 0) := (others => '0'); Signal lsig_cmplt_vect : std_logic_vector(3 downto 0) := (others => '0'); begin lsig_start_offset <= TO_INTEGER(sig_start_offset_un) ; lsig_end_offset <= TO_INTEGER(sig_end_offset_un ) ; lsig_start_vect <= get_start_4(lsig_start_offset); lsig_end_vect <= get_end_4(lsig_end_offset) ; lsig_cmplt_vect <= lsig_start_vect and lsig_end_vect; sig_ouput_stbs <= lsig_cmplt_vect ; end generate GEN_4BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_8BIT_CASE -- -- If Generate Description: -- Generates the strobes for the 8-bit strobe width case. -- -- ------------------------------------------------------------ GEN_8BIT_CASE : if (C_STRB_WIDTH = 8) generate -- local signals Signal lsig_start_offset : Natural := 0; Signal lsig_end_offset : Natural := 7; Signal lsig_start_vect : std_logic_vector(7 downto 0) := (others => '0'); Signal lsig_end_vect : std_logic_vector(7 downto 0) := (others => '0'); Signal lsig_cmplt_vect : std_logic_vector(7 downto 0) := (others => '0'); begin lsig_start_offset <= TO_INTEGER(sig_start_offset_un) ; lsig_end_offset <= TO_INTEGER(sig_end_offset_un ) ; lsig_start_vect <= get_start_8(lsig_start_offset); lsig_end_vect <= get_end_8(lsig_end_offset) ; lsig_cmplt_vect <= lsig_start_vect and lsig_end_vect; sig_ouput_stbs <= lsig_cmplt_vect ; end generate GEN_8BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_16BIT_CASE -- -- If Generate Description: -- Generates the strobes for the 16-bit strobe width case. -- -- ------------------------------------------------------------ GEN_16BIT_CASE : if (C_STRB_WIDTH = 16) generate -- local signals Signal lsig_start_offset : Natural := 0; Signal lsig_end_offset : Natural := 15; Signal lsig_start_vect : std_logic_vector(15 downto 0) := (others => '0'); Signal lsig_end_vect : std_logic_vector(15 downto 0) := (others => '0'); Signal lsig_cmplt_vect : std_logic_vector(15 downto 0) := (others => '0'); begin lsig_start_offset <= TO_INTEGER(sig_start_offset_un) ; lsig_end_offset <= TO_INTEGER(sig_end_offset_un ) ; lsig_start_vect <= get_start_16(lsig_start_offset); lsig_end_vect <= get_end_16(lsig_end_offset) ; lsig_cmplt_vect <= lsig_start_vect and lsig_end_vect; sig_ouput_stbs <= lsig_cmplt_vect ; end generate GEN_16BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_32BIT_CASE -- -- If Generate Description: -- Generates the strobes for the 32-bit strobe width case. -- -- ------------------------------------------------------------ GEN_32BIT_CASE : if (C_STRB_WIDTH = 32) generate -- local signals Signal lsig_start_offset : Natural := 0; Signal lsig_end_offset : Natural := 31; Signal lsig_start_vect : std_logic_vector(31 downto 0) := (others => '0'); Signal lsig_end_vect : std_logic_vector(31 downto 0) := (others => '0'); Signal lsig_cmplt_vect : std_logic_vector(31 downto 0) := (others => '0'); begin lsig_start_offset <= TO_INTEGER(sig_start_offset_un) ; lsig_end_offset <= TO_INTEGER(sig_end_offset_un ) ; lsig_start_vect <= get_start_32(lsig_start_offset); lsig_end_vect <= get_end_32(lsig_end_offset) ; lsig_cmplt_vect <= lsig_start_vect and lsig_end_vect; sig_ouput_stbs <= lsig_cmplt_vect ; end generate GEN_32BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_64BIT_CASE -- -- If Generate Description: -- Generates the strobes for the 64-bit strobe width case. -- -- ------------------------------------------------------------ GEN_64BIT_CASE : if (C_STRB_WIDTH = 64) generate -- local signals Signal lsig_start_offset : Natural := 0; Signal lsig_end_offset : Natural := 63; Signal lsig_start_vect : std_logic_vector(63 downto 0) := (others => '0'); Signal lsig_end_vect : std_logic_vector(63 downto 0) := (others => '0'); Signal lsig_cmplt_vect : std_logic_vector(63 downto 0) := (others => '0'); begin lsig_start_offset <= TO_INTEGER(sig_start_offset_un) ; lsig_end_offset <= TO_INTEGER(sig_end_offset_un ) ; lsig_start_vect <= get_start_64(lsig_start_offset); lsig_end_vect <= get_end_64(lsig_end_offset) ; lsig_cmplt_vect <= lsig_start_vect and lsig_end_vect; sig_ouput_stbs <= lsig_cmplt_vect ; end generate GEN_64BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_128BIT_CASE -- -- If Generate Description: -- Generates the strobes for the 64-bit strobe width case. -- -- ------------------------------------------------------------ GEN_128BIT_CASE : if (C_STRB_WIDTH = 128) generate -- local signals Signal lsig_start_offset : Natural := 0; Signal lsig_end_offset : Natural := 127; Signal lsig_start_vect : std_logic_vector(127 downto 0) := (others => '0'); Signal lsig_end_vect : std_logic_vector(127 downto 0) := (others => '0'); Signal lsig_cmplt_vect : std_logic_vector(127 downto 0) := (others => '0'); begin lsig_start_offset <= TO_INTEGER(sig_start_offset_un) ; lsig_end_offset <= TO_INTEGER(sig_end_offset_un ) ; lsig_start_vect <= get_start_128(lsig_start_offset); lsig_end_vect <= get_end_128(lsig_end_offset) ; lsig_cmplt_vect <= lsig_start_vect and lsig_end_vect; sig_ouput_stbs <= lsig_cmplt_vect ; end generate GEN_128BIT_CASE; end implementation;
bsd-2-clause
53ea934e0489bcec709ddb12fbb13e59
0.454366
4.923898
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/conditional_waveforms/rule_100_test_input.vhd
2
397
architecture rtl of fifo is begin process begin var1 := '0'when rd_en = '1' else '1'; var2 := '0' when rd_en = '1' else '1'; wr_en_a <= force '0'when rd_en = '1' else '1'; wr_en_b <= force '0' when rd_en = '1' else '1'; end process; concurrent_wr_en_a <= '0'when rd_en = '1' else '1'; concurrent_wr_en_b <= '0' when rd_en = '1' else '1'; end architecture rtl;
gpl-3.0
bde3ec380b9558c811d72155c1f3198c
0.544081
2.544872
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_s2mm_realign.vhd
1
61,339
------------------------------------------------------------------------------- -- axi_datamover_s2mm_realign.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_s2mm_realign.vhd -- -- Description: -- This file implements the S2MM Data Realignment module. THe S2MM direction is -- more complex than the MM2S direction since the DRE needs to be upstream from -- the Write Data Controller. This requires the S2MM DRE to be running 2 to -- 3 clocks ahead of the Write Data controller to minimize/eliminate xfer -- bubble insertion. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_datamover_s2mm_realign.vhd -- ------------------------------------------------------------------------------- -- Revision History: -- -- -- Author: DET -- -- History: -- DET 04/19/2011 Initial Version for EDK 13.3 -- -- DET 5/9/2011 Initial Version for EDK 13.3 -- ~~~~~~ -- - Added the Store and Forward Starting Address -- Offset ports and support logic for data upsizer -- in the Store and Forward modules. -- ^^^^^^ -- -- DET 6/14/2011 Initial Version for EDK 13.3 -- ~~~~~~ -- -- Per CR613211 -- - Added push-pull register for the start addr offset output to -- align the timing of the offset changes to Store and Forward with -- the variable TLAST assertion on the DRE output. -- ^^^^^^ -- -- DET 6/20/2011 Initial Version for EDK 13.3 -- ~~~~~~ -- - Added 512 and 1024 data width support -- ^^^^^^ -- -- DET 6/29/2011 Initial Version for EDK 13.3 -- ~~~~~~ -- - Incorporated a state machine to manage the command load into -- the DRE and the Scatter modules. This was needed to adjust to -- interface timing differences with the Scatter Module when the -- Indeterminate BTT mode overflow absorption modification was added. -- ^^^^^^ -- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library axi_datamover_v5_1; use axi_datamover_v5_1.axi_datamover_fifo; use axi_datamover_v5_1.axi_datamover_s2mm_dre; use axi_datamover_v5_1.axi_datamover_s2mm_scatter; ------------------------------------------------------------------------------- entity axi_datamover_s2mm_realign is generic ( C_ENABLE_INDET_BTT : Integer range 0 to 1 := 0; -- Specifies if the IBTT Indeterminate BTT Module is enabled -- for use (outside of this module) C_INCLUDE_DRE : Integer range 0 to 1 := 1; -- Includes/Omits the S2MM DRE -- 0 = Omit -- 1 = Include C_DRE_CNTL_FIFO_DEPTH : Integer range 1 to 32 := 1; -- Specifies the depth of the internal command queue fifo C_DRE_ALIGN_WIDTH : Integer range 1 to 3 := 2; -- Sets the width of the DRE alignment control ports C_SUPPORT_SCATTER : Integer range 0 to 1 := 1; -- Includes/Omits the Scatter functionality -- 0 = omit -- 1 = include C_ENABLE_S2MM_TKEEP : integer range 0 to 1 := 1; C_BTT_USED : Integer range 8 to 23 := 16; -- Indicates the width of the input command BTT that is actually -- used C_STREAM_DWIDTH : Integer range 8 to 1024 := 32; -- Sets the width of the Input and Output Stream Data ports C_TAG_WIDTH : Integer range 1 to 8 := 4; -- Sets the width of the input command Tag port C_STRT_SF_OFFSET_WIDTH : Integer range 1 to 7 := 1 ; -- Sets the width of the Store and Forward Start offset ports C_FAMILY : String := "virtex7" -- specifies the target FPGA familiy ); port ( -- Clock and Reset Inputs ------------------------------------------- -- primary_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- Reset input -- mmap_reset : in std_logic; -- -- Reset used for the internal master logic -- --------------------------------------------------------------------- -- Write Data Controller or IBTT Indeterminate BTT I/O ------------------------- -- wdc2dre_wready : In std_logic; -- -- Write READY input from WDC or SF -- -- dre2wdc_wvalid : Out std_logic; -- -- Write VALID output to WDC or SF -- -- dre2wdc_wdata : Out std_logic_vector(C_STREAM_DWIDTH-1 downto 0); -- -- Write DATA output to WDC or SF -- -- dre2wdc_wstrb : Out std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); -- -- Write DATA output to WDC or SF -- -- dre2wdc_wlast : Out std_logic; -- -- Write LAST output to WDC or SF -- -- dre2wdc_eop : Out std_logic; -- -- End of Packet indicator for the Stream input to WDC or SF -- -------------------------------------------------------------------------------- -- Starting offset output for the Store and Forward Modules ------------------- -- dre2sf_strt_offset : Out std_logic_vector(C_STRT_SF_OFFSET_WIDTH-1 downto 0);-- -- Outputs the starting offset of a transfer. This is used with Store -- -- and Forward Packer/Unpacker logic -- -------------------------------------------------------------------------------- -- AXI Slave Stream In ---------------------------------------------------------- -- s2mm_strm_wready : Out Std_logic; -- -- AXI Stream READY input -- -- s2mm_strm_wvalid : In std_logic; -- -- AXI Stream VALID Output -- -- s2mm_strm_wdata : In std_logic_vector(C_STREAM_DWIDTH-1 downto 0); -- -- AXI Stream data output -- -- s2mm_strm_wstrb : In std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); -- -- AXI Stream STRB output -- -- s2mm_strm_wlast : In std_logic; -- -- AXI Stream LAST output -- -------------------------------------------------------------------------------- -- Command Calculator Interface --------------------------------------------------- -- dre2mstr_cmd_ready : Out std_logic ; -- -- Indication from the DRE that the command is being -- -- accepted from the Command Calculator -- -- mstr2dre_cmd_valid : In std_logic; -- -- The next command valid indication to the DRE -- -- from the Command Calculator -- -- mstr2dre_tag : In std_logic_vector(C_TAG_WIDTH-1 downto 0); -- -- The next command tag -- -- mstr2dre_dre_src_align : In std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0); -- -- The source (input) alignment for the DRE -- -- mstr2dre_dre_dest_align : In std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0); -- -- The destinstion (output) alignment for the DRE -- -- mstr2dre_btt : In std_logic_vector(C_BTT_USED-1 downto 0); -- -- The bytes to transfer value for the input command -- -- mstr2dre_drr : In std_logic; -- -- The starting tranfer of a sequence of transfers -- -- mstr2dre_eof : In std_logic; -- -- The endiing tranfer of a sequence of transfers -- -- mstr2dre_cmd_cmplt : In std_logic; -- -- The last tranfer command of a sequence of transfers -- -- spawned from a single parent command -- -- mstr2dre_calc_error : In std_logic; -- -- Indication if the next command in the calculation pipe -- -- has a calculation error -- -- mstr2dre_strt_offset : In std_logic_vector(C_STRT_SF_OFFSET_WIDTH-1 downto 0);-- -- Outputs the starting offset of a transfer. This is used with Store -- -- and Forward Packer/Unpacker logic -- ----------------------------------------------------------------------------------- -- Premature TLAST assertion error flag ----------------------------- -- dre2all_tlast_error : Out std_logic; -- -- When asserted, this indicates the DRE detected -- -- a Early/Late TLAST assertion on the incoming data stream. -- --------------------------------------------------------------------- -- DRE Halted Status ------------------------------------------------ -- dre2all_halted : Out std_logic -- -- When asserted, this indicates the DRE has satisfied -- -- all pending transfers queued by the command calculator -- -- and is halted. -- --------------------------------------------------------------------- ); end entity axi_datamover_s2mm_realign; architecture implementation of axi_datamover_s2mm_realign is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function Declarations -------------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: funct_size_realign_fifo -- -- Function Description: -- Assures that the Realigner cmd fifo depth is at least 4 deep else it -- is equal to the pipe depth. -- ------------------------------------------------------------------- function funct_size_realign_fifo (pipe_depth : integer) return integer is Variable temp_fifo_depth : Integer := 4; begin If (pipe_depth < 4) Then temp_fifo_depth := 4; Else temp_fifo_depth := pipe_depth; End if; Return (temp_fifo_depth); end function funct_size_realign_fifo; -- Constant Declarations -------------------------------------------- Constant BYTE_WIDTH : integer := 8; -- bits Constant STRM_NUM_BYTE_LANES : integer := C_STREAM_DWIDTH/BYTE_WIDTH; Constant STRM_STRB_WIDTH : integer := STRM_NUM_BYTE_LANES; Constant SLICE_WIDTH : integer := BYTE_WIDTH+2; -- 8 data bits plus Strobe plus TLAST bit Constant SLICE_STROBE_INDEX : integer := (BYTE_WIDTH-1)+1; Constant SLICE_TLAST_INDEX : integer := SLICE_STROBE_INDEX+1; Constant ZEROED_SLICE : std_logic_vector(SLICE_WIDTH-1 downto 0) := (others => '0'); Constant USE_SYNC_FIFO : integer := 0; Constant REG_FIFO_PRIM : integer := 0; Constant BRAM_FIFO_PRIM : integer := 1; Constant SRL_FIFO_PRIM : integer := 2; Constant FIFO_PRIM_TYPE : integer := SRL_FIFO_PRIM; Constant TAG_WIDTH : integer := C_TAG_WIDTH; Constant SRC_ALIGN_WIDTH : integer := C_DRE_ALIGN_WIDTH; Constant DEST_ALIGN_WIDTH : integer := C_DRE_ALIGN_WIDTH; Constant BTT_WIDTH : integer := C_BTT_USED; Constant DRR_WIDTH : integer := 1; Constant EOF_WIDTH : integer := 1; Constant SEQUENTIAL_WIDTH : integer := 1; Constant CALC_ERR_WIDTH : integer := 1; Constant SF_OFFSET_WIDTH : integer := C_STRT_SF_OFFSET_WIDTH; Constant BTT_OF_ZERO : std_logic_vector(BTT_WIDTH-1 downto 0) := (others => '0'); Constant DRECTL_FIFO_DEPTH : integer := funct_size_realign_fifo(C_DRE_CNTL_FIFO_DEPTH); Constant DRECTL_FIFO_WIDTH : Integer := TAG_WIDTH + -- Tag field SRC_ALIGN_WIDTH + -- Source align field width DEST_ALIGN_WIDTH + -- Dest align field width BTT_WIDTH + -- BTT field width DRR_WIDTH + -- DRE Re-alignment Request Flag Field EOF_WIDTH + -- EOF flag field SEQUENTIAL_WIDTH + -- Sequential command flag CALC_ERR_WIDTH + -- Calc error flag SF_OFFSET_WIDTH; -- Store and Forward Offset Constant TAG_STRT_INDEX : integer := 0; Constant SRC_ALIGN_STRT_INDEX : integer := TAG_STRT_INDEX + TAG_WIDTH; Constant DEST_ALIGN_STRT_INDEX : integer := SRC_ALIGN_STRT_INDEX + SRC_ALIGN_WIDTH; Constant BTT_STRT_INDEX : integer := DEST_ALIGN_STRT_INDEX + DEST_ALIGN_WIDTH; Constant DRR_STRT_INDEX : integer := BTT_STRT_INDEX + BTT_WIDTH; Constant EOF_STRT_INDEX : integer := DRR_STRT_INDEX + DRR_WIDTH; Constant SEQUENTIAL_STRT_INDEX : integer := EOF_STRT_INDEX + EOF_WIDTH; Constant CALC_ERR_STRT_INDEX : integer := SEQUENTIAL_STRT_INDEX+SEQUENTIAL_WIDTH; Constant SF_OFFSET_STRT_INDEX : integer := CALC_ERR_STRT_INDEX+CALC_ERR_WIDTH; Constant INCLUDE_DRE : boolean := (C_INCLUDE_DRE = 1 and C_STREAM_DWIDTH <= 64 and C_STREAM_DWIDTH >= 16); Constant OMIT_DRE : boolean := not(INCLUDE_DRE); -- Type Declarations -------------------------------------------- type TYPE_CMD_CNTL_SM is ( INIT, LD_DRE_SCATTER_FIRST, CHK_POP_FIRST , LD_DRE_SCATTER_SECOND, CHK_POP_SECOND, ERROR_TRAP ); -- Signal Declarations -------------------------------------------- Signal sig_cmdcntl_sm_state : TYPE_CMD_CNTL_SM := INIT; Signal sig_cmdcntl_sm_state_ns : TYPE_CMD_CNTL_SM := INIT; signal sig_sm_ld_dre_cmd_ns : std_logic := '0'; signal sig_sm_ld_dre_cmd : std_logic := '0'; signal sig_sm_ld_scatter_cmd_ns : std_logic := '0'; signal sig_sm_ld_scatter_cmd : std_logic := '0'; signal sig_sm_pop_cmd_fifo_ns : std_logic := '0'; signal sig_sm_pop_cmd_fifo : std_logic := '0'; signal sig_cmd_fifo_data_in : std_logic_vector(DRECTL_FIFO_WIDTH-1 downto 0) := (others => '0'); signal sig_cmd_fifo_data_out : std_logic_vector(DRECTL_FIFO_WIDTH-1 downto 0) := (others => '0'); signal sig_fifo_wr_cmd_valid : std_logic := '0'; signal sig_fifo_wr_cmd_ready : std_logic := '0'; signal sig_curr_tag_reg : std_logic_vector(TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_curr_src_align_reg : std_logic_vector(SRC_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_curr_dest_align_reg : std_logic_vector(DEST_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_curr_btt_reg : std_logic_vector(BTT_WIDTH-1 downto 0) := (others => '0'); signal sig_curr_drr_reg : std_logic := '0'; signal sig_curr_eof_reg : std_logic := '0'; signal sig_curr_cmd_cmplt_reg : std_logic := '0'; signal sig_curr_calc_error_reg : std_logic := '0'; signal sig_dre_align_ready : std_logic := '0'; signal sig_dre_use_autodest : std_logic := '0'; signal sig_dre_src_align : std_logic_vector(SRC_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_dre_dest_align : std_logic_vector(DEST_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_dre_flush : std_logic := '0'; signal sig_dre2wdc_tstrb : std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0) := (others => '0'); signal sig_dre2wdc_tdata : std_logic_vector(C_STREAM_DWIDTH-1 downto 0) := (others => '0'); signal sig_dre2wdc_tlast : std_logic := '0'; signal sig_dre2wdc_tvalid : std_logic := '0'; signal sig_wdc2dre_tready : std_logic := '0'; signal sig_tlast_err0r : std_logic := '0'; signal sig_dre_halted : std_logic := '0'; signal sig_strm2scatter_tstrb : std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0) := (others => '0'); signal sig_strm2scatter_tdata : std_logic_vector(C_STREAM_DWIDTH-1 downto 0) := (others => '0'); signal sig_strm2scatter_tlast : std_logic := '0'; signal sig_strm2scatter_tvalid : std_logic := '0'; signal sig_scatter2strm_tready : std_logic := '0'; signal sig_scatter2dre_tstrb : std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0) := (others => '0'); signal sig_scatter2dre_tdata : std_logic_vector(C_STREAM_DWIDTH-1 downto 0) := (others => '0'); signal sig_scatter2dre_tlast : std_logic := '0'; signal sig_scatter2dre_tvalid : std_logic := '0'; signal sig_dre2scatter_tready : std_logic := '0'; signal sig_scatter2dre_flush : std_logic := '0'; signal sig_scatter2drc_eop : std_logic := '0'; signal sig_scatter2dre_src_align : std_logic_vector(SRC_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_scatter2drc_cmd_ready : std_logic := '0'; signal sig_drc2scatter_push_cmd : std_logic; signal sig_drc2scatter_btt : std_logic_vector(BTT_WIDTH-1 downto 0); signal sig_drc2scatter_eof : std_logic; signal sig_scatter2all_tlast_error : std_logic := '0'; signal sig_need_cmd_flush : std_logic := '0'; signal sig_fifo_rd_cmd_valid : std_logic := '0'; signal sig_curr_strt_offset_reg : std_logic_vector(SF_OFFSET_WIDTH-1 downto 0) := (others => '0'); signal sig_ld_strt_offset : std_logic := '0'; signal sig_output_strt_offset_reg : std_logic_vector(SF_OFFSET_WIDTH-1 downto 0) := (others => '0'); signal sig_dre2sf_strt_offset : std_logic_vector(SF_OFFSET_WIDTH-1 downto 0) := (others => '0'); begin --(architecture implementation) ------------------------------------------------------------- -- Port connections -- Input Stream Attachment s2mm_strm_wready <= sig_scatter2strm_tready ; sig_strm2scatter_tvalid <= s2mm_strm_wvalid ; sig_strm2scatter_tdata <= s2mm_strm_wdata ; sig_strm2scatter_tstrb <= s2mm_strm_wstrb ; sig_strm2scatter_tlast <= s2mm_strm_wlast ; -- Write Data Controller Stream Attachment sig_wdc2dre_tready <= wdc2dre_wready ; dre2wdc_wvalid <= sig_dre2wdc_tvalid ; dre2wdc_wdata <= sig_dre2wdc_tdata ; dre2wdc_wstrb <= sig_dre2wdc_tstrb ; dre2wdc_wlast <= sig_dre2wdc_tlast ; -- Status/Error flags dre2all_tlast_error <= sig_tlast_err0r ; dre2all_halted <= sig_dre_halted ; -- Store and Forward Starting Offset Output dre2sf_strt_offset <= sig_dre2sf_strt_offset ; ------------------------------------------------------------- -- Internal logic sig_dre_halted <= sig_dre_align_ready; ------------------------------------------------------------- -- DRE Handshake signals sig_dre_src_align <= sig_curr_src_align_reg ; sig_dre_dest_align <= sig_curr_dest_align_reg; sig_dre_use_autodest <= '0'; -- not used sig_dre_flush <= '0'; -- not used ------------------------------------------------------------------------- -------- Realigner Command FIFO and controls ------------------------------------------------------------------------- -- Command Calculator Handshake sig_fifo_wr_cmd_valid <= mstr2dre_cmd_valid ; dre2mstr_cmd_ready <= sig_fifo_wr_cmd_ready; -- Format the input fifo data word sig_cmd_fifo_data_in <= mstr2dre_strt_offset & mstr2dre_calc_error & mstr2dre_cmd_cmplt & mstr2dre_eof & mstr2dre_drr & mstr2dre_btt & mstr2dre_dre_dest_align & mstr2dre_dre_src_align & mstr2dre_tag ; -- Rip the output fifo data word sig_curr_tag_reg <= sig_cmd_fifo_data_out((TAG_STRT_INDEX+TAG_WIDTH)-1 downto TAG_STRT_INDEX); sig_curr_src_align_reg <= sig_cmd_fifo_data_out((SRC_ALIGN_STRT_INDEX+SRC_ALIGN_WIDTH)-1 downto SRC_ALIGN_STRT_INDEX); sig_curr_dest_align_reg <= sig_cmd_fifo_data_out((DEST_ALIGN_STRT_INDEX+DEST_ALIGN_WIDTH)-1 downto DEST_ALIGN_STRT_INDEX); sig_curr_btt_reg <= sig_cmd_fifo_data_out((BTT_STRT_INDEX+BTT_WIDTH)-1 downto BTT_STRT_INDEX); sig_curr_drr_reg <= sig_cmd_fifo_data_out(DRR_STRT_INDEX); sig_curr_eof_reg <= sig_cmd_fifo_data_out(EOF_STRT_INDEX); sig_curr_cmd_cmplt_reg <= sig_cmd_fifo_data_out(SEQUENTIAL_STRT_INDEX); sig_curr_calc_error_reg <= sig_cmd_fifo_data_out(CALC_ERR_STRT_INDEX); sig_curr_strt_offset_reg <= sig_cmd_fifo_data_out((SF_OFFSET_STRT_INDEX+SF_OFFSET_WIDTH)-1 downto SF_OFFSET_STRT_INDEX); ------------------------------------------------------------ -- Instance: I_DRE_CNTL_FIFO -- -- Description: -- Instance for the DRE Control FIFO -- ------------------------------------------------------------ I_DRE_CNTL_FIFO : entity axi_datamover_v5_1.axi_datamover_fifo generic map ( C_DWIDTH => DRECTL_FIFO_WIDTH , C_DEPTH => DRECTL_FIFO_DEPTH , C_IS_ASYNC => USE_SYNC_FIFO , C_PRIM_TYPE => FIFO_PRIM_TYPE , C_FAMILY => C_FAMILY ) port map ( -- Write Clock and reset fifo_wr_reset => mmap_reset , fifo_wr_clk => primary_aclk , -- Write Side fifo_wr_tvalid => sig_fifo_wr_cmd_valid , fifo_wr_tready => sig_fifo_wr_cmd_ready , fifo_wr_tdata => sig_cmd_fifo_data_in , fifo_wr_full => open , -- Read Clock and reset fifo_async_rd_reset => mmap_reset , fifo_async_rd_clk => primary_aclk , -- Read Side fifo_rd_tvalid => sig_fifo_rd_cmd_valid , fifo_rd_tready => sig_sm_pop_cmd_fifo , fifo_rd_tdata => sig_cmd_fifo_data_out , fifo_rd_empty => open ); ------------------------------------------------------------------------- -------- DRE and Scatter Command Loader State Machine ------------------------------------------------------------------------- ------------------------------------------------------------- -- Combinational Process -- -- Label: CMDCNTL_SM_COMBINATIONAL -- -- Process Description: -- Command Controller State Machine combinational implementation -- The design is based on the premise that for every parent -- command loaded into the S2MM, the Realigner can be loaded with -- 1 or 2 commands spawned from it. The first command is used to -- align ensuing transfers (in MMap space) to a max burst address -- boundary. Then, if the parent command's BTT value is not satisfied -- after the first command completes, a second command is generated -- and loaded in the Realigner for the remaining BTT value. The -- command complete bit in the Realigner command indicates if the -- first command the final command or the second command (if needed) -- is the final command, ------------------------------------------------------------- CMDCNTL_SM_COMBINATIONAL : process (sig_cmdcntl_sm_state , sig_fifo_rd_cmd_valid , sig_dre_align_ready , sig_scatter2drc_cmd_ready , sig_need_cmd_flush , sig_curr_cmd_cmplt_reg , sig_curr_calc_error_reg ) begin -- SM Defaults sig_cmdcntl_sm_state_ns <= INIT; sig_sm_ld_dre_cmd_ns <= '0'; sig_sm_ld_scatter_cmd_ns <= '0'; sig_sm_pop_cmd_fifo_ns <= '0'; case sig_cmdcntl_sm_state is -------------------------------------------- when INIT => sig_cmdcntl_sm_state_ns <= LD_DRE_SCATTER_FIRST; -------------------------------------------- when LD_DRE_SCATTER_FIRST => If (sig_fifo_rd_cmd_valid = '1' and sig_curr_calc_error_reg = '1') Then sig_cmdcntl_sm_state_ns <= ERROR_TRAP; elsif (sig_fifo_rd_cmd_valid = '1' and sig_dre_align_ready = '1' and sig_scatter2drc_cmd_ready = '1') Then sig_cmdcntl_sm_state_ns <= CHK_POP_FIRST ; sig_sm_ld_dre_cmd_ns <= '1'; sig_sm_ld_scatter_cmd_ns <= '1'; sig_sm_pop_cmd_fifo_ns <= '1'; else sig_cmdcntl_sm_state_ns <= LD_DRE_SCATTER_FIRST; End if; -------------------------------------------- when CHK_POP_FIRST => If (sig_curr_cmd_cmplt_reg = '1') Then sig_cmdcntl_sm_state_ns <= LD_DRE_SCATTER_FIRST; Else sig_cmdcntl_sm_state_ns <= LD_DRE_SCATTER_SECOND; End if; -------------------------------------------- when LD_DRE_SCATTER_SECOND => If (sig_fifo_rd_cmd_valid = '1' and sig_curr_calc_error_reg = '1') Then sig_cmdcntl_sm_state_ns <= ERROR_TRAP; elsif (sig_fifo_rd_cmd_valid = '1' and sig_need_cmd_flush = '1') Then sig_cmdcntl_sm_state_ns <= CHK_POP_SECOND ; sig_sm_pop_cmd_fifo_ns <= '1'; elsif (sig_fifo_rd_cmd_valid = '1' and sig_dre_align_ready = '1' and sig_scatter2drc_cmd_ready = '1') Then sig_cmdcntl_sm_state_ns <= CHK_POP_FIRST ; sig_sm_ld_dre_cmd_ns <= '1'; sig_sm_ld_scatter_cmd_ns <= '1'; sig_sm_pop_cmd_fifo_ns <= '1'; else sig_cmdcntl_sm_state_ns <= LD_DRE_SCATTER_SECOND; End if; -------------------------------------------- when CHK_POP_SECOND => sig_cmdcntl_sm_state_ns <= LD_DRE_SCATTER_FIRST ; -------------------------------------------- when ERROR_TRAP => sig_cmdcntl_sm_state_ns <= ERROR_TRAP ; -------------------------------------------- when others => sig_cmdcntl_sm_state_ns <= INIT; end case; end process CMDCNTL_SM_COMBINATIONAL; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: CMDCNTL_SM_REGISTERED -- -- Process Description: -- Command Controller State Machine registered implementation -- ------------------------------------------------------------- CMDCNTL_SM_REGISTERED : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_cmdcntl_sm_state <= INIT; sig_sm_ld_dre_cmd <= '0' ; sig_sm_ld_scatter_cmd <= '0' ; sig_sm_pop_cmd_fifo <= '0' ; else sig_cmdcntl_sm_state <= sig_cmdcntl_sm_state_ns ; sig_sm_ld_dre_cmd <= sig_sm_ld_dre_cmd_ns ; sig_sm_ld_scatter_cmd <= sig_sm_ld_scatter_cmd_ns ; sig_sm_pop_cmd_fifo <= sig_sm_pop_cmd_fifo_ns ; end if; end if; end process CMDCNTL_SM_REGISTERED; ------------------------------------------------------------------------- -------- DRE Instance and controls ------------------------------------------------------------------------- ------------------------------------------------------------ -- If Generate -- -- Label: GEN_INCLUDE_DRE -- -- If Generate Description: -- Includes the instance for the DRE -- -- ------------------------------------------------------------ GEN_INCLUDE_DRE : if (INCLUDE_DRE) generate signal lsig_eop_reg : std_logic := '0'; signal lsig_dre_load_beat : std_logic := '0'; signal lsig_dre_tlast_output_beat : std_logic := '0'; signal lsig_set_eop : std_logic := '0'; signal lsig_tlast_err_reg1 : std_logic := '0'; signal lsig_tlast_err_reg2 : std_logic := '0'; signal lsig_push_strt_offset_reg : std_logic_vector(SF_OFFSET_WIDTH-1 downto 0) := (others => '0'); signal lsig_pushreg_full : std_logic := '0'; signal lsig_pushreg_empty : std_logic := '0'; signal lsig_pull_strt_offset_reg : std_logic_vector(SF_OFFSET_WIDTH-1 downto 0) := (others => '0'); signal lsig_pullreg_full : std_logic := '0'; signal lsig_pullreg_empty : std_logic := '0'; signal lsig_pull_new_offset : std_logic := '0'; signal lsig_push_new_offset : std_logic := '0'; begin ------------------------------------------------------------ -- Instance: I_S2MM_DRE_BLOCK -- -- Description: -- Instance for the S2MM Data Realignment Engine (DRE) -- ------------------------------------------------------------ I_S2MM_DRE_BLOCK : entity axi_datamover_v5_1.axi_datamover_s2mm_dre generic map ( C_DWIDTH => C_STREAM_DWIDTH , C_ALIGN_WIDTH => C_DRE_ALIGN_WIDTH ) port map ( -- Clock and Reset dre_clk => primary_aclk , dre_rst => mmap_reset , -- Alignment Control (Independent from Stream Input timing) dre_align_ready => sig_dre_align_ready , dre_align_valid => sig_sm_ld_dre_cmd , dre_use_autodest => sig_dre_use_autodest , dre_src_align => sig_scatter2dre_src_align , dre_dest_align => sig_dre_dest_align , -- Flush Control (Aligned to input Stream timing) dre_flush => sig_scatter2dre_flush , -- Stream Inputs dre_in_tstrb => sig_scatter2dre_tstrb , dre_in_tdata => sig_scatter2dre_tdata , dre_in_tlast => sig_scatter2dre_tlast , dre_in_tvalid => sig_scatter2dre_tvalid , dre_in_tready => sig_dre2scatter_tready , -- Stream Outputs dre_out_tstrb => sig_dre2wdc_tstrb , dre_out_tdata => sig_dre2wdc_tdata , dre_out_tlast => sig_dre2wdc_tlast , dre_out_tvalid => sig_dre2wdc_tvalid , dre_out_tready => sig_wdc2dre_tready ); lsig_dre_load_beat <= sig_scatter2dre_tvalid and sig_dre2scatter_tready; lsig_set_eop <= sig_scatter2drc_eop and lsig_dre_load_beat ; lsig_dre_tlast_output_beat <= sig_dre2wdc_tvalid and sig_wdc2dre_tready and sig_dre2wdc_tlast; dre2wdc_eop <= lsig_dre_tlast_output_beat and lsig_eop_reg; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_EOP_REG -- -- Process Description: -- Implements a flop for holding the EOP from the Scatter -- Engine until the corresponding packet clears out of the DRE. -- THis is used to transfer the EOP marker to the DRE output -- stream without the need for the DRE to pass it through. -- ------------------------------------------------------------- IMP_EOP_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1' or (lsig_dre_tlast_output_beat = '1' and lsig_set_eop = '0')) then lsig_eop_reg <= '0'; elsif (lsig_set_eop = '1') then lsig_eop_reg <= '1'; else null; -- Hold current state end if; end if; end process IMP_EOP_REG; -- Delay TLAST Error by 2 clocks to compensate for DRE minimum -- delay of 2 clocks for the stream data. sig_tlast_err0r <= lsig_tlast_err_reg2; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_TLAST_ERR_DELAY -- -- Process Description: -- Implements a 2 clock delay to better align the TLAST -- error detection with the Stream output data to the WDC -- which has a minimum 2 clock delay through the DRE. -- ------------------------------------------------------------- IMP_TLAST_ERR_DELAY : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then lsig_tlast_err_reg1 <= '0'; lsig_tlast_err_reg2 <= '0'; else lsig_tlast_err_reg1 <= sig_scatter2all_tlast_error; lsig_tlast_err_reg2 <= lsig_tlast_err_reg1; end if; end if; end process IMP_TLAST_ERR_DELAY; ------------------------------------------------------------------------- -- Store and Forward Start Address Offset Registers Logic -- Push-pull register is used to to time align the starting address -- offset (ripped from the Realigner command via parsing) to DRE -- TLAST output timing. The offset output of the pull register must -- be valid on the first output databeat of the DRE to the Store and -- Forward module. ------------------------------------------------------------------------- sig_dre2sf_strt_offset <= lsig_pull_strt_offset_reg; -- lsig_push_new_offset <= sig_dre_align_ready and -- sig_gated_dre_align_valid ; lsig_push_new_offset <= sig_sm_ld_dre_cmd ; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_PUSH_STRT_OFFSET_REG -- -- Process Description: -- Implements the input register for holding the starting address -- offset sent to the external Store and Forward functions. -- ------------------------------------------------------------- IMP_PUSH_STRT_OFFSET_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then lsig_push_strt_offset_reg <= (others => '0'); lsig_pushreg_full <= '0'; lsig_pushreg_empty <= '1'; elsif (lsig_push_new_offset = '1') then lsig_push_strt_offset_reg <= sig_curr_strt_offset_reg; lsig_pushreg_full <= '1'; lsig_pushreg_empty <= '0'; elsif (lsig_pull_new_offset = '1') then lsig_push_strt_offset_reg <= (others => '0'); lsig_pushreg_full <= '0'; lsig_pushreg_empty <= '1'; else null; -- Hold Current State end if; end if; end process IMP_PUSH_STRT_OFFSET_REG; -- Pull the next offset (if one exists) into the pull register -- when the DRE outputs a TLAST. If the pull register is empty -- and the push register has an offset, then push the new value -- into the pull register. lsig_pull_new_offset <= (sig_dre2wdc_tlast and sig_dre2wdc_tvalid and sig_wdc2dre_tready) or (lsig_pushreg_full and lsig_pullreg_empty); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_PULL_STRT_OFFSET_REG -- -- Process Description: -- Implements the output register for holding the starting -- address offset sent to the Store and Forward modul's upsizer -- logic. -- ------------------------------------------------------------- IMP_PULL_STRT_OFFSET_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then lsig_pull_strt_offset_reg <= (others => '0'); lsig_pullreg_full <= '0'; lsig_pullreg_empty <= '1'; elsif (lsig_pull_new_offset = '1' and lsig_pushreg_full = '1') then lsig_pull_strt_offset_reg <= lsig_push_strt_offset_reg; lsig_pullreg_full <= '1'; lsig_pullreg_empty <= '0'; elsif (lsig_pull_new_offset = '1' and lsig_pushreg_full = '0') then lsig_pull_strt_offset_reg <= (others => '0'); lsig_pullreg_full <= '0'; lsig_pullreg_empty <= '1'; else null; -- Hold Current State end if; end if; end process IMP_PULL_STRT_OFFSET_REG; end generate GEN_INCLUDE_DRE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_OMIT_DRE -- -- If Generate Description: -- Omits the DRE from the Re-aligner. -- -- ------------------------------------------------------------ GEN_OMIT_DRE : if (OMIT_DRE) generate begin -- DRE always ready sig_dre_align_ready <= '1'; -- -- Let the Scatter engine control the Realigner command -- -- flow. -- sig_dre_align_ready <= sig_scatter2drc_cmd_ready; -- Pass through signal connections sig_dre2wdc_tstrb <= sig_scatter2dre_tstrb ; sig_dre2wdc_tdata <= sig_scatter2dre_tdata ; sig_dre2wdc_tlast <= sig_scatter2dre_tlast ; sig_dre2wdc_tvalid <= sig_scatter2dre_tvalid ; sig_dre2scatter_tready <= sig_wdc2dre_tready ; dre2wdc_eop <= sig_scatter2drc_eop ; -- Just pass TLAST Error through when no DRE is present sig_tlast_err0r <= sig_scatter2all_tlast_error; ------------------------------------------------------------------------- -------- Store and Forward Start Address Offset Register Logic ------------------------------------------------------------------------- sig_dre2sf_strt_offset <= sig_output_strt_offset_reg; sig_ld_strt_offset <= sig_sm_ld_dre_cmd; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_STRT_OFFSET_OUTPUT -- -- Process Description: -- Implements the register for holding the starting address -- offset sent to the S2MM Store and Forward module's upsizer -- logic. -- ------------------------------------------------------------- IMP_STRT_OFFSET_OUTPUT : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_output_strt_offset_reg <= (others => '0'); elsif (sig_ld_strt_offset = '1') then sig_output_strt_offset_reg <= sig_curr_strt_offset_reg; else null; -- Hold Current State end if; end if; end process IMP_STRT_OFFSET_OUTPUT; end generate GEN_OMIT_DRE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_INCLUDE_SCATTER -- -- If Generate Description: -- This IfGen implements the Scatter function which is a pre- -- processor for the S2MM DRE. The scatter function breaks up -- a continous input stream of data into constituant parts -- as described by a set of loaded commands that together -- describe an entire input packet. -- ------------------------------------------------------------ GEN_INCLUDE_SCATTER : if (C_SUPPORT_SCATTER = 1) generate begin -- Load the Scatter Engine command when the DRE command -- is loaded -- sig_drc2scatter_push_cmd <= sig_dre_align_ready and -- sig_gated_dre_align_valid; sig_drc2scatter_push_cmd <= sig_sm_ld_scatter_cmd ; -- Assign the new Bytes to Transfer (BTT) qualifier for the -- Scatter Engine sig_drc2scatter_btt <= sig_curr_btt_reg; -- Assign the new End of Frame (EOF) qualifier for the -- Scatter Engine sig_drc2scatter_eof <= sig_curr_eof_reg; ------------------------------------------------------------ -- Instance: I_S2MM_SCATTER -- -- Description: -- Instance for the Scatter Engine. This block breaks up a -- input stream per commands loaded. -- ------------------------------------------------------------ I_S2MM_SCATTER : entity axi_datamover_v5_1.axi_datamover_s2mm_scatter generic map ( C_ENABLE_INDET_BTT => C_ENABLE_INDET_BTT , C_DRE_ALIGN_WIDTH => C_DRE_ALIGN_WIDTH , C_ENABLE_S2MM_TKEEP => C_ENABLE_S2MM_TKEEP , C_BTT_USED => BTT_WIDTH , C_STREAM_DWIDTH => C_STREAM_DWIDTH , C_FAMILY => C_FAMILY ) port map ( -- Clock input & Reset input primary_aclk => primary_aclk , mmap_reset => mmap_reset , -- DRE Realign Controller I/O ---------------------------- scatter2drc_cmd_ready => sig_scatter2drc_cmd_ready , drc2scatter_push_cmd => sig_drc2scatter_push_cmd , drc2scatter_btt => sig_drc2scatter_btt , drc2scatter_eof => sig_drc2scatter_eof , -- DRE Source Alignment ----------------------------------- scatter2drc_src_align => sig_scatter2dre_src_align , -- AXI Slave Stream In ----------------------------------- s2mm_strm_tready => sig_scatter2strm_tready , s2mm_strm_tvalid => sig_strm2scatter_tvalid , s2mm_strm_tdata => sig_strm2scatter_tdata , s2mm_strm_tstrb => sig_strm2scatter_tstrb , s2mm_strm_tlast => sig_strm2scatter_tlast , -- Stream Out to S2MM DRE --------------------------------- drc2scatter_tready => sig_dre2scatter_tready , scatter2drc_tvalid => sig_scatter2dre_tvalid , scatter2drc_tdata => sig_scatter2dre_tdata , scatter2drc_tstrb => sig_scatter2dre_tstrb , scatter2drc_tlast => sig_scatter2dre_tlast , scatter2drc_flush => sig_scatter2dre_flush , scatter2drc_eop => sig_scatter2drc_eop , -- Premature TLAST assertion error flag scatter2drc_tlast_error => sig_scatter2all_tlast_error ); end generate GEN_INCLUDE_SCATTER; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_OMIT_SCATTER -- -- If Generate Description: -- This IfGen omits the Scatter pre-processor. -- -- ------------------------------------------------------------ GEN_OMIT_SCATTER : if (C_SUPPORT_SCATTER = 0) generate begin -- Just housekeep the signaling sig_scatter2drc_cmd_ready <= '1' ; sig_scatter2drc_eop <= sig_strm2scatter_tlast ; sig_scatter2dre_src_align <= sig_dre_src_align ; sig_scatter2all_tlast_error <= '0' ; sig_scatter2dre_flush <= sig_dre_flush ; sig_scatter2dre_tstrb <= sig_strm2scatter_tstrb ; sig_scatter2dre_tdata <= sig_strm2scatter_tdata ; sig_scatter2dre_tlast <= sig_strm2scatter_tlast ; sig_scatter2dre_tvalid <= sig_strm2scatter_tvalid ; sig_scatter2strm_tready <= sig_dre2scatter_tready ; end generate GEN_OMIT_SCATTER; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_OMIT_INDET_BTT -- -- If Generate Description: -- Omit and special logic for Indeterminate BTT support. -- -- ------------------------------------------------------------ GEN_OMIT_INDET_BTT : if (C_ENABLE_INDET_BTT = 0) generate begin sig_need_cmd_flush <= '0' ; -- not needed without Indeterminate BTT end generate GEN_OMIT_INDET_BTT; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_ENABLE_INDET_BTT -- -- If Generate Description: -- Include logic for the case when Indeterminate BTT is -- included as part of the S2MM. In this mode, the actual -- length of input stream packets is not known when the S2MM -- is loaded with a transfer command. -- ------------------------------------------------------------ GEN_ENABLE_INDET_BTT : if (C_ENABLE_INDET_BTT = 1) generate signal lsig_clr_cmd_flush : std_logic := '0'; signal lsig_set_cmd_flush : std_logic := '0'; signal lsig_cmd_set_fetch_pause : std_logic := '0'; signal lsig_cmd_clr_fetch_pause : std_logic := '0'; signal lsig_cmd_fetch_pause : std_logic := '0'; begin lsig_cmd_set_fetch_pause <= sig_drc2scatter_push_cmd and not(sig_curr_cmd_cmplt_reg) and not(sig_need_cmd_flush); lsig_cmd_clr_fetch_pause <= sig_scatter2dre_tvalid and sig_dre2scatter_tready and sig_scatter2dre_tlast; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_CMD_FETCH_PAUSE -- -- Process Description: -- Implements the flop for the flag that causes the command -- queue manager to pause fetching the next command if the -- current command does not have the command complete bit set. -- The pause remains set until the associated TLAST for the -- command is output from the Scatter Engine. If the Tlast is -- also accompanied by a EOP and the pause is set, then the -- ensuing command (which will have the cmd cmplt bit set) must -- be flushed from the queue and not loaded into the Scatter -- Engine or DRE, This is normally associated with indeterminate -- packets that are actually shorter than the intial align to -- max burst child command sent to the Realigner, The next loaded -- child command is to finish the remainder of the indeterminate -- packet up to the full BTT value in the original parent command. -- This child command becomes stranded in the Realigner command fifo -- and has to be flushed. -- ------------------------------------------------------------- IMP_CMD_FETCH_PAUSE : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1' or lsig_cmd_clr_fetch_pause = '1') then lsig_cmd_fetch_pause <= '0'; elsif (lsig_cmd_set_fetch_pause = '1') then lsig_cmd_fetch_pause <= '1'; else null; -- Hold current state end if; end if; end process IMP_CMD_FETCH_PAUSE; -- Clear the flush needed flag when the command with the command -- complete marker is popped off of the command queue. lsig_clr_cmd_flush <= sig_need_cmd_flush and sig_sm_pop_cmd_fifo; -- The command queue has to be flushed if the stream EOP marker -- is transfered out of the Scatter Engine when the corresponding -- command being executed does not have the command complete -- marker set. lsig_set_cmd_flush <= lsig_cmd_fetch_pause and sig_scatter2dre_tvalid and sig_dre2scatter_tready and sig_scatter2drc_eop; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_CMD_FLUSH_FLOP -- -- Process Description: -- Implements the flop for holding the command flush flag. -- This is only needed in Indeterminate BTT mode. -- ------------------------------------------------------------- IMP_CMD_FLUSH_FLOP : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1' or lsig_clr_cmd_flush = '1') then sig_need_cmd_flush <= '0'; elsif (lsig_set_cmd_flush = '1') then sig_need_cmd_flush <= '1'; else null; -- Hold current state end if; end if; end process IMP_CMD_FLUSH_FLOP; end generate GEN_ENABLE_INDET_BTT; end implementation;
bsd-2-clause
451dce0dfee38c5f462c9396d6362faf
0.42958
4.885623
false
false
false
false
niketancm/tsea26
lab2-3/rtl/mac_dp.vhd
1
8,226
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity mac_dp is port ( clk_i : in std_logic; reset_i : in std_logic; c_scalefactor : in std_logic_vector(2 downto 0); c_macop : in std_logic_vector(3 downto 0); c_dosat : in std_logic; mac_operanda : in signed(39 downto 0); -- From ACR/RF mac_operandb : in signed(39 downto 0); -- From ACR/RF mul_opa_reg : in signed(16 downto 0); -- From multiplier mul_opb_reg : in signed(16 downto 0); -- From multiplier scale_overflow : out std_logic; sat_flag : out std_logic; -- 1 if data was saturated mac_result : out signed(39 downto 0); -- macunit output data add_pos_overflow : out std_logic; -- positive overflow add_neg_overflow : out std_logic); -- negative overflow end mac_dp; architecture mac_dp_rtl of mac_dp is signal mul_sig : signed(33 downto 0); signal adder_opb, adder_opa, to_scaling, adder_result, mul_guarded_reg, abs_result, round_result, from_scaling : signed(39 downto 0); signal adder_cin : std_logic; signal add_pos_overflow1, add_pos_overflow2 : std_logic; signal add_neg_overflow1, add_neg_overflow2 : std_logic; signal c_invopb, c_opbsel : std_logic_vector(1 downto 0); signal c_opasel : std_logic_vector(2 downto 0); signal tmp, tmp2 : signed(40 downto 0); component mac_scale port ( scale_overflow : out std_logic; from_scaling : out signed(39 downto 0); to_scaling : in signed(39 downto 0); c_scalefactor : in std_logic_vector(2 downto 0)); end component; component saturation port ( value_i : in signed(39 downto 0); do_sat_i : in std_logic; value_o : out signed(39 downto 0); did_sat_o : out std_logic); end component; begin -- behav -- purpose: Control table for the MAC -- type : combinational ctrl_table: process (c_macop) begin -- process ctrl_table case c_macop is ----------------------------------------------------------------------------------------------------- when "0000" => c_invopb <= "00"; c_opasel <= "000"; c_opbsel <= "00"; -- CLR when "0001" => c_invopb <= "00"; c_opasel <= "001"; c_opbsel <= "01"; -- ADD when "0010" => c_invopb <= "01"; c_opasel <= "001"; c_opbsel <= "01"; -- SUB when "0011" => c_invopb <= "01"; c_opasel <= "001"; c_opbsel <= "01"; -- CMP when "0100" => c_invopb <= "01"; c_opasel <= "000"; c_opbsel <= "01"; -- NEG ----------------------------------------------------------------------------------------------------- --We use c_inopb = "10". to select the msb of macoperandb, and add or subract depending upon it is negative or not. when "0101" => c_invopb <= "10"; c_opasel <= "000"; c_opbsel <= "01"; -- ABS ----------------------------------------------------------------------------------------------------- when "0110" => c_invopb <= "00"; c_opasel <= "000"; c_opbsel <= "10"; -- MUL when "0111" => c_invopb <= "00"; c_opasel <= "001"; c_opbsel <= "10"; -- MAC when "1000" => c_invopb <= "01"; c_opasel <= "001"; c_opbsel <= "10"; -- MDM ----------------------------------------------------------------------------------------------------- when "1001" => c_invopb <= "00"; c_opasel <= "000"; c_opbsel <= "01"; -- MOVE --This is for the round operation, where we add X"8000" to the mac_operandb when "1010" => c_invopb <= "00"; c_opasel <= "010"; c_opbsel <= "01"; -- MOVE_ROUND ----------------------------------------------------------------------------------------------------- when others => c_invopb <= "00"; c_opasel <= "000"; c_opbsel <= "00"; -- NOP ----------------------------------------------------------------------------------------------------- end case; end process ctrl_table; -- Operation descriptions -- CLR: mac_result = 0 -- ADD: mac_result = mac_operanda + mac_operandb -- SUB: mac_result = mac_operanda - mac_operandb -- CMP: mac_result = mac_operanda - mac_operandb -- NEG: mac_result = 0 - mac_operandb -- ABS: mac_result = abs(mac_operandb) -- MUL: mac_result = mul_opa * mul_opb -- MAC: mac_result = mac_operanda + mul_opa * mul_opb -- MDM: mac_result = mac_operanda - mul_opa * mul_opb -- MOVE: mac_result = mac_operandb -- MOVE_ROUND: mac_result = round(mac_operandb) -- NOP: mac_result = 0 ----------------------------------------------------------------------------- -- Multiplier mul_sig <= mul_opa_reg * mul_opb_reg; -- purpose: Pipeline stage for the multiplier result -- type : sequential mulpipe: process (clk_i) begin -- process mulpipe if rising_edge(clk_i) then if reset_i = '0' then mul_guarded_reg <= (others => '0'); else mul_guarded_reg <= (39 downto 34 => mul_sig(33)) & mul_sig; end if; end if; end process mulpipe; ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Create OpA to adder with c_opasel select adder_opa <= (others => '0') when "000", mac_operanda when "001", x"0000008000" when others; --for rounding operation ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Create OpB temporary value before scaling with c_opbsel select to_scaling <= (others => '0') when "00", mac_operandb when "01", mul_guarded_reg when others; ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Scaling stuff scaling : mac_scale port map (scale_overflow => scale_overflow, from_scaling => from_scaling, to_scaling => to_scaling, c_scalefactor => c_scalefactor); ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Invert OpB if necessary with c_invopb select adder_cin <= '0' when "00", '1' when "01", mac_operandb(39) when others; --for abs operation.depending upon the --msb we add the number or its 2's complement. -- with adder_cin select adder_opb <= from_scaling when '0', not from_scaling when others; ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- The adder itself tmp <= adder_opa + adder_opb + ((40 downto 1 => '0') & adder_cin); adder_result <= tmp(39 downto 0); ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Create some overflow flag related signals add_pos_overflow1 <= (not adder_opa(39) and not adder_opb(39) and adder_result(39)); --To determine the overflow for the abs operation. add_pos_overflow2 <= '1' when ((c_opasel = "010") and (abs_result = x"7fffffffff")) else '0'; add_pos_overflow <= add_pos_overflow1 or add_pos_overflow2; add_neg_overflow1 <= (adder_opa(39) and adder_opb(39) and not adder_result(39)); --To determine the overflow for rounding operation. add_neg_overflow2 <= '1' when ((c_invopb = "10") and (adder_result = x"8000000000")) else '0'; add_neg_overflow <= add_neg_overflow1 or add_neg_overflow2; ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Saturation handling is done in a separate module that you need to edit! sat_box : saturation port map ( value_i => adder_result, do_sat_i => c_dosat, value_o => mac_result, did_sat_o => sat_flag); ----------------------------------------------------------------------------- end architecture mac_dp_rtl;
gpl-2.0
7a8a9267836e0e0c3fc2e1aee9be1965
0.457938
3.96052
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/blk_mem_gen_v8_0/blk_mem_gen_top.vhd
2
71,839
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block DMeuJDC/Xj2rjqR+KdiccX/likF0HijFhKeMl8dgbHoDnfB2aesvmgWdRChO5ilhZRw5o0K4XcTk d2tflZB3pQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block kZ9N1rUFPOVzkKGXV3atUY/ijYLDcVIg2OZ/yY8k/gzBXp2kF+7cQaiqOy/HUjWxbJsaQvSHYs2G +4eSO3Cy1tIQwBgBdoy4YmeJ69ot82Jt1a7maUwG8FAuwvSEV6UKUDz5S882VtjmihkHKbJ8CsuH heO6xKmBdV046rvTLBA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XTVnPWcPC6d3dijGbrEprhYnJjOMFO8LtEA9SPc9iNiMSddZw25s1Ig6FA9x/ckyFPDG54GA8Z8i 6cGdIAeo6+Akczy0j26zL2Ynesndvclhfej9wlRQRKOtkY8knW76HtRjnXPynD72GBeovCQTsCpK a8MHtFoMZbaGpmASJbovF3X9C8LA4xMTrgDwIWgTgM3Feg8upGO0i66O1O9bha07wtyNqTa7vxDQ qCwiZLITHJ1jhh97EpQhl43vYejN7qw7XPwKu8CXm62TzDwL32QZCrN4wFNG8z+Q3QWuCbbkpNXc RezZzJLhWNSrLNQRgYsjFFMhqGWdimqHcLBcVA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block GdIE5fZ+nviTKUBN4c8zwCvOIvvnfjolAWm5WfwFp5XQG/pmasKga+IbUV7TCU8vWDB5PPIkA8Md Bx6igNfe6xCVFqOPP9+mg6H3BznkYnwNsBNOrOpvmZUYj2aO0Kpo/mbPc3M51jwFVtOVhd/62zRB 2K/ER2PsiTWyI/e1T7E= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block O53MnTblpFz6LmMS/8a6CBlLOEuTL3L+imEmykEhQYmtn6WwD18wcJ5NaIup6FcFT23nPWVibQxw 7FhgA2yS/oHGEvW5+Actzmo3yWTpFekP02vs3J7o4KhxN5rUgZ7oVLK+CuNFo9zFXQxFCIkiejfT r94rJcolF8+jExKCEuY4lUnSvAAxOrQJ/zn8KAHFn58bW87wVvxx6Gt23xMbuNMbpYfPunAOp4Jb Ht0LRjugbg76cTPdVDP1U5YPpPXhT1hWqpPfm5wK+HNS9gpg5WAq65Z9Le8/olXM5FHvpbyh6Ysx 6qatGWF25AFMYyXadW9xyJ9Ri0qjDdS76xaqzA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 51440) `protect data_block ybzimBvTgtgOaEE9gNhttR0krnxHrO8fE1dbS9uYYvKXub28h2Lhhx+8n2qUErGJFsBMtTLr5LR4 Ra0w3+84ICptX7IK4/RzTbmbkzpDM0+nGvqCYybtPAyNDhFQ3rSMWwENPg7k9CnIdXRJ7KqDd4lh kAGJJVd+awducdGxuaE9x6aNIRfJUSqS/jYF8UThFv7lycnBofzX5glHt4Qg+8bdAZUrB0rwKin8 gFLwWIgfJ2FY36C/iEkDErUfklLVZpT8LZwkmal/tqRr/UEY7ova09vftK6X23sTlt+3E3eAPx50 yy3FtTFngK26e0f0B6IuBUXu4qb04CkwhijJNotUbCZn9UWGAwnjxMRD5UFVh6g5KwWzhW1hkQtC 4wqhLloax138YIz33t7ru1YhrYhPUAdu5CYyVqrkzj57OhBJM4ohHu55XIakoXOouSTDCT7oizX3 YSEIb409iZufkiJxmajXbet3kbM3wvxskKeW/TlJ4R8E7+rNnN1958iKkDD90tasGpafGZlacqRT VdxeuM2v6oPLL8e+hqEH9D9fxCDOSGZlNBI0k1CKDiJicNwQ3dPzxG5xmoC1oVT50h9E5G36DslX rK9+BvvmWmGKefOpl1lWATKzjSDJCeREt7paCNnVW2aZOZB2awcJgpH5kPwVLowZjoZPVbr3hdhq FoxrDE+eLDIOn4/fizMnjckL6OvIRSmYg+SdVFCFFKKXMCAa4WCsBXbdiF67XUHB9H9IOXLUBfjR /3VEC6ZpGZJQ8OWvUiS5JrT6WvLzwdq6msWzA1ijzCLc60f9mqm2ibbVi+prr7sij+fyeFctYeJ7 61gm8+ktYu55os0FxIVovNNR6SwAWZIHs6o/VrWEWa3cXk5Ml2J/IKbe2sBT5/MbfqspQBzz64gq QYMJ8sfiOdJgQFEKrCO8yoTtZq6HzS3SgA4QDE8Mswq49m1wMXuRX7qKsyq2JRRXi3i7wKXYghTy lf0sbGehw81W5sfZp+M0iHvtrqOT7Mv5vVE4jWuc/q2TzDUDqWjD5F5wJZua+LukI3fEYcyPwMw3 MHJRmUiNsD3MKqIqQ40VfNA+gxHplID0GBGP4LkpcP91Msj+fhiJ62AEIR1hdNShzt6PhEP/i/52 grxx1uMcUZrhciHmoihbsbEENgS+ldXiuT2aEZQMHNU0Vq9ls6YHk2bIKmUGVNi6av97+37zpWa0 DGlmtQGYrBxIR6D5jWi3PgHpecy+nKtoEdnpm5cOngc5dGIvUWjifGme3J3RJarYiWywdsPMtvr0 LZkMN9k4yIFIKT1XXM+EEpxrk2AHkmKelt9QiLEW3wGLrRsbR2ICvv8F5mjtRz1enG4J+P1mE/sB p+8npVz3sLQ1wsM27UayyfwLhsb1YPspyjg58dUMCM7zGsVBx29NGrXAklQeuI9z+X2BM06nOF+a h46klshf7HIrFnIrYNDPc8T6Gms3VcuvVti4wx3BhHIv62/HkrLSsi5xu+uqEtYUD1QXcODAZ35Z uCL3HefAIcqMOjKrsiCvu51QRlKrVi2PmMeNlH73nfdZg/hpNDghjTzJrcPyEBoBudoU7simKQop TcWV8QjOj9YinAQ53Pr+hCGkcF5xl+3m49iZ8EofJNfrwkrqBMlQRJuGWCWjNx8mrLbNmVWymmLp 92srwFUTCHn7VaAy724R5LAVaHLMjjy4JQcJHUcYoalQsk69FJwN1ap9I0p73tb4ccU5hJIIC0C5 xwEjjnSc3ck8QDoy1g540+Q+dPO/pqVZJqtzH9yvDMqSaU74VYT/EaIremmTNYt1P5eZA3qq0jJX R0sEuEkqN9eg5x59waRlU5l1IIWicxgqfeUIkrfsJoFEbmjUPmX09xatCOunRqA5BRNJl1kPR306 ARn+mp+jhNP2npJ1L1omS7iq99c/d7MkLB+hZzD1/SPYx/3LbhiylOLtjGzEGXTMEHZzJwBywlOX bxTmHrBlw0sHrIeGJO4/BlcLxLEnrmvjH0XiG8fhY/83jxbCpk+nNSKZ9QTJaVhRKJbDnbxAsi81 iI8ari1uSHS+OlPIdnNjJtNsYJ7FqMFWxvY7cfmM+ercIAyb6bGU4X70n0utsFjqknfHh5W1q8Rw mGo81N/L7YW9oiCPHehyqItUqMHEDss6mFfEudtcY+cCzDanDPv2PkuHtugZ0RKCGZWleqRlDi/U 3rpU/5IIchytpqbRvF1bdp8IUJhz3rZ/yA2uZOUXgOrOx6RP3dN+Jng43ep1ZCgeoDU8VPLMqn7U f1Nabad24Up5BHk9ZSodAL+jBvq7Mwup4v15NsuTGFXECkk9H/0FIiUrVMWnKVoIF4TlhsyefhU0 o2Yu3fy/ystqKxCoZwV0Ed9uOceYk91UsbsXjiRspSaofRQmKoSUDcChCp0n6JKFxLtYObsixcea ktoHGU3obmyZ45E4fukOGYTzuJZcw8KTIPRdxN8n9v6y5TVWPqv4wwFOHqK8KIgGPJEn1gjkPloH Q9toC9QZEVNUsCfD+GVGF7UvvblhqdN4PUgZGM1pou9l3asp9JYdVK5iVUqLawqHsI/CzswU+3vr c0tfvfOInciTxiqwLXX1NFp2kbYbzf0aOz1WwJtgm5s9BLgXRkCqiaccw38EMRuH77DvS3mZ+mcy f3GMPYf8m68daTBH7bkKeQkZUTMtGOImUGxvc1Jed2Q1J1eMGl3xxwlXJbUwgFrBSGenlETZS8Kt IbTZB+3I8Q3P8bK743mI/Pdy1zx63R8EhbY47vwaGMh66iIaEsoRkPiS4A+rq/FAGy3Ul+QycFXx W4Xh6J2tOj2xLGQDPSvw+QubhsZ9LDQjDlxifMPbupcfizylI0u2z+jXaZOQAblBUfVMoeJ6sUjF 9vAMjplRIbAlLTAc8iFjOEwFDzWzqlEcj7wzZGZvGWWIDblkHmRkgOXagwrUXymZigsGE3yM8ELs VSZOgJUiBGQ/RI2ee2aMB0GjGXTDJy4pE+8eH16eOQX2XnQlxhLdKZZOhjbR92JXz5WO2BF82EGh Nl+K+RiM9r6sppgiG2Slm+68gDFXiYjXxEQIl2I/2ufmg+R09nwhkWmtgZRqZmg0P+7AjyqgZKZS 1RtdMhm9GfGfFJk7cO7TggPAhJQM0vfbfJiwnqwKJCu76It69nEt5pjsgrsrgYYy9EXZY2Bc1GUh PMpcPhLahAb2tKZfhciYCfsUaREUMyltWRE4iSEr03glAmaRNI4qf8crJKCcrKrACo8Bcda8CtZH oRN3Y/NLmemUh9o36frGgQvuZdS3INHAfaLTdMh9NM9F5ll64wpsRNJfV05/bZkEiqkSFS9y0xGW jhETqTjY1B/nfMKcJUenEvBtNr5OUGwQY3cjj1qVg5Iau/novYvmhD4pH3qkt7rQLhF8BG6eRCPp 6lZQ4fS6BT/oxO78X25+8o/5S7x5Jitjxiy8q64wdCieTZ7vNivwKN8o3iSteg3pRrqJ1W5jFmS5 d6iJPT3vR9N8vhpf1F+wet8XqtKfsw8BeiybE0MAVCWmhMfkLcuLEXvvc3fuawfDKCDQxwXRdMxC mBSGauXiZUmOCzDJ46o2Xrx5rtQYSgFc4Ur5CybNnQr1STI6AOmzOnl4AFkhPPh2n9Ae/13IHW/j 9wWnCl+3Kr5XXzKOxjHy6/mZnYipJ3RP10/hQyA+0twQjoz8AFPPtVXtcAbo2W6f3Z6Du4U+W+Iu fjBVP9ckZiUs8x8lLa7sglOc19eoEP5TSMeYETcE5HhA7lTs9nwNuZfmUCplNadxStQsOKeCSDYL xi1jrLQDRednJX8k4t4yMLmbIm9l51MXeCtSiSzNAIS66NJ+S2c+3sBH+dGjiSLzrhdmI+0eZqWo SwZhQ5kppVGIQ+hNY6YkaOHCA+usezDWPe4ZqtGRT4dVDjJ5+xV4KvWO1WybGkkj/TxIdNSnqsh2 3ofQEXsvc1Dj1wW49opjPy3DbcbzxT0gD5ecYvOLSM/eZpgB53WaQ8GugnZxBT8NiFVOFKkzHTn/ uN5YGWgcBfnlEpUzO6BT7MqJhgLi286sguZFvHILsRn7QS7K1xaBPw7048FLRAtaZqjBqA9QvePM lZuXPJoPQ28UQ3KTjwvUgIHVu8D7VclLOc7+ljQshqVSfS/QhbBoYoDwdZ1rkVUBPKMUR4AIPIPW weF4Dalpg3Ozz7t9XwDj6xbXZSdNbaT/JQ1XYRDHL26Vs22X2+x0FSb0WC2p6POODY4zd4pDv4m2 A02fun2bhB4vFMg3YFJ3eY1cxgk1a7Xwp+57X7uhXM4VwVEuCd0CPKicbeuF0beOi4iltfq8jWPY QFzZDqxxXaXsQKw78mJa12SDwMVOMIxJb06ZA8zSokcNsOsx3OXiIpcV9VWLceqNDKAyy30YgsUU YkPmeT3N9Dz4hARKcTaipbG4vbOTz/XZHndj+hnH3jSAMdzLGw6rur5Epkk/tem8bVU62HVObXSp CoG8/LWtm1CNpyoQen9YMCvxXVLbaCfg6RV0T8u0Wvp4LrBdjtXa+qpR4GuTK4Jj4wtgfjKNbuEz jk91mc3ZJDLy6mspz42Ep93q1e7yNNsmT1cu3S/1Fv+bogcqNmaPoY0P0S7n6A3TtkKdS5qH1Imt Z6Hkwc5MnrVJuAchVeN57Gw5QS7pFou9NNFU2ULG3mHjQ7HypsGFr3oQDCuQjG5WB04lZR4nqMig BHyioMqsApLxaCqkiEm9rqPz9BPludXA7VHX7wkFQE6ARM7HujFqm3fbijNi907OrVdnN04BDq/8 /QASJcgC8v7Y/+pQYJwnVXEi/38TI/XKvbNxRPiov+6HPho8aGtPLOoeY6E6yaTiM0cGNgM+Pr5b 05mnEYS5cFg1B+iK3o2FmJoOJZDKzjUr21nve/9SIZCXWd7JVuZJQIZDavcieJLpIspuCLp6APJw VhAH3Dv/GrJ7MyPifyj/2uAX7pNnvjjelfErJqoDayj77JArbqej6l3TmI4nO09LUMyb8+3/a2Vb 2xOgi2HP+1w/pXiZzcGJd9EDQ+m97niQuD1QFI/rOdQHcBrgC/8lkG8zKN75V0O43jxpDr3wyZ9Q 38hu/6nnhkAoiBxv+yaBmTXthZGXGrb7saGTSpB0kQP+M0Ew0c36px41riuHdRmpbj61mYeLYOPi 68vfFx5CYGizyzkVyC2ocJq1G8xzdNOL5XSgFAI9x2PLZd1D/PChw77FoJmhwxvQi68T+6unN0Nz Kc1EU+Co6JmRdKBf/J9UvevVfwK1k2kkUpf/XtiN5oH+VB1Ksxc7z1f+qnKa7xFiIFBqQXG165to Ph5/a+tPYp7oaLMSI7ybG+oPonzFjsqEMNPQaKAW6tYF4EX5LX1s1byQ7i/kBGtWw6KsKZ4kpGQ6 N/2qUQ4VWCglGK2KhbD/ZrvPoWxWthzU4eIAtPgsThFtoNscknKqBQd36ffdeQQ5p7dw/Bu46Ahx 6EvQTclEOQCOJRWkdE1N3sczkx8Csu5hMm06ptdBVMJWgD35+0+/frjsIMCPS+BAw+OXXoTAhd19 jxVlEHcsbu5NIFouuiYZRI9MiC+U7sB/Vls2svgw2BhINsNPj8NZQf+6J7bR4RurngrBmMEfxUZs Aqy2vMZF6qtleRb/wTIqIMX2GZvEmKHoYhPgnLhLxvmToObUBmZoAB35dHvqq4TJmqGmqOxw0tJm Fx9WULTDhZOOBiyF2SSMLH5UmMD3RHcwGV6IJs9do4EyuWeOURKBID07y5lpBqsbSmcIF5/bLTyH NM9kYPbWzeBJJ3QAZF9Jvk7mnLC1otqCGOaW9XCm7vu1KtQX0psPn0s0fgUV0RwyV46Moaf/b4fF 3Vej/QA7F1xyrTqbTla+vTuzd1mxF/u/EFS+2T69TEKgvGjpKYIJiY6xvBGY3MujAlmhiRl9vbCS Q+Oyr+csR7ZkeIiuiNfd4ppudUuiqEzRkrgIArHqB5HDJPS93loN4VHL+PhbrXX0eJn3b7N0t9I9 vlE7bvaBjx8B2/pS3W1pae40vGEqpcqxInWxQ3KbWn5qJO+Te7N6he0wvJoPe0IP0CS8Ajt7y6mk NgpfyOU3gtl/qjUHTFL10D5NF5pj3OAnck5IS30jahofcr8kSKcoE31wc2+2yIh54DZj06NGgtAm WTMi8/KFeanq7OIwr8dgGUqJvbI7ZGcYX3wKV870M/7jhCtjBCoMwOH9Tu+pUMJ1VZx9WMCvY3uX msBj7Wh8gZgnsGgKhEXF9fkgz/FSzl9FDZoII8MNWdmAAvpefkUfMKEekJE50BdECeM3bpx9Dxso 2wF5OO2qBirOWk0HS224zP9aCSvHXB/p2qAxPGAU4ZmXVDXEje3tKO+iHCfwxCUSepudMiV0g6qe /iZPZ6a3Ylwn/mnZPDcqofYlVF9SSeIAJPpmyh6nL6z58b3o0+gMmE3YuGNpVJ/7ozI7ZsPAFrd5 IUM4/Zgp9fJyAQNlCwImEXsmDKu/bA01CjnPtKNBaqscKL3MNeNFZyIoo7sKUT3U/qYu0GO0RCwV oBepDl9RUhCdFu6En57ISk+264Txxe5gkOGKZ/FSQHYBfsIxwqkeEkY3imDSP09ceVZggb5LvamB RavlUETS90hb4ir066rM2wBj/bWWp0uNqW1p1j/UwxOarjrILh9FhRsbytN8HSCrX0aJ1S3mJ/oC Ir10y+hjDDKpydjDPHGIg6WdMvrCIhEXxb9py4f0VUlJyX1amKGYAPisnonbxlOAewtJ05oa0pa9 L6GjtfTSCeSa6VD4y+FuE44au11jNZe++f2Xh4Dq+hCkkAean3cIuZvPbIi99drFrci8dCV44617 C5tz2FgTz9rROekNCWuDCbF16qgoOhqvvirIdyB9P7mZ+8HP0OcvdQkWeOnHWuuuWPgKMTTgxt06 jJWMx1CxBkyrmnAldTkUxS8riO8zM/7t3iMlk5fWnFagyPOGBAF9By0ZRoYBLV8CuiwJ6ZdrgoIq izZknhUtRXuBaCnac+0j4zYUn11fKJ+DObDdGBNEBPA2NtcD3SrVC3R5sX/r1b029sfnkI3uH7uO OO+qR3tVH8uNQA793uNC3HUI8izv19yMdyIaXialSYBw2dppTeFC1spC+4Ud1MtOaJJBQDK/ejsj cmdgFmoM982HnOr/2IlIoQoX5LkNRFjZpJwCSHwFDJmMWLESEWeUrooidvEPgsZd92XFsUr9fdaO dcqgqt89EdBLZAmwECoiD0eWGFdnuUNpa608xxxyr6zN0117rSJDBlBw7XkYQyZbOq0fnYRNk2wG EPmhdYirzt6C8bJjpYfdZbxcSBknd006xcE3OXMlo/4IjO4Y4uE7u/1G6y2nibo0XiTpFUGcn7sn DlwfpX1RF1rp/4RL+2ePDqg1XT/UqWbUBaLDV+aaCYkMY+IEseR1o90TpILyMoTd/JRqP04Vpxfj +O5kO5QKVsykTH/nIDZ2GCpQTs68TS/rft7q0/NSwk6Q7w3ErT8EItb4FOiOOLG6095ts5ezjwM3 9yRRHeFfVh0SBwY6Ef4N6NSUJO2FYAfMgl0F7TcFPFDCk6a/LZLhuHL1xlvd9uRXhHevdbLL23bK sPgHN2m+/Fvk9DzQX+sh4b6wsf/Sxqes+f6GwP0I+EDWO2qHOPF5Wh9nw0xmmNsaMG+niiWeWhpw 4CMJqGSHKZsfkOSqL0pbjNLhqI8tMtx3LqhaOmp/QRn5PhQn2yBOMUSYFnOhTTziflc2e1fohFlA prPpll+jNklXl7OqmZ6NztKIR5LRrxw7tc+jOPlrKgjAE9aDUqEk1SG/zr6O+XMy7uUerrhwj0gl D5ZcYwYqXLjqTtISY59B3yFh3ym23qmolmkgICiRSc4QL0AhvABDcXzZqF4mJijg6WgVspxSUhJH 3e2KeBOjsL2rmZ5gmkYlBN8wEgl6n9FesE3C1foapBVhHUQqXkQnOhB5eZuU7Tc+/JnoIZL7DucF B/xJeZ6QqHIMS8/u4RaIXD7BYm+Wko0LBUaVlGpYVniFFCjpBW4jE/bFzd9hg7J1Kz2ZS1/q7Q4R lXRgv2xn3ZP52dTu6NxZH6pepkGSdX8UqiCJdfLk/Hx0xLijGAKEZntPt/GA46GQnazls+tenOvK j1gjInv7JeIo6y/E7iCrXcu0xZikA5SMidfpNExYB4j0Jm8AsKcRXObynT+dx1ya+vNnCoM/l8/S 7jKJj9rTz8tBSLoLpstlmvOfbUq/Q+UHrNC7H8RvkYKe15PUm8QhPLfAQSDwdT8D146ix4Yvfiee mEpMO2kXxuh5OxrqR1W+3D/yM78btu33TqOvA1KemWMhMqxfRf/xMzg/Jjx7n71B/bMGMtYrdUSL O0dZ04A8pzZCNlC3cWQQI8BBifICaFJm4XwKPjxl+saqoRW6Q+wkGpW4cmIJCXfxltY5LvgwnZ7R XnykSpHi21uoS8+LapYd1yMWpct18Rdq6hQyEft5s7soo2TfXj7lOiQs0kW7sNKTPUIIrIbSB2IY 5AHd+yc2vPP1JWtKqHa98rELovPQrx6Uj9iTdFcooB9FOysFJwcC/pH20t8Q9mCsFYb7zOOyrkQ5 VthcXsqqzwYXqWv/JhZBzFqRR/7Q49MO74k1hg4KFXjiGcOgPheotQ8kkiaaVdnV4aVaU1rR96Sk CYRVUtaDkDvQ+wBSaFJf0iplY+TY//S3DngHX6FgngpErdn4dkuRj/0jFNcagXZbrpB3lM4Q83hZ Vns67JhmUikpazTj2RVZcLfKjTTbMzQ1GOAuJp5JXRmIxT645Z39xybkc6WaGKhg0TNxhjE/LPD6 F+1k9LpqCJ3PCCIJBs9sogDCuJdDaHc1th7OBXUt30E5rcJUj2E8wdwcCoCWTKcSR8IBecShMoMm xh0vK/Zx1ept3BAKqpaNKi9tPIQZNa4R0MPlTIi/MNzoW1t0ICEIscMoN3tFtQxZBHk9LrBDVWZ8 v7jtu1NB3im86hLBGaTHUKEDCkKGhMGB2tAaRgoHe93OWjsiNV7Q2k/2FKRyZetF67ZDoxrv9Ru+ pXUQdMl2kz8fnZ0QMcz3I1wbvSpuoKOnckS49S1pvlHGvF6iO5H62X3TQzh/2XQirfU8vpda0uE3 ifh982X+rbjfqfQpSnSYlElW5UAWJrx57ISpQIFOjh1rxjw1ua0VPWz2/bsBbhJw2Nsp8qnFMEg+ Pka2wX4JnBjylZj9JdWftUO/QqForEN96K5T3blK1nslpJiOkz92BlKdzU4od+ylc91luFltYvXh +DIvQKYWyL1iEs47S18n84HCG7R/sIyuWYjXhDXlKz11I2OQpAB5HyvUqV97bsc/KRipPybNUUOw i2AWyP95FKRa3YTn87H6/+RntIDO+kQR2dVi4EAKk96ghk2XLnMjydplHPREealESRihlaN6Mct6 nwdCpAZyKRHHaFIZEXY1oS98YyYAhi5fntMY4PMsTK0QMvxE+m3MnIrS5PZeyPU5Hp80CenGIWsn NqZGM/GnRZlPCmCgRN6T2oPiocknlZEI7zCw8UuOI8AyQIsHD4NN8t5RYcdqksAARNSXtjM5QBVT V4aBiYqjKX5aY0YkVE7iLR/PRUQ6zNWZwt7mitg1NhA802M6Yh8xbZEOv5SVoIr+0RChw4CyZmUy JyHP0hInWLGS81YrLmWuVoJpaPqV4/bt6lOeM8DN/kkgOBgLboI7elw+siHczPTd9tS4Q/DsBQ5h g6L33olybsuVTS7AQ7vRC1aZTZ1mwPYmYrx8dDxutGTx2LYG///e9lnGJJ2nMXNoGlJwq8l2Nddd tz5fjCpYlFSgx2niaW/r6JgsMJza7I5l5GSockfEqGx1AVY4Xot1qq95vB30+J0KH4tsIVk3AldU XFOPErP/tCEIZPAZZVTg4AojflX6oZGSTDvrQ4vuIrMrZli7y6/Z/lFRUlgLvLeAsIcL16aH27Sq XLvcUVIYQhhq6aCw1VLshKe189F8UtdEtY65I6wPFqNlY48g9TB4T9x9MDzAosjhpsma669LfqIo knSESOyG5okPysHr9o52o06WSH/5Z+s6O32KvpvE54VSGNhgKOTICApZobeg/FBHf8samoXSDZi8 S8GTlErW2BeiXH3bMklNJehdqhhcH9NWQ6fa2Gz5B8xEn3Lc/Avic6TvuTbv80eg/fajlXk517fZ 9U6+4JLXGyT6Avx6S3+0ihdL6IeBZUYJV198ThjOuEG9lQqHnsezJDtkXWXfqONN34QsESMWJsG2 DWJG589EzU3tO/3HRp7oI824DDh+rJz74KODCsV2cfqhP5i3qpDFBOeY391PUXwL9TYFhVrkA8K7 qwd5LDsizmzGlBtWRmvSY13A4llQ1cV2bEGTnidECrpU8o/A/8QuPcyLE7mxCVH8PKogGBXJPTMo iv+FWrcauyghZ5MltxDE82KvT7nNgdxP//VwlONdIba/Wiw1o+eaMg5VajlYY50uftZxFX3seFDU 2DGRAiBrX5aqFoIjWsTjuOepRCIXoTImKasJzEMJM7+rNS8ZHmcDNiODlDSo8Vl8j043/dQ3gvJ7 aaTSDhI0t3aXYrkg/WQdC6PexXajdwynAQNHd46HtYf5iD9r5rt4ZQE3sChfxRbg17zCu+z+Te+0 36Cdty4VsVcbW4JzpQUHvSNpNeprBzDJF4+rLT0JkmrzCwjO3skXXGtuV9jqJk7pTBsFUpftjOld GX+QHzk3WS/DEEMrWptdt923jyWqdlIhG7xEsK0KepyrZLH46x34LLIZ8YuXzMqgTnHr9wtXmSqL 2um0u5x3pDMVMi/9Djst1UlMmS2S3OeE0F1cYRZzbOY0TNctmrXJOow9vZkU79l2Kl3m9PgiGm/h /B7CVvNAiZE1lEPBQY/IqwynuOL9Ebb/3CFLUl1coWRe+6onicRDo+F5nOYOL47F84uVAldL9skk JjK3fbOmSoWbWIzP7iQ/FF4dxkh5WlfEPdfsYUfRclchxDg7qn5GMAkn4QEFfG9Q4SMMXCVh1/ZB WAfJzhTM5HmbIV3/leA5yRXCgcDQqxIPCzVkjc3oZyUVZ+0wQ98cDbp0IqlBqQyUENISIvSfaId5 to/iFlFlQj3ntdTBUNPl1jBH3zKU1x38byJMDL8OdtWFmMlU+m8r21cvp3yGsSCbmJyKj7M2QEkX EAF14r8lOqSWzipVrFj3BHcTGrdGOlHBuSiLRuo+R1VGFTdku2KjKA1tuouXQMy0chE0I/9EwR8h +W+qzMrS9bz0vMykV5eCZWZx0R4JF/6fnoZWyryvne32xnjfMpK1WUU9dU5d3WxoTPHXgbawtXwT wL9vncEy7SzxToQgS4XxrIp/V3IQwJa5lMdmJK5Tn9PEZq+CjeQYuR3oVzVGZZ8807ZB5ouAzp0D ou9Yt1ksoruXz011zivnJOjxdk0TsbMMZl58JNICMt4yPg1t7jneBO9Afzpuj19XmF/U1R/+mH73 o8ocJd7RI6iZaqGvbNc13/wCRIBjIo9/iJfdSB7hXsHWugXG2WXez4LqrOUfxMowWLhGJ69wOPdJ zAnXC1lE0ECfFspzVPG3I4IqxPWrinhPY9tX84+gHKk9I7kKT+/aTVZ09ETz0R+0ADda15UuoqDd 0tqayNYBw1P83r7aC6Wc234oCacJwsgMdDmcZKG8mjMljv8OXgWlFb0aiGKhc8GKbF9npkZzvqK4 LqDSFAqErw3vj1Lp6nxoUq+z6xFh2xQPr7eu1Rn+OTDgCZkou/3yBdN+KVmKrgCmdqgPhdA+iYx/ Ys+njUelo4PKIYJtmq3UlW2TYVoFieHqlOLUMI29RTR2z/Y9UdSTSE52SLEXW4dO3xE7s3XpS/6/ SAsCBvM/BgGkm6NaSeOcgCKeBLeOnU6/ETs9WSKSNaqpUtV5Cz5oXSKzXdGrRsOKh4hsJk0wrj9v CIq/EQ2s/qJkhN1iVwky8iBsD7c+lVBcJn0b3pJyU+9NhURC1Uh0vFx6XeDOr0Vjl7Avx7I9LxHD PbvsJydCWiwHZGaSfmxPPeMOckov4fuZqRKYKnkJCB0zl5aTv7kacQmtTeym2AJYUmDcnI4SzSpc oLDm3LL12uK7bKS/by8kq7XgHmYme9Kb/g8oGKjEVmi/1pqY6I+z6aytQm9l0sZVReaPBs9KLAV6 zCF23/CtYMiOWAPheR5DAnGAq5xANvDvFPgy/IqDUuVllQUPk7zuyzL6y1CTggGCfhMw2sAfU1kE ud/wWsjfLFVSfoQ8ruIpIZCcK6EK0yxgff0o0wSrZjB0yzVZOT1sAWUaGudjClxIXqulNx/9rXhR WhA8XYe6FiXv3CNHOkWmLJqlUN7iTBMdaTlVnWjRI2mUSlxdX4B8s+VsqfkwwPwiW2dd+1+1o9Qn WPQ7agn5ppdDAIWUrycYq/YZ0d/PZIbrugrarH6lXnHxbeop/6PU/W3+yurqzoX4WcL3FjjCkNbF g0p3C7cdsKYksqLsQq3mbVNtGuR/+X4B/SLaOrxrqQ0Xr+yxXKktGvoTP2b9tOkmTw3vbu3aiea0 21yLij99r6+UR5iqf75XYRCaLG6C0wyZbPW+YE1uBcSAziiPX3w2/Zjnp3VH53YWZnJiG0TLX9ZG qmc/JqdGuVMjS2ikpYQtn82XsYKj1ks5nqXRg111KeajAOfSR+lWNWntHzmdOkX0pNR6DaBfe3Jf 74vKqovsfty6Rkkifxsx2iq2EApi9X6UP2t6QSPbSu/jZQm0eZLU9BtUi6HpfkGUwR4P9+7Bdhgu l4uoy0Pri173WlVeC5GG1l3YiDRW2KKMZDYp0ZI6H2dTRNkRNQzvFhenYH5kAsFl4rA15p2p0lZX ZSjkxJ5CP6fhXf7X9QDJ5iXPDPe+2WBLQrwWI9HwSIs+977xaVXGjfU8jsuzqiOsIEfIZ3bTvGEE GS/mzWdtDe2vc4nfLEqndVkDx7NaMKkxRfuvnUR7Z+hb5HHEbED3gI9QgYAcVIO50IDJapFgsfBu d/+TGX3V3N5dB/YbqwifnnYlUGkZ9M+4Es91HsNsez4If5chz0oD90SymS33YADxfqFkHdJG6U30 oHlHsX534ERgqWBOdCbbw2xqxVdJfwnjSvvHkgCbE16o+kJ+PleDk5KHX5erYwZBzYJ3D02hRIga G6CHRVDL5dVjw2D27CizyCpuU8e3KotkuGF1arEiKmClHNOzwXcgp02kTb8Ld/hNtXUJKwB/ID9k eFaNBaz/Z/RiOKJa1z65qyfLYK1rn2NYHPpTBF7fLNaGfn9G1PvJAVzq4PXR6NIuNgc0TfKn4w2S 0vifD0okI3TWvhj6vbJsUSl1b2mEuFt3dajPZuZg9Evg+wRlQ6VQIAzGK4Z+Bs/ZlMO+cIlUWdJy e3c3NWpQ/i51dDYBOFZkihLJML1dCKP9vW5tJ3zShxxHqvD9THVt4p05yztPPnAiYNRO8BU3S+Cn 3IrmZvFQ3UJt8fuM8PDf66ZJR8Zb8gJpBZ2FBR7/a2QCoFzLhnbI+Gm6xD1FzNwn3NUQ3hm9xYOB aJC3FivXr4Yh5Id/xT+SB94Kk5AyTbIQr5Tfy7NfoANzGeokgnqSvRGjNLfS7G97A0wmOTALE2Ot x6y6gC/iDE3TzHqJi/uIsUel2QQ2tZX3KcIe0mdrbqpA3MGUoGVuoNZKFu3/IisHOdY2ljCzf3M9 phN+Bgj5ENQB7FIY4qNPJ8Kqg+tLZP0/O1QUmsbjQz4TrHlXIPvfB9CYcBygnzfchCjId3rsZUG9 kTb15u4jPpAKk4tUOdt2DYlkNzAifrn13xc8VVxFo0HxfniJyftSpGmM3axg8KeT1LsTd3kh3n3k fKJh/E70tDTec5ADmnEnkRsMa33aPNxYcSx/MW64TrmYR5XE2DA0Kl1r4INnuDw2Uamur8zayD+6 lctHJ2Fqilr3dcq0yI220klvMIgXDdU4ZVWvUCFSXTVuTdm911EjwQgpwx6qqLWbPO41wgWR/JdW 2sRTqH8TA+jpCF7/hSk+9+VB6EP3J2RFWDQmtNZsTNslbLBLMpoUAqtSkgCwhOpLdXwe2V+TeXQR By4bLsAq8itcU1xm/JkNlX4sKPShwZkhMwieVCQbf3pnlOALdzImTspvHXvcgYAiEeRtU7Y2EAil TTPc+8arKmhLJo2ge7O40q+NPYsGFxuPsMohn49l6EH+aKRfosYuwMLLfnWe9YyyUYdcESWhkQnB GtUG97NDO00KwlmN4di3jqraHtkc5a0Xl2EvqHCHi1GqP7112vMJOXG1T9fy6aDeXbUuSdnRU/gX 8Q4v1sQd8BHeg8fgLUQ9puYs1E7uwSLvuXK3+/LPU0TIsFibIpB4JEW/OQYF9NmKJIpY5sPhqpRO DXsD0hs/QDJnz7nDm1UcCfvfmy6OpmAT2jiLNMfcIRO4rVQAyahiO9lTUMvmcqg9vcSHFurGD49h qp6/8e7xilfjYQzvllXZhYubuM2vir0GyHQveTxSec7Ac9LTzzyJzd+ARBg2fKCKIholZagKT/UE N8qoWIOscPAFyay2JWQa/wrCZpu2QvjkZ862TNInE+SvCnYSxphSRLw+E8Gl+5tI+XOAqb+lsrTG 1q0vZQxzoHqftbUP6JNXP1NMNDoBxwx9652jRKCPQgsRKpUl2bYGuSqoIvXYHaxJ57LkGlKlt/ty H4jNaVq0BYY5cpIXNBdG+u1waD4nCLOq1bRX6iIXhL7BoiBbhzefFdSJKjB4WkYUYs4aGtha9vJS kCBiURce1SrIOPFQ8RVtYeNDZk93VrJ2JQ8u140YXpHaTHsg7pINym3/scDZuwlHgt/CdWD338EZ MWiPYM+Vclcn7G64biIu0dfif1rdez2mAe39Fm6r93ujMM1UkcXpNbsh3aq1wPFBkaVfzOc6O4Ld LYK/xCnKddj8txM2e6OACz5VsVRQS9LnkfC2QmFYqhhf2Nsad0MtHIr6/gWcYs4EXKNelG0m2Xq6 O49bsHR5ZU2ixdNHCjxL29YVumzLizte+AemyoXcz+9Ua9k4BxN98jBQ0xDC3Aw9OvwlRUpmjHeP o+yvO+qVCpQW0866lQmofJJDPxzJLT+BjcpRuMjROHSn5bNTjdgaBevB6eEQeYvp3MHcVYTRtEqm mgVDyto2vxRf+RWHUB519kLL2bhZ0WpefkKt4J9cpejaEgzsTWbS6jcNgHH6shtf5cqcklLghoIz BmeyLRx/oQQMV5r4POdOs17D+cyRoEP1CULbJ76bWxMLpGb8iWM9xGlRM6O+E94VVZPlcT5oqHQx mdXo+1VZ+n4twEh0AwIIsibCKZPg/c82+gbdW/nmUGTCK9Hmeooqw9MxzrTaY/UbdJ7D2iqLSN68 cWo4jus2rrqrbV2MaNqHPMi8eE50YqBftmVdKEtzJz6aQniPVIfIwR15l6JHcaa9zkvKsW7ajXkK 3cOjuHe+U327lGDheO4fJ1zjT7UM2CdEKy4ENyIsJoeOqPDKGFwKJd8ISwmp2NAodeNkeLLdZZBs tE880CZE+0NH4IgjRIqac0DGh89pubuMtQsihzBHiEwRmt8LYWrDweZamOv19zIOmhapSJiwrFZK EwWuOW20NC9tc2K1qB4ER/eCYEG73KwiKy/VCakARO2YHRuiXmxYTF520wA5W0nwyOPhfe1FFORt ZYviARINbbxVLD1iaG8QhjoXeR5puNXxhdxxlS1UQbx1qmUBBLc11PF6oGZ9MF9vEwKDop1rF/nS GwHiTYdYuSFmSYbjQ9/Eqv4LkB+MUECCNjv2iVNgEPCkCDcFSnuj8aeIgzlaNJLFn4xmbDrkrck0 wHC3xHWceLbZPqKWDkQEjndrTUOMzxBzHmjFoBDK+ML2ldr75aD4/6vNB9eFpIU1JSk/GgXbYixw /V/rqQXF0wJ29ynSJ0h+dCERjN5lr39yIQgPquROyCn8S+HMJBxbMfqb9t8urlAPVA4bVF78ug+g prpTCQm87ZNpGQGYTamKdacUCJVPghzAsiGMkJ1ERIdWhpF02BSS+3u87WkjPYHvXKPfwZf/sWc2 Py40R5rjE2VfQBdFBQod6jWGoU9da/gnfMknOFhA3IaKOrKDadXYsxFETWJAIekKCaPt1UUNUoI6 LbDlPKUF4AgSEHcVR1VItDlsZakTvgOreq2j+Sc8EJtNRk0BMDFI5wUIjcy/3Jaz/nD4ZVQ4vYAQ AGnIiw1AoQ+G6YNg/oAxypo01wMKvQ15jiZsKJZXGrgsXwhhasrLD5abFqk+lq1nDPRV6tUi7jN2 kFwp1RBSW3WjO3UV1Ki6BRYnRnTo++noBZmdz2GbF/XyutaI9h1SuXUuUK3aEPoigq2M++lc5Prh 3jM8Dv801jXSNT7HLx1T5hD/EhgOI2jsYh1nfpC/nMWEoiJPAGxAbSbCtj+4qyIPRWrqyijnw1fY xYap4rcacMrM5czIEj4ymgNWIW9p02UGfIbbOlD089sHLN5XhG9yDvdimpUJh0bxXpccDEC7IG0y WKBoct+2jDfEg3wQVfTlq9YpoEmwsi5Wk4CyX6Z97nXKcPRNTHXoOuI7LACuUS062CLe6GCQJEBz aYhqc0TRUBmy6nGPN+7htPOdB1G4TIZv/vxe5QwszTIl0litm8MJELa8O67Tags2gTqIwvpzKG7j cjeJsIS7IjQbKTZioWeECNXfTaoCHxEa+uN71DiVZy0j9b+TcsZ4zNJfzAk3ZhEZeWDHJb2zfwKA Dw/eEwbYgnO3904uto12mpmSNm/+HZIoJ6XCU+UYArmFAuOslqrfGh++WWjzA0tpoKfyhZuFZA6Q eYnrWIK40+R+HIgWBbkUfuW8FizP0j4YfWFMhY5xtKqXoPO28xb5vleWKjpRjHHH7azkbEWUC205 7FI4CDVIRlzVIwOrNNMKsQcF9qsYChuKmdC6utGDiZ7mJsqhKYJrR1mlPDU29Mi1YcD2XLxnDsVW J95MRTaine5b37z14T3elbWCTDJLpv1e+KxiYRBcJKi00ovi1k4c/xCxZ1ZTaedVxcWUUA1T/z1m 03z9SJRp7fql+CLvNLV2GLkQ359Ncbn1zpd5KQIwTzVMAgJLVaAUHUNc3gSwni3YoD3Z6osiCcTD T7D1OiKSa9EHVcbTw64pBGElckVy5GWcOcfftIYpIYuMpQikHCVznAERQzu7Fm7c32377noT27bB /i2RmC3+cVbruvp7/3iSq85Q/q8eA69opar1MfJKGR440H4JobhSjEcNGXMJC9eg2B41t67/aOgG ChdLsDavUjwpNME8OJaS5Mbm2e85LVYBOmq8SXZgpELI3xt2REQWZlrFjH2TjmBWgH7zrL/zsMlq 5YrvJfeI6bc960GM3VD8g4z7R3PHSBHlfsqNuiJ1MC1Ds3/T0+U4Vs81F0qAFE13qRzcUlwOoxa8 PIjKfTUbzKKxB8xNH+BGx1BsL64vQNH68kypV77sz2Q+ZAZzuEHAgyzjPtBwJWV/Dlx3gcjj5DRn tZcRJECPrcjf4K32y26JzFeoustvjU2x7Z6WAu2bDMvTki1mIMUBu1I4yGtd2H9CxtkemnNG8G5A 0dOKXm01AHbn2XoqdkFKCf/r0fX0wrfvKeP2TbTM4S70XiECBi/TtoCFkZ417KpSMC4GRetRPNZg GVRB0SdKmX+5E5mXClZe0kEWLvVuKwFbBz77IFQzBP6a1SY6AynQpcQJIHlntmY54hzkcVK0n//o KMwIORDqOyTPs6fNebdaLwVlqFc0/unNr7gZ43rIkSfpPFBhQmPxKekbdXguK3g1gp3jhe8hpBOh 0cME9xOQSpA43cw9E2tfXIdtWIDuuTTPmWsjq+/k25iyt6dN/RpnYiGm2WEhISeCnC3XA1C1ou0a ICFRxLUY3A/4Eqar5rLaeqHWz9TEKGxqJxF9tPFyUtQw7TbcpgIkiD1GQkPUSHmMDqvecy6/j8p8 sxhkMhvfXMnMLWgSreKsVm+0ZpgBOlhhB6wwhOwIzZCQeenzECS/5bsBoDAvOmRvb7yMlUN6dk6S +FuDpnN9vnlq/s7svlDPIBjJYjA8CtXWGUlbxqd/qSip/RS5oVZTilJI483nV2yhtfuiiHlru1rr bggVRG22j39zQ2FHaXHXDSZ8V6mgj2oCGQaqRglN4CW2qbS4+nDpKcNLasPwYe+oSMdjz/ncv1Ge OSH22XF2C2WSzEbbiAsg5QdxIfbBkY/+L6PxtV/Z2EPJZb90L8VgQe1ahduJBDhHj9lqhpcMrSW7 TvXxfFhkrKGFLiYadUn91piA7sEewEXC1BzarsmgJtpZC06PxBTcyfPie133YJFVx63ex8M/8gyX I3nUpKGJzKjs0tc1sDKsFZxvt2PUojYGsJ2ScR+dpUnxHjHRfOsZkPe5kaukN8EIHa1+ZP24Nl1j z4PfGmyj/ivz9HhKFATqYsknGTCQ6msYHFFxa6ubdPCsrjb6qb9fmRcIE32lcNZOBAms4PSYbmoU v5lsSFUVGQbLhnMtfeMr5f4lIYbl8I1BjlxUSog6SrcaTVZeV1iAL/qz7fgo1RNa5GwHif2llwBA lhkkamo22OGiQXEswy1DeT9Gcj4EzhoKo75JIrkSgMD1OaK/yuMn42ZLGJvuQraqnBIhxrcmy4ev arNm19C6jXsw38v3FUdePAOb/ZtAhXpX5RrutOCEdq9dxsN+ZXh0drsGYBMYVcMhUvf7d47DsyCx WmSO7ofLNskUXRqskoSaOZLZMXZWRLCRIOddWBceUaiMg3om1YlNNhwYur31F58eII/g9ufUK21y avfW2f2Wf5QnmN4JWHiHgmFrm7bTVk5aVKbA3FCD42R5uI9nlhTgpgnxg17UFavgJFc7eEtROz0I c00QlbanE96KWE6NIfKCXkLABiCh+WiWMbJxhzseqsa8Au3/0jNFbUYyu6X03D2a1g2nnXU20xCJ ZYjhoVPi5spocJ87caIqOZgU2jLVUyP6jSukVvZOFm2X4bikVga9T0AgpSQdUYBAU8RPubxfBWNf G4cawwBBqEgp3/Zag4X/qNwJXbXQIb3qIDuJEnLt7SYrkEZTzsZ8qiO8+HgasNl5Kph3pI9LNTPG 61h0e2Hn6QiMgTi1aMuf/Wlz+k2N6AJY9LqS4RHE6QQazJo08Y7dT0hcWWJDqETpeJ+t1ktw14ex +RZf2Gn2mVGLj/4Mebyklwfv46cYiw359ci23exQS56UVMRbLiZDGcsNXW2q+KwZsUsAzRCrOnv6 JS7Fxbr3YwzDuutrVTUM7jyXvWn1h8br6xuJ18kdYNIH03L7qNB68HLjzF27NkpBIOciZNlSUBLZ CrL06dI6DAuNKe9FzGCWmnNPF4iIY670tuvuDmyWOMmNU5dbSrF8/9GKLVRfqiqykeLh7pdCa0YP uzps9+FF/pKU+W18aQVV8eVi3tbqHHsrWWgPYFsFDA6tJ3Yx+Mpo0AMJ5/Bixdtebn0RBWOvndmV 2M9exdXA+Hpm8L1YpVsRXOLOZXy22w2y8MfxFRbhBLMZHo1A1uGieZecTGtZgInbuNwHssA3KWcv 3frxooMuGDU3b6i6UHIaScLOM2Ftgn6cPpH1AVxqRKaFrTP08EDrp0Cj5Uxy/Tnb7fyxJVSXR1I3 g4T3RjLIoDy8Oo2zb7LG0i2HqdiQQBHsz46lyLW8+FNQDBZ+eoR8eGZbbMq1R12gyhGp404BG+px 8ziy1hz0eL34A/j0qSsaeq7kyRYT1NGntLR5LcMDw+z2EkvZIjMqtkUMiQgmcVttRujnVO9y0dqU 5dsOomfgnxmojp2sgKMWPiC9J5eLo2Y5AEUQ+j9LL68V1Xq3wZrNkL3g0Ule8YU9NVtBemhBtwQK ZgREJQIZRiNXwi5EuozYQfg0xRk8138SGu59WJLABNYtVMyu1+MKXZST/xF4b77MZJLThfw8BdlI iA0Hi6UvzhOFfB0QkcGnMBgqebf/tCrD6PiZRXyLjtmOoKHdXxZJwuw3buDh3PVdN+Lh/FAOgqBO GMnWXcKZwpsDttgBWkmI8FoF/vKDnBNsgcxu8/ptwIJbu5EJVDDuc5YXUJ1Kz+SwCLYeFrb3RBxJ UFUyf4C8jZRDfzc6BzoXZy3CaGm5Yd2gv0kAVz2AoeyMROZcWIo9idmJZ9QMSJPhkc2GGLFmL/52 BdBRUuqEYgBbJE4XQiW6d9y/VJKzvVYFycoJ7PaEOZacqK7oUkU5MbdQkJi4TrpZBylU7qEhMUKV 3zQb7HcFVt1Z7hEfsWeng1kiLVcGty2ORYLz6wXeC1xcLpk7lSIWW/lZx0iNfpDQ2iVKU+CahKao UIfThuWJoHBNDGwB2RsSALyLwKhXdswFt5FII9GF5Rw556/gMhLVaNFfGXd6T5QH2CypA5pgcRO8 VslU2nJGgqevxcIhVSjr0qAOlr3HQeRh+u6CSVxLmsaQM8CbVOzS4YZMzuF7fnj7fk4Q9Ww4mHsr jFJWBtAUHaQzyS8Im0uIHvOcLXBwWoqbwSQSsj+pDqgOByrmn8J9+dDGbokiujVF24euHrS6HFQM 6hgMGJ/HfTjdqB16QiKihrEsJCwqL53ItMbL7RDe7Cm4oYbW748y6fJkb7zFHWE++oA9G/ibSDgE jWl6SeTFzJxqw/V2SHNNzL2gNMGjmsok3zebI/8WcyKtGcAFvURKNWK62Ib6ImplHBWFw+IRHDrs e1cUiFT/iZ1duWAhiLtw0ZcCTKZT6dLIVWtdVCMGQXH3pKx5H6/NrFULOq01BSAp5agk7dROA9FG MD54TfDO9a5Mps/fyZ1VOlcTPsfJj2dYAXw5ksC2DzxyDjXe1NLuCNpBHGY6vspyGNtL/aogspQ7 3kRMVbVioy+ZYQ36xtfZaCqUBiAQCZq7bQWZt0U8+R7HBCigzCKhZVhwS/nIkfpzScU73mElZQyI VZZNgviUqDjnfWktYzGm6MT9Fe6GzB22NlZICbxkqlDpyLe/qAaflyup9c0SHD7t6IV8A/q9TZd5 6Wg655XO8C614+ql+OZadWrxtDWyGdPnhGSUUOK4Mna2Vx2qXwC0aK8U3DhOC+foiBNEPGqQ4Udp 3YrKNaUs0PkgEcgzFpFsIrzXnKkNSdLV8RfRuuoTmcMryzxsV7Hqm3UlswhHo+l2dVut0hGqPAsn Fr1ETTbH8iC1ALuOEhqQ63dJPuJImkkE0wGqLzBu45wdl54q7xp/jzAiGI2PlQGoApsvZ4eVrzwd C7yB6YX2zg7FMQbEMQUUsdv9S93eSf+KkPbgV5HZycgXa+tNEiAd769W6icZLlQPkp8RiUxbVrQJ u+etMd1AcLZSb1Btm8TSoXHG/pca/x/sAJonm+zmPbiytvIrJvKvHusYh79PocIwo8/4i753jzAE Sv6eS7kuhCISoMeybIvbhpynsKBjbts2HUXPnKj2JBfJRbhZ7QXC6ALkR0dJ8XE5NhhtET3bjOoL GH2HEzbczgRZGf8Tk19hLWK3TN+WO+OYSc3jdW/2MEIxrmXmMkJLktbApYuVFsre6pRXnjvs/zlf s6ngksQeUZ8XIpHVxRNSN+GdRFMgY2exEiV1CjXTUa4V9LZlD32MedHBgpXtgc9g7hg07xBKIEwT DOk8usW9pbNzE2Qcxep4jqECBWY473egs87tmiCKB1BAqxxv6EA4HXNJOm5rvNOdFlFd39TFqTdy 6FJXMDhBzXfikSCW/8fKgOn1m/9o2EGf/AJY6MGmmRF+0uL2hBOD48N2aNM8T+28nNbW6V3TProZ 1nSvVTAauz04U/lJ/oZIqzXwsNXxspG0/TCCgeNMuPGMav29DdNxLaZOAKWZ48OmR59CUIYT1Mcc zon7gIHPmPMB9abH1nlSalnn+RC+WnwgLt0XEGb1AdXJ/3UrwWcc1l2vEmoadmSaV+dRXkpAGGDt Hg9gAHzHhi69MaWOLuB9QXkprCxQ5XKseSv694shMdSAA9xKbbLRSxuXH3V/C/luzTrEwBQTGYNM gL0hwym/i6e1HLWUX0EOPRAABUNuyDBqszYG6KfWOqAkLtfTY23MJT5c2bu0h1iA3/BdxjSAz1/K SUDeOZ5Rkh4724TpwG5PoAso18vXzQeAEv/V/YYVpE9NYdonD9Yzfz8UGBGNGul5W8iZhEmNGslH 6xpHQIuqT1fpnuFsNzsfbydOOz2mPA/OHlgILoW75yVP+rzfnAwyOXVmu2r439sgnbpUtDn/TJdY s9RKR8hm+ecunyNnX3YbxgmXw+PCZ8J1jIo02xy5UbRtIbRe/hiU52Xequyjd5U6jkM4fTDjv/9/ I6oGxL37caWMg6vVLMDzKqGLEodCPerNCNjpdzae9yEWbb2/kDxgzwYFmpyWv+h5sZsLywSUw0PY /ORbF08gXhe0odl8f9+RiPYraXpm8UqwfFsq40Xsc/LADOTVlaS8mlOpeZS999MqOQU7UBFqJriv B3QxHw9w7R5/A5Kk1fVJnnlhpAgpdDvV069sAx2rS9lpdH39AGA1EnH8957XEINlcApXPDnLYVtl pFWlmh3Du1gsjDEib1mfNITa+DaUH8qzogRX4qLHarP8IM93gkUIsDVpRrYnWdgQLYV/7wV0L5D3 iOT3fOIDWXy7Je9qSWMq82Z/MUgfQeluMKZRpVfMKhN3uj0TXc5ckShrZw8SHa6EeKT4LB/Qq1hk PTzpwU7gtOecU4RVtR0g/TVFPSgxBPoQ/qZHFNr68DP0qRj6DLp40gcjMsEzUSfCzbI/+xJlqYkR +TVB+jJKmiVztJq71qcmHFbef5CVESO2kqdiCgwufQnxTMyp6kIKBb4rskVncrUWRuZ/TpHcOX8E uwW1WpqtmHMY9MdtwHbPlRjD4CuZRxoEXseX6MrN9oiPjx+dcbOwggC/S0R0ZxVdjvlDnCknd91T i7oPi3nHCVN7OCxEqrtRbLio97Ysw9S/LWH7y8mVBzV81Gj705XCDjQ9BKNibh0rLl6O+J1CQhyj uhleSrW/IAk4KTMVp74ZFIPSktarESvI1aXj/yDtoubdieWngQC5sCgIvA/V8kQSFibnvWyudgRS TsEFbcdt5Lvw6xRZKlHSzuUYqUxvB7RJulJno/9Cfzztc2VTVT9b8zDu2n+s+Ryi6wKj3tC4jK3p IeGSfqWCf0Jxaw/b8PnlIKh7iHZyTi5znu4FYSYZU7LPPeadxLfbFy1Ib6vHKMaSi7A1T907XkrZ oVzFemSpOUW5DJ2Y6PRlvkj45NFDwotfdByxy9xjeNrI8NCD6DRer9Ob3IHvPITyF2bImGmCkLFn t70GIdfiuocpYrsLsRa3DXs7EeTT94XRDJTpTtQjFxNZ0YCnnFdy0lz1psHuaFmZPFXhquEvnX8d fCD3o5UZSPehxacipNR1fTthkCIueBsMmvlrAVk5b0THLsE9IUMi2yU1tNIXYbgtyDxF3JMr6/Xl JPwsqAykPVi4H3UF+GlWpYw5thLmcP96Aw6lYoQr1os9Xw6uwOhOOj9/mq0WczE666/IQrRJfW1V 9xjnfnSI6JXQnidjDrAsYj+5+2wwtf6QQAE1sB6TVKk6lO4AyUF5PYWyoL7vQEwZyUYDc+YBkqAg raDL2sLP83ZzrU7iPjduI4zAjDZj5OolC3cqt+oYCO30mBZadakghuxVW1ysryJWY1sj4CyF21cn OrnUsDRb+JN84EsHoRLhSXUY3KXB4xGnkAAYgv/qAP2UosW3HpTaR7/0qsS6bRtDb+C9d6m/dc0Q OsF1RPw1twAoJCWAnVK97vOCfT8wnlJxnLssxHekunPTPs3FnaHhrhM8u67esmR4/TmgtSqtaSLV BKoKldE2Id/vTnNx3c+/I7Q7lXgItqulAkNHndYg/r1+XW9g/M/WR3/vFBD7jnlyxP9dAHmuQtyd q+8EzmN6l5pHmozVtpYFdMBMhiCDawSBcp23e4Ac12ONdvTQ61xmjnrc9pEtK/4Ef/Y8vdPtzyu4 2MNc856hkWNCAXDn14qr1i7Kl1PY79lnRlLlMhAXo4eOZaCBhM6lgUQ05Lh0wJs+/1IAGRFtlo1l brZ0UKwAJUmZsx3DAVG7dx4p+1XVioh9WxuIiCZFg46eP0F6dC9PYNdzjPFOcir505WoOcnauMX0 8OooeIj1rDhJulCy+DTyw1AVEn+irwLRCGTBtsNFE5xgbnrjBH7lFL3qjSXSakF9XFpsIctirqKf ZZMNTCogXEsuPeCfUGrwwiIgiLRiWzJIuF5DJrle7b60cXveF/0j+OfACjYWg8hcGdG8lZ8kuJVA T77eU2NW2rxO9Jr3lZJn23YDHJUt8Yg7f/jQIN8EyBB8k8XxuyaWevlh2ihw5RMzH7rjMel/o+dt MD8C2SQlgEOjw0aI9NjgCVS6NWbTnP1SoX5xnQiiEH+rmqV2OLSq021yZ0VIKoQ0FJDQxq/N8YVz pOfukINyqNuFlS8QrOM9Nd0WA8GByb8uHuQNDKetFDCDXEaxMAQYw5dr73fsW+8vYjjBsd/+HO7W nq010vxbUdQHUtDbO2MHZMIhp1/IzQZpMvZrvEtbXdacUpH/bStjNd9FzrOQiPOni5Cicjk7tvGU 33+ACTWOOkrQSIMSARZ7PQ3ygk2DiDoZWzuFV4/OGwy3jKiU9oOD5EsM9jAKimJNQx5NPgGhBmzC ISBfAmwW2iBSGrKR/TIml34W1FiSkbVBXBKHiUvEX67q7PQAvp8arM8Kz35hytr6LWcQqZJmdQmK Kc/d0KDR3/GdOV++2Lm6cuygtYC8F3JT6AsORuWP1TZp8LwuY/y7EPSnup2voT1sPvEMKBbgn488 ikBSup723UayGWvLaUg8wpnMbgG0Zt8S6XzMgP4OCzk9rNWW4wLSAH/ETCvDY4vZylqlmyFFo8Cp XwvUbPY8LrVS8KTdfJm+AcPn9azQORqjEyd4r4x4IySgvuT1deDuUGLIakIRpuSC6b7TZ9zkucXL rL8Qh3FeM/HdEBjtqx9eTeSOhDCNobUXAUtF7kO0IbCAB1k+HUGQqTVINaYqqmPZlBw0SgbGF6Pe tSGqNV0CJGMRYMMKdM0lTa+/cBqv8jVG5n5lRmWqhrqPv7IprgBt9p/pIPY8JJC5vqCpHHIRkC0K iUcsJAWRK8Ngtb6YbVeioWMRA+apyBz60eNsdVE13oFKeFZi5U/g5QmLfWjSHOneIUOwu+lkr//k KeX9wIZSiJFBkobTDyVQi35dWb84d5/B0B2QDqBYTzR0r8eO/FRlS0BGwOuCwiAc27Ssg4ms8Az2 VzdaoqEIwGgKBSyvP7v9HPgNYSw5YvSD/HowidTt1VZ9pDQxZo8ER6ANfx1bPu9a1i6tP+xaaDRB K4jIzyKuipEC+e6vTqQ7ETPDZ+Ksg8Wjh5HmX3XUyW3h9oaZjVLCM4eh+vTKnv7XP52f1vUw7FUP P4i6gred+k4dfFh667vBKYfcyP+3minT+E7Kzky3ty8uw3tWOCWJFV2w5aZ8RvlnnQlIKQ1M4OaU CnTaUd+8hLfPZJbXCMv5DFolyGzFreSEGS1X/XwiQz8kTmfdZ8n+wNbrgsLO+7n+8YLSQpSMG0Je 4O3I8J3JjOCPpC17jqMUaezl8AhYWsbgQy/htu3lWYUvALnWeJAZ7Qw3DP/9L+ag1eLcTZJuxxMK gBa+Wi44WDuFZGr/U9zS0p+itkSIsuCRZatmgfmzUijOClSkBbilZ6EmUlWZSoejBeFXrQ53SGK6 +juV+hSehZySslKaD/lzasI7lUXpIPTE82+8NZ0hHWdmpKbdjnfnPZlCiC+S9ckr4kDdHmuyt1Cw dxosVzM0pDqcMmEz8Q3mtcYFY8ZwVUaklzeOrmPkb/C5c1e0YlB04B9uVb327xTcdi1631PU6LP2 4seZB7OZx76NtALMR0rC4kE+C1o77T7sAflUzo2VUNhlJzw6y2h406PPORbfnXWWNnjcy8dXEGZA gJEgKu1Yd/fAr/bk8B0qIPkbDsjejiorwSZqy75SpMxzitMwGBlCoYqB6AgLpU+W/Lctg8saAlf2 9k3ktRd3GFicURhasaCpjXsW9PhmT/EnJSbz88byvDDE0nlzft8Ko/rB8UTxbQlhJUVmCuMWIpmh OfH+ZN676t36qmLT7N6u05f46zZgDswZ1Tv8cPa5C+ntAJ5pqlt6H/qDioQ3GrQpE6woQK8IIuOB Tyfaq6vbXKFnO1YDfiJ7AiyMMwtFxl3HGeGuIsC6KA0e0tsiCqSCQ5OpGMg5Ii0Z8dZBBZE/tL3o yeNvThLq8/AfcvWj+ZG6DC5MWnyV45Pwo0lSSnRvM0u/CgrugVrvgGNNyRfY3REqNoi1f7a+qOu2 tuOOCZOX+Qx6T51PCvgOuou/ljTqzVZkn5+IipB2KpJ7EEEc5Y0N3J/4N3G/YcKQLR7SOAH6pucv Op/c/Xpo5cNU2QVBhVFuVzKOvg+tenkKTI5Pl81ecxdnE5Dv4aSZfkYtpWP8WEV4YHxZhvzQTb7I jCaeV9d+iKq2RrF55k0tvkO1VFneba7HW0DX7Jzou9u8B00aCckFid9U0jkIVKknWnCvp5aRDBxG RzLJgNj9WbTtt5k21ZcXvP/ut88MxFgNgTsI+2vXUs+FQ3B0ojhYtccNe59chiraMUBOu3U31Zxx Bu08Gl9YnQlYBXoQpysJSbLdtz8ITta9MbsXKG9PPuXniaWl3S01sNUxtzjuiLiIiTMC6fQ/JLbI lh3Qak1mZfurzPBnzm9B58PHH2gvdpjhkwQPtg+SdAIbYXjYqUs8t6cfGqMmdEHIC3jDhfNMZ508 TJGysWS48cEE/wmtnHBwhB5e0Mb2H6eXh5iRLIgduREwA1wfTLuwAG2RZnbrS3tqeo2rhkIYhLGy UET9RZNxVl9eH3Kg2QomXB68EyDe+KxAGEmBcHio0/LB93+GdStTqM2NDsExZEC0rJmjFrg0VtO0 tGb2lekuVk7IZK64kgbeTR0QoAfGp3JUaSbEnNnmj/AKVwm5dhxFDhBs5smf9H0MdxRAZdFn7xUW +xR1cpHaqqn8TbrJBgqq5hmY4pXz6t2PgA/lopH8oS1R3ZpsfOUduWYZDKzETgGH5plKUQ1rFkO9 bao90VR1XL84aXknyAKtjHWhQ1QmzrdjHK2alQVl+RU/fiisI3b3RTnOFyf/hPXvmcsIEmp7irAt C4UkCoetPl/1lyMtT7lLFKVD/Dh36NpzeFtaWvGmMCKF1/F1BSbswsByUqZqw4HKj8Ab2b1PiVLQ ICVs/3aj44+b0Bn/D7NMwx/YdzCzNyMJhQejnulCcHDbltblMXlS50pJbDoWUl8PSllHY2xmhzZr Nzs+kfBe/jfl/clfI8OE7ItGe6+q2xoRpIlnCfkxHtWZDWZ7F3bjrJ4wsESSR/WjgQmv7snc7S7z 1omxoLfQ5oVkS9gjrnoxuB14vr/sSJK15iSRVDjYkypmpy87nChND8UXcA1MuAmYGeOQWrX4HHAO 1SmzhRX1z6F+5fSI0VqZ8mILep2GaT28rqg9HTC4ZzHKYJcstJ/aXPcYqoK02wmgscI23XIpRE2s 1muOmc4NjHLwn3vT1EN0bNHmTeviIRhqJtIFxCVvkTa8c4RjT6vgxlqf509vgxynExsWW5+xp7zF Zv/8u5I+staId1vbYH4HU6TCyeUc3oid6WyGQ+nF7s0heDrqdr40wDNYVjtWh6BGcVXdt9WOHJ5h gvXUP15Dxf52cqe8eCrFGsXoLKQ4Bi6P8NxaMrmbjLpD34SBjpdW3OGKZD6KpKQoKBhXAbFzifi4 dtE9BiSAhLBPWiPLM9A1sihZ+Y4DRGaxiTPeNSaq8qBK0DXfUVlCDZ6/L4COQgMre2+yTcKmwNr5 m/1B+o/QvCxQtgpQ1/cszDi09zilY49KUrE+n0chXxrYOEPpPfwlilsq9Jssk2RGDd4fLvK6E8CY 1Sj4Rp+/IBzWgfNF5lSHCGTu3z4hQNLXDOBce9TuJiFfc5g6ZRgN8GTZiqrX6Ufi5TkJJd7ac8MS AYxubdIZGMRQ7LhQsIFhTIAp3+IskPvpDGhfjtB2FhQkXguJBjFRFiL81ulihPLE6AqPzudze8p5 jWK1imyTH3K1hwzr39/iHSucCaXh8pM2kDTuVvqFsPHHuRTWK0Z4l8ajgmU9OTg5wOwdvlIRyl4/ wdGDBLzjXAd+rNsP5t+wVKfQxf0J+on7XMsYSzjknOPh+8RAInRJPpmW8c7zQ+DCW9LrF4v4Q8bm 4O6LE6YUDgAeF4hCRXPBKJ3QF/EqMpVtgsPz3noY3+GDJiSEdNzlNLnXbS/UkNfTUKFnK5VVfzGN TxmtwHHl0SBhDt0XNeDk9NCe2qlFswVYX5vUsNdutSWCOeOs2x+v1zSNtDcgktNzH1G/N+fPsna6 11eF3ot6vOH7vwoaJWdw5SpHSZhgvOWFXu0TNAFayzRHeOQNmgNNR/e5gcD+pHGeae9t8tyJ/Xnb JUPlK6z2iYPqQ0+j5pdJvxq7s+XAfxMf3xXzIa82S1Z5fPjKJh4bAsJT8mlfliKcseXg2dBFAjvk in8oDhveZ1wKAxkE3WgfUK6t6BDPTU0g9VR8t99A4D9zLh8gdGHmGahqvoJIt+gzg8XLEkozLzrt cqZKXcEzFxvm73skIl4J+5ynzZfTEODf5lJEzHg1GAtNkW3aWaTdsOQez12iSGmCqIsgoMIBnEfP H3tGZaQ159ndUjAHEZBl2KMYgMuzEu+uQAgWg7ZhNOSYNsBEGicZXWy8o+XLyGg7QcP3d/lr0/SS hqFjv8WZpzgjVNHD+ux3Eg8ZTmR2QAimk61TgGYsvXehdMMCJ5Vk1DFHy92Ln7EY/QBn69Lm30jd 0bjmaekqosvbSM6jOfEsnBN6zQBcZcYfZz1KDUPqEHrQuadFfxr1EzZ8gOm9IMEjOdSTIom12inP Z8x2MR6bPgH7+yvjZ7v2XK3CbX0XS5/Q9FMjhSSGkZx8Qo8ni/CazbnE6CUruvrLItmKOiKK0AIY KMM3haz88zZMTEnxT1fOsMi8MKvtVaHxh2h+hjzyekq5hzYroj3Kjqy7V3S7K3pW+Dy9r8m8DuSs WMAXBDqB0zK/mnCFUCxqAAycelmD6orNgoZ9ddslRcfipE+AloQjxBcW5C3ZHmQRggc+WOGsbiWI D96g7spnY9hkqMjaGCGsVaI7xpqfppyAs6RARN9XROmHT+D8ie9/sx0eIxNU9xAonSK+S7g5Mrhs zI6ZjqgRIlePoWs3X1Iw0PYViphLAYtG/W0M6BpFeau6bEJnp+rNxQNJTengbxE8GEYuxxLamLyp oyGJAXzl161Ye8Z14mHly0W5OxXFqurx48+kJjY/K27Yl5WcglPTZeFecvGAeg/yDNCO/dRmYrwo uYJNNq687LXiU1X7w6IA+dJqCzOz3cLA4rxEVfsI/iAML93Gg/MZT9tLXYeTRHMDMDVDo8fzolLj Y3CEnHY6yeOa/f6ZPLLnRgYiBu9h7G8Ud57gozZtIq53SAK4RR3UJ9oIvhazf+E/2euQ+k88G53J ooTV9rtnl5u648hzEQXImu5D9pyVZR+L3l22mIIDcs/0ZY2fMLimiCUzjVXSLxOumSdRX6IwZV03 3TECcZrWplk/74TvDXkUKMhQZ/Dxpv1gKnYtnS1aHS91fvrI15GIUIbdenk2PE6APkeWmN+l4FW4 Yk6zayexSCL32zmzgQ4aym2zqtRytx2IFpawJ6CLqex0bPov0gsCvSVWhRn9NqqPBhVztqfoVdpG CUQimQ7uOAvdaadAU1hfkx2jxKrVBh3M340AAnEKKXHEz870WlxHVjDfi/6Jn0iYMKoXwC2jSz3Y QiQjsgu+m87GEV2tTMuUakt3CoA36qClHHZ/oudAWPyT/jOlUqDuPbSnptkupjWy19HE1Mtnj3CV ZqYQ94fnXx3VTbguqzmR7eV3v2sazkPRgL2tURTfH0iE1gwoOTJ57z4QfJOMxNQMoceHkB/hFW5C vFU5nMM4LaDMtSFEwX6QfMpyeJx/7xgD0HqpEdju3pdazkqyKLJ4d/053uq5fJUpG/f9bV9NdWuq PUAR8fFIaSgOSQ5mEuu1hfQUKKKH+tvCnTTgZTyvEPPvEr6pBkkk3kag4m8Zka3MtLZfH1xpotzS w4TZh7UyWM673dPPaT3kzvY+cVNzaRRn/ha8K4y3XinTRFyXEuHqlpWkKxWZxWRgLNbV22GD3kqh ODolcr0/88Kj2DtLXmXnwI52zKPPQPuPjWEL2VtYbsPtLKOt3OPCk0FMHHhQqkeaIbg5izo0Ui4k 0Vk/wj2zt+s3YB6GWPiloxGFIhEYW2joDWvMK2NVDMCvoPh087nTwo/ZQDOdvAIQnPlDexSdvrao uBtUNHQuX18C3d3V2HgKuTdvRXE0xmvThSMOzZe/Qr8f9RMysBbPnjYO6BdbMh2stiLTOyrPEPUe LFENW0Xr0wdbtnAFtxmeVcjTjO/xSNubZulRWI2RODNIUieN0IR3Kiz5cINBZenUcNQm1N7dBG3U Czoe8P+Wj26JPDyZoqHnQLfqttEV7yjY3LX1sq8WtV7YphKSDyR95ilINGr4KqSVNjRfkZgxEBYM IDJSL7ueZX4po3ZfO3hRE51NjJVGxTq2VIS4jh6uPYcqfno8q3lParel133DuP1e/VjOdyh2xIpv PG37yQBM3KnNRXkZ4+C1gG9He7ub4hokXRYBSw08eX92juX0b9YL1z0ME789Ie+YP7yboFVEBFmm cPl14aFbrO5lDNhd0hF1RtYBfBHnZ3JWR8PhEqvNeUX4Q58OYzYrVg+7yFRimJoNIv6dvH0boh7G 8boJKfhnfsMVGimZE1fYrb3DaZXdwrfpE5wyzUhAfwPaG86OYkf12M1TX6QUKBj79r7R4sjNiMTx /ZOxoqyIFylvNY06+1yVsRIcdHU5NKVdcQrtlzoweeIg27XwakA549Y0hK7l/cY18Ba8CXEo9IOA YCPL6Rt4jnf9AvoZVVg+lYFn2FmaRLq+Hsr4UL0OVqud1zT7iydkQtr2wIFEpLSsweJIJteAcbAN kAp436ZpFFjPHWyv3+4aBhw3yUJ410yJGzh2dng/0zyvZ9dyy1SgDDnbJo+9Z8wDE8txbIIkbpmx H+4Vseetpkzuc73I424wLOOunQ+AKz8PeAmw63b4LtXDS8eDo84cyCm78ynLxxNN1LfGnVKhytOy 2m2/jbuQ7Ru+vX643aBZCFHK6WwcUl0J6EowZ90WCB+iqq3+It4sGcSiHINIiv9u2dZ53VN9mDpa T396JCpbvIGHesaoQxJKF+LBjIvVyG1T0nchZcZKEt/9jKBOq8u/wZ9glKkN4H4mcOmOEtaYivwa 1JE/vHiNu0WLmW+9XsfOnhK8C6qP9XBB1o7ErAMWGwS65WVi+Pn0TEjK+BgxqzgGL6yFf7Hw7SYJ Nme+ZaJWuBzopGm50BBXjzFCCWBE5ZCYYddZHXXrlRu+fbMHPflGih7wGm5OuStf4lRsrbVohPpE QpLNrA/g9iCtd8i8RgcdZcZHDETOJSqi/B/IDn+vzFmPfOu/wRvUbsSOo7mvm5ji99/v0tf20W5S NaT3IzbMsVN2n7eyQ6/gfnLJxbVGgelnrZR1Po1Zj1w5sefsPnn/7sYg93BUVNHUc96vG4v2uWmR X8KMEiq9QqmiTb0mkFN6Yv48AW32IDciqp1GCrmUbiPnf0DY+fcrFTe6P9Z4gm3dszYuTxd6Vp9/ Z7RjzaHMbqr7dvN+eFieWp5XlgIsKFp7oafhy16juIAXcix8Hz+x/YrEea0L7I/2CMo6edMRRcrU VVZ2xwjSbTYz6yQasxVCIoVr/altv+3KNZk69LI9M/pLpqMJeXb3IsoYCDzBU4yAB1QY5LMs6eJ7 6LY1fnxREudlULohAvRYTQxeAG05b1g8EqPz7XSEcyLKiBO39T5//wMexJBllDqpPPfxCZ//U4m0 FljBho9Rz8/BPN8nBVOHcAiFMRM8A5Ok1TuUqQqrCyTw2r5upQQQ5cbQvYhuh8zQjUT+cYbQzUC1 QRgb5q5RYdpM9eCktgWniHkdn8nJi8AzYhziPCrCfWQ/K9dCCRkuCkmlRkarHglHENiLH47iH00p ZGqFw40vfA7J5Q7CSGtmVwHNng566olFs5Yc3syuDCDzmpDiUCX4fvqaX5iEr8nGs52lzRha0qQC m9vu5ofG9ygxssLMMvuzxd9nXGigd2U1SBHUpoUrkSHgNIF6NoIhQl1j2WGOLkVf+/uYC1D3/dLL JHlJG7tgZJSVePb/g8FfEO0ZWC0M//JOPc/mz81L2DE3hqA/1o1CDK+nHYwpXbP6ip8aCoeYK08O nlLYkcEzU+yDbEN3xc/RjlbHEz6bfmtv/Odaf6yHJ11AoDMXEKylyFoXUsTBvPd2zwExaj20a5K2 o0/IEhDWJ3tlr+fck1Ak1CuRRDnp+UjjhghmT2WXHnewR8rl77KjketzH0lNTJSvUEvH7Q6EJELB q71Fa5iviXTlcHmHbursKs3QcYGc+53QUnq1QKSJlNJlWSjnfBWSdVczVIH5VavhECh2YC7KrDIK A/uo3QR6llf4Rtod5d7C1THhdlqbR3rQWuedU60YRzbhrgAkD8q25s6zS56P7PVGqKWSMhq6A6Hx xd8Pn03ZXuSUjT+1qkiudKAzEgQ3A7ySevz0SWSzHnxWSATlZS1/DLIrwNyRjzPsZKmnAZoedRC/ 5i9UMwDarfVYXfQZ1K23mMgT3QWYvdcf2hMIF4iAey/ygrz9xkm0R02g+o9jsbseJeIbs0M16W+4 WMpyLK6r7D/aBfhr8ldJdzf4z8MIwhpD56jw5jvJsmpQ6Z6fexrp4RVz9XNcP/CIBUKmNKFrEuYM ayRTG7dqFgm6RI23XlLzAbjOgQ9d3pL3PluVYgt18AYP8qTrYErgjG+O3IHtD00RhB85YYIj71Cy XY1UVpHXUtATUqNid1+BVULdXnvUNTvCYz0mJNLZ5wilP+RRHXjIJpx69qIZe3Wde+y/Rm72B1Nu gpfTEK/PXPYi7pPBwFzUg0RkTVN80zrYJmrQXgRJKb8FVt2mdk+dCNMfPZdSaPQmWGXvhCpbMVqA Tme8HMUPwqLSYTSjyxVnKAI1tIkYw0D6rzMYFkJW8hFz8JCAawPtopVGjvNsW8Rcx//nUpBqSf7d cFBV9oC315eGxQ1uAr5Q2efH0GZ3BiOVHP/O6vj6toyB9Iw259milCY/QajUOvsIJtIDfkCt6XkU 7dBZHfx4BxACd7fXlusDkY2XzvLNgDkQ+j6mftcZGZhpTVri3jxSKmbjTffKRv1L/c+tK5z+85v8 D9Rjq5kGlrhHD5czp5iH3DnK0Tt1OFhYn2rpt22k1fxsqoXExYbOjfNmhM7Q+MvaCV8X+ZqFp/v1 qfgBeMsirtUfwceCJc0SxoP1r5r29hoj3ANU7klPSZB5BKBezAYVfyNHl0GhZ8MpFsPnJBPOHBPC en0k3dF3NPRG+fufVh3Vfj3IgIlQQFdOnxTd4O0V2phdsgGEYzbMEdf8tY+NxDm3tqarU+6voibg 6DGDLhVRza/Rsz5hE1QG2DUOghE1/hL2oQ0BBldGAklbucCT1RPMhHJVafkPBF0VpMKhHbNTL6Ss Mm2uSQ8nC0SBf+lB9Hl3wOZw4teQ9aoWpOPNXzXZObN4YKyn+6SFJBjmgB9HV/o71VtI52/+BNjS /L0th5DPjqZdc8/YYURMIr8mtARCc3TH3W7rYEkxPslX0/Q2kGua2gY8pj/F89qpYYh+rDG9XlHT O/xoU28NDzXX6LJieHF3sc3ZCIAsq7OYrZZEXa++Um0VcszVQ+QeCK72MbtjOP2/vEO0EyIUAHF7 uTSBSt4NxCwwI1NtsxzqZKEc5ls3tLOI1hDqH07mTxZN7k/976qa1/PyPnnfNKYS8Nt6qWcsNAcm IvEL3e6MA8950gk8UvUEvX7YTs/Do1Q90RQXwdAHETLtt0Ud4EqrSO/i5a40tyPASZX0afyxLY4n ku5B9OALvWVdP7ftVAappmAJXplv4zGUM7e0/q27fqxU+Lss1lzM3as3FpaIbXFW9wGxi7WP32Gd 4Im6Azekh+s4eYuXGqFhxwJg/DwAGkxcbhYs4hSubDTjSSh/y8qBFkk27LmFE5mCLSppbqezT8Qi tEOHFluY35YmHDgarvkuXnc6pyY0iucUFIkL8qSzpdARO84V/MNi6XSVlAOeWnyxzHkriTj0zh2k hmf9/dfxpP+2dh5L0aZeEMxcv7V8Me4Y1sIhlLzRIXOyw1PJWgYbm3fCLpjK42AYQx6CdxU+ymZx l+MiM2g2S28mm64xeMcDIt0jYFMERRufqGn0MOgp1ZHqucBOP1TNAZX8onEJDLrYR2B33zCYpP9r cn+0FLKQr81DPa/TqXD6x4mwEJ+GaJsZrykfXrLUGvpnh1fRC6N/FbzrZbgHwSnI9FG2/FwftXNo IXJAAaYH/7SMRBtnsv1q+SxBHbgF7o0i45h58Gs19JH/cn/zno8pMxTRuPHHQoXUFMoaQ2Udj3dH LSSE0FI3um7hPCWlHhVkCsGLSppvOB3kx+qeaVzu0+Og6QnmgdGE/O/9qDNymcoYj73jG27Yw1qC duUtPiofUjKKBBv9eYZjiT7iUUKsB7Wl35kh85SsFIur4p5GKhlzNTFGcwdiB36uwGLb+Og92ECn zDlKTeRcLCwxv4ty/01yBqt7h/TciGUDPFD6Wko27sBvJEpynLlV6u8sIAqkfWi2YHRpI0kywLnF dG0tZXjOMHhn9JIrt9VBb5YSLVr1499ePgL050mKPPDb/t2VmXbHGF4gqaODeya2ynBbgETVHc7Z +2gYNzw9EFHEN5sxo0A34Y6BqUKTIaOHv8/7XsDHk75x0uLuSucEowsq22THAwtkAMGUsHBAmC84 ffk+SUBau7B4d4iVRrLPXOS18jAUP0bt3PY4byjNxUK/kNSf9re/wKyjiTLL3+x3YMaAKXFOGN6V 2kYelc8/BHiO9RPiCtupMWslCgbbgcp6QeXkUuso0hC2ug1IQ/ew6zBDUXq9zlYdlxZdA+CHgx89 dXBsQbBZOU4pPveNbmG7nVQprgdqdJQQ9ORZ3W6SaTjSxaeRUQkQUoHGlsLm5rI+F5TWsYViHavr E3l1fqiok1ZbSUh28Pjfe+GibOw6poh6YGw2psyPjE/KDATjeLy8p6O6QWDG9JEfSBBiyQqfyCEv MK6W7yk0lzoBpjQrHXReW9XIHGij8WQfC3kqY0MESHj+ZsOqB4FJRlsrZqXY4puEb9AIWSSsQV/Q wHb7ImeslHADQGEbkO7QYSssGq26hGRMdYo2HK5u5cKhqkdCjyv3JtSGuMuHhpIVNc6BNWEFzgwG Mo57U7JgFVL2IlVLpgRQeJj6GIZxdcqecKicp8oa4c9370kBWIbYDZSbZkWJMmu6eOHXHSGk1VLZ AB8IADStpOHYCaTOC1f/BhfE5Elyi0lcI+9pvgclKdzdsbDqOS3PqRd1g9CMf38qxpwbuIlksV+h vE/lGUAu96rIU7Nxk8HQWtw5DLhuoyJGeRUPrt7pEw8xKoJDqfwrbFEVVM9fPQiYTLO8JmTFsL/a BQvjW4QZJTT6oMAftlk4HlfxQjEiUa6b12p2e6zdsyHdRh29BMdohTlVwa9pjjKga0TCt7cbddHW ltFgnSBLmrauVLxgpTFPdCnAK2kosy+iuaAh90IHtPEvYPc1E+OZEbuuSYKzBJAZz3nRK/PKwTEV //tgVm0tZ23UrBgSFGW5uHXGCcg0c3ERqFY25TU8Uzj9nieV9YdJghjbDOakrPZmaZm8RSvEjh4u b69JPX/MrXlUy5DYgxoXr6UFUi6aEfT9dDNrrYGywTn6WzBOo8+b1jDQT1Gsyo44Rh9MIcLesSQo 0RaJbqW0pm3oOkC+32Rd/fpvVNsM8CQ5XrPcnnEDQfovUeTsK46dYNnXECAehEcwFRPppaTfd3mX OHo0Zur6BfUdFy1cB7+AOjnSIBAlRtNZ+q9nRGZU/+PC4Us1k/KYhqXBBb7uB5Om9ZVpehrlDPqD KBWYAAoClBnY2VCoPUlDMJTtPcCEZrCg/rMiwHfv0E3OIwDzslIuRZHwoLf85A5fWUhyzkKdDVb7 wbuG8iJ3Xw05NnznWnPhQEKRxCSfCIsxEH5jLNC57zCpOtg7a/zk5MK3VY060D52enuZpVhPYjqE chsUqn0ijQWgJv4MaYiRO8aY7EWfHCf8bVSg/3W1PEAs7QW4Sj0XhxCXhH8Rb5zx7nkGsmCRMIVD VUTSomaGvCiNa7S6c4udv92AgTaiPt9fo352NSmQIl9FVP8nEn5K/MXsdcgNXd1u37zsAiIIbVpk XpI3X9TSKNDwFT7NEQPjSiuV3oaivd2faB3liu7/ZF4aB6Jp2e5glkBfPLJNXfy39lkuvMDXwSmO 5RClOjFOJObAPumS9ApWwNY2RLiEJWkXob/bOpjDr2h0g517WWjOAjq814PRs90WQlAoRN4OU1Am 7CgX6s97hibrTaQUX/j2d47U58M7P+ceug/yCiwP5BH3EyY6EiUx7xqu9frayvavG9uSgu7Y/Hqx WAsnG9IRn9jgGvS/KdzCyLJQDFrqeYXvjMY5/eGXQ5UH8DvIjyW2eqbs8hVu5kHW1HeeZ/0OsAk3 pLHlQbHzDkLz6gRCboWymrRiUog/4nGQfd4ZwjlsXsKrDQ5gjFqcHPHrhYD6nfFrSdJJJZSs/o3y 8jrHR648CttB3SPMGDzAQXyajUbjmAzcAOxk/w/bY5VarqgIZfRacfWmdFg8trJfH1aYCLv80b3f 1Hk2oLjhVBKBpG3dvGkb1IhqkoN74RAm02ZzZWP8BGoyZTxAGdKqG2PlGe7CUbkKTzhjcIldTN9x rHNXJUGMcb/vn2Ggw5Hk0rUbpC/0Bf5OznFfZ431Y6oXT77QigOWaAY+vU8u0rLL7ebGIA8r3e5u IU2VTJ6dxBzD9U55t7KWMpw0erHdPTzr7BJHVITD1ioyaOhHbNLeJIcGgv01jD84rTi1IDWPvNaF 4sQ5rUdlSixQJVpeotBg07Fzk8HcIdL+Cn69OVqHeScU0cXw7aY8qI5CXemAd1RChmol33o5Z6HN EDOnecarC29k+XoiFYAYumlAANR4UeQPfAKq1/MOvdBuIA3WsJvEWaoIUWXSjMgr0t3lpG24/e/m L/X/eCs9x1BKOtDfnTMvv8CIOGakGigsuyk6+swWyV650RcSL9Ncb+uMyY1WPff9v9XI6b0oyivu TPCSIUtVR/3DWkDOWgbDr44YzQjbqZHdSY0ZjqHN3CBVTMt7rqEt5//12EnW1MdM3t7jJWbqwxNA T61gFTviApXKHkyBlg5LVX2RIwZ8ztrGtSOJ1Is1salM8Qp2mukVchmr8YhwVunShVw4rISmuo7X 336IkkO37luqOZuVywvFUWbSGmPhL17et5NYoN9UH9InHLx0uPjG3vUbYaizzwxdeGBd7KNHY3hA x/UStCyS8U3A3rPXdISfPspw90JRmJnOoEpLV9rm1If/J/nW0D84A1ubzU39Nc9MJZQeV18vvAhJ bYLwvzig/rDuIZdL4EW90BcO3kxp+CeTS4HbiztiddzvmiRPzbPrsLavniSuDNc95uNxfAJrq4zG mVZxte7pWVKhmHncux5Tp7q6uQVBjRZD1k7I7I7w1YCWX63lXvcbL7hdoUzJVpxs0psYUFasmI/I GXSofhBU+rQZ/d3ViRoJHAtkn22diGvuw4G7U7PaXOaXPC1rISn4qPdgzHBq6qFKgJfXg4/wQ/b3 Br7rJl37BFN6xjPWljwpfF1LCZ0jTderkTrqyJ9+16q+G5g11Pa2l/luqExYiUFNws8jma1LPHqh s0acrSUvXeImJ8sgK8CWTxT7I6R4ERAt/EA1eI2CYi7oNMAuCsgJtk67gwPxPA40n67q3QWHqBPF ayw/AtCOpCEuzufitRJok3PCV5CLkqwFnE8DXZG+KW+46CUNNQn2gcMa6HXFeHrXtW8lGeQHHWpA iJ1f0m4VaTnr7q7m2bLxdqWunxTK33aT2Cr605Exjbay8XsaWLOxhWoWuffWf8Is5WW79yXgyiL+ HWByYZs/MhSREg3aiJjOZHG+9fXlfZ25AexRRL9hAwWkopbrrdqY2ulLsHLl7e3IUlHfzBZOJ8rd Oe+OJLA2qam7ovdcyRWCFWejbzWA5pelY+RZx0k9KwIOWW7pu8HIwQ+jj8CEwjOWyK2IPf/gUo5a LSWwL8CxwuyGAP0DrfLUCEcBqt2roJzSH8F5BzSDhvtCD5JE1NlAoEwClUjhVDC3PRqSEIVyoR8M yyaysVovWBq0k6sjB84L2zmddD5QC8OWJZr4ADF9mF0OyYLPYbAorj3mGtyHOP36pVoIB2RK/8m7 jkqLfz+7QBH7LXvmqqd0xRg5njXkp1X3K/Izs6PhMejHxilXpo99Bcs9GWo85GLnRvWNeLVETqVA lwkuwGxnDkEAmRNxbKh3pBFiqd9zYh4nC4cLaBPJMgCDljExg+BJhN9u4Yx3NBTgUkf8OYsHmBwT 52MHGV2daZu0G8GDnGv5KTLK2Xe3muaOi0/86JUxtyTU1bySLVYy9frz27SkZXlJ/DmZirdyynjQ 2VTXnj6jE6/5X0NJ3fR8x0gUJUhc5jA27Qsk/JC2b3YU5RpFVd4XaPR/5QNtc5957Z32GNnvelU0 foZQmtAu6TOjaK15ugpBe+/GFmUq4OyHbMI3aKegTzBjtc3Qfv3yuyOISrf9+PgBm0lYSXh10E+f NBrAR5NsGd94YksLQXhUAhmXw+O6bEmJwSfjq+1xM5YwhL0RUTmQyxtIHv2+92HtcvXD4aQGL8vz jqda3f5xT1t0pzjj8uaAvVkthcZbqaVMeWTCgjcehQFgN3Ie7UrvDAdgiPQXOLmo/4hWdpyFUr+R dcLFy02XMFFyUV8advsDZhld/YYklVNppXn3tBtlu6mFo4DHakKHr25do7l2pKjVrfEI0kyqzYrJ 338NSsRWrqDxKHdZVOGpmGjP/eoD7SIu3LsiRz7g8I1pJjIE0JT7/GfhzbrA49zWi5WW4DSmPTER s093Buxik+rLvwX0jT9Nrf8lyxI2olC35AfXggH9Ah10GN7UKxjKIxLZwFMVItZVFoy88H8Z0fOM TFqSLBlwsuIgRzkjhCxrAvBilf0EnjgRBH7OJmW6dWmmE3DREwxNvw4cwarwrB3FKS4XhS7b/cg8 +XjHjt9NVhmCJa+f7LTC/m+MeQomfvdroN9sOQy7CWn7Pkzl6kBu2kK9a54AHCE6cyHmuoVD5gkA QcpQV1lOJmCgCEucapdW/cPi442QOhsmHpMiKeeiN5ApAtcRK/n6kZyHUyo2gjgzvEUDzO2rqymi K03yEkxJ4LqBkFFYrQ9mYYSvRBSb9U8hc1kt80kI+UzJ5vb1JkgeW4XVEwAgLzsQAdMZWedSjcLZ Pfrxuxfd4PsFAJaivzTyG9lzz1Fg5ZIla+OU2FHzckC8uf5gWfZ/QHDV1LUc5BXxU5erRismndsh An0vapmEMeYZfWxVqZW+FTB7eB5lC+sAqby/yjRcQgH+CxqdhC5zTyFz1ky2zHucnhJwbGFFEM+Y bBur+UIVJxr+H6wW5Mc0C3Qfn2/o1UYk0yrLNthn2vUbm03h8Pml1ucHj1hnluesGvXp4QDTvOy4 c2nsT+3DV0ewanR3MVvIVSBbWyfqS0wha60vySph1s78l8tlV2Jg22b8gXgITF/er8cAy19RCGFt ju4xXfd4lYdEE2oVJEr77xsxgpEc7hA5Nq8rR5YxA4cs8/r1z+YsjCx8xuQK7WIelDKgf2spAv4J e7l8JrLMG68nX6/PbSAk4nqKbKMJoITlJ3x1UWBA+Nv/x/Uan620cKOGcaeI9wdYbf0FVHM4IDJ1 U8AipbEQuDG/LFS0WCqCjebGPWs9doRHNoAShM38LqgRfdKVtvbvd03C3WlIujLZ1FOc4CPSHc05 0PVjq6zdEkFCse/ecCw6TO8jKTapmZ9heXN6CgzEJR/qQIwskm631ow/nhRN2LFSfOnaJs/z+DOx p/AF9YwdJw4RkoVj6igixMxIdClcFBfuBKg7ovQKHD2xUfUrs+yW5SIUElxhm1GhkKwywWpb3jy8 IYdURC+l1rmmYTZ9CvMJxy7EwCoCsIAepujp8RGT3PbwN4IVaYmJxjCNEHxEBBDumwM4q/JHvimn +ZbDdIbWk1RWdSizRJjY+azctCbVYz/nDWJafYmoYYT5j9ShegFShLSHEiU5afHhYcTYY3PnQKwI Czh0wMaSrti+0xv4Ug4K4qp/tBHHIF0L/SJHlk1SGO5aQEg/iHwkLUQ+ufTlWconOx1lzBpb+hOs /EybXz3PADN/49dLUNsjEJeR+0M56qcOeh0YnOUrrshWtsjBmOqHX+gofJymXKjaa4JRGj4Xy4pA Keo1y/rtBWNJJEz+6Wwo1m9xth8KPl85Gpq2arZN2UOXfHkE38uZM36EorNCEOazC/ghfNQyltkz UWF2CmzSaJ7ObOuVTFF3NEJCxSqC5rILQkkd0vokxD+9+FLoaoXjcxgJh05G9m3mk+ffWZsgwOj/ UoN0lguCmaUzuXGQQXEr6XASEiB20coCp2M2UVbA1ewJ88/F3Qo6X8WEArOcp/F0dnTSNpbfcfOt QRmLrohVFUt3vlPyzqAaLJDKnUZWQNDEUQw4sRekoDgqseKY+pf3aaYMXstEBtr69AYf5ttK7nMq bZfcifTMNr66pbfvCTed7gdzjaRl1hI+SypyqMFPbdI6ccxd9rCfpsPx0/fRtIbzD9YF8d85ewGc SfIUuUNGesZL2PdDqIF4ye13WRJzsWjOI5DZfm7rq768iPC/CRS1ENqh8hG6cIQylXnCnGBdrgtN /3YIj8u4mtsyYen7AZD4cGj9SOu2hrjhyZgJHE4Um1bU5JCHIyMCJPTyc9S21S8QsGcxKRrdkbnV tmbcgLqrHzbSm+FKcZkGtMPqRiI+n1O3G7/7jcU8Yjva6siDSQtcDDdUn2Q95IXRTi2ck/raFqVg 5COIv7MRFtWuCONL4ByiPhRbwp00whGygQxkP9X0hmPEl8BolYlJrYkx51VWTj/UxeAcDKyfTs52 XKB3gEfpva/8GVNdU1kwViUdGMwIbYWCfiUZHmm5DTxUy7aLdHNk5vprW/4qiEJGwE1WJ8vTfPQu IDLSdwt7dyMIdrQaCf/DzfQ59kuokbT5yl05mequakKy9iNxoJyfuDPBFYCbaowr7pMbgjn0E3/2 IBIcey1pSRpwDoKhD8TweVVZA2EUFQnrcaUuKinZ7hwp+bb7i7563z1F5A7ApCEXaXKTlhRjtRBK FdEQRTlXD2gXp3Q9pEcyHvdYcwoGF/bNTTjGicQf1te2cze0/BRoFayFuKPvKhrwz4i0qlbCMGhg bjhfX9VAGWFnUxxrewhJMlly2GWoBEziupga4NUGPl45bQxwCpcVkJf/NnKvq+o6yMwN0FFSi/S8 z0wXqbiS0UxZnyBmHYkzEXnRxDWikbdJAZDn2z825aJaqoKXKOVc+Ar0dsYw4nvOxr1LXKXk/uxB ijESc0wSay/m1K7peqibMDWd1Jik/6XDuOKfdN2jNVZUUwqt3epEuBac5re9HNuAQPrvC+I1S9Wt tbdBK1l+eBFfZlg2pRQ9yymrDHEQQeeKkn3lauofNNkvUCUWNhLJmN/56ZX2yCjeB6AKhXVtmX51 SSah3AIksK2UFr6qM5x+Vvyn4cLwmZlKUkJlcVc7uNIybNMRMtobCUCdtMjn83e3Bo8LBdEDAgYr Ajb/4LHe5B9wpuHY9j7EF+hf+xbOniYefT/XDDyJlbe40y9YD/sv3G4buA654seMtgfyDDwWdoML 2ShfWLoTEJe8NP49gJAMESWoClSkI7ygncoWecwMwVpGL2YMbxL0CqWBvwfwVa1m1Espq4ZEmo+V JVjVQEwYFUgOcOd4HnY0pkqfZCSZzfMj1hW1kdY0BNEDSO/IEV4W4iVlvkYjWtwkhkER1TTZTpsv +q+lmXPOInCO5AdJeKGvUOlwMz1TSy4M9UkQffmeqQkgwCV/tC7ljaF6bTPiJ1XcCi61Jwd39LlY /uz8AabxeL9Tm2DfnKKlJut8mGklOe0wvlYYKlEzRfxRpTQBJKeaCcBREFfHyL/02scIqpM/X4Tz 2u/TOHwyOg64oiiV3ONb8Q3qwQ9JnvOv3WpqGFPcv4YkSRJSgLH8C41klLWcCNAsWOr7ECz4Q4KA kFSGpKnAKpnwlTF5hGBTmuCRjggxMJnI/JgCBIY0nqHs4eyeOrGPJuJL7rldoEhfAR1zP+dgD45m hZ2ajPaKQ0YkKNdwqx/Kp0K2EnquhsXoFJ/exqPHBiShG7zhcXpeAqV5rFcGM/GxG1FroiDILmCE ZvI3/lz6pyFFHWsd5Hw3lO2eO15X4IZ4M3tClU+ZmkGXkGAs0Tle0hbBCFymyW7Bg5z8kKv558oZ F7DNVrdDWs69ZhtcjufSFlq/DryzpfQADrKIKHh4VqGAyr0Zj3qWfmKS5Fr7ZRJx54m64TvXy0ZX 9PjcZvBBOB3Usr4z9mOTsM3zbEyRh3SMDOhTW9GykItefg6kCxAVwyGdIXxheu5BDKW5JM3pyg6K sBIh9lZXb+E68hW1XTQ3FALkYdI3pcvALKEFO0PZetYjUbb+KiXqD93Bv30YvEkkNHeQfdyWeBMb nC72Q5l/KMOdLV4GoJRZMYtlf1uMZfokY9Zy5cFIKF4x7aZaXKIjIJlXDHfMFBwoTe2w8lz/pc+Y QddVwjUGkqjBz2OiHCqtOvCbPfuHx2McuK1VlgCEuzbK/p+QMKwwxzzn8RYxRKWe7xzlwVnceWMs gclxwSPCRcvfa96dpVHylvrYT3I9j1omaJMTP9WCd/O4xkpPycWeAk8qaTSYa5xHnh+imoPhF5d3 jOcbwFXyZ4/DwOdZD3xR8c6lieD0+5cEBCTKtsv3c8Jzg4F3cdrJD7xv+Ji3OliZdyqZy8kpwjjL 6y4+t6rcFmDan6QRTATVxgc1hbfTtLepcSRMaUSjUpoJevuwsiShvD/7s48PAZKcwyHRAQ5br5EW UcknCdl0eUDRidPNJOUAeGCWmA/sjOgiFMQZCxUWZgEiEB4RMEK27esCecyDhIj417OxGSkzC7MW fUXrB3bxZMrqraSJc04hozXdoUO/1Oui+hHuAz4YsjyHbaQ8vBX554qoeVUbXY/7yTccGLl8Nq8u UJ1nIKpcCSj/7QhxBm4RydMBA3awrCfcVUjm1Gyokf2/cgZYcsY9DrzuM+NKIhlj33OJJ1qcGbjo ScPg2kEaRojVBO+bjJU95JRowk1iw96Y/o8Pxdyp26s2KXvquWqlXQiR/BYbgFGIQ5eAgwIJi5ga hldwSsDAnx8SuCoLIJnBVgXNhrEO5fEtyiM+YidOq6zYzNI/6xr9YryOOIFozv2/xzum8lx6663J evXGutbfv4ToLhdDJsTqOcq75x6h1mVaXscT3DkpNzejlHJxUg+cnIllTNo4kHuItXTA/xsLgq9F dbBoL09QT/GU5KNBAN3wFKLVPhNfqSnwgW25eiFON2BwjP+iTjM1U/o+/V59lHJlOPKxuy8iYw2A vht9srXdNvU8D2a6JxC7q5B5B4i3VBvxizRrwaR/3fTpUnskiVMY0aSlXROtLK7hZQT61f/BNP3N ihdM4Wyb4j8j3EHEFhXKz+O/Gwp1fu2BR2CAGvrhL8nY7VZv5uAqnLcf42K0CGz/ziGcVu+Jfy4L WO43XcyqFebvBT248fZNwlflZdXtY9aqEbyeAzy4dxkNryRxwQKS2xUBq0+Sd1pU74AFCATattyw Jg6n2ci/GATBhrm9WsQrVq/CMMAO8sERaUhXckU29MJMFSDAr7VJO6uRycDPdI8lXOo5eZSCWRr5 0zDSPzR4Ous/f3hl54CGU/FScR2zB6bg97hsB/ge38d5mEkSu6sqp2MOP9oLYhV/bA59d6QQFH4T XyBxRrmw9Fhci5bBKLFtfrEbFDBgh3dxc5azP+HaupjOT1opaY7zAjgldfJ9Oti09mwxfVdcZk7d Ah7JUo+o0grVTmeHylxMgxeRNhnYalOXOvXqqc9nHkYrCfp7WTGGgVjmRymk9MVTv4QIda+tJK8w g0QIs+yVjjMdLoj0dN3B9cij9OOeLeBVNPuQXIDBTwhdwtLn+nS08oICkzgCGDPGo5Obz3Yqjt92 OOVpsptxVODZDmTT9tGgnLQT0bGrN6f/GfvlofoQ5YwjmD1qo/XJMkF4HqCA52pfm9HFpQDV4Z96 WU+LNovnfdY++FSTrSN7WDXiKH92bln6FPBKfMK0UR6383vj4OO5UdjWCgZ7uMMFEAgYarzBIYLU ILTySDbh9Egnua3eDA9O+DK87LxiFja1YKYQvR2BAItU0jMOgkpH2UZuJI33eFsE/9/U2zevaa+T jzmnm7e/Ge6myO0HYArDNkOcA6WjPAZdGci9kw2MquuYu00/Sfws8VhXIrhG2fJ/NB/pW3cdyGMH Lzt8CDmNXO9amk/6TtMQYLXrbg5oKmP2fHPaq56VAvf558XQAY/fSliYWngM1GspoNYOPMDkAXaL kdUxIsnDJs+rNR3AoXsDnZWi54t6peLQwpxThTa2C7kdYoNUMazwRBlKQQH/3tytpAHo4BdpVRzY rxtlCokiMxhQ94NNrRp5hotbx34+PzqZ6FZw7ty7g+sNvDpz7qLozq5pHAhMhlicfN3V6AnO/aon oZBnQofCwZa+FkQOsLSyUAp4ZTjYiGiTzJC4MAR4/SSB7kGlO35Jn1iSXf8h7JpSNZOPAQnPkpEJ pXV6AkQmytgnbQE18bjQZvawgKcDgd/xzCiowbZrNl81P3P9ajDd0RGdmHz9MXdfhc9n9GnI+CWM yQD5ii6lOPQd1SFvtZlEO1IdQmojSghFMM1CSnKwNKe7YkSKaOcJwoxFeLyE8L7+1g1ERCM8351W We8qgQWKuHXXNbMUW9oDuOZc3tp6IE/Cz7lint1wA74pufbzIX8CQW/CIspUggj0GKYuGNN7Meyj OBYt1r6L2uJAwUxpzaJvnkHb3jvq5PLs8kNK3LXd7Z3yI+dpfTbFDXfuJPSSrkpwY4yYFZ583xVr zBnb0s+70TPTwBY20ACCxlagszeDlGQLt1jLCRuwHb+6jcKxEs9Q/E/0pw5gfD++2sOoHUc1PK9J vDLQ7euS0bvm4FIM0iBk8ga7xlFpsHJVRkDKdmppd4P9mfDLPFKXAqAkCZcmFaPiVC9d19RZQ67y 6kUUObsPLhSAsplefSrylE9yOpM6M9rKj7RN7DChHLgn3a6cX6Tb42Hqos6hhS5GNq9dp8C/kT8D ncY4plrUwkUt8Z7ldqXGhQYrTRQP8gmTiddI7tNtV79+4nD8NGoLxGM/XpDo+CtT/KDN3Rx8fPE4 Nn1RdaDEGpkhTF+SQ4uA/kKO+9Q7nyWtMKuR9p/szts/HRPEDjOjC4b33cMGcUjOVgULBXlt2J/+ sQaVCwGQssiBFUAg04eUP7eG1zrJGN9JWW1WIfm8EXyPiFhl5Q7tco5Tviua8HVFb09CI6IBJFZt i+jCT4rcqLqGDTlmfhjxgwObtF7ohLleCEpijGP59vLuAyLqT6H5+75BkuY6kW7rg5EDvLDx/UE/ 5DvxnrXNx8qPynYcVhmpxsfH8iPck4RvEwXqXpIYcQXdgewjbfST4BlH7CDYmZM685f7Yfbki93L nW/PivkP9MlA2qWEDw/i0qNoqJmaM+wUzpjczNK5406Lk8GiIU7L8iL6XGItA2yfBVwSItGF6yKR bhPgdT9k9DNi59/QS5EwKCQF8zOzLnR448P8R6WoUBp7Cbtqpa5695greW0Z24J+NOBwWs+QcRSR ER1eRMNXEq1bAD8dtnnTr86osaWHTa6EZEWzlnEANGlAkI6XIW1uEdMOEJiGtQ+MTGUbn9fg7L41 Aa4iv4zXqEj1dmMKjasgdT6PTxivfE+BG3Z90k7/xS5lki/xFkKfZLsdXeDSN7CaRZFrzXNr06u7 8wE8hNfmvU01F4/6ViT0ENuLFskCreT8TWE+pLsErc5E4YcS3pPJ4kOp2/O+mvGYC7/aSH/q4YS2 9/WAbs+wAFvBmCaPFEEPevMScWEX39YPIGpWPT7k2rx12FulTUrlQplh+jlicmG3VmitL/iprjpT xWd83G8Znygql6SA+JDenCtb4H6AhhW1vHlsp6i9HxV6E1p2fvfEZuOpBR3OOVNpXHMVidZt3adF EPiSgJQmVlnhD9Y6VNq+ysK5FgZ2qiGsxBjWPmUNzLx3J0v/CJ02pT2/R6H/FxqGPDVUk1/swIor XvIdEKPM4thWEaYvHAI1t7piNBeydtHrZUafhI617/ZXmNc7xB957IrN8BFJK2bCj09pl8zWTcvL eDYrebDHvEeRVgVpPqRZw6ohVOAfvzSMPum6eOM7oc05aJZ9KWxnGssmrh9nO2lVY4IPSTnKW4zZ zIQ57FoHKvV7hK0xEtqAEp35qT+PwswNwSL8gRaw4Re3EAY/RHbOTXg7W/s6RqDp3X5JNslv8Wpi XV8v09wizf3Y1hA3DpBgIQuEubHbWAaU1N9sx5F41a6TP2rKGlJ5jV2EGhDbu7/RdLOs1xyHHq2V VP6S0LVqYshfPmvFbYp0anv4Isfg2yAgLH2M+lGa0DHiq2uVBgVZMq8cZ4juvSADvuZ1YCq/hSXS m3w0zM/hPIeR74x1WG4se1v51Isa5AQ2DpY/JdQWrMURZNerq36tNQVksJ3uS6IJdlUb6gkmqXkk FKrX8y8l+maz+Zp/tWgTukw/d1is53XLDuSbQDlbzJHmKOM1MlGPODlhC7CUgLdebwyfC1bm+d12 U+G7Qv29ab8K/JhKZDhP9Xhjp+j8Xgu8/xKbUAj8YNJFrsZ9kpZbm1sq6uEq7vl7mvzsC+Ieizk+ Eti0ZvYzfuqxlUciP6EZS8eG6vURa8TTCs15H9jP9RWZuEDHzBBfI3sffYRWFMF1fMxcsyobbkma ccFfT+Nhrnf020+fq7h3QxOduAKn5qmXSKvK1jKq9vfH6fXy+NTkRjXtp8zKM8sRFWCc1XVfohGT hNUhqmEZB/K2weqQRxa4uPsJg64mzbllbT9Yh8jleTNu7wnvOZN3kyxGcW8vN+i+rF6s9Ap/IdfF LpV5EBmhnfvcW98GB58CFQRxE8xaIqR4n7Lv4nl6z40H3Qs/Im3T5/dJRo2tHoqQGPm4sNHdYHQF XCZGQNxzTZPQmJZzA/nSzY8Q7CVwhAVjIwqhhfQIg61r5RVM/weP2biiTWlMlOugN6QdFirQ3TSN Ljd+KE70uzH3bpD2zY6xd+emg8SyG4vOwBcoi3THVv+qfg3We9gigSdT2EFYPST+uXksWo2slnjG ijDgssYQMUb8qMjhwoOKdcjsLB/2gvURUi6dm92+/jLH0mSNFRRufN7AYJw4Ym2s2Dky5ZhYeLRI T5c4OEC13Of9+rUuIDf73mAlOIhU4IrGi4oKUgZQUEsc3t5csno9DS1bUq7KsLhkCMlOiIo4wgmN JIML7xMdJFyM9SZXzxI3R871r2AqdjG5juKoP/TFNKKkAwVQq6AYoBV55zGPMrKvFmQr603VZUWi GEwWgo82yjRBdi8PMCsxrOxRKQJGbZl6OH/eOxQ4uN8RPlKaL7YN/K3hZl/9rhl9HxUO6jmFU9PV tKOL9yV5J9l65UdNyKWkX1WaVsjNPRd/vYYf4KYlQpDqTaXB3AbREfcLMa3X4xpipK5RMIcBa3CQ doxHWHt5KuWPgPiSN1G4IZNVIIZjXoLlYxAfTXPQpgbY0KW9uuZtLzvfUa9o7bqoC6yOSZTI/ikP /V+O23e09MHIAACei1DxtvYMFCIMImfBQWrB3aYzWtRTcFmYOxMucKrEwos9P6NODi5PpV3YD8De +xvxWoLEeIub7a0mRJABlCoUWCvKSAdhvL5FlMacAiA49m73JRhgrQCZzi6hvivV8TlBs4/BFIdS giJkVEaxxCuYX7bwoeM9UXKYaeY3onDvS6EhNblyCn9cQxR+XFmXicCdXfZI2cTuRXrIS1mnRKmT +dprl6auAwHT9mIrRs7qHfKJcPhh9iepfguRKj+bBapWenkAYzbycXZgpL/Uu2Hvi/R2Qt5L6tOB MRpOEOdSg4Tyng/9ZxO6BjK6B22qavdLMOhcLv5rIKbeqUNmyyBxucvaA1iLY1q1mLoRrYQvgovs rY/lq7tWO1sK+7bMKS+TrMYaRQ+rOZ834BtBG/t1LZ0gz5jUyb+hITVFAB/6AJRml3tZhoVQPUd7 U7Q7fHWdEVfqbX7nbsOOXaJC3fFHuywtFJ992nCxVTqCDmCfYC2watQ8YTOdbagfxA7iTE/TzGG4 H5ncC5Bz1Fo7SryV9dlxGMnpfLF0A1FMkxqFxYJkKj7xVB5wuLToD4E9wi9G2zZsKV9s7CN1hJZF CsKAMA8iXQ2z+RAwXYuvW17AapLl0JSNj0oYit2KlBvIdgCzA4hOV5Bn/kk8zlfOzXsAvCEJK2BR MVGs7O0jtHEYMuJ4z7QsqgNNBsS1jHhb/d2vFq7BR2OnGOeWW+ENvdo0CZXcKo7XS5DbbYHYRDBx hcbupTrzogq/0W4HO/FnbjcqBa13Z6eCx/WXLNk2U+qayBzu6bWyqNVEFKZKXuUXJe6tDO+3GAF6 joMlqd4fCvpAuAhC0tczW/eTMGRskBPGfN88BoubllXV2m7QBf5dU3wYLfK0Dj7R/XchzkNjSW1r POXT6gmoThCOSkoJrXxKj0y+HtgPuDDVW+vBwDagKcnhKNcsD25s+ZRJRaUmcsd0EfbqIHDZBAh1 EQQJL3yd6IU7hZpBN04xWXMkKOrr6CeGOmtRPNx9HRPa7e3UrjkdKjwmCMM4j/FVNT5Mh09AUyu8 m/1ke/dfkQzkUYF02ahY7jTFHqiX+d5gPn2hLR8v8JiH/Lk2jMNTIgbeR1vlrI3qJMtVyuBLjI9u C7sZ6C2TGMy9ydnVGWc4zQb28tKca9SLp3WFnMt/PCbWQ8ZKt+ug6CDCvBRjUSwfEUnwcLn6F6bP +2ZvGPSlN5g5CkapHPdbsqWy6j0pEE3WWpwApZf9L3vXtbS3DlUIK8DKyvSA6KNEcwxE7P00HpWe BGEkUDGqK4mPPmjvzGE/Er9KFQsps1Utuw0zOWz2Huo+R2apIHAj+KR38T+d9Tltz7QbYujSwqL7 1XufSVBnvsa94waqUTOBY4M0WdiK3nouf8cTEhPVSIwG8h349tMsFjjZp3d0fJl1w8qjIjQQYpvn obv+W3EaBV80odnpa1oAiMVoyUabxlMzLKT42dONMwhnf6WxUNdOx8hekSSDvLMHuAk9hMKhd8hA Y9vFBPoKpEmavj/amLp3e0yNn6b25cac5JSIxmBb5faN8JCVjvRvaKpxVyDgi/94ctkYG/Th1bos Ookx8fkZ1IbYyrA0eMQXKJW/BVpLbwb8wQxbtX0rRjd2+mvwLd0SkL9pwNMrFwqREwMPfWI0uD+M y6uTbrC0pqwyZkleDrzSitOneYPInton00+3Ff53/FRzSPkokw3uwhLHukbGbhzu94WsxNdP0FM8 R1cMmO3gs4WSCnfaHR7keaFvJhLsWdE3Kji1wL6QYips3sKxhSD8KG/4AFds57ZQ340E0c7j19N7 oS7U5VQU93z4tLKBazqoAW5sr/qByp0g6YbyR1aW+BTpkdFrcTb915xLxxX8R5GTZQdldm3cO0H5 DKo9NxtUcXRALv7BIvxHW7y0TbPeELNFd9TNHLvf2f9lzA60cFUuvEzZKvmiAT9eWXr81DVfA075 bCkTdft7487QLexO9KfcrxPEQjMqQ5FjwNI+OFcSFRS7xOI+cGQUIbOCs/NlRuEzCbQNZvMSmanB 2XOAu06tSAeRo4/xGFYhoJyeRXzDUfr79d2kyDAhjzOvG5U4itiJteG09gHYhnDBsxxlaKkkfIiV YuGWn54xNyJqi26o6M7j2YygbAVtUocaWEOhpe1h3PMbkzsgoA96d5ChXiBPmoMtf5DIRPUGlg2/ Ql5bDkSmkhEdlE4WyXjbLbk2OIpIRf/OxxpFKpnzFjteE9bnCTzMT9yl43gD4DKRW0O7k95VxYAQ ldgOfuGNLL3tSHmznIwlJHu4WSLjUpMsc7ckR2WHf3a+vbkRUeRwF1V4zA43H2jr33PMW7Yx3lko wn0yLDqamEK1m8IecaLuWWkPFgtlnNm9QdC/hDyvAtN8aHvR9RezsWFv6l3kONN4awrUO/OoySw1 J/Nvgorl4CRrmSSRBOFMnHFlRcV7oJDFwKNCg358+eAZMUZxSY+KGGxcEvQ3K6F4ReMZ/Icxq/yS PFoQ/npwe78lNpzYnFE5mhMTqC6ntOWyAqLI1DWfZ5xTxsJMkWVNYBz/NHxUaMkgfcp5lbsI79U5 ymlVm6Q3CsrOABTvah7UFI7l68BoAeA1kA2wjTSeAB7DucfvTTD8opljTezwzq09NxgZTdRbkNoU lbCEXKDlQkmXE5HFuCWoTNgDi43EgwVAR3Oy9uaW/R5lmFmwbNCecCf75cd5+p0ZtZFlXkv8M6uC llEOyo9D7AqDDOsXwO0a9hlkUPjTtuwtyq5EDPbPXymsHH+d0oAypyLh/yXbSZt4QAhdOGIkRZoJ oUMsOXe+o74fk2iL+OwvpqdF28meNqGyyoeM+B2RKP9ZYSxODpjXVAKeHYNriu+vYvRKu2aeWpNJ MgXeQlRnc0k2xLJNNcAgZakBdbXvctGPCw8vn59UUBZwTUsFy9k2r2RzeptywBm4LR+6571C3nGJ rR2j6tglq9BqaFNjUSqjYa5B2mk4YZgyexdmll+VGR7sC3PsjGi7UxQEK20Wls1vEK4hdg7NxX6R 3YqldNBVmCO/pot7GxlXCH9BmOX7OoWk7Q3sDcGLosKm3pfOl3Ry896LCFqMNBQTM7UFybVV0y5n RWisYvCjUFnhqn3xOeMdUrgBZeNPegVpm/Z4F2ZXBZ5RAZW6/I2ppDuR8Q/5lE634qNWRG88jBng hAWUXGCkIiKKEWoAHtkXr6udSQ0J5f0hhS4hVHheUTQ8BtJrBAJ7/Fc60uUe+Snth6JYpN7KBYbn VoNU9gDw1mu/ROSLh5SeOr7f3177tLI9R74XgIu9zv0BNtFFhTA2CXmC4gzPQvgKm1CJU0fs08jW l4cCWO6H8gHy+3BIsDIZ0QbeSTlW5c356pgARWuSIFYjt8r2cNpN2ATPale3lLHwAyouXPLBWHoJ smdfZS9L1es+hTzPhfG41ThDnFveqRX/a55l30yhB/i23pX0WGsoeWgC60HTuTb9XFzUOVtS+M+q MQZM5ytQ3f3iC+CC7+/FmkXoWLcYcJhVVwqOqzJLJq5X85FyPFFbDNFAkHFcQpjBVpmDlku+0QKo HL1k15F11iVaY4mnzWz9dSCWeixOblXnsNSY3tBbX28PUpWVD8Q1qLGfaKhnDCeQepaqu52sfIWr 5afezZMeNOgm83igZpWyJqmBHx2OqlPHMr1eMFxPMx7AmBycOE4Fc0FWW5Tt67ENlcnOombQjG/N Uj9t8mOnL6uqQTVsSKzhdSTB/As5fqbpd8gZo3ggHEq+BKcsNQDpLvVTiYtLHJ7Ub5AXLCCBwM5P FKQ7vVUeS4qdYQZ1XsoyMoyZ7NF/IbWBafejn8DAgxjn59+8z3yjKWMo1+DMqS8GJY3eqI9VHAdY yabr+y4+jjOwAI72unD7+3ifWUwE6CTUaONsbai+GbgW8w5hIjM9+ktkz+n7rrFaCX4RvQ+b1Yd4 5/Xl4QjshQ3HU75w2IIwtRjP1NNVvTy8Y/pm1DKRqep/B23lbK9Hn9GnrQf1sfQeFNu30wXeon1H V+Dy0vJZjqiI6+vA8t3M+blyk3B1uMkGTgujBRiqE8NASgqzymXtQi5BVUdnznw/OnTONyG2hJlT XNu2vNTuzuTIxfMeTmVN4a9T0nR3p/gNRZqb4uojjN3kZKx6s0D2fUzeKeh/o0O/OcfO/g9Bs4yV ULKWGTc+ZLGuig2moxoCGf7NRQJJEav2HAJnnyPMeSxX2lhBJmf4xQ6W/TIz1W5TTzbOSttJKb8F Ft6Chu74rcypeXANSU7Sp6o4ZVm2XpZGwSbKDUMuEIasFG0JxT5fnMfpDvqbv8ELTH57S7ey+ypy m4IeZWAReqWoNV6IY2AP87nVspo49G+9JQ97NcI1wQBejt6EUHEFBSJaIDA9RG5KLoFpbdxEzvkn aD8o2QcbPlAmW92/AezGby/eBwbU5G3o4FMZuaD+x5tGMsqhOgk1ZBmqgn0jz34kEW7jx3qyYloG 2aDhVlj71p65BN4SzkPuMG3OsURKHlCc32nC95Ibz9BOJhcR5R2MYrRbnWKbzhLRSOALlQ7lqpEZ /jal0OCKAC57P84SegRY5xF4vR3nm6ioT0oG2Of4larRIVCqNIksQs4k9fmjJfyXGra7Yo7ouv+q h+q3wkPivZubFwsQI2ZT6RsSN4mYyoNVYxM4dLMrOyT60cxgF56sI7/dX2rsn//KYeFAUEVU67FO O9CsXKU06+MeJVuLcvU1OwJJqq6Tm6KG3w1MabGA90B+Nvoy01Igpz3NiHulH00gDEE3v7szsgBf EJm9A6Czx2z3+whNlEExnCjfLBKaPi9u7uTRkHV7RRzZ2RVPEHG0yKXcg2fyA6A6a6NeZQab0SX7 AqBtifHNul9+GNg1bob7y5UWM1a+8vbmQHC5vRgXJH8TkgJdSc9IKywz1ZK0uu08NL4K+M92KXVu 0BdWnLASOVpE62J+MHFMOwxoEhj93XO8zCEVNDKXNjK4LSodgVDUg061DpCTFPhdtBTWHcifPox2 +K6cnEU6wgzSxf2VQ1z62VzRCv5XOgroiwm4N2P4nNmcIld+fSqWe3vB6j1ZtoSW8D0EBppRpyyT UHj3RpVokIP+nXWxeN14QFcxR4CdVnMpnKygj/ft0Z8VVnzaDfZ1kU9Sv593pRNYwyDhknMcrPXW 8V+n/aWDdOLmSsTEb649ycCPNn7qhjX0g8QoTLCYVru2ebg3UG0fRgqs7nP1xoSJSdjUKaX7IEEv QYQ5xoq5IhCkvZmspjtG0i4zheYlkoCuHnkby7EyWFc1jETYcizOtV5KBxBH6og1Ot9uiVlc9d4D wICaJdB9gyXUZgo+J5TXhzA6SJI+Ge+FlZbeKvpSeNcer8CA+5fR5llL198TaXvGMi9EosghthlG qcxGyr2yE4Bt5qggKxd96nPrAO9KGj16Jb9DxmxPRgKPuG6mH5D0YAWA7qiwWObIxHFoym9azJOd kIQPO37dANFmDtfbEfIrM21w5Z6zCdFo53/bLgdnI8UQgDmXlZ49WBbOd3osUL8N+2kRFXkpp5Sk BalGl8OtXynbCS4xnYzoJ7ccQbBA9LMnvDvHJyga3IZ4dpqJCMv8DF9Zp7Q1oiwfarxm3wkfkjy6 8JqnX9BgCJeGnWJOQdqDcZK5HYsJROcDH38R2m/oUdUuou94mvEZ4pzUoF+cWO/YrjATHcvVaFj1 WlDBtFN28F2jv13FNqC3DqadC/uCncOn4aVPIu7S2Y92DYsUeK/5I5g1diwGXmhDn5vBj+jINmOS rppSBhe/yEHnw3qYN3G6/K5CbMK6NXaGScO+B1gqJUwzotjgFCr64RqsR5scKNWJS5qW28i/ehXq YQGBm9tlKS2DPMhMDievzixqUcs/2khbRRSGL70gRGQijb9PqKs/vs5TDFcrqhsPQMJhjdOQi4gQ OgCd3m3wb1cfUC/QvkmRNKjiRjvFwTCoz0XsYSDRojhHAqZrZsnrkoWGon4l/4HqomDKyR0fFsNP U6th+gogG7bIZO3TmZuMcrJRem19+cBqpU6c+PQ9eUyW4mrLzSHm49bBFfz1MtsHFxuLthBb643o zBZ1rOqRSjJ5XXEJ0EAF2zX2vgzZeLuDtuBghyDc3ZxHljv7QBi9Tsf/3ZjlJT6VbQbr4lVzPYmv V3yka9Z5nOEpXc2oNJ8xOz5Khe6GjVLuCENZQ/2X29a9gywLFTdBXuU9t05GHtp0CnZ8/pln6zQb 3dyrV4HbuWKNhfFNVhaynxJ5PEk4/6rpDMNsWE7Jk+55MCx0L6lQJml8fQryPyYBtvGssuBHSzhl hHdes3fgTyx6zSvNo/IO3j9jIywczm9v9VFZLm1RNKgI5TidDtRaF6t7bK8i+7bsYAmOiSNlyRgO vUHW7sr3vbhpgBUebhlQeeS8UmDHAkyO3+ofcGgEwlR7O8ATSE9a2VJdVpoYzL5iaxuFYKvRaTkE eEiT1P9dCujswtrEotYdTNgl/VJFPiE3yexDQkI/QhJXRK4RITAXgKDo/SuolyIM5zyiS3auH5Ae lqMWjJ4RNm7iUDbYW7Gjs/EVKZBuOvGgVlkERcwJPgLdongwPn5AYyfS+Vc/Z6tr/kJnkc8mMs45 OAY2LyT6Zu7LTtu4jp1J8daqMmuutRYX7R9rlY8sD41a+awRZTtwI9uiaAVqL8cYLHMrRRIP9ouo NaarAHEXVkkHEDUDLhrEaWgWJYwmInM8Ft3wO3DfaZG+P9SNBqlyQwpbvI22iplpHp0ZRaGcHE0/ 2eTbqv2VsoktldHfd+NzgrY3EgHwMkF6UxPWSBHLekI3ROUrS2vypFWTi6xtEc2uiPqZBWpqAsu0 CgSTrfNYZZga1pH1P9IIj5qdI4xN21yyQrHpOG16nBDfVtIzlZCB/WNWs3jGY2b/L395J7dgrfvx JOw3SXRxIMDYRMEBf3d6iBezXOrGQ8cWcFZ8pWKCHOKLq1bzz9mD6WlP0AkSGQKU3Nt2xTSuPr1T erxLvhjj4PLYcLPqX5L64/vquF2dZgCTCBo4UicXt9E2/5eGLd427+wpYheXyd181Ta9NcyHKd/F Wqe/ENlsujMVpXwdRk0cm5iXXfaGtpaYbasyFmq8LddjlTlA1vEhqnX1U8F0CA8bAhrHs7Keh9UW ZKmPbGaDYpTSTx3s6F59dL7DHIDbUlatweYl7pQBiUJMEc9eSu1Yg5SgGIhOOQbqa0WyXaCBap+w OifayJr/033fNTn5eXz6RRg4+W0YTKlch/MDzz6aZRfZFFJXcQniPQ6c9/+h6v8J723JurNTntBo OUod+g0t2/Ha9mHYRxZp7PBFH/A+mZKtzIhJ9rrDhJC/HT2KruXKuNlf+sFxmNxVdzH+UspKhoJZ gHvv2nt2eMhnC07Q8qeGuzMrRurLwP/oJDk3B2DQ1NdRwVhdqxqgIfuYPIgdF4pl3NEg0Mrb9/kv u7IGMxMbKCu1B1PEv7GqGeY46dUntR73IGkdCW2kM2RjGwtUvC8V4OVdeZU0pcVdXrEe0vo0aYaQ i9eC0EgdM9+JD8YPV11frWyg+XTw3qCPw/L3eXVwESf2p3h+JQ73kzAHfaBnRoobb+LbJfOx5o+n suzMk9TruzaaOcQnC6KgkLw5ZIr+eNRSBoRt58PKsqhD8Yx5imf2oXb9WQ4zee/7hlYoA1jvOCQq SdDg2YvBX7IAC/sZXwGr5ecvWlnHhMUXvD+lf0OKHjQLrC93CuL2XUqAtQYvoHqcXsyw+W00VAr+ 5RvLcBBIDY5RnMJUAckJl8/XwcfJdbgCVrQKK3FgtDRq7SzSStFjF98fxsTGrL73DrDovn7NXO9f FXN39VwkveTAimugIyQNDZTKC8GdfUzjMTiA8Lqk0EIbu6EDL92459r9arYEHV9IL4zXrmVPhbpI JPB8dZfof2250jgnXzWvrmAvPjXufGlf8WiBL92W/PBLwWUGk3LNEhMFR5kNeJZFOP+zOOv5F2sm 60O6LGgLJu5VV9m7R86BDdZrK+yoWiJAyRrXOQEbMkbb8YnHQ43qIKan3Gbs13q5uXLqSBrrHhPC Itd9kQiGsONlnuz76+B/gv1QzYNcOZPuwOwCJcmwkbdjX8ukz+cPT/xEHiva4BKCIPLFFszTi8hS Hxwy0CX/0XPQRYF7617/zeBVHJM74UrwmGlFn1seBsT+xMfxWO4vDLd92rMFBXwN1cCkDwzO6zbv Za+JoYtTLu4q3cPOZlWpLxd93rIAFJAVFSphP+vhTx86XmisoLWnXL/L/zLlSzEWyr7gqhwEET79 CmTndr++BdB64Mqw5nPR55W1o/ia9vCHnRixyf0htWqBSt05iloXL2gbJJVyMizYyq+PBzE17v2Z Ash4JhP1bhojsuQt/HUwW8dm5mTxOJPx8lebt4XPuo08N/HazoijCPruw3uJydKG74M2ZGYi/XIC mJsNXQ8ylplWpZfC6ON2BysyMtUMdbSDlpOZEuHEdricpqDCWiXqpX4lJ2dOfkQJYYNi4YyfZUdN D7DbnyHWa1dXbmvMhxueY8Co5rts5zVrz7g0uT9/2tjSKeh75lAxqE7Ogcg2W8AhRdSIxUPB8Ay+ dSpcErEVkcPqe16sU3HJJ/mT5gMwYAt7TGZebnC8ouQZl7pMqY3BY2P8a8hc9uwpKlBjj5FAy1s1 mIEXf8zbC7H+J/Q4sjmCd8RDmeYEf+ErYDzNY6D93oHvAs/reC/rKCoFZcfdSV2HQsOiEppILNNw WU0FcpksvnSEp4qtfjTUprFcRSoCoNiQ/FhzLLY1TXsGBfVq2Q2g8pai3F1VCkNOLh+KbduoHZTG plMkvmBzbYQFLOosSLhP0moi+tz0oNHEzg6fVE2AI0k9M+tcdoDUmQCSvpiToDRvefx1/+IL7zHb 9HWVbbKChAwA6ic/R2MraHULb2qnavi0G6lMaoY/QaQdbH8Iw9+53Y/inxPr1HhhPP5umZ4PYztF VeuvYBYf+UZrXt/ej61WqBUuBzccZzzk1cAse2QFGl0KFaOtbcCcSSuduQJJ+8SBuaGLhjybsjle YTG9V/D1oQ3S2IHNdi/v56mCAR6ITkg4obWLsHMGbjdWg84MJCuhk7fR9v0QqGQKmMPw4PwW9NqY Cnq1hnEOzo4mf+BMhXOpmwQWO5LogDvyh3wGwHo1cdyx4gVFQE4aM2ATuD36scg6OFcrWNkNV8o5 ls4DnaxsdqQvZ9uDxrv+uU9efXQHSZadGPr017CPmxaK/C5CPvLgigWcOiruWCQ5fqajErnUku2Z Qfuq9Y8RPZhGnJPxTE0PMjpO2bT44lv59jRq7ey4MKizQzCDI1mS7gjB2CtShG8SKPrkuXnoEVt2 9lAQ1Smn+VWpg80eIrzWDOR4WYCDkCrTU0TXtf5vJ/KMGwuVAeENhrzMrNQmPPCtUvgCmFacbl+j ti7uvxDdo4Er4oeQPqvGSHG4rWpPcd2a06ycqqLBG1BEgnG7KdecOs2X/kz0vM2O+fUxQ5Jrdby4 iiQzUXigBajIG/mH1QTUPwWOz3HRC+X6WrFQ8as0riHluIebcWwPzGFbwOxehpC7PSxYiIOiXGW4 g0m4quVNCLb+OHAZYYxWZH7xIlSWSmtcqBLbfC2dJKXK+Ajk5RHvvThdNKztg0EG/OSrql7tdtXp n1nvds6VQR1BoG8Tv6Hk//g8Q/8xwQu8c/ToWsG1FFRPHoNH/cueIytIWNMGA7EYy1B6FdWnzQw7 tyEWoXVrv4aoPRwnoknjJAElt+ZvojdR2XNtuwgKNpIpWoACc3BPvU7i7jRC32PvC8P7u1WTXn8l WBBViDaIYmwMHKkul2mJ563b0zh1aWWxHKnbSa1xz+OZKa/b00HfdneD4dOFwshYQN6caqZrUvoM CfHqnP8VXVrBH4/S9qpjgO6H3jHbm+ojus2XMelDyHl/EogqYN1ZUkmaUEcuT4g5nez6/LZQBbk8 N6ekjepIWJ5VfM+rXj5JsFNclmAQ3juyHO1xgYBmlqCBkoZYvKemRX62Lyl5MOr2M+Jajvlxkw6T zlX09nnCZ0RNhGN9+yQWquWYX7RhYqpUwcrutDR7i2YFxPFOzHK6t6hWlbqD+4Nki45WpmdKTDFK nFeNEq5tyKB4TJeWhO/lKCnnIgx/7pWIimjM0T/B2Y/Twtk91+fgcCs/6W0u3K2KAcXqTuZ12Sfa /U64C4wRzC0TnzSlPSo+ntLkSrlMtcKLRAaB1QIU79JOurebw4FgWx7AcxVOh/EM0XZuJwmuELq/ hS5KpDzEuO0JX3o7d8WOSRsIF/hl1HWgIV4nGudjPN0eaIcZ7+Izft+4H3TXLOrodSa2i5nIz/kB ys7F8si8hqw7pE8R2zbWdN02vKfRmBdyepdx+xhlnmYu0+RrRQnPn13wqawP/xjvLqb4sabiM2Ac RUm/Kfi5CtUb0D8UmTcHXz2iy6c8wA95CPOI4cePJlGc8jXRKke+0U4NNQ1hL5fLKTSZCLSmm1I0 ThNqwaG0mgCLVw+ofnF5pjAkw7aRbPVZC2+4k5/9rtb8SrsLnsNo7gIXQX5A4W9RxZLPysDI5Jls FAnBiZ1NCDe+EMS7pjo0n8y2NOWge8R/5cCMmDCcCPd3q3mggIkhfm8eni18Q6YN9DVpOOA+wbsV tgDLyK6hMJ9vT/L7/GtCChLnqfyjREDOQj6u/RYRr5YoAaaVyPuaS0GM4C7K4zFbZtNhNXpWSDo+ JIv2Fuk3H8SNIbU/jfp6ZFoBBtxQiKRI6mQwmXxc+VUjZE9xJ0zKjs+fqqI1V/pETHVIu/gDjcfV cskkp3pZ1aYEkjZ89a+VJDUOi/tt3gbrREkdr3wyna9DZggJtl16BXe6TzHfD18d3Inm4908b07B OFnBPhdDejG/89o/LoStB/Hx0QQNMm/z7wBHTun/KsLxUGcip5w0eIWIFxbtkUG+v9ZUJ7FpMZN/ sYm+PNM46YW3erTp7CjzPGYBMevC32XvMIpE4hx2Ju+DL+v4KEsPh/JNxwwDkKEdO7lZVbLDxJFk bVy+4F4n6kVqwoGE2jmC+rLu5nwgM+CNJyR4EcIUiafAerIkI5920pxBWcRuqMNdix8rfDnWdyOL KtyuVHZAeIKHKQ5Wy4RbPhQZHU8CNaokGWACpAJpuQAj/582G5FnolH7Gmd2cJv3yAY54XWSV9pb DzU4eRsA/2afmaO11yD9bZS3y0dUWJwOt77biIR0J/4vJ/Olh/WRqxL/JZa/62JeyXvKQKYRFFxh kHwzid18lGzGVvyseirXRlXOKD1j3LiOjcwUjVPa1mie/TokUew5XZG6mPvm2qcfZybGlno+tM/1 qfy4ePMRSQx2CCVwHr/SFYrftbBeSHZZCUG2f7EgxlCLvbFHqct+bt64NwX8Qv55PH1lIxWE5MM/ bMXsU7A4aQ3bP0NQSTgEM/RARFRTvvv9ODGhdV13JcPlz9AnvgatAaj88ibgRpkPOFuZJhskyaav qzLPbOKVf8ZSrqCY6WNNCF2ItBGTIGAALSWHh2fsSDW+0fAuVOduapFztgaoHOZDT+YLx2R016aa xsDktQQVHoYiQVjH4dc+73uOK2q56PH/oygw9QKA+HfiIBxvQrwZaQeHIFYQWfoF2HyQfP5jJA8u atlb5a7E3mZB0i673RZ+VZWs0Xw54RUYaAcnSSBMYzAKy8EhN/zavA/Y0qqMnYSXWL17OPsoGZ3E axuAJ4Ar58lj85Zw02A6bCVfaUj5Hb8BWwg/YR79Fp9s0soiwZQhzky6+asAu6WEcwPuieZtvRm/ K7Us7xUK6jwgpV4oOzVgovYVm9Zt4US2ycFwWy8DphcqzwmRXMFO9kAAdOp7QLSuLFnImtpmoDDx BgkbHlnJHFzJase5Akj/9wVsfal74FUza0bsoizxs/+XeGxn7kPYEpKF4X1MN00qDq0PoAvyR66c gSqUCBnq7CQOEHwMjlh4c6GQey3Fc1S7X9OcGeLhsWyqjXQsAPnMlZxy6TNpJBRise422D6oWql7 Qn92WzVbO7NbdL4YakfJvc27hmsgKjcYS3+Dvqkxe5Q0H7i8Hf50Qx39A88c9nJ1IRjRKR/KSsNe sLTiElmlamlxiAUuK2+5NIXdoz0NuuxjuXrJUIGnCSjM9nOa6ViPDKADmRBTsncd+A+WiKDxHeCV EVSWhEh1l1v60yVjodKhCcQt4q0mAIxtzgX7G+Bu1BVEm07sExPctCkgqfturQypCDC02NC+zm/Q +oioE1Osf36vfiwUqM66G8hKhK+jozA5i8/4ZSNKrKPTWKL+aRdqM/2qNvPG4JmUgZkpUkCHgJQt on2vZU2dixPAowL0M3xwz7pqXvOVZL/Siu63jhNvM1KSmS0qwgF2WWQzHTWUIWwcwE/WToW9VW1u 4AJ8lw7XnbLQpELhvXNMEJpwbkIHpU3bDfL6oeypmz3MFgZuHIBR4fFooQnTveFLQYfiTOwybYHA SL92A9hYEQiCOMkmBTBUV9PNNmR+vq+GrHyKOEY5JGgTHeJ5PZDC4AewzFGwPVrJoO6KRKjP1+0r TCHmqybPEctpty2CbIf3g8Oy1jvhaN7HxBkdkbWtOXhd8acv1ko2xH+n/Ce2u3N+YjEWr2pivhio qWjwWPMocAO+5Ye5MuKVh9+O5QpDtsV3F2ZTsS3CtrHRAffk9kViO1GVp7bFbd0ly4yNt7V6yzRx +nYO+9jYjqkw698E27SgZM7JxbAPrw6T0Pn6CrQmUlLTQO3R/MAitw/im7FL0R92bJKTrQmy07Qn caaxs1kR5ZBLsF76S4UpyqxB85Xcoj+tu9Y3U3qt9VTGA+s4bfnBUosQiOdJHQHMYmbastprtm04 4I2x7QF79UrjUcTWfUkSekwnS8SbEq+aPssbdEvwgtQiyo4foXE5rGsumnDaRY+cqBZ76U72ABiz KDWZXbObB8pyE1BqKE/BQtjw0LFeIc8PwijccqwJmhvEiRtYxlKDLXJP3Fd98hJhbhdYT9PpNXeJ usP8uNkjlBB6Qii8CuKTmjSVqBsMrb8icE5fjMpwm2n1JoW2RF2a+9reYRtasRKE/FMMBhUTtBpG Zg94J1ZCNtINPmnBPMudiMlchm91pzUpa6iClfMyALJpBqYe/0fw/H4lr4A1GLByF7GcxAzcI4h1 PUmzvNdbOhuGQZHysmCMCvo2gxOGyfRnS1XoXjszzu1BjLVYR4Qih//NmzptNDBfaq3ZhJxyJgKd tpd6IWKP6nuCqrukjw0ImE1oVb9YGooEl+YqJdczru6ztO0EpPe0SUwX3hbyWyxGbySFHYmXlWgG LOkCqfzGOAhggP3XDcSoc3RUu4WJAoibTN4ettyc6QfT9YqKD/oduK1T5+gJbGhiKc44hKCjCCDT 3wQ3X9qUfzYKyBoqaecSyMqb9Pp2H+wXXzOTOK64XBuDPSNRKdakKW/xvNA5NJOecmeAqG3rOvQm dntiLuTeUYav6CfTz3gzpqERqnGarezKhl8Q87YkPAKDSJ5lxaUvnHj/+AzrBqd/PivByPlOdAmN TPFF0FWCvF09oAtQWFCtC/qba9hb6OcRdSq4Sq8DH6kwF6gRVSo0u5qZqYikwxmdTWGnznS+3bEB PmfY/EZ4EPJuRLE5/8SEuYvyhUGfwZYVE0/iTqsmCYf22znbBAkQZYfa6ukgniA4ZMyQRTcBI/c/ 43eXbbUQiTMrJmPAtozJv+63I0w6ilcIH2JxF/cLqXprC37O2ROwoGyo0oUG6WnJFPuIyAg1S7My ryUvoGmTntRHYrOPak+Y9QF/apMaNnSFIlFlaMvYGOtGC64VgZ5VbPUNhHp3OOjaTKN+xwX19UQo v8kFrFKbONwnKb5LYdA+vMuofVwS1cTMKbwEww5yh/uEPq9UImh7aIF5noF9jGQPPTuuChiqWl8O 6xEOYOWOZ26wbwkxJqbB2efpKfZIi6klz8Tee9dIrAXYZBD3YtsaQetF6EwmEChn9EW075NzBhGT JBfZfqm1ZWYuAfTG6AO3fvbKMk7/2Tw8op2TT13rBlWT2m1f680/BEhP4H+rLKdQwt8MvK+VSGXz PrJ97CloAhptvgHmL+kA9ETQpFXCBJKbJt8zXkLlsTwXCDC5Bve9i5CMt16myA/eZxBjsPvT8XLe 1V2zJGDNqlryb5mHX06e2iWQM59y8EKLuUblcigenFerZqacRFxP+OFE3AApMQvOd+CNWP/7uNJt HAgRuUEG6Dbh7L6IUBIsc521+81lfo1Xsk2eJG1+LRGbCLekIcR4Cm17dAQhZONEZ41FiDS18n9V J9jgV/1ie07j8rfnDFdldvQnaI3kScf6+Bfv93yzh3l3t0Q3fEoNWauMD922mNKKByRrs8xF8nAd r62+6bsdCJDojBEGC0IgkovfYRTDCsgDBYLJalh0U1BTKBRdhnpbLskYwFyMazwEJwB9tQAZ0Inm KJMu/7fnIvieEH7Ip7DyWTImNGS8q3h+n26h7gq5ZBPcxE3sx1saiwF19Hg7xGHPg6wlNCR0y71A EYyPsbZHA7ZqpuYjhU6Kq07jtgycQabdzvfIvx0Sw88fDI4CkGF83PNm+Fink9CzuIw74X0BrJhv fTzIKffrkzzn+n/WI6OHJbPQGcScCnGpU1nf9xqROW9G0NaGFlVcTonMMx2LMnDd75VfB6CSUphS ylpjZJrMhylKASPJ0mhY3ZEuANMRtSrnQ0mGxVuHSCidyqVhQhvitmp68gJL7k7uIn2Dt5ls6xfa E91iAxY1GaX+yeXAPgr/Yp0KgSXOF1HYyYm3SuVKpdZoEXr5BVGWmpr8j7/NEXsg3IvQj5Q+5z2e o9KNNA7dlAHBbpIfnpPFE6IbF5PV4bGoZgS4ym+hp24zcpb+qyIuRgD2sCok3eJe1HABQEWZtIu4 S3SdlapGQV57aN84Z6ku7IMZtt91nDV4cCTsl68Q+u8+Nvk29zIOFWqQqlsl+VM0Yr25lFP8OxJU 5Dqns48AZNda+Mtf+DvW4dLde0lVzDnsgIod7tWmID+OI994tjPX6LWq+sO8awNTOsu8d7jOXP96 ccSKLtLEWwJc95D1Cu77yQb4F9Wta2z4iuPk48NtaqO+6mAsmxmNaFF1t7i1alHlMaT2Ds5hwFNl NjY4dh5OtoaINhSb9OvpTnjtZFbcYZNJeuz6gMjwEKTpNr5sQJ6WEZbtsyZazaXqBzJtBeo/KNVf CxVnLjzgbJLd/PawgRkZ1gWJ9ctru48K4xIeBpdFBr+U28seYrq5hhjOytFpiEkPtEt+eYgiMC3A ygmaLwDpRkyge192MLK03S2kIB5eKqiSLgW5wFhdgVHWROoaS6cwunkan1dD3PfqIVJwhGRlFVy5 eVpqQUhQ2ksC7hrSZ69dp4Tgb5+UNMz4as0ImYqfFF1/4q+shwgPGDSH21LUgk+4pIf5mKkj0fKb rDrMicxiEqTQXb0dSZeOxR+xSaz2aecIJo3/KPBJnSiTGTxBCN3XR5lBUXY+M8vHxyhfnxMNer0U HnlN8p3OqlJU1ZPuNQdSYyp93ZIxfifIbrK61SohnaWzxSUGEfksrJFvawr7vWCcoKhCIFw4bKr2 XhM3qXbXfnrxsjNtFtWH89ggIqxTwrMRnoMjRTVxi7dA5eNRG3XzFsO32iitjOe+/DSn4hHcnjWX c4R25+L3Qy/8t7KA37pqDFx3gaWLMv5dJqGezN1ebAejStQGH2uzaGGxfT8MesWH2Kd+rmdGj+OT cIZL8WuO2t4L0K0a2uYXFzJR/UVe/PZ1yqUAoyw6CalTaOwGI0bIYa+mgJvCxeS2RC504yyGpbYe 1SttL/vQki1DhGmvOm4KCUq61F7DhplTaTlKpQhuQH/Mah56yNkqM7QjONikaTuza6K2DbOui1kI Hic8hu2BQwFTsbQyByNLQClPkyiJaps0HOpH7OnCJLeiQ2HVfcoXhVe0zcioDVOnWlJ49KwSxsIt HIStoB8gg/K9pT28+Ft0AkR1a9jRdgNyyMy21baIAFFvw7yzy342R4u6URPPqtGZ9FAFLIUgx1lf RyVQ6NvZJOEkR8MnYeP23eoHrgqPCHZerv/FPjr/NwRC1sVnb1Ne6VMflG60wW4MZ4FVSkSXyhdt ZFqcwuDC00LrtVevjKpi+v/TNnNEwEntJtySJIZPvr0P4C05NsqPWnxLvirS/pd3VCVmKxl2oOEj jokUN1CU0qh47n0i+AETysj2r/O8uTXzjPTXP7MedvnMMl9D8GHfygs6VWsqKufJGjeKCH7BIy++ NCpckjROgFayN6F5UGmJUmavPc0wmZHgo0fwnEkQ3xB2czMBZgMk1hL6yWGcIekdK4ENB7JDAIbo IrsfZJWhBXOhKX/6TGGGlGyjz/2TAeqjjFpNqhlRoFwDWDpMI1UmBlItBA8fvUdtdCxR6AVeMJHl ZYaFbWH9Ecs1d1TqXuzqYBkQeNRBTosYK7AUAtoE5x8dBUibkgC8116zDYj6v+23jkFEOUrGL3TX SmHdcam0W2IpMcFgFsDPH6VEQbR/rYE+x7LVAbO1KDuv36vaDUOf+xhzG2eExnDj8Dl2Al8pMURn Bcq4zjPUkU/N+ssqBiHjJDHgY1sqDyK2Bsc1gXXvkoctto6gD29jWLtqp0xTbKmvVGtdv4IGyilG 1+CEA6j+dFiajLjYfKOvQ5CZ4Y46t/xCJq4dPQAyaNTWr55JvrEmP7OUKybEqKxF1p0DhmXfISJt apjPGJEw1C4vJcuo/7OQJ2OsFY7gCisZLMEvz2h4R+raIV7T9CtOG1Ag7HrJdHCGPcFPYDSDWoLr rjVVy1g0Nn9yLrWqYdUCgr7W+zrxP33HegjEc8tj2hywnMdFyLesdTNV+XKq0ZmcUJU5U9okYGgB S4uBTR2AcREnVlCLPPGDZ1r1F+zlZz2+BzIPEi5zXXeLEwIN3bZZ13hVP39DV9HQLMcFfBtKSI4O HChT1LVqHEyJ9zzYIPcQWo8x2mn0D7KCB6bOXzHuBe++MDMR8NWqAEfjp+TnQ3LRG2+WtoZfwQQw 2vzIOiqzYAFwA3BwdU1Q2I4dv5uYb+tysZftECwIuHSdR1G0dSvcMxe8zskOMghRTqaTPDrZkizE GSnd3E5EifX8qtBRnbP37RmRrVK8iNRRHzkn/Hg9ztyPgc+UXcY+iLho1d7dJ0lpG6YFk0RDOcy1 LJ2bkPBNGPoN3faRklkft3d3Kv7XtR5+qtL2AXhXSDNOXoZ188PaqiiWihDjISAT7u8t9i/wsHc8 0X3JcuI7E5w8zfWZoMLwDbVDmDikZsyl8qftTr8agFZ/ubvYM6xsHQ2bkSQRTRSH52srSEPWTVa7 qEHSuYGPwmf4G9Hw/uovSIr2X507KeBQMxqXCr+EhKW9mxK5AjPi3nXA/55QrDMKZBod+Xh0rR78 crrEZINLUj+OgbBa4ATy14zQ91af8JiCyaSWutLiT4JSXZwA4qNUomCq0w4+nTOWwDC8ifop3Dwh J4c2ZIyzvbsbtPgWwWo1LCryX+glep33ZQidk7XHZf96c5U/yYxebIhkC9rbp41kOddBK4BcK5kW 397tBvL5xLBL0qCk+IFz4/VY5/7hSJqPxQXHIb5qsxudLxGk/Z2I+fD32TweiYg4iwa4CEGkvFFo la98pd3lA0WJU5SgG4a8qtLRL9AqgWIg7hKiNeSyknB6cjWhN6t4DUr79CW9oLTRe4Kf6KvypYMv 70SZxOnyI74GSHpSxxvUhYD1m+pwVvKHeXoXra+Rh57x8sT2JYByw1lPW2tjSQLIw3fQRiib6grh E3h1Cq/Qn0JKcBv0XIYsoz/Lpv1UoX5jLv1SCjwZoIepXaIgRQEzP3hjkZKCfah+5j8zlSRerkjA OI7RNkFMMORRYw47JoydgKzsxfAnPU2XSmD3A2By8wy6iD7Is1NUam/+7YpkW4GzyVM4fGP4IvtJ v7NusR1+bXhDPstWIAz6c6BPlrp4icxuxmPMzYfj1tewrZ0720svYt1lhHrrY5JPWLj5WlM7lEh1 F8TSQ6pFcXistG/cglai9NiV5SrGxB349QN8cClrcwW4frCBve0FqtolJxkerlelKnGBfC8xMB8v NlAP/TX3kBg7770Qbkjq9Y+S22DhWfc6H02MumD7cmOIKDui7ZtWisoK/gHMCsUinkC21tTuxQ1h obI+d9Zh/ZhHsnTN/2BLoAyYRsqXce4w7xm9p7drJGY9s6a/7UDCFaOhut4l/c5w8BpDQiPTuO0r hObgVqp+U40n+HOxAmZy+87Qd0nOrZzAhn2PZ4Hr4Rdrkca30Q8LkQ7Ps6AOBuE9Yjzjl3wsTyk8 fWaIyGca2oljPyJXqtd23jl9/UcFrULgEl0alKTSIMfMHPXEH/FAIfKz3cqQZ6fZB+iaPDuOT43i RMpotxE7TwFXKaoN8JRVRt6DDRKA3b/2P7QDRm0xN5DZU9fBYgfRVVT/nbu/boAqmaBSHoquVLkE lgrIX3RD8LyNp5UVhGA2cce+AkRTkHhG3kbLGeal2oftwDpvmdJ+1mw5krrklAE2zWQTDyQvLmQA I0D1e0b1kKypSj9lcxVgEixNOI3pxj9EldJrGTae9VAbpv1p3sXMDur9BA0ecR8hn/uGStsku4wx CnHO2rxSaD6jMtlj2f03OzLFJa9s5u2HezstPexdyK0T2RV68xd3mtgSD4Bi88S4ZVh96OuO56Oh 0dfOBdBhDjUEWzj6ItK/9+UjijQRlnicKYsexZoA88aUwWwYD9efFovsxjEzEzj4gGN6QlhWzcev 9HWg7df4t+yCbmPNpPQS6avds+RfJQRukhLPRwj9V2HwSSmOdNQqITrfyRhlfN5T3/m2d9jvLIgl yfE06SAklvznvo2y5t7OIxJeYdcGEwWaiKqihau4sTZThRcuxLK8F+drKRIyUQgDlLLlsNz7P/B7 iIuy10TEvHlSpZLTTbhObNOA0P8hi3iCvHEO73QvztZJvo/tblUwqiwN0jetrkaYhU5wDcOMgUEA 4BugCjtWsP+J99uXtey2kc0eRZvEWyWc0zfey50WDUm++XWQ88YmMzuNcGUhaO3MvTBbsXIV1GsL idqz5UnswEl+IG5h5CPuQS54wFk2C/iWZCjRNUuuTNGC/xHpBb3lt4eO0QZyNzjtCwcVXNPuT/bC cNIcowyQT7JkJdF15c3O1BvNSkFVufWdCGPzt5EwHwguDvwmAN6+wEQuz9fhEJHcrJqkpiZ+pGhT 3hLLhKCYrB0i8H+5+o+dIetDwbAT65qHlrChNpoYzKEAbkA3tzUn4hh6xacwkmvi4AT6PKaDaRxY q083afA+ASgrs8JmYqaYjGqDQgk02P1n7UOlZ/yUGeqcXosJXvPM+3peHXiWYkj5pUf9r5D+Cu8j PGNn0UdeRDmq6qwIYSgjIyHbOT292ZFwhecSZsVISCR3XDVo76T+5XubNaUXt08ULH9C/kBvW5Qy D/n9KQU7lVaHi767aNVxrQxe+ACRCtqmmTndxa+Y5Y+GzNsjtfXz04vCCEPi4fmKCjZJQuVKcOGl GPNf3LgLJ94WA8yRtQX+aevK+0lWVa0Opr7wTtyOps5ZNxN3GFmN8lg3GDhYBlgPz2PtU5grRwSq MppPcSAv4g7QNU9+xCNuOqpeqGyCg0c4Re3617hendJ87NvOwWVsOWV9DPVk73qKLXdhFUTMdxSl O463iQD1Ey8RdTYyhqXG/7hfWmJYdy72LOmNflwvVXDEC9WscEOwWMPpfNoXNvp05b586qma/GhC HzgzlvgB2mPckvWnfo6YiSty+cHSIaoIbC2mYcbhreD59lEzDTYncw+I64BXikNGKBmJrmfBOJoY AIESViQWKNaIkzNTBvba1ASWUSdfZ1jBkVkWzUJCsZ5FEdZ6uUBhDRO9hvBiD42llfdoFUt8rb3l fbd5fU3oEwyQNBeBQ1ytnVjP/FVLFMrqsDZVOY/f8C9w0rD3T9kbWG5ekyjGfNfLSLzrcRCJYqcc yy3XUvAQc138AiIUR7RQunEWX/lBfESHs+dfeyj8Qi/MD3Q8JNO8uFCiarAT7i5pO9kFDlqvZYyo jyIfRYkr5lcVypEIzELibD0rurDci5Z1GBxXROxEF3hdWrjEFcXW4nT7vUH71wtFkHvG6yF0fSzb RmYD/rP7DPsA6LgKQzWfbStfki/HoXa0C7uCa6fQCfVeL/W6G0693NMhEW8QAQAONe3XGA09JNdH fVWTCuPPnLHhuGTnbgxaUcTRNcO1IA4M96hA3XagMAICO1xVYmGGHd08lbsXG3lyXnhq7/8by9YR d7dVYwyW9wpAXWd+wsX0y6uu8M/szl9nbdWAp09nmWmBJ1F0Ms7zknt5XShcOgxxzclKBTS/Ppb0 abqJqiuXYnUtwJKWFcCEDjhlj8ehEdcmcEpyD9TwSSj+7RUrHAD6X+Ietog0a59pyB18ygBELH7y fClYjNR2FAQYCeL4s9b4kuY2M5l8cOKBAtyO/gKUxxcmZrcdyVt5pL4XZ2S0Paii4R3gwA/zCvWQ 0YE0mgkiVkiiTPMSfF0QJSrYCBxHcp8QW7Xcl/SKvtKNwYurhRVC+Lv4CDjritUjTUbGwcltTUxY 7Ef8l1VXuSaNxirmwUPhrHwqTaKyWG9Ija8erx3jQsU0J1aDYr7SVIzlVdMbTWjufMxxxYOCHjCe vBroLvlHqEf6YchklwERSawQ2Y+lPWgQeB0= `protect end_protected
bsd-2-clause
cd33048ca732804f971ab8ec1b2c6eab
0.95238
1.820599
false
false
false
false
okaxaki/vm2413
SlotCounter.vhd
2
904
-- -- SlotCounter.vhd -- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use WORK.VM2413.ALL; entity SlotCounter is generic ( DELAY : integer range 0 to MAXSLOT*4-1 ); port ( clk : in std_logic; reset : in std_logic; clkena : in std_logic; slot : out SLOT_TYPE; stage : out STAGE_TYPE ); end SlotCounter; architecture RTL of SlotCounter is begin process(clk, reset) variable count : integer range 0 to MAXSLOT*4-1; begin if reset = '1' then count := MAXSLOT*4-1-DELAY; elsif clk'event and clk='1' then if clkena ='1' then if count = MAXSLOT*4-1 then count := 0; else count := count + 1; end if; slot <= count/4; stage <= count mod 4; end if; end if; end process; end RTL;
mit
80bea0ae1317fc4abb434b865d5097e0
0.54646
3.424242
false
false
false
false
kjellhar/axi_mmc
src/vhdl/mmc_dat_if.vhd
1
8,080
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 12/03/2014 02:00:27 PM -- Design Name: -- Module Name: mmc_dat_if - rtl -- Project Name: -- Target Devices: -- Tool Versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. --library UNISIM; --use UNISIM.VComponents.all; entity mmc_dat_if is Port ( clk : in std_logic; clk_en : in std_logic; reset : in std_logic; receive_dat_trigger_i : in std_logic; transmit_dat_trigger_i : in std_logic; dat_block_finished_o : out std_logic; bus_width_i : in std_logic_vector (1 downto 0); data_fifo_out_i : in std_logic_vector (31 downto 0); data_fifo_out_wr_i : in std_logic; data_fifo_out_full_o : out std_logic; data_fifo_in_o : out std_logic_vector (31 downto 0); data_fifo_in_rd_i : in std_logic; data_fifo_in_empty_o : out std_logic; dat_out_o : out std_logic_vector (7 downto 0); dat_in_i : in std_logic_vector (7 downto 0) ); end mmc_dat_if; architecture rtl of mmc_dat_if is COMPONENT mmc_dat_fifo PORT ( clk : IN STD_LOGIC; srst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(31 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC; data_count : OUT STD_LOGIC_VECTOR(9 DOWNTO 0) ); END COMPONENT; component mmc_crc16 is Port ( clk : in std_logic; clk_en : in std_logic; reset : in std_logic; enable : in std_logic; serial_in : in std_logic; crc16_out : out std_logic_vector (15 downto 0) ); end component; type block_state_t is ( IDLE, RX_START_BIT, RX_DATA_BLOCK, RX_CRC1, RX_CRC2, TX_START_BIT, TX_DATA_BLOCK, TX_CRC1, TX_CRC2 ); signal block_state : block_state_t := IDLE; signal next_block_state : block_state_t; signal byte_counter : integer range 0 to 511 := 0; signal bit_counter : integer range 0 to 31 := 31; signal shift_en : std_logic := '0'; signal data_fifo_out_rd_en : std_logic := '0'; signal data_fifo_out_dout : std_logic_vector (31 downto 0); signal data_fifo_out_empty : std_logic; signal data_fifo_out_count : std_logic_vector (9 downto 0); signal data_fifo_in_din : std_logic_vector (31 downto 0); signal data_fifo_in_wr_en : std_logic; signal data_fifo_out_full : std_logic; signal data_fifo_in_count : std_logic_vector (9 downto 0); signal crc16_clear : std_logic; signal crc16_en : std_logic; signal crc16_serial_in : std_logic; signal crc16 : std_logic_vector (15 downto 0); signal dat0_shiftreg : std_logic_vector (31 downto 0) := (others => '1'); signal dat0_word : std_logic_vector (31 downto 0); begin dat_out_o(0) <= dat0_shiftreg(31); dat_out_o(7 downto 1) <= "1111111"; dat0_word <= data_fifo_out_dout (7 downto 0) & data_fifo_out_dout (15 downto 8) & data_fifo_out_dout (23 downto 16) & data_fifo_out_dout (31 downto 24); data_fifo_in_din <= dat0_shiftreg (7 downto 1) & dat_in_i(0) & dat0_shiftreg (15 downto 8) & dat0_shiftreg (23 downto 16) & dat0_shiftreg (31 downto 24); -- 8bit shift register (DAT0) process begin wait until rising_edge(clk) and shift_en='1'; data_fifo_out_rd_en <= '0'; data_fifo_in_wr_en <= '0'; if bit_counter=31 then bit_counter <= bit_counter - 1; if block_state=RX_DATA_BLOCK then dat0_shiftreg <= dat0_shiftreg (30 downto 0) & dat_in_i(0); else dat0_shiftreg <= dat0_word; data_fifo_out_rd_en <= '1'; end if; elsif bit_counter /= 0 then bit_counter <= bit_counter - 1; dat0_shiftreg <= dat0_shiftreg (30 downto 0) & dat_in_i(0); elsif bit_counter = 0 then bit_counter <= 31; dat0_shiftreg <= dat0_shiftreg (30 downto 0) & dat_in_i(0); if block_state=RX_DATA_BLOCK then data_fifo_in_wr_en <= '1'; end if; end if; end process; -- block control module process begin wait until rising_edge(clk) and clk_en='1'; if reset='1' then block_state <= IDLE; else block_state <= next_block_state; end if; end process; process (block_state, transmit_dat_trigger_i, receive_dat_trigger_i, dat_in_i, byte_counter) begin next_block_state <= block_state; case block_state is when IDLE => if transmit_dat_trigger_i='1' then next_block_state <= TX_START_BIT; elsif receive_dat_trigger_i='1' then next_block_state <= RX_START_BIT; end if; when RX_START_BIT => if dat_in_i(0)='0' then next_block_state <= RX_DATA_BLOCK; end if; when RX_DATA_BLOCK => if byte_counter=511 then next_block_state <= RX_CRC1; end if; when RX_CRC1 => next_block_state <= RX_CRC2; when RX_CRC2 => next_block_state <= IDLE; when TX_START_BIT => next_block_state <= TX_DATA_BLOCK; when TX_DATA_BLOCK => if byte_counter=511 then next_block_state <= TX_CRC1; end if; when TX_CRC1 => next_block_state <= TX_CRC2; when TX_CRC2 => next_block_state <= IDLE; when others => end case; end process; mmc_dat_out_fifo : mmc_dat_fifo PORT MAP ( clk => clk, srst => reset, din => data_fifo_out_i, wr_en => data_fifo_out_wr_i, rd_en => data_fifo_out_rd_en, dout => data_fifo_out_dout, full => data_fifo_out_full_o, empty => data_fifo_out_empty, data_count => data_fifo_out_count ); mmc_dat_in_fifo : mmc_dat_fifo PORT MAP ( clk => clk, srst => reset, din => data_fifo_in_din, wr_en => data_fifo_in_wr_en, rd_en => data_fifo_in_rd_i, dout => data_fifo_in_o, full => data_fifo_out_full, empty => data_fifo_in_empty_o, data_count => data_fifo_in_count ); u_mmc_crc16 : mmc_crc16 Port map ( clk => clk, clk_en => clk_en, reset => crc16_clear, enable => crc16_en, serial_in => crc16_serial_in, crc16_out => crc16 ); end rtl;
mit
dfa1ecdb14e2225b2d61f89f2518f126
0.480941
3.833017
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/process/rule_035_test_input.fixed_compact_alignment_false.vhd
1
746
architecture RTL of FIFO is begin process begin a <= b; -- Comment ab <= xy; -- Comment -- Check for something if (a = b) then z <= y; -- Assign this statement -- Check for this other thing elsif (a + b -c = z) then z <= x; -- Assign this other statement end if; end process; -- Violations below process begin a <= b; -- Comment ab <= xy; -- Comment -- Check for something if (a = b) then z <= y; -- Assign this statement -- Check for this other thing elsif (a + b -c = z) then z <= x; -- Assign this other statement end if; end process; end architecture RTL;
gpl-3.0
8b23d7e04aaf599d02f0463ca9825f7a
0.494638
4.076503
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/styles/jcl/graphicsaccelerator/VGA_Top.fixed.vhd
1
6,658
library IEEE; use ieee.std_logic_1164.all; entity VGA_TOP is port ( R : out std_logic; G : out std_logic; B : out std_logic; CLK : in std_logic; HS : out std_logic; VS : out std_logic; BUTTON : in std_logic; RESET : in std_logic; LED : out std_logic; ENABLES : out std_logic_vector(3 downto 0); SEGMENTS : out std_logic_vector(6 downto 0); INCOLOR : in std_logic_vector(2 downto 0); MOVEUP : in std_logic; MOVEDOWN : in std_logic; MOVELEFT : in std_logic; MOVERIGHT : in std_logic; MOVEP1 : in std_logic; MOVEP2 : in std_logic ); end entity VGA_TOP; architecture BEHAVIORAL of VGA_TOP is component DEBOUNCER is port ( CLK : in std_logic; BUTTON : in std_logic; DOUT : out std_logic ); end component; component BRESENHAMER is port ( X1 : in std_logic_vector(9 downto 0); Y1 : in std_logic_vector(8 downto 0); X2 : in std_logic_vector(9 downto 0); Y2 : in std_logic_vector(8 downto 0); CLK : in std_logic; STARTDRAW : in std_logic; WRITEENABLE : out std_logic; SS : out std_logic_vector(3 downto 0); X : out std_logic_vector(9 downto 0); Y : out std_logic_vector(8 downto 0); RESET : in std_logic ); end component; component SYNCHRONIZER is port ( R : out std_logic; G : out std_logic; B : out std_logic; HS : out std_logic; VS : out std_logic; CLK : in std_logic; DATAIN : in std_logic_vector(2 downto 0); ADDRESSX : out std_logic_vector(9 downto 0); ADDRESSY : out std_logic_vector(8 downto 0) ); end component; component FRAMEBUFFER is port ( INX : in std_logic_vector(9 downto 0); INY : in std_logic_vector(8 downto 0); OUTX : in std_logic_vector(9 downto 0); OUTY : in std_logic_vector(8 downto 0); OUTCOLOR : out std_logic_vector(2 downto 0); INCOLOR : in std_logic_vector(2 downto 0); BUFFERWRITE : in std_logic; CLK : in std_logic ); end component; component SEVENSEGMENT is port ( CLK : in std_logic; DATA : in std_logic_vector(15 downto 0); ENABLES : out std_logic_vector(3 downto 0); SEGMENTS : out std_logic_vector(6 downto 0) ); end component; component POINTER is generic ( INITX : std_logic_vector(9 downto 0); INITY : std_logic_vector(8 downto 0) ); port ( MOVEUP : in std_logic; MOVEDOWN : in std_logic; MOVELEFT : in std_logic; MOVERIGHT : in std_logic; MOVE : in std_logic; CLK : in std_logic; X : out std_logic_vector(9 downto 0); Y : out std_logic_vector(8 downto 0); SYNCX : in std_logic_vector(9 downto 0); SYNCY : in std_logic_vector(8 downto 0); HERE : out std_logic ); end component; component FREQDIV is port ( CLK : in std_logic; CLK2 : out std_logic ); end component; signal adx, gpu_x : std_logic_vector(9 downto 0); signal ady, gpu_y : std_logic_vector(8 downto 0); signal data : std_logic_vector(2 downto 0); signal gim : std_logic_vector(22 downto 0); signal gpu_color_to_buffer : std_logic_vector(2 downto 0); signal bufferwrite : std_logic; signal dout : std_logic; signal ss : std_logic_vector(3 downto 0); signal clk2 : std_logic; signal p1region, p2region : std_logic; signal rt : std_logic; signal gt : std_logic; signal bt : std_logic; signal x1, x2 : std_logic_vector(9 downto 0); signal y1, y2 : std_logic_vector(8 downto 0); begin INS_FRAMEBUFFER : FRAMEBUFFER port map ( INX => gpu_x, INY => gpu_y, OUTX => adx, OUTY => ady, OUTCOLOR => data, INCOLOR => INCOLOR, BUFFERWRITE => bufferwrite, CLK => CLK ); INS_SYNCHRONIZER : SYNCHRONIZER port map ( R => rt, G => gt, B => bt, HS => HS, VS => VS, CLK => CLK, DATAIN => data, ADDRESSX => adx, ADDRESSY => ady ); INST_DEBOUNCER : DEBOUNCER port map ( CLK => CLK, BUTTON => BUTTON, DOUT => dout ); INST_BRESENHAMER : BRESENHAMER port map ( WRITEENABLE => bufferwrite, X => gpu_x, Y => gpu_y, X1 => x1, Y1 => y1, X2 => x2, Y2 => y2, CLK => CLK, SS => ss, RESET => RESET, STARTDRAW => dout ); LED <= bufferwrite; R <= rt when (p1region='0' and p2region='0') else not rt; G <= gt when (p1region='0' and p2region='0') else not gt; B <= bt when (p1region='0' and p2region='0') else not bt; INST_SEVENSEGMENT : SEVENSEGMENT port map ( CLK => CLK, ENABLES => ENABLES, SEGMENTS => SEGMENTS, DATA(3 downto 0) => ss, DATA(15 downto 4) => "000000000000" ); INST_POINTER1 : POINTER generic map ( INITX => "0000000100", INITY => "011110000" ) port map ( MOVEUP => MOVEUP, MOVEDOWN => MOVEDOWN, MOVELEFT => MOVELEFT, MOVERIGHT => MOVERIGHT, MOVE => MOVEP1, CLK => clk2, HERE => p1region, X => x1, Y => y1, SYNCX => adx, SYNCY => ady ); INST_FREQDIV : FREQDIV port map ( CLK => CLK, CLK2 => clk2 ); INST_POINTER2 : POINTER generic map ( INITX => "1001111000", INITY => "011110000" ) port map ( MOVEUP => MOVEUP, MOVEDOWN => MOVEDOWN, MOVELEFT => MOVELEFT, MOVERIGHT => MOVERIGHT, MOVE => MOVEP2, CLK => clk2, HERE => p2region, X => x2, Y => y2, SYNCX => adx, SYNCY => ady ); end architecture BEHAVIORAL;
gpl-3.0
c05c8aacf361299c762c5c3c7386a5aa
0.482427
3.462298
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/comment/comment_process_test_input.vhd
1
811
architecture ARCH of ENTITY is begin -- comment -- comment -- comment PROC_1 : process (a) is -- comment -- comment begin -- comment a <= b; -- comment b <= c; -- comment d <= e; -- comment end process PROC_1; PROC_1 : process (a) is -- comment -- comment begin -- comment a <= b; -- comment b <= c; -- comment d <= e; -- comment end process PROC_1; PROC_1 : process (a) is variable a : integer 0 to 10; -- comment variable b : natural 0 to 256; -- comment begin -- comment a <= b; -- comment b <= c; -- comment d <= e; -- comment end process PROC_1; end architecture ARCH;
gpl-3.0
e3d4ecf48e06366d02469c58ce5eb929
0.447596
4.075377
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/vhdlFile/concurrent_simple_signal_assignment/classification_test_input.vhd
1
957
architecture RTL of FIFO is begin -- Simple form a <= b; -- with guarded a <= guarded b; -- with transport delay mechanism a <= transport b; -- with inertial delay machanism a <= inertial b; -- with reject delay mechanism a <= reject 10 ns inertial b; -- with guarded and transport delay mechanism a <= guarded transport b; -- with guarded and inertial delay machanism a <= guarded inertial b; -- with guarded and reject delay mechanism a <= guarded reject 10 ns inertial b; -- test unary operators a <= (others => func(and b, or b, nand b, or b, nor b, xnor b)); a <= (others => func(nand b)); a <= (others => func(or b)); a <= (others => func(nor b)); a <= (others => func(xor b)); a <= (others => func(xnor b)); U_FIFO : entity something.somethingelse generic map ( G_FIRST => (blah <= 0), G_SECOND => 3 ) port map ( I_INPUT => blah2 ); end architecture RTL;
gpl-3.0
09587054340fec8285ec6590e6bcbf83
0.599791
3.709302
false
false
false
false
cwilkens/ecen4024-microphone-array
microphone-array/microphone-array.srcs/sources_1/ip/lp_FIR/fir_compiler_v7_1/hdl/ext_mult.vhd
2
22,040
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block OMPzPVU1UOlORRas4RP0uYu8YjS8Esg8YM7yLemu0FkphUnvFeJogC88yFLL2XWMCT8m0HgGQfwR 6sa0U+Q0fg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block SYZf34STSFScEuM4u1+mYmjN2+8dAQYYhbrx51XmPuyvbzP8HC+h3+Jlnim2JPzxBrIkkDddjK7d fTEAof6gukf1fUs46zkq6RHgGuva4zMLPgpkUtr9qJ3O7kNxpK3TOmRW4lXYKg0cpe8LiEYuP2+r 1cvzAvxSQnPSmo9OJMY= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block oQn8/viq8H9x1vkjQR61Emk09tZdl3ISjGgHteRzQZesq5NpE20rZxlLsDrILW/J/HEQxS1Fbf+3 CvBugCEHUC7FpWvv5Njcdkx0DRJqNb4nISPxfMZ+f1cVLp+AsnaTenjrVUqn5cU089dJfrCXzpHj mvSlvZT8jP9x3XVy8pOEkGo1ZsDj3QErgKbeqznh96XCBNzrVfHnfl2ATo+fqe/FtBa0+mJmEDvj 5dpcTZ1/Nmoj5kegJOoAXu5wCxL5fvxklARLSW8LRm40ivorwyE766kvKIBPEMqCqulzcvVFGiVx aJZkZ/2zic55WG2PKri90hSGWO3cxpJm9bhsLA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Vwrnyi2TmlSK1mbXcieqRimUkP8jUblT7kz4YhXLq0L+u2u13ScNYmRq09ASakKAwUFSFn5E0bDa 08u9R1tWBCZqAb9P0nUXvd20VMPBxKe/ZN2lRAixj6bKBbNnPdqBEn12TwxfQV9yhmRAXzrpuIAm VqPNDRfw2/2ADDiCF/w= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block lVskYteqXadoNxznnGRUaKHIoRbXsWfgTFw6JQj71O15N2wCVE3/dpV96BkNyfaT7aeslR5n48ox CM+pfrNNGF4cv0PpWc3SV4V2mHKkU9z3L0EWj+MpXI9z+hMWwn981LNwTe5uAx1yJPL+T2YxJ2Y7 ohTgqvD71WKiHKxqzNtuSOZEoOp2e5SQj9IQOURzxNPOm0zxB0XFOHTtxY+p5SC+sBkDkgQEmdEp CQByfsof+1Z8gG7xVKzQcFl+Akj3n4LTy/ZjxfJy5+aOMsJu2xV7ZOAhhDOoZVGOCHJFZBxXcI5b wXJm6Sk8mtFeM6PChG7LbgoCffA65LD63mYPuA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 14576) `protect data_block lgOaN43zf3Dh6Hb04ZA0z9asq63HyjuvAXmRuWw+kn4Rtz9iSeVYsRA+bRHL7CzjwSASi8QPpGF7 2ws54+HmxljclS1uqyNiO5jGn67KBoip53bkBEwjSX7eu2zHzDwS7jN3fNuCfKnY0bkafziYLOhk /CT9syZLyLP71qrQiA/DG2/imnqIYupJ4SaVug8f0cThCou6NN62OhwjzlqqHm0qzA2tpbnQ1+Rz dRjGaBUHtRC//rrdDevg06AjIEzPvtFklhX2Vj6mmut8KOD8QubRw9FOnWlE7h8BR72/4P0EYjdL hye0bttc+/83/HSHaF/c8nkXoXZsXQhflOuoNlgWM/NaG5qGUsm6mHeyPYwAYVQPTJwInULE9vTG g+aWYYKxxVkRXUe2MuJsinvRMOctNP1JlMFe+iY086y3yqxt6cHgBkIUqN3IBXXSpGgqLCbyeDtP 1dgLVgsg1iB+c9ZMiuz5L3DCy/yeTeP1RXN18moOfK1eVG+AeDOYug+HLpdrMFmlAeXH9JppCYRf gg9P/ig6SPeZvt43NH4Lf7Z8F7oneBy1Yus27RIDYI/D93Hw43NnaYd6ohWr5y+vkXy9iDX1Um4J qo/eWNJafUOgd6gTrQeBt9h3H8WBUZkNN1DX1y1+tc2sOSR9NG+gNQKN+/tHVDqZwcGsCc74M0iq FU/GLATnNy82JOJag7IgWAkbcLNGR6bTvlQFDUy3g5uX2MGQmh1vEZjD/s/FuzKvCdOTDScRqABm 4UWesayHuI8w0u6khqPtIMeeInL3Pq3OKr7swbVTZvw4sLF3UrT7EJQS1kVIL65uU6lWZWYMq2GJ Ybm20GUl++KcE+BP6lWCFXFUl6352QVCIH4bH1z8wXBP4xIVdCj4GUKr5gxnmURTmHisfQRodbyx iIJaaCr73c1shrYzL6/kyeAPm43lUTgFvayqM/GPpMwz4yBd1oJRrE7JJ40V+uP2YLodl3nlrIYM e7+eOSO78M08aHtbm92osVEKafRJpyiYBqk5oLF9QJfbGRzov+VHBJPGwx4ypZxx7cG4ncGlPCNT 4WrM18iLMorUHe6EEd1WQqRaObOuP88hUNRO80ypQxcqMrXlA9RuckeaiAPduZSoIgKto/fRYHN4 HbQmdB/8sxQkaHpR2V9Nqxz2VciD4ck/pWpUoObk6pE2x90lqNOFI0MSK5yFzgPEFY3bXDOac692 mVP/YaOAIEfeq2fYyNDGNfUJM3IP8Ci1cVsoqBt79XlgUqaZdKZtZKxZJA9SOZS3QQv6dkK2r7ZF NwxaT1iknqyj0OuNzYIWrTnNAQhs8cFJtkQ+loDjntl6VLwt81I8Ewgn4CYqQW6jCs+xORx3oxZz EoWbF/P5kj9E0ri39T+H8lJUYfQCxZ047f1PLawen4rRKG1l/d69ZMgCbE7Ef8QRH/SHWRc81L+5 Lzv5K3+8Pi15eM/H9eeARPD1k3Z/GTuxMx2zZmyW6NJbXS2Z+2/xg6qM2CFu2AlkPt0MLU1BCN5e Htp/zMKffcc0GBEciZgV4FH6YlFL/o+lT+xkriu6hXtNvyUHW5+H0IWH2MBK7WhZJH8qCKGqLow7 F+fSjHzdz+J3YFhFxeD/J36FVjn2amgcUv2somiw8juGNb/elzaX+QgxRawP0ZoQ6Tzp/arPpZIv suJjwg8qMHiCZkmXzDV7q+Ga6VvB5Pko+56VEcXIYD3C7MXx3b8neWjkJL9EUU4MclOvscSNN6jV iHeSQ7YrYcX9NzmUALEKEn0+Z+ICASinlsW/Sh/LAsMv+0iR+7FXaCw5jx9P2EhqR65s3jZm4YoH 9CPe856Nxb6T0vJB8gH+ZCuJpNbnllrJakjtdFWGIfV59waVhdafCioY0nr9063z5BaJ0DGzpRMW jYilPbZ33O97VPrTmM4xF1Rxa2erdLa+wP9PM5jWUtX651O/iGQL8pcNJ90TD7Q8mtj2hEqhyHhJ b8YXGiC689KM8ryC2UCkdboXGwtYisJw/vvI9/7jWnxR+90UAL4m1CFel46FzE0ieTV6S/AFGN1Z jhpwyIVaJcPMePtTUAH3Po3RwgHx1wxpkKG58Azk+Oz0xaP6akplWmCenkMdRaDi+0gytPaB73rX CsesvkcPX5ytz+LgU/AEqjwySU7EjTABaRLkIITPJ9yjFrTuyq6wASNVqSPOd2GfZwVsVICn0yAM 6zdhmIxRvoxxdRXLq6IbK/RlE2vLyhVpLStYsxJUCPpeGMFk8hr29yhCxs+H1Y3SRhI/sCl/l1b3 hVtbC4m1JQ5K2mLx200IGm2MrVPPBLr6ETClyRY1hs99ywLLe5n40ZsT7lk24ybkkBDObMKxr2Xq EULMb+FvX0br3bRm8H9sqehqxNxxgn16vxrwugDZZpMRvqmiSm7Xgo/xJFR5PZPQWLiqzVMciiKq HTo77FvWb0DGaNSyBXk3zvLd/VJlMIC1MEU6/uY+wQP1DXT1zb8uw88sV4f2sagkUK17HL+cwRar 8/anuNhtjK/7W0Ytl1ETO5GSBEN0FGKjpy7MJeb2Z2QUYrUHpfycQr2oO47zcYfexOdR14NSqC7i IzubpCJnXxkrwQE9T4dtZj08kqCUlr6OaEraDe+Q/s4U550ls0NYYj8Am/uGaetoDWsHaToeHiVM RfV9Gp4QfaGWLAIfI8/YRwvr3fFo5nShkqmaVl4dASW3hiPFqUDG3UkYcw4X2cD4h8ovKeK0mkFg Rb1su3VzHEs7rHQNAxrhGPlB4pkoL8suaHqNUMYcuByQ0upDaRENRZ7UN0QTWj4A0NHIVBRHFEde oAWkIFafqVRPYx60+NdMJF1S+0jMpNLg05n78d7qRGQTV9fPKuHN10FbiA71rMTXTw9Ylm1MOG6Q ZqzcEIz8m4N9kh/Ok4RwUEvLb9sA/fOwmS91UHt22Zh+L5scEPlR/LfWfal/rmB5q8ITcU2utVyx fOP9Guymbb7NDAyRywI3/NjHBr0FlMzM1wzJ0vFslRajjLggEebGSyO1ARKq0LmZsRQpHu0yY1yo aLgpNY3teBEiM6tkU+gMcNpAJLf/9y9wHfmuEVruj8gPqr9Yhu+ShsKkLiV5wNl+cswMdXhKVlgY 12yE3THYGPZnEGfuihJqdCpyerWVfXB4/xKlPDYWHJvXypkq/jlvFVxAzLxyogHZQprgL0Xp3mt3 uP97tWmMHmhvjmuVjD2z1WYemQbYhzWMwoAftFtj2XkDYcUw7t8Y8FPxXopWY+4t6DvaBdbMsbLG NJzl8MkCx+dNFHQyW+FRd7pTFcwmXnMc3DviiHgyIUDhUp2mB8viCWx9VswNunhObnmawanoVHqV PP/JaggzKnuXFMDbe3O+FxXyyMAZt0AK9tS7r3gN8fFJr5cGbfOCMFP+3Fcjz5GRzbwVI0hkVwol Dn83M6hahYA1jMbx4EhHCxs+/IB7AbXsTVc4tG/6tVbdNbSdnwY0GvyD3NFwCv9dq+U5lX92v7Tg cBZpso0VhY2Xo+1RmDBhabR8f+1tP6zx95xiJyy2HGEf82EftW6eOxHZ8cnl5DLLGQDDYszB9ZJ5 TbLf1O4Z0OXtpcwSOLJIof62oCJCQVRhRKk3Gxt2KbuMfT0BdVN0mErIdTFFrWmJd4Yp6oYnaJJN sB6CJ6GgcqfXXSxHuQbhNex+o3xsSyX4pDULqo9FkRm2o/SWhqEcpblkdXPQ2L6CjUWi97pQ9vlt OyOX8wIQ7xHjmfp9pQV6hIxrapjOYT5dfvLEFPE0kihgCZV+1nr7UAqlVLbLY7lZmzkAmYty1gP5 F2J7v0D201YyrRoOg4rdDTP4MfEHVVLgz83NXTzVD47KYJg4BBQemmeO+7W8pK/MM8ys9nzDpGKO BWERLj2gAyOqiPD3PbsW/fihfpbj76Q9Wr703ViR32g/GctU2xgzLgLTZWG8elYRaqpqa9WR3Q9G 2/IME3C+2RzsYpMKC3nP1Z0TUXNkNeUIT2pXVCOVFUhYQA99YaxyMDr/KqZ0SUba5fQTrfPLBJSC WQKlKYIfeFAjhaAU+h5QzIDi0YVXyJLi5y0e6ohE/QEpLDDK9e3dMgXkk/yvGI89FSasACWXA4ya h122MWIQVvkBSR9dfRNQ8sM+mUwyhl0Y4Z+EYQ9Mz2i8xWAcbeqhNcQ/u01oNEJEGEb3V8vI1n4A Tv4ns5VEpmojFbY6D0E5msogTgbxE/ritykp/eFwXFS7oigGfA94nloztpTNSxQOPWBpdXJRN5fg qm1p7609a4yzW/Ie+ZfjDw3IpCu5OIu8emSmyUuJt3hbgnr6CWWnByRLdb/6XLgDrGTqcxP9mD7S 60to5B2qrRmz/uD9a2eNnYSKXOD58NbODHy/u9DItP0uXp0qEPmORkVSQE1mljhsHAEznhiIOv4E PvE6iaUnLZAsODRCN073obtdXbqZ/HQC/dfTugplOOWIUpAtESwHw2llGjlg6d9kvxiaZyMlzt7b uh/0sgAbvcwWBG00QFNtIBMbgeAxkIvMqR9pY8q2MPYQYkHEh/grF7THOa2mk1lc+wuBhfVCi1Bb Du5FEmlO9GxlJN9pL7Tt2SFGOSE015ZitQ32InkaiwlSUsVyNvIqxrMEIE9/UffLqgqYBMrS2v2d vIoUFkmOzv0/Bmt9scFkKGJdnEMt+3FfUwsRHemd7lIaFk9jR8qOjEa26tmunRMZcHo+kNcR2tri hNXeqeMiCXekZDAQjeDuGBGB6jWca6/m6tiVGr9x5oWdTpG+TT9S32SJOHBco5zT9ZjV+mNzkEIq YJri00iwYt59Hx9soJNlRYYZu3LYj1hFmXAg5/YbxVx+v5utfYmyy0K2E45fFestJF1yC0hdyUwy RHEvsycxhFE/SkUTlOvqY8jGu36lkHAmutDnUVtnnQIGSs5C0eFdgvP4s1vcs/psA3750crMsZSv woIQKvBjgosF3YfWLVb43uIeZgjI0TNXDJrMZCw57bZPQ1uYOfvDUsQXjb0WmOpqoyoYyxWhSu8g VEkRDtNgCKQf1kXItfucEeg2rRcVwwqAQQgWgeT/Ksk6jSkbLse7V2tf1fMXaXXmrWv4nZP1+jOB IyHU+YqIS4rM68EwiPGlIjlnZQj7cGmLfXJ54nwCfJAXN9LWIZTkgytZ3Ccetrjj8P6Np/R+GWtU hbOY7aIvKWWwXQwPhMiDZnZB7FaZig+u8dABMLz/wCCFiGw+KVXcB22M68fxaj3/vLGwIGJorkbE OHWqsGSXFZc7BUeLMvWncxsXVe/3cFF5zbiWZkpaV3sJBlNUT2f535ocwlgW0YhHjjB1HlF1Bo52 0FEKz73meMVPCCBuX78CG2h1Y4Zvh+FaurDtXOEOBQXwktj1KzYm7t6pmsk/wM1PjJPJ/7/24sYz FM5YRNqyMC7AWomuZoy99sg0mN+NlAn3+laI45Xi+np9+9oqVaBnKZcEVIlgurds1FTeeWOCvbPz yMs5RMvkpCF/8aAzAvgNEvBAgLuQ+uXf8VFXYLiCPp/ScN2WnFNL5xDRd4J6dTaJuO5Yzg7OujeL JJZtOhQHr6hO/6ROCCxRZl4VQs2pyzp7caYhluoB+cSc81b96iwo0rMl4/cjIiLJ0zBRLkr7/MVf MCLtjbfTRub+E1njVmAGyz3IpbJ2B11j6dEvHVMJaAeamTiti+fUTeRRkcVRGPziX/vNJLlvxdJ+ A9vBk8kAr+e9B+2oGwZt5S2vt9trTYHckmPhSzrNED5/Y7hFoEX5SmTz5U5Tx2FODUTu1LJkaRxt J5J5SdYgSX6/flHJv0LOfVM06gslcjP/f50GBh3jJKLQWRlVt8ZvBAAe98jShb0QIToDZp2PKEQh rBS1Cxz4krjkyzJ7Dj8aoitQqb89Aaig1chlHCOz6s+WBRvDxY1dTQ8yvKeXaWZFd4DC+JhNtixt 8TZO1wtbpSZxMFjBR1BIa0vM8uDPgkKaVptWojwgDk4PhZYec9V9WIH65w+6evrmNengRfrba8Qn 3bPtKCcgSy0SqizvApOVPb50T4bKq0SL2gNWHQC8/Z0LuzlL1qJJ694jUtPMSNnHKCWmhj/0Ca00 pyFjEcIk7jtcVVr6/FMkTQemZ+gzzxA22+kdFKKzsiqT9Fgp0Y37gj7D59vkaoHSFaUzfDVG0VKu 6xORG+bf2+k6o1C+DIZCnTEtj9HlkyFb4asOzgBCkLoYH44a9OLo8UcJ5PXlRQ2PM5Xo0rHIXPpR ii+VBXiCRRhGzXYLxz8CMN7VvGOu/DURkQMwYeVsJbyn96ZTrC2N8drdYs/XpoAzd3sxusEw82NU BgmWiDaVXTtLlPHc1PqB3QT5ABjZxTT36pDSGe3pQnwrG3HXgKwHnN25POcS2cL+JgdlUDSTOaP3 617HKynUx1o1IwxG7fswagDLb2lkvK8ebmDIqYzqca0gHJ1sKcFqFKqZ6VaHqyUefRprRmbzzyvt S87NCRh5nLmngUmdB/VPEpjg7Hq8BOB1Yv0CQiFHP+mDwQkJs3UvQszCMH3BdA5v2kabYSls/4q5 Vk7t4eTcaxlJI9I+rbYXoj2BpuKGz3vx3LUuA/EKqA7T7La+kVKV2qWpUvN9aMI/2d0eUZblkVVA I5AZSOpkue3Jr96nsNnYIBpz93FqiORYNvtxtE2Laib3MPRoiJd/YlNKI5K8D70eFp5nvzjz+ijI Ec2dfGiDyy8NP/POxGMcxzpGMiuqvy6W4tJLYYjWhQnagHFrpHNIMasalmFptxOJ6grWrsIZMKX9 HnYYuX2a+Gp5mjQ3pic98Ze/+Pq0cgoXlRN3j0OLe8SLEk2Qs7KTZNw5C28UJ4XlPb9jZw16Cmgw LRVQ0ADEdFOLuzUMi2az4652gKrxhv9vnzBg8xzbYY7K1Msprge2WuFr3e34f3yXEoNSfinSC03c ETdp9iboChDcf73eDX5VA5x0Kj+rrc3DcOkScyQV/kDd1bWKZAN0zOayTi/0xZ6o+beuG1poT/2G 3lkWY4VQZAum4jnupU+VoEOmbxRPCiSUYQxoWrWP5bpqhIgFqssFS/rihHk1ewkBBXOLYGdi+OIo E4PNyDGpfOGvjHDWFgXp6xjlwNd8ufzZlydMfPKbRCPsxf0P2xpsva/1lLwulYX313nrrQDJ00uM 0mwmL00JXxB/YgDU88XCDcnTAan2MOmzPo9qX68hueGZDg7osWntNCp/5zXL/f43oW+ybAk19ZvS 6UwthM15LsKfcgF3iecPBHiEiHs0wfNsyI9RTe6LRzMuowBFAYkXaVVZVFMBbrGWEOuIIpRKbURO uiXeV/xUjWl+t9sGFxPR0xO9+pDHzgXShSgWOumJrPYy8ZlHrjgHiFIz9Gb6U28+F3kCFJiABQfn OEvyOINlNoscN2QLnIPUGiVPq8GgX2kTflzUX+aJv9hD/4RB3Dvjx1NIcr90vmN0l4G8NwoGTGkG BzbuHd/pnd4SO0qZwxpYWtx3UFSGez5ih69Zwlt0MDGJ5hzF1RClf9T/QHuipzRgdoanSrzruDyf mDqSZdn89eXqo9m66v79QKCTE5zkAd7A8Z+uKbPkh4BtovcBqrqKO3/OxkwashOl4AuPV0L55COZ QXGcLMUYzV6RTsnEA6Yog5rQSNqPwIy3kDOpF7lwCJgV8oDCk14mEC0CLfepqblqKlUGnsMTBWvn ZIpXtUfGIrCzyRNSBCA9io6/hA3uX5Af27ewo0Yf2dAn+DZmi+EVmo/VDeBIHVc2Mmh7mgaMM9aR sJvDWZJ+9ABWG1gKURi1yLH+c2EjXw/lYbLui0H4Vcv/H8Ohnjsv4euT6005/9dN/bQbvTEvY9VD iMGxHPiQgBVRB9NyAZbDAyxdyppwufg5p6I+lxIHNrQSIs2mtYo2nYzF+gijg4/+jajHjTpu1qKD 1TdSPmZ8fSfm/6rPZ4UEB1obnUBiiDwFraUaN/wQ0EBfqCcsxBKduNbzWfIdh3Gg3MkshdXrjg4g 9qetpgWhK4WLkkpIrWMpO+jA2vHeoQi+t9rwdkRiiywnw82hQznAFyzCSEqAAt0WPyG3SWq3nJh6 FfKErnWTEALruIUfRgR0OmZ79jlaj8aSGY7STcTK/9Yv2rHu6h5n6hTA8XzL+1y+s4E1P7XovCOv vvkPJRSzvusFYr2tM6e3i7Vbkk+YyZeLR07ugXk2cZzOXo9ia8GM7bKkXdbYPY+5cvW33jaiX5iG QdLZ70Zw4tCpvhV3leZPDBy8gNJipScvdJdQ3eBRbL/Y4tYDn11Rhdl8wLQvFz6pfEc9QmzXWxPt OrF3BjtbUFIjitTCB8perrj1R/19/AuVaY9RmksZt0vUi7h1/blEhLxlwDCoFJddnMHE6nVPr/pp HTBkuqqUM9GNNXJFnpYE16tjvsL+//KqHafWdH+bbJCc40ca1d7VfuDrmwNoiNUbqJa/CufT8Eus YLZ/9q9j0m2phreUIs/EONFcs6mKQyUHOa29Xa90K8o4EFDNcuSszwOXZxl6hkf/L1cbcCPp/97F IQ8tsynQr+UVxzVHRV9us2z/OZAWl4PBSwNJs1B8Zog8OSOgkTmRNnC3LuWmmYQKDCqoNaqosQ/Y 0oOjVR6o+tN9hg6UBKDnnBf//L7Ct4kEnRMpx4Bs5w9UnfMMLO7LQsdWBiEez1OJtrYNO3OBjfNt OCINVxqzhbmbDVHBPlFjIZPHKyaCd9Ln8Nf48xDjSmApts0Am829AHG+juz84NwB4ALkY2sm/u7B fm0JM5S9UXYMjCAq3YKX6MhYBdng2MdznBcKuw9PmY35RNWr1IwC3NyUW7eyLQzcTrZvM8gO4Tyy LHSyMASMLQzt8ewBoXFHp9yyudSTPpyrMNGA4vlCf/24whBSEgQdsVzopokGNpTos3BpVLwL7yTW QN1bbg7lxj8dAJmI4LdbNHBXSfq6rnCBZlXHPsGvxicvCHQvBjiy9jl5TaFh4Nb9kZPB6jBd+s/7 gun7rx1wMZCuv0FeN5G5mG+OHFL8xs3ijRXacD/uCksJVJ/N1qzOerTbOiopVIklFlZPl7SZ8SDS tabjm7COcxaQlhV/juVpTNA7jeVppNsKtB1/jWZPr+YMNt21gBBFYXZ+muPXtTuOs1nks0aAoGpO YcRflLRmooFYEd7SVPZ+NbWiWcck5wuvxEUgZmR7qCr75au/y1TbKOnc556Uws+V+JG/OogpSttd QW5JUd+OxfVqOoNgzqAf7xQH/DJBWrmmMYWh3RCPD8GwCke9W1CqCIAnXNKE9UFhM2pueI4FybCc D30FymTNV70kNOdN6ji7o0OKi5vKVaCumoSyCEQSYJ3GuDW4/t2RuCLa67dE+2CXwhOcbK4PDgK1 x4KA4anIbZknoDkmebO0C8/lduXuRv/DtGSHBL8CSCS0vYuO1DcnskWzM9fkRtZmIEG1yKGkJ7nr QrGshswztnBVTnEXiq+6qLtWH43dM6PWyplYshgY96+V2nW0sKfweRXs31PyWr644iP4zdi649fI ODodKZAFEdzPEdVTSucYAY/eysyGdVyf2EWHWgNrFWwV8X7A8vJ+qKEIS0aT1xaV1AqUprW4CII7 3Qn/6cnQB4v26bSui3JL+5Ah3GEP3sN3G6dKohQe4npt36KAAAmAscgJc5LJ2ETHuXRdPfbjOdRO j/oCyCISwf52zNNaPeyINWggS/kTV4DQM3UpXeQEGXEozx+KMZUydJhdi7+j4f4uDppPQ3TyeOLp DPI+f38w5mfR2zfSNHo19WSKwzHBxNddfsqZ7tb6M06djn3sie73BS+28+wYvKiGAmUm6NSAJnFd N0lhbZwpSUSjI5Mq8uV8kyPeroUuF6auueUCU89ta5jHmn5GSQGA6pRB2JulZTxljviWtT9B4dKW qvXpDOmhgBXGFcGos4Vv0YMV6xX2PlNTRl3JQSokT1aAWwd+4hJNiE9A9gzWc/+kszpa5d1o0KFz DcLIXQrDo/OO8paSkyXZcJtkPvUh6c8vaEqg13U397+p8r/eRdqKNIOC7fVrGMFoK4SQKx11IyVn DirhqYRsRfv2/6bgHmkpDbwdsCdrtDSW2sko9Q3ed6beYN7U1c7yI1Ty0CSXUWA/EMzZJjaXuI00 F1oFBcHNrnNqi9BqHjVJ8Da6Hj1tadnyta+bSwGFfC+vSKplxHvI2rfKJQ5bGJ4NcmkAcTGwL9SV 0/9yYvju+CCHqxYQgLfby0FaP1JhrWUy4N6BQDdobq4bSe343HXD4nJp6gfMRm6rClyh33nZc5cG GGYN0bbzr8j6Df2rSJECOw5bz9r109A97/KpI4Wl9JoFqHOJtWwFX2kAaKi2T+rIYAJl/mrQQ43t WGEV8nSjqC3qlMAZMgH3mdSO+sNMYmO7tKKQUuEmndx8u3mAyizDn7vcu3dPOTnmfqUOEo790wYs LaVbXCzqpRyG57jnZKZhVTlvnOqQKDpakaSnt2kDaWKquFBbrcUU1sQzdxGQIBZjKi+sYgDPWMoB FkfACyh59ew9b05YDHr3LLLc87b+KgaDTFhpDiwy6e5+jM+cKSJ4GnF/VDhz/wS8JmX0BQRHSk5y Qh1Dee0VdXDITjnULRsqNUUaUoNgP4FuN+uqF29I/lRIc092W1YpKnEqC9+TRWnNC5O7/K356Xuh JKZM1SGktznkGoQN26sNrKcnKxlPKJ4yMcOtqzh9NmRv5o1IQHYRjYrlZjIUHRvPYe4eG4Zgwpeo hkkBi42uDBsDtnyIJJizeRO8eRDpL4AW0MpAk96kNVtSN/Hz1B/2QC2j1P4VA9g76Yy4YAcR6oLy n63oRPFj9CuuHvA1KToVgQCq9AOuT/jGaNRNzlcln/I7Jx7zADzeL9T2NVZHtoYdxX36CSgpP/d4 gpZvwX6HiIcm5v3YWFm9PEE9awgIq5JAKfTqyRDUSzgwObSLh30qnd6UmTEjYpxTnltwCow0/73J dZbNc37PuuL+nJbNP5GPjg/dTv1eaPI+TQYO3i0aH60LrOG7Ko7zZ3iYHBGR+fidwoWU/hNX9O30 pyw4BLq2rF8l1E2IjJ7fM+AN1syAjZnLeWYdGxettKRLYqzfZ+zjnXFahKvfX/At2UtPbVq6cnxL wol5AKXX6ZVmS8Hm3kiJLLblv8LjcGAFJjsCXLgJ/ru/w1Fhm9PCUq22QjnvoBQ0uwkiD+/hKL9z wWGelIJLHBFOonyI6i7n4EQpTg/HrR4TIfgjyg9nxWq7nrtmFUJt7zQ2Nqhsd+PLRNFD1cPaU8Wz SvfHmX6Bhb97/4drOm+EKQheyEYiZpwFIZeXuLgCpFKvZqCQNiiHikCKcOX4FUwdkIZ0hhUBtqad lFxSEKMSWV2WBmq/JueCXZJ92Dbftg0o133/Pi7ivGBq5vUd9fRWV8X9a13Q0K3/v7gAFKY1IaKR j1Q694O8cZInikNQdJnjO2GXj1Ke4acT+VtW8EHtGn/sqclDVxT5Fzh/2GxpVFmeyrgkNry3NuTj LWGHaWWuu2xf2o29hsXv2k1f9iWeFmAUxAOaoP9DMmgzxJWjQGmMq6dnYaWh3fqyKVrSspLja4HO n/W6a7s8XMLS95LH25c4oPO0W3UEg+X35MM9DWlojsEvBKYT0XZccPn/HayFGEOec0KbtOs1Phx8 6MQ2619zZ3SC5J/NzWnhD6e39ezpRTn4amW9IZJevcgauN0bF5I9dM0oujsxmDA4xU3e6235WSJw rK+cx9SJPFekCl0GefNIVgJgWweuRL1RoMj7MdpH4ilDxvZ6F0qLVa7k+Q6mXiGRnuRF1Xz/6+ej l3YvmONO2Ohhcvebv8WXQL2FhfrJ+veHzyk884de2TBhRP2WNu9iX67PLElffxYBENxqN68sX/Ly gTcHevwC6PqG701Ci3aJCjIj7BFdcDC9Ozn9G+Do1a8uBTVYtyt4+5+UM8wnpYIdGiDUKJTMEtep KMk/RiL0oOkHGUzn9KpT1clh1lTa9mHmZcV9fBRhPHT+6KCzoyUHV9QCRQeAtqvEjwBlQaq35kp6 Vh+tYDL1FtwnqFcg1xOySSBR4I+1xVA1QD5BqTKggAtzu1jr3wnhFRuX9wr2mrDUeTgv/NFQheAv a7tCYPL/GvaX1ouQF3RJQNqZ2r7XBwUEpPdd3kvTh8NnxYjcXNX1y7MvWSaaqqx4NOzjAn3treeP PNSjLmU5O2uJRLYPzMdOVOohLuPKdR/wqjY9uyZpCqrDEhy59P0x7HpspM0LuWjJr0snv+jAMd95 I9p4SL1fZfF5bXWZqlj2SCIgKcJOBqvnMltR82rKZAm+Ul57glBSx1/l/7pwBixJ0uwtHANk/dKj JAZbScaHtJNDU5wlaVirNzCk9sxwilga5LBzhDXrbHqajjk9c/cT/vTojuhASD5GYyGddzO/NWf2 mWij4inW7a4Vg+wisp7TfAtjIhjk2mRlFqyHH3lyYE0jUFRCY2vI03Oq9gWLjitmxxs+cMHM+ih5 zcFnphIm4fHnWVT77A3RYE7YL/B8m5qw8OW6Ei93pAgREpqLReeW5rxlyDH8B1qy48ivDDI7DExX /O4iRPpJciONwwMSzxUuaUjqmu2w5uBpoX8JVHUOYn0ti8rQbsEfmKIrGIoU00Z8lOdyIoVQxKso ZnLohmpS1Eh58wi6R1M3/lXmE5wbT1tG/dU+XXOkTvlgKJCpzAOOqzoj7qyM4LMj1eriqWVw4M3l KCfwookV7gFTSpHxQHJgUGAPPtc5QkP9vxnCpxrwpNNblDmsMsOm8l+KmmxqqDPMQjKbpuZEg8b4 FSLFIaIXvY8UXZUmZU/hHGrHwjixIo//Eh8L90cDz1dRCcU3vLwMG809u897nLZllQX8qxL4z18R EaScO4hYqC6OM+i2OxiZaMn1PQgNfdq/+uyFvq+s9Fw9D3MNt+dlSJud+B9+g112enmZX/qJq+kC M/MfUNZSNKX/f6el0/o1/7AHrHBkPJMP9uudrZSrBju+EauzxT9voWgBMgYh6mgNyobdhm5hlnhU 8CulRpXHrACfUEH9PUgM0EWmBgYCX+N2TYRk7hdpGOSLhAmfiyfT2ngffyGbL2myAVGQDAbFakpC Tfh4alEhqCv8+ZwmgOsCWh8ZZ0WX/NL0UaXnUjSaWA7B3JX3MLznjtege5spsMm71yfQoNYMlHuI t0vgTGcey5qqN2MMcJ1R0yZpudY84DDAwREJZlBeC+utug/E2qIAW8ODtzYvDwP+HGbitiPVFqxA stBVoXZ9S+I1HLkCGWB2ohBukMvZoYJkWce/r3CRy3y5MVR/M61peHZpUA6tOtomt05r4eda6Hig 1Iu+Gz61S1Qw0qcMPgfr6rJjdCcD1lGjVDkGC9EMMXMj2L5Zr9KCP0qvMA3JyEc5fTfeANxb++1O HolMJjlQXwcWCEI0V0NFgYU33WZ11ZX2FMWygodPWDaGmxbADZQ4xWd57+ezzwHqF9zsyNjfYS64 OGlhcnmUaz334fHNVDc5bq+nbObI3Eg0ghm51R61Bar7reAANQJtj90n28SsuJ4CrhvYWOZiWios F6IOqMaVVjjnhv5o3IhFX/ayKQSnh9eNdWxwXcIy02Wq7ALwhR7tRQSfHmkeStw6ZaF+AUa1l/4R 5AFP6XoWYr3OR/HJQ6mU1f4tPI+u6rPTr0EJZ5RwF3tmTKlTwPO7fVmEwZ1tdgJLpchzqpN+UAFS 6VX+//PZtBSrcoLAPeUtfu2/90oYqEMwPB4O3WMKul5zmAq8yfI6db5VAXGbjDDgVR6Ok/Ocj4pU SlQ/z+MdyBTNLgMZgVUQFk/sCueHC8kHicPXl37nExv7+JzxDigEnI8B2qWzOOVFOpfcd2a/UNFs Je5S65Xx+GhC5HWGMVMf/7srKcbmQK0lojKg6PMVk2/uhThKyiKAnu9m77Emem/8VjqMfQCI8dzC GlRgMU4yoA+do/aVC8EiLxRry+4EpJ/YlsuuPiKASMJoanU4tVTPaXDNLdpWuFLwC4h40Hl4JqUo jn1uU2/teM/HhygQEIR/OBD7bDAqfoxm7WfqBkpbXzta+vAB4Ea/NLD/UiUlhV1p2kpaREBgel/+ T/MIn/PPXZDpySvfrWrEjx9RCzC95N8tyZCODkLowl/cxP14CF9QvIgZQqvWnNYze1y/ljog/EHj aYkdb0nUMwO7cf9oejEdA9maYv44Nfvu/fXmIgh63pcB7G+0M9M+JjKaVKocSUAlt9TyStoFMkYH a5gJ469aREeH6zMweuWa3kReMQFqROQDgJ9bQUeemUgQ2N2jzk1GshAO8BNvOTq3VCCyZJ8I/mil QVRU1P8iP3bJdJ3+HGWblqeoJUHoogCKLJF79yKfYyn80vAf4jGc8SWqRUbUTlw8s9VWZyRxLKnh LhCnNwWRW3QIQZ9+8cQnGsA/H9lsig7wknYEHcyLzJ3oDLp90zSTKPPYXD+BdYeKgjP7pA/inIZh LJDhy6We9gli7UkeGDeeWu7vjOXia/kevU9rhNeo6T0evjh4PzqmerEAwZfWX13LoazP4HbBUsMr Dhb3n2t5+U5HzHYMePiZww/5SifPt2DgjBPoAYDZ1Ad+++MEMo9GGX6hSKDjRW4VLriJeq29tmH2 8IgQF6biCFs5+oeB1knUQ3/2onlyeswcxwCgbcIy62gUShG07e4Q9bITDmDqPQo6X6ax0oBj3D00 OUWWWD2/Cmv8q2Meq6tvpaAh75OYY6EJbkMgdRQwEgZB6/7/E5pYsiziTV2bXEjkMdv9kAnvbJQd ozcUmT6IvxJU6xILQur0wPnDzJaW2KYx5LPKEHbrXD2l+fTPJVr/vyRUElOxuDM6cGOQj5gEpX4x m8hIv1TwJlMTt5LurguKv3/9/Rl49VR/LMOsNPY88JS4e2h+EDZoz73eH8vxKn2d8VQbcrFfJ4ng 0ZnKhIHy51G+hN8LktMjeNKXAgUd+SWrImMETNBc7lEdwiblBSHcwJNFK3iS/8p62no+NWmqguCj mGVGy9V9btwHfavKzsReRBhBq9jmgKqmVa6UcM6MsGXNSGHM0sKqtL0sd7/DwrSwZs39MNMZs/pI Y+N93aDOzshjklwhXJxDUZoEG2Nh1Glt2tHNJsWAxqhhOT00I/WmsAmAMeUFQ0cCe5qUJhSjYalq C0uVevwcHAEjQaHAJeRcd0HFxaIUK4i93YjMs9F075cGhDO9SDOhHbolLy5aE4FHtI749YdfsCR5 pMn9x+mW2AHA9bXR/nxDg78yW8Ly93o7R+Accb5XSl9nujLEet88x7i+3x+prdYDH7837J1IV9+t hV9/CAXwb/DR0oHkIw71dwrz1BpnN4zfF5FV7T7Jiihs98P2SVhAYR7l/R6TaCGV4ZdKxC0pPE1h gxz5+Oz7OFzOtd4mT4yNBWzSGty/dE1fD3QQBy7iR8WvHR5+bk08cRyQo7Cx47I3B9+e+do9ovCU uTWVTHfQQBpQ5LZw9jKrc9v6fU7hfRU4Wb4GiHeShKYxdpAfRGYUi2+nWbt8zjMvSuPJCGH49moG uYF8vdJ3ioHe7q3YuntXOLfL42U6iYgKZOif6YdHhQByO7fwJQUghNNHdP6J7txuvVTawY8aKF9x cewff6YXpv/ccSKcWAYG5E8Sd8bk0qxth6JvWgIxg5iRa/0chSx3150E6BCLZMH50qQ31vkJsajT c85BLlX9cTr0IlQ927DnRaESS/SXZjtnmGdIyn8Mj1QuqoGHFF/7+9hj2O/pwm7TGUal/77lekYm JGFwIkuFSnO0jrIx3rg8UtK2HJLmawZeokRFuzZy4lvdDR6bCk+708HrP+nKoGXP2pcD7ZnBPqgI dZ/TL7CyevPJtl7dMwimG8NPnje0katS0mZiXVCmPEOMg6+LrRbeM3dmVfLSzNpDParl1pwvAp5E YcMXyh0tcT05pExVna2HTnDe/zQJ6CFMjmJazciM6twsFlv/F7m+FF7Hp3Ao6qQfDpUnbZo1eht2 2fjwAG5eVSrbIeW2mmeAbw5tXhD+FDV/+ZRiBKSlpW4jSO8+uXm8VxqivMbyfN4nCxlD9dtGrG7x Mo/4wXBKiVPaLAlfiCiDoUrYErujc9mYCAeHP611JSHv/SKuTcC21gpyF/ozoT0RHERF+OVM0kh8 4jTlxmakw0UiQSBz/RpIzT8EaVjd1ksSgF6U3tfTEnheUptxVjPQWiC8EWmz+TQjXmQKt0XxTKf1 RWXDUzBM7rup49jZHgSThNhIF07USJ45GoeSoJgeL7ehJcVa0Jf3BqsTkvibGsP0sZNP0QqRPmbl RyA9FT4oPwL54FJOWla/Yu+m3UoK3zL+yqf1fiOtkmPn0OBtGPfhTic1UnWdfUWxokR7fO4d/zXs S4S/HrT0GJJx7WkkgxyX3zC/yZlK4ehzNPab+qgP8CVcu2L+6R8iYXTTylPBisXHa1u9k2+5iMge Q0rPWGR3zBdexq7nlb0zy3bOP7gA75ahKPJCs3yDgwgk0a43IcrSBWDLW5Yy7U0CW2t8cssefdKh m/BbtCo14X5mY4FPXpeDvtbXDK6hS07ZYy7zDefpLZ+JZD/fsrLzRFAgEDdQ7JddwTppyxj19QVI D5gpRfZq2rQ/e6p2xuwOVaTIJy41ly4JWQju31A1qKBdJaa5bicsKT1AThJjw7Kc8Tjy2lP2h75o V4fGLm0s7li5foDFqRaLXGLL86vhuLDCvgSQzosXm7klxtLdI2ydtZ3XzoeP125zzW08vVaTs4/v JlcVyYgbMluPtkUr42cO7XiV0+5LiWAGs+5AeZQkUp5p4kx/5fDh/8F1YE/WWCgSH4f0md4fLe37 XY6TIg7C+n0JsmgYm+/Zm9KBLbJytT6g7cUzXA2H6euBVil6YchYU7ziZUTNbaRBDZpYG+1K8knj sDTlleDOUAqDjoaka37c+emvpvRg0s1HvTh2LCZRztnuvjILC0f1Cn6J4ALvd/U+USzWVXiVo/L8 eUpfVfFisbDIKLxBqUCGVRGnJ0vhqLMfhMvyAqklhWJED7NtovOaQlEsmHRanTic4j5jIdRUd+08 +10OGgL5XdRNOhnGvVrW5XXpUTP3R4gMt7ufIxfxaX2LteMWoijylJv4aUmj2PnqY5gILf006xJI /pIbBAx9P2N+++CC/Ykhv31l7X/K50xPAB0/RLxion3I1PTWZpYL8Mi0sXsoKEqb5+3oLxTdA7Ia ZVERgyP+gT/jpdiKaDe0Wp8YPa6+vSWtkrXkzYxaH2NmkT9ElvEKXIvFqLNo6+8usYrcwtQBuXPj L4satNnAS7wvHBCCLNeXIHnsv3Eaw4nyW51cpm62xMhgim5U/5RUo0NkzeaICS3EuBFeBMwYDJG5 sS4ZKV8JdpSKm0LbXIO9U0gw/wyBAY2WdlP1TMGNYHqQUggUBSmXtrDYaR9d6jvvaPt2onaw2Kqe 0VhMBjxtnp1LtfSN/d3RAJDABqEhfz9rVrt1+1jYFfGmP/vyrF9pgKWtePB5ZpJxmXjCd0eQE4Gw O9WmFpUbn4mEj+1zoYLfQXuD9/TpVuEVkV23SOJ1yANjdmHqLxZ2vL4Cm2/1a8KSWfmV7p9fRqCp AR6vbxjfX8dkWwR/8TsOiKQieYx5f1EE4zN2ahTHm1ftFlNX26JOZS14Uk+Y/NTs+sYzHUgXmife CkB+ZbllD5b0f4HnLH3yTd4+n5olfABzfuldNGt09GwjDmGoajtJSoj5Hzt9WdX99ohKi70fz35Y A8sIJGKRTLL8pnbDHtwFyZX+1Jt92+5atAdZDHKLhqep5pft42umWR11l3eh4y9yDutJ++TYm9an zGVgOweks0StcdLUSaUM+TXxtiLFxT4VZ9/ZWwn/i25SUAKswp5W/UVJgXI3/ww4kyfHx/NWuPsv yZP2Fo6NjOJCZUKIluZ/CY/xyaAreagqHC6sFN4TBPL/W3YlqYE4A6ZE3eD6kFiQSiCaLujuwuRx 1/aYbQQM1eCjO3aJMZA3wGW56wCe45MXIO0vHwhmaUr3Q+Zo/AoDCaVeyK1P69+fwehpZEFl7DTy yaWg/bpHbndOrvbGr/CNppmDez5hdswHfaWS3XYd4H+TIsMzxx4DfK6qIUnn5j1rdQwboBTL+pun +0t/YalouzWxAzYvOOugxmzCRzhcZncn7u7y6X5W4NbjFkOViu0ysEKL+Bwji3AzafKcP9h9fHll jFole799QxNnwp8aBUPTZlLIRjWLgnh3ov7xOgWqv2WsYbvDC5jQgHW7mvyS1JO+uVuExIU4EAvB perVj8D5W5DkpvMMAINc2849TN+TjJJcevQCq+bXCgiEoThDrtwyeTsx8JlU7091qNHegvOZf9Eg y0sqwO103jsptPDRYBvm+M0SRjvXUScyHptB4yMokoBrr2N+Ogcb7akNdU1WtX+nY+V9l3HiojEx +WEB0vYq6aPpxOHpO2q14P8gSUT4UuzlOzl7qhpGwDzTKM+9m9AfmO/8tfySuglPY8LXw6cgsYMO 2Pw6w2DdlKrKPBAMc1n6nI2PkLVHnAp/2d/i+LaO+G95y226yvSZ/LvDz2jtZJnShhmQuiEeFxE1 OgsMYFO3CGlMdoex7Y8Zux5nyTjDuNVd0cwPKncCtTZJY5iXl3mN/8i0yfJgbqanlPAb1Ujap+h+ /nqaK3T818gtUnhh8lulc07gjQrQqppulQTzXCUgaNB4Y4M5yGPy9PTKkQLz0KQoGagVvpj4X90y nK+MJ2Dhgj0dQTlYcLYkv7+ThBwhP4Ts60lm6D7gpJNvdZjcfFQtDP6hhSvCfsRwXiEgGVPEK46D q2NpfdRMY5LJTuyVHSc3RVctrYDD3diBptRUKJj0wUbO32+fNLIYvPhVQOkAo7bNbQr83GgVMjvE sVuo/jRcChL/VLlpHMaGGdgjuatXvXvMQkVW5RpwZzdt1SgchwcZ7ifzAT8TPNlc3EsUL0hDn7q0 FlYhnqrm6HXT8ksfB5r1tUcWYYxH5U4T2KQX3ZspZsJ60yMgKb7KaLXw+iJri3pI7B/vrkMNsdmq e2nq1GcNgAtTU+l7KO9n3qxc1BIPzWbAix3M7lE6R/p8qp7l2j5fD4+SZMGe+18Trd3FXs7UDeyP FJzSDvlSjqblfAUqSS/1blC4TXKhlVO9amzm+zrP2SWZInAyVhBsviOAt9xTDiWD+iB8J7Bhl7/n EbCphbzsWclaJ5OI+aIQqDvBEGfKVNfemNWXeOpXnJEORzsTAK2O9pBWEpWEnlC4vQkgZXfR+Wuo r10VQx1yjU/8h3XGUP94YQf/HqU8+S/zF+TLHEuXooB+o2cggy7u6FEG9m6dNrAgTP8apnkTNYiI GJwDa991bYNvWNZ/PS4rbEQ/9w587W4IGrAlnxEyuffYfiCjrv1QR5/a6T/se+b9eXN+if8Enwax rMiyBk/itGHTL8Bym/j2S5WzmoV3nvQmh5Vzb8m2yoCccqqAdD5679w= `protect end_protected
mit
8c1552f86a99b15cc23c69679c02ff02
0.938158
1.837585
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/whitespace/rule_013_test_input.vhd
1
592
architecture RTL of FIFO is begin a <= b and c or d xor e nand f nor g xor h xnor i; a <= (b) and (c) or (d) xor (e) nand (f) nor (g) xor (h) xnor (i); -- Violations a <= b and c or d xor e nand f nor g xor h xnor i; a <= (b)and(c)or(d)xor(e)nand(f)nor(g)xor(h)xnor(i); -- Unary operators should be ignored a <= (others => func(and b, or b, nand b, or b, nor b, xnor b)); a <= (others => func(nand b)); a <= (others => func(or b)); a <= (others => func(nor b)); a <= (others => func(xor b)); a <= (others => func(xnor b)); end architecture RTL;
gpl-3.0
80c0f2a98d6571c9d1b1a8868f434677
0.540541
2.596491
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/concurrent/rule_001_test_input.fixed.vhd
1
873
architecture RTL of FIFO is begin -- These are passing SIG_LABEL : postponed a <= b; SIG_LABEL : postponed a <= when c = '0' else '1'; SIG_LABEL : postponed with z select a <= b when z = "000", c when z = "001"; -- Failing variations SIG_LABEL : postponed a <= b; SIG_LABEL : postponed a <= when c = '0' else '1'; SIG_LABEL : postponed with z select a <= b when z = "000", c when z = "001"; -- Remove the labels postponed a <= b; postponed a <= when c = '0' else '1'; postponed with z select a <= b when z = "000", c when z = "001"; -- Remove the postponed keyword a <= b; a <= when c = '0' else '1'; with z select a <= b when z = "000", c when z = "001"; BLOCK_LABEL : block begin a <= b; z <= x; end block BLOCK_LABEL; end architecture RTL;
gpl-3.0
a2784e80b34d2f5626923ba2aa62c4cf
0.532646
3.437008
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/concurrent/rule_008_test_input.fixed.vhd
1
1,816
architecture RTL of FIFO is begin -- These are passing a <= b; -- Comment 1 a <= when c = '0' else '1'; -- Comment 2 a <= b; -- Comment 3 a <= when c = '0' else '1'; -- Comment 4 -- Failing variations a <= b; -- Comment 1 a <= when c = '0' else '1'; -- Comment 2 a <= b; -- Comment 3 a <= when c = '0' else '1'; -- Comment 4 process -- comment process begin -- comment begin a <= b; -- Comment b <= c; -- Comment c <= d; -- Comment end process; -- comment end process x <= z when b = c else -- comment a y when c = d else -- comment b x when d = e; -- comment c z <= w when e = f else -- comment d x when f = g else -- comment e z; -- comment f INST : INST_1 -- instantiation comment port map ( -- port map comment a => '0' -- port comment ); -- end port map comment assert True -- assert comment report "Hello" -- report comment severity Warning; -- severity comment procedure_call( -- procedure call comment a => b, -- procedure call parameter 1 c => d -- procedure call parameter 2 ); -- procedure call end parenthesis -- Block block_label : block -- Block comment begin -- block begin comment a <= b; -- comment z c <= d; -- comment y e <= f; -- comment x end block; -- end block comment -- Generate statements for_generate_label : for i in 0 to 200 generate -- for generate comment ab <= bc; -- comment zz ac <= ad; -- coment za ae <= af; -- comment ab end generate; -- end for generate label a <= b; -- comment c <= d; -- comment -- comment line s <= a; -- A s <= b; -- B s <= cc; -- C end architecture RTL;
gpl-3.0
8679a061378a0a51ac456a61c14d83b8
0.520374
3.839323
false
false
false
false
spzSource/MPFSM.RegFile.Sort
MPFSM_RegFile_Sort/MPFSM_RegFile_Sort_Design/src/Datapath.vhd
1
2,286
library ieee; use ieee.numeric_std.all; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; use commands.all; entity Datapath is port( enabled : in std_logic; operation_code : in std_logic_vector(3 downto 0); operand_1 : in std_logic_vector(7 downto 0); operand_2 : in std_logic_vector(7 downto 0); result : out std_logic_vector(7 downto 0); zero_flag : out std_logic; significant_bit_flag : out std_logic ); end entity Datapath; architecture Datapath_Behavioural of Datapath is signal op_result : std_logic_vector(7 downto 0); signal add_result : std_logic_vector(7 downto 0); signal sub_result : std_logic_vector(7 downto 0); signal mov_result : std_logic_vector(7 downto 0); signal result_zero_flag : std_logic; signal result_significant_bit_flag : std_logic; begin -- -- represents 8-bit adder -- add_result <= CONV_STD_LOGIC_VECTOR(CONV_INTEGER(operand_1) + CONV_INTEGER(operand_2), 8); -- -- represents 8-bit subtraction -- sub_result <= CONV_STD_LOGIC_VECTOR(CONV_INTEGER(operand_1) - CONV_INTEGER(operand_2), 8); mov_result <= operand_1; -- -- synchronous register-accumulator -- ALU_REG : process(enabled, operation_code, operand_1, operand_2, add_result, sub_result, mov_result) begin if (rising_edge(enabled)) then case operation_code is when ADD_OP => op_result <= add_result; when SUB_OP => op_result <= sub_result; when LOAD_FROM_INEDEX_TO_ADDR_OP | LOAD_FROM_ADDR_TO_INDEX_OP => op_result <= mov_result; when others => null; end case; end if; end process; FLAGS_PROCESS : process(op_result) begin if op_result = (op_result'range => '0') then result_zero_flag <= '1'; else result_zero_flag <= '0'; end if; if op_result(7) = '1' then result_significant_bit_flag <= '1'; else result_significant_bit_flag <= '0'; end if; end process; result <= op_result; zero_flag <= result_zero_flag; significant_bit_flag <= result_significant_bit_flag; end architecture Datapath_Behavioural;
mit
8af5e70cfb0ef41555e0214914d56847
0.614611
3.157459
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/blk_mem_gen_v8_0/blk_mem_gen_bindec.vhd
2
10,218
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block a6tN+jVDDvgnvQr5VjjIegWtFteRByQMbF4jqJWWWjk2u3F2nbASHNQ+mu9/WyJ9boKCJy/wdp5c oVmNYGU5ag== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block EhLepegRDfWIDTAlsQL9euSp7CroFle1b8JYM5/wtaGfUEeH6ciSjTaRUTXF4xsdbooXlERdxbx1 vAUaAHhwhVoUTwCO8UGkoQVoHcGQtBEkNTIXsqV2vjv7TmZMgMLNyjbNNLvBQGS3vLzO2d6FK5gA ew/5clBVseO9SP0/4vw= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block A1fbJWc8BAcS+KCBP371IVAJSj807lH0rTYTaaJ3S3SCZuuVqiqduEKSuvztMuV9KMht34VHvY/X oznjItC4bcGmjAWkQbiWWVvZQ2fKRF/9IPNPxV4JINt2wVRrtuv6+tdnV8VH0C7NVwYl3PnWVghS /NdOd6KL6rmGphZe8Nw7fbMmlPucj/H0Fd9GIHBJNFvlf60sAM80qgTI7ZTanXB5ELauyLm/TrKd N37H45cha4irPQ2XmA6ZNOiPW/ag5fMdy2dVeknNlsEQ/L2GlCNk8l91u4HnmINHLky9a2EAO2IG fE7tsC2fcaJDWG7I0xhtUjwCDeqybdy2wgtr1A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block SVfe+iX/AvLlTtKD8H+iLwKJQfAatLfmO+ObyWaWEbEak13x8bH9hQo4dsWFAy6WmFpJZvHRzU54 ufSwHmMssoOXeQulQWNel/kJ1q3iJ/lD1u77xDt3tUCFNVPvLjCYhSAYDI3JOL+sPlDv3HHoDIfn 3hwustdFgOU2FKk2n9A= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block HXiJCLbt0z54rttsLN1XeMaQwdC565O4jB98u5eyjOlbJeyiqR9G493k7ce4fJJHh+fBlxMQaHQD 8NCpjAsM/TfzpFqQUuc0YnxKv/bcv2XX6XuPvi+VQjFkwYWoZ3WurctXAfTac0CZxLtXSmwsSaHY omXmzisRx0iBtU0szFZkd42bvYlPZkdGijYy4GwXUdrYMvoYDnU1CySZJq0g/fSXqB6mbBaEpPzc 8rnUeuI4QcXOrd1tn4hiQNuwizc6CD+LEBm7KnWmMPRjb1mJ6bMGLgaI2blzqWRTmJsmIqDcBWtd JQsVyLEj2ZAIGA58RFZ4KZyZWBOOTifEZ6PIrA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5824) `protect data_block usnosokg61i074e6+O1ZQ6uzDN9eaIe09jdd8zGePGv5vyXXeSKxVxbNluGmNDl4qGYc10O060Fk b23WnUGreLxYBL+PRGxPHmObXvRzqUwJQpSTupUmS2lhJznfoHr5xzwOfk0hrEpDrZswZc/T+GQ5 20OvGjUsb+r+AbvoLpkDLKxDJNhe+J3OsdB1Q5r49bzovYM8sPvgLIHU9E8TYIQpz3ogcr7ooVfy aq/zrChxXsQ8/qgZBkgYxADgi0BxKSCU6ycgNCKErZgTrfPBohRJ2lxoNiKa82e05o15EBJCUD42 DzmKHqS2AzP4IdJceTClM/M9yhrPc6gK+cASNjFEhO1DjGL2eEuh6i8+lXXn+TQ6l0VDGZ8MNaLT ysqUxPIOSn6hq07qh1x/supynBYWeseH9fvWYGQ9+y7yHqnxEcm4wePZGBx6TUHg2GjRiTnD3vkP /Yp9GUWsJlvS7kT8+guVr1Nf6apTtNcyuFAoD30HlnVY5rxNq7rar8zLUA9sZZNBzk7kLkQcLpEP H02/PIfyeZEBDNmkwdtkEdZiM6rCShgkh3M9XkM2UboDcO2mav5BlAtuHysOSWaCLZaa8JCsOExq 531k4ZqRMVZK5pDs76aqdJn9o9jW/VzEj4pfyVmEWSxnPmsn9hLkVNujVsuzXhsyFSko5+8kdAv3 zWPNnU+cGNlca9DFzuHu2/iRDJYS2+/uNxils5yvXZH+hfgUQml68RI6XmpovlA6mNEJrbm4xPeO YfHYTl3XPftYPugtVpvX92IIOQ0uBgIRyROvVhwBsnQDEWzsIFxMbZqT8L//+HUBLwbR4xfBqHNJ dsrOYYGuWOfN5RDW8Gsg01jUlvXXvq7bkBYf+woe2C0Bdj+GOxkfKDH8exFkAM0DYpdCoY3ZMaQP p/G93KKiCTfKtMeEzz4ZMaizojlprNHaK/G0ppmVnk5JPPl2ja3IIgpGIFMcXvhH0wiee6oorfx+ 2zvp5ikKJE47XFbg81t8iK5WMfg1HjKFwFuplb072focCdQSwNazgjLl9800dFr2jqYkxARIyEO4 z17tQNdNy1QpOu5G1qHryMPFQHfhZPekT4C8kt7G80gkyGROyFd+1o/hHXUMS04TXRpXglgGVfQ0 xyh2cPEU/7YBq0HSmSn6uGGK/ihx72DOkcq5wHHMNyX+4ZvP+PNdFpGtiqUU+12Q3FpqolSlrsG8 G4I7TD+IWt/A9YTyNx+m3qSbu0rNLqbndUJvv6WyG7Enw7YN6CLf5OXNY+bC/ap9g1jiipB51Ovx 3rSYEUVrduTCI9mALjZCRbzaFVAp4m5Qrl1/Ak8E261mBYhm0n+ao5Dueh6Bd7gLhT9EUQDMJgWp SeksbH1mQpTymEtewhjJ/Rq7yzi7veeMM9tM7cIHQW2NciqvIq9IX3tyvIoVZUIsXaykvjcFEUUj CS3MytQIpAGg0GMrXVPlZxCBn2ntjhWlEcPoLLeDDig9MoE1dT9wOxiX9ugfi9d0BEVM+4gyhBxo Qjq4zZTNJfBrq8pL6dfSZMvB4beLs4z2ZNJLhcYMfz/4GdBP/MhH27mV/6THBWqSkblM2qrVvVFg PXXVPZygQ2Hm3g43cBphjXoUXyTtnqvFQyeDoj1qWjn6JNIMsY/OKTstOX8t03rqjQUyovayXff3 qJB6+1OzyI8jSbiokUqOKLuZM2jBTOSfdCLI8Vcu4+lazi1Q490BrbYu9VxWVQ6cwUc128uC4Bq/ zvr1LN5SiYiwqVktXiJkGuYByHDCBwMy1o+lHTgEoAkQYWeuy3V8TAbEqfUFp/RiqpXt7RdY1QxP J0veZS5HAopCH6RNEc2SgEr+l3UtaPe0L+Ip5rtLxrZHCIKECT9PtqcEXwwl7EYnMkPAJEmBustO C0Ee4JaVKjz9veAZgHSqpp9E7XgfShDG3xTmDOulcOabP4zzT9Ot+BFwvqsETJienKIRMWokS+4C Xn1yHubknDNgCy64PWIIZYje9HSBSie9gopBP+VGs2PB+rrcRLgCtFwWPxoc8hsw4ZA0OYh53FjV +9WY7Sf67pFnnhu2jU1JA1PgLJNVK5KIC/fiBHDQIliv9h4Fgrtgi82YrBuVJo6ELXtG4XggtJ/Z sXdbwjEXtPP1SJoM2BQOUCCZovDGb/vTyyjjMlY86eU4ibgCJWTEeOQc/4sVc5fPAPw2vs1JW7wm pz3kh3xQPOj3ulM0PekJ38GqMFNna5Qx+OGcFShQn0B7rUlkOx57TpORgoEtP2tBYmamBdjCWsia /Gh5KvDcnCCajD4rED3mv8AXk85tb7d9Zox1fp1k1VuuT7MfXCzpnGXCdQxbUpAgZZRlCZcqQlG0 nqH/ApNzyp1s9GcDHQbC5ft0DDgmguMJbnP4+BKzyZhfW75CLcJ0DkYuuE6c6Sw4eSReYszxbCSe fpcuyds7ZFE0evqt1dkaAJn7vK3LG6NyEdvHBxltpjB3BDd8KnZMHU2HjB2X7gY6CJ3kwMzepHDw 94et1SnrRb4fnjl7KVbTHOELIiG97dvdZG+QgzVDGAHDSFBWLHjD6UAqkL1/ut/XoddrUJdPN/pY i5Ltw55EMqvgNsHW1F4/eFiL2hmSza9+314KuorqffrrL9v1eVpWd6CZabyyD4kfG+mTK7gVe0AG md5fT4j4SUJMdTa+uwJDk1eeRonplpyMYrhQga/Oq/d3iHAm+eQZgbYBM8EHNjZjMkNVgcLNdKVx RcQDbGXum7x8lxgohIj0JQiA9muRWETwrMF3cPb3ya26pEluHcdGVYDVxQX5A2L19/N7HKejNN3I OwoTBkrnzCl7zTbX4hcBr6dHhBlv5B+9RcOWO4fas5lTZbxd/PbIf/CmL2TiSJ0rwD2xA8WR7uUA 57CPj52kFz8wEkjg2vRsShNoBJ+U28IxvlVvon9Tlnh7IiTVTuBv1Ax8sLBiGmh9OfFEXfKWhWjk lpJxWe/PhhcBJvQRK1sO6PlynYkyKNDLLUtBwmFCLNQjxkUFpJT7zmVYfheD15gMjb1WxDL6r8jX BZrNsUCOre2HcnrhisSSSb12+ldjDwwTz5L9mc6snYB7lv16D+ABk4HWZPg6CA9naOQCPQKM63V0 63bf2Yv/GLXJTX0Xs0czXk5iuISBnj9AOSHV19yvB2xc1VATgaqMKFabZzpUX9aRNpSADNaDyQok nOpa8RCaY25/8pI8NMcrNMTBoz++4BRwnvNz9Y0bhpEM/1U55kwy7DHrouNU19WR0EEEbYwgWEfI O+uB6u8tXszNmMx1G7tLu23ti371qbA4xFehu0acZ+VzJ67WxY76aIj6/a+YiOdzIG8OrNy6rkXH rX/t42SNWq8OeoYX/17YfKEYWkBn40+hL8TSUnVzIU2XyzCdobgL5w8gP2SSvs/aeiwpl7roRZu7 UI03q9BN+ozMO533CuCYYiPtBlindIaca4AWzmDVKUOq7bFngRQmTuuY7XI7L0Iyuo/sWJIPK322 pNVfM0NDBFnjDgwjQ84M6DlCN8Wbo8YoihoM6S7X9H77x41BYtiLXk4+gsnPtHdDJGmNyWoun++y LQpj+1BO69sfXHn2lHOLc3rSzJCZfXYLJxOLF0hr60vCx3zRSGRwT8AGDcVHxEfL6CRbjioOt1bh EBpK+irJyvEEbINFZAg2ZvCzVfsYJROOWeFBh6tceAYoWgOWhqp8VQT1TKU5WR6/1n7K9hB+rFHa aZPAw6I9casWDPV7vtuY+YOQK3JKJ8QjEVhutVgV+Wd4l5Povf3Qfbrgy3bdxJV+NKMO4smQpiMb 3CeGpo/BL328oOmd8f7Mi9RWfkNSx3/A+mkr1WdrdhRKXJtHJe4X43nXJzBbOsLgqdWABeGUEzBp UIHyEahLb2j8Y2NAm9Nr9AGL6+ang0BSP/a/C5JFzvd42uPA5eSx0KLAmu8+lxt24U3VO+ep4qhO cAJUTuLbovNhPcqqky40GguFkmWN2MKGSoyyZooFDGCDw41TonI1t1Or/erIaJXKxvzQGc5rz8nL q2EPuspz8z+ezsYIa32xLEpEk3wlXI8IJz1KfVpIvEovRapqbg/aAOg1a3JleeCprs0LIPjKgLB7 4DNXIxFQC5uJt5Di5EYqfQYQvFbas/EpE9MRRKpXKAyLDjzTc83lBRtV4wyr/uMUEFcovdU+nhmk erD3j6DERLJneDEoprcTJMwGOcZLRQ160M1wvaBuUzKjpgR4b22EAHBVWxKhI1ejajeKS+biiMN+ MHjhLamXTJyfoR6gXI83tfnALJnAj1ZbM3jAEAjqlfCiPgZq9Jq/3XEV6yNemZR6gIY5Z5bYBOrX 3gpAmybGlBmh2e8Y+0RPvYOYJeC8xvqwcTutkPgbCM48sj9u0F/IPtNFdIqTs39ezaZCQFdXwyOC T+dHKodG9T9UUNSJ9U+e7XraER1aIpJDjKm3Vy9ODkEoiDr6KbtYBVZFNHPEZadREnDcsZRogtpS 5F7+kDQeDeFXs/WWGVLC7DdI4V2rTJ8mTHmrpKfFQ3ed38PERW2gqDnQqX7EkIkxaBAqSZGDzrny IXhDyKIn/VARPtZul7MNdfNsJrUvZUYpCG7xnwc/VYYBVd49oeEmvgE0vjSo5ACZxcnnWU4RO7na idMeexXHI1miBZGpq4eSghsnnf3BKEvieIcNIy9+rGg9aY5pcwu7QRNWy2imGjn9RKAK97R5WIvy 8ro2OEmP1nBzDlgPxXT3EdP7iCu4C1TC22XBnd6cBVOlFA6dl4Bx7GqmNt1umF6mJGnyqhGnZbV5 olalVyKB2cpZK0QL6LXZSjYRKaSQqME0Dlcj4KNU62A7HMY/OunJcsNUheXEohF5CQ0UPpzFtjyv b8vmE6KZHAtKFyWzapRzJCnNRbwkZO0HqmNMmlnjTPCkmpwPkazZK8zlNDXVFTr6AVC44bUZDZAJ KufHZ9UPgN/UnKDwl2rFvKXLpJqesW3ZqoPjytZpSFgyxuz7djSGN1HCDzpn2NDnsPm4T5IxAXoE CxGZGzWC5KfRjEk/qhKNZsXq27JNoKQLLMYcjqLmO6BkjfQkrwgtYvYKKy50I5r6QwLFKkHYIsOQ H86u0TTOuFbbpQBSS8cLr4rEH8qy3i6+6G92Iko5Hy2eAxWk0CDZXryhSyr547CfQvy4PHIhLefO u2gWTZhqSfDVR3qskYbNnBvp6fI8W/tY2cRgZokFty2rSolHGZc9jdINChU79Vj1xrZKReSEcime SgLt5xdX0Vtc2hep9w4Sowlv+D2zRQUJXnAc1HyOBuE7mjNiRjofW0gpNxD7HZboLZlL5cIgrWJ1 7TtHK19PWrZmIkItDFcZvhFg5RIL7HcHmjNKn+SxrP1q0Vb3CmFHM+JipLrya19bopDJ/PT+gnew wg9L/apPgWHfKMUplQ0qeIhHgDWV9aIDIz7C133e50AwDdbn1vl+MQ9i8u+DWkUnuX/zaQzJiw3/ Fiubu4/wxfVv5dlHIuAfdf91nD5krt4JbxtMM89WYphviuACFubiMnomE8YTEOfmrzLPRp18NXoY zl+sV1JWc7NmHfN447BIj4pLxCP4iwZQocypH1k3BOGJGdk2xLpSOOuEE9CNJRJwu4uPP4SuqXoJ xQi2FaFTV+EOHQOS0V2Oj77bWf0KitFb4P1G3yDNrrPBmk8UgV91vT6ZoJqUflr6Nv0LVpatWBeB zgb1yqSzOxVEdRFyVshfVFDafqbZbJUM2E0VDNznMbJz+XzTZf6N4duTbjcqK++HuD/Bx4Qw60TG zutsV7XCfro40MwHSC2nXnUzDQPvSovGlYrC4sYWPy3F/qvmE+HvQYe6y3LpWtY+0JKVehLHqHlR op1mCCGsNOO9kqln66Bpb9S7IzPgk3byqQgXBWtrEkN5pirq3uMFizuITuRdkr4VZAMUjWen2Ee8 NegI82JW7vL19pL9wmlcCztXSX2oxyK3A71jcQKKLtb2/iDjlmshltTtqnfy8GX8ec69nszG7Nbc qkNJbOMrRNUF+6k52+i3fmeKEoEVE/r1z6NDq2ToIurUWktr3qJ2RfL9vMALuoQJNMXQ/CWj2ece Ag/aoNaETFYAaoWt0RebA6aoLDTNHw1IivXB49aco9KgJbmkhr/DWNJSB5x3D9P1i/uXwWYXLH9O +T8R7bUULKYeX35aFHCda0/wfmRYSihoIF+k8AIDTLg8gB8QgJDz6YuMpqldGex85DVAuIJVEW1l dZrvGj3qvyOSlvGc1Z1wfFzTolqfj3s15NguvfXwF8ENYTm9BMAmyHoWNW7K26ihVJ+NsG8eQ9v5 dS8DKdEwYGl5IiKviy/eoEV/+PjM8C9gk48zoVXXBrAMbweas+gPj7iLobO5ocB6KvSzQb7tgCPr GF+NRm3SAq3Y0BDrM6g9ozUpIpWd5LMpLHzP/eUeJ8STOfswtpJCdP/tAUVrzMpFubUDpWGWbcKd gdYQXqImW0QRW/RN2U6mMn9ZUYBO3O9zn1GZF4hvJQlS2xz30nZ+f1ImI39Glei80PE7lrQbXNBM HLg93CfqvNGxSvIq9Hh3DyxSFORMpRntacnJbkH5s2AHIPOfsKHNuDiRSfxc9pKH8iQ20HT5A/Gp 9IOnXO888umyARJtMlZKGLHDS7pCu69oKIMEhfDI9JBglbxZd7Qp9tvL8xmSFQw5ImSZHpTP955d OhtVmB1orXWjjTzwywqIUzVfttlgl+EHc4640nrIA44hTU7aGY78L5RE8h6LzitosjrcSMX4OPdd O/Yr15kmvr+sNna3GhK60vFPNaThJoNplUed4+GvEh5LM3EQsoH+gSf7vcXJPGeQYoUcTJd3uDhn cyYeARQPA+f2HKrEgfYMTICkpUvCp8CZ/7sdX3B/weXxoh8kumnMneNNxv8QBFBlN8pMBIiBu0Oj y2OUnci6x+A9rd+OSyLwdthP74+wGzairNxtnItf0S6lF11D2WzsrvFUhsyX+Q6O0vTiuHhnnJN9 QCQVKrN0KZkWAux004/B78bdZAF5/b4KTLHZpx3WJuqgQf4eoC5L8Kq62Xu6sU1z4SvAgNmmW5DR I/2WUYKkFeg0DeuZ6/45BRAmELVNVkuI7O0R/GhrfwohU9XrR92FxpSdwBosFh0e9tFx97eI+Juz ckeyoZnjWEeL8ItwTlufKLU6COE4tw75NB15JpImeXi33l7YHbRUhY/KWnBCYVCAHL1ksm3lbbPu ZkkDgYPxBIvCEVMIpqqKAkQ08XinYTQLzpxZU2HxUTZU4RoNMBGYxaMbuvVdonaxBsp+aWr8kNHB UCg3jo7EUrb+r8wiZR51MuyEPjtDFKfhztdmVKQTc+rdySaLdIw9FZUyUTF9gVC45FMKM+TRb7r9 kR+RprzkDH+uIlhPGxF4SA2mFmshiDIqpy4BXrhwSjytm9ISeCjpPNplQVXSvsksPFu0Sy1isQqW NpoqjOtj6vOLAp6K7yILHeDrmGNj8QIN/G7c/Og8o2MdX3fkwePN/T/IWaWdBvUsAAXfBatzlG5C fSoepNjCriBm5EQZNxvLPV0m0nc2CHF3JE3rh3AbR5fyDkoFdF7NcYrXjTUW09ZkoMvWEmgVSMZH oFlaTBVXL1rSfGdsFKmEHeLYt0dq1gQaNzbH1+w+mNZTadq3+kwzMzuXvx632K2TTfezJIpPsq6T Vxmz1b5VAOLaHiuRBalcHq97W+ZfhgF+g0P3ZE+NC0hXnyBMYma8gHWQKpnTthXNFlvlmsAzZZbO 3/w/Vmyn8NjxOg== `protect end_protected
bsd-2-clause
2cf48b95f70aa0fd2654915ab438b5ce
0.927285
1.928288
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/generic/rule_007_test_input.fixed_lower_with_upper_prefix_and_suffix.vhd
1
1,897
entity FIFO is generic ( g_width : integer := 256; g_depth : integer := 32; PREFIX_generic_SUFFIX : integer := 20 ); port ( I_PORT1 : in std_logic; I_PORT2 : out std_logic ); end entity FIFO; entity FIFO is generic ( g_width : integer := 256; g_depth : integer := 32; PREFIX_generic_SUFFIX : integer := 20 ); port ( I_PORT1 : in std_logic; I_PORT2 : out std_logic ); end entity FIFO; entity FIFO is generic ( g_width : integer := 256; g_depth : integer := 32; PREFIX_generic_SUFFIX : integer := 20 ); port ( I_PORT1 : in std_logic; I_PORT2 : out std_logic ); end entity FIFO; entity FIFO is generic ( g_width : integer := 256; g_depth : integer := 32; PREFIX_generic_SUFFIX : integer := 20 ); port ( I_PORT1 : in std_logic; I_PORT2 : out std_logic ); end entity FIFO; entity FIFO is generic(g_size : integer := 10; g_width : integer := 256; g_depth : integer := 32; PREFIX_generic_SUFFIX : integer := 20 ); port ( i_port1 : in std_logic := '0'; i_port2 : out std_logic :='1' ); end entity FIFO; entity FIFO is generic(g_size : integer := 10; g_width : integer := 256; g_depth : integer := 32; PREFIX_generic_SUFFIX : integer := 20 ); port ( i_port1 : in std_logic := '0'; i_port2 : out std_logic :='1' ); end entity FIFO; entity FIFO is generic(g_size : integer := 10; g_width : integer := 256; g_depth : integer := 32; PREFIX_generic_SUFFIX : integer := 20 ); port ( i_port1 : in std_logic := '0'; i_port2 : out std_logic :='1' ); end entity FIFO; entity FIFO is generic(g_size : integer := 10; g_width : integer := 256; g_depth : integer := 32; PREFIX_generic_SUFFIX : integer := 20 ); port ( i_port1 : in std_logic := '0'; i_port2 : out std_logic :='1' ); end entity FIFO;
gpl-3.0
a2e72843606ea6fb8b91f4dd4285ee02
0.573537
3.120066
false
false
false
false
Yarr/Yarr-fw
syn/spec/top_yarr_spec_fe65p2.vhd
1
62,889
-------------------------------------------- -- Project: YARR -- Author: Timon Heim ([email protected]) -- Description: Top module for YARR on SPEC -- Dependencies: - -------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; use work.gn4124_core_pkg.all; use work.board_pkg.all; library UNISIM; use UNISIM.vcomponents.all; entity yarr is port ( -- On board 20MHz oscillator clk20_vcxo_i : in std_logic; -- DAC interface (20MHz and 25MHz VCXO) pll25dac_sync_n : out std_logic; -- 25MHz VCXO pll20dac_sync_n : out std_logic; -- 20MHz VCXO plldac_din : out std_logic; plldac_sclk : out std_logic; -- From GN4124 Local bus L_CLKp : in std_logic; -- Local bus clock (frequency set in GN4124 config registers) L_CLKn : in std_logic; -- Local bus clock (frequency set in GN4124 config registers) clk_125m_pllref_n_i : in std_logic; clk_125m_pllref_p_i : in std_logic; L_RST_N : in std_logic; -- Reset from GN4124 (RSTOUT18_N) -- General Purpose Interface GPIO : out std_logic_vector(1 downto 0); -- GPIO[0] -> GN4124 GPIO8 -- GPIO[1] -> GN4124 GPIO9 -- PCIe to Local [Inbound Data] - RX P2L_RDY : out std_logic; -- Rx Buffer Full Flag P2L_CLKn : in std_logic; -- Receiver Source Synchronous Clock- P2L_CLKp : in std_logic; -- Receiver Source Synchronous Clock+ P2L_DATA : in std_logic_vector(15 downto 0); -- Parallel receive data P2L_DFRAME : in std_logic; -- Receive Frame P2L_VALID : in std_logic; -- Receive Data Valid -- Inbound Buffer Request/Status P_WR_REQ : in std_logic_vector(1 downto 0); -- PCIe Write Request P_WR_RDY : out std_logic_vector(1 downto 0); -- PCIe Write Ready RX_ERROR : out std_logic; -- Receive Error -- Local to Parallel [Outbound Data] - TX L2P_DATA : out std_logic_vector(15 downto 0); -- Parallel transmit data L2P_DFRAME : out std_logic; -- Transmit Data Frame L2P_VALID : out std_logic; -- Transmit Data Valid L2P_CLKn : out std_logic; -- Transmitter Source Synchronous Clock- L2P_CLKp : out std_logic; -- Transmitter Source Synchronous Clock+ L2P_EDB : out std_logic; -- Packet termination and discard -- Outbound Buffer Status L2P_RDY : in std_logic; -- Tx Buffer Full Flag L_WR_RDY : in std_logic_vector(1 downto 0); -- Local-to-PCIe Write P_RD_D_RDY : in std_logic_vector(1 downto 0); -- PCIe-to-Local Read Response Data Ready TX_ERROR : in std_logic; -- Transmit Error VC_RDY : in std_logic_vector(1 downto 0); -- Channel ready -- Font panel LEDs led_red_o : out std_logic; led_green_o : out std_logic; -- Auxiliary pins AUX_LEDS_O : out std_logic_vector(3 downto 0); AUX_BUTTONS_I : in std_logic_vector(1 downto 0); -- PCB version pcb_ver_i : in std_logic_vector(3 downto 0); -- DDR3 DDR3_CAS_N : out std_logic; DDR3_CK_P : out std_logic; DDR3_CK_N : out std_logic; DDR3_CKE : out std_logic; DDR3_LDM : out std_logic; DDR3_LDQS_N : inout std_logic; DDR3_LDQS_P : inout std_logic; DDR3_ODT : out std_logic; DDR3_RAS_N : out std_logic; DDR3_RESET_N : out std_logic; DDR3_UDM : out std_logic; DDR3_UDQS_N : inout std_logic; DDR3_UDQS_P : inout std_logic; DDR3_WE_N : out std_logic; DDR3_RZQ : inout std_logic; DDR3_ZIO : inout std_logic; DDR3_A : out std_logic_vector(13 downto 0); DDR3_BA : out std_logic_vector(2 downto 0); DDR3_DQ : inout std_logic_vector(15 downto 0); --------------------------------------------------------- -- FMC --------------------------------------------------------- DAC_LD : out std_logic; INJ_SW : out std_logic; DAC_DIN : out std_logic; DAC_CLK : out std_logic; DAC_CS : out std_logic; TRIGGER_P : out std_logic; TRIGGER_N : out std_logic; CLK_DATA_P : out std_logic; CLK_DATA_N : out std_logic; RST_0_P : out std_logic; RST_0_N : out std_logic; CLK_CNFG_P : out std_logic; CLK_CNFG_N : out std_logic; PIX_D_CNFG_P : out std_logic; PIX_D_CNFG_N : out std_logic; LD_CNFG_P : out std_logic; LD_CNFG_N : out std_logic; CLK_BX_P : out std_logic; CLK_BX_N : out std_logic; RST_1_P : out std_logic; RST_1_N : out std_logic; EN_PIX_SR_CNFG_P : out std_logic; EN_PIX_SR_CNFG_N : out std_logic; SI_CNFG_P : out std_logic; SI_CNFG_N : out std_logic; SO_CNFG_P : in std_logic; SO_CNFG_N : in std_logic; HIT_OR_P : in std_logic; HIT_OR_N : in std_logic; OUT_DATA_P : in std_logic; OUT_DATA_N : in std_logic; EXT_4_P : out std_logic; EXT_4_N : out std_logic; EXT_3_P : in std_logic; EXT_3_N : in std_logic; EXT_2_P : out std_logic; EXT_2_N : out std_logic; EXT_1_P : in std_logic; EXT_1_N : in std_logic; IO_0 : out std_logic; IO_1 : in std_logic ); end yarr; architecture rtl of yarr is ------------------------------------------------------------------------------ -- Components declaration ------------------------------------------------------------------------------ COMPONENT fe65p2_addon PORT( clk_i : IN std_logic; rst_n : IN std_logic; serial_in : IN std_logic; clk_rx_i : IN std_logic; clk_bx_o : OUT std_logic; trig_o : OUT std_logic; clk_cnfg_o : OUT std_logic; en_pix_sr_cnfg_o : OUT std_logic; ld_cnfg_o : OUT std_logic; si_cnfg_o : OUT std_logic; pix_d_cnfg_o : OUT std_logic; clk_data_o : OUT std_logic; rst_0_o : OUT std_logic; rst_1_o : OUT std_logic; dac_sclk_o : OUT std_logic; dac_sdi_o : OUT std_logic; dac_ld_o : OUT std_logic; dac_cs_o : OUT std_logic; inj_sw_o : OUT std_logic ); END COMPONENT; component gn4124_core port ( --------------------------------------------------------- -- Control and status rst_n_a_i : in std_logic; -- Asynchronous reset from GN4124 status_o : out std_logic_vector(31 downto 0); -- Core status output --------------------------------------------------------- -- P2L Direction -- -- Source Sync DDR related signals p2l_clk_p_i : in std_logic; -- Receiver Source Synchronous Clock+ p2l_clk_n_i : in std_logic; -- Receiver Source Synchronous Clock- p2l_data_i : in std_logic_vector(15 downto 0); -- Parallel receive data p2l_dframe_i : in std_logic; -- Receive Frame p2l_valid_i : in std_logic; -- Receive Data Valid -- P2L Control p2l_rdy_o : out std_logic; -- Rx Buffer Full Flag p_wr_req_i : in std_logic_vector(1 downto 0); -- PCIe Write Request p_wr_rdy_o : out std_logic_vector(1 downto 0); -- PCIe Write Ready rx_error_o : out std_logic; -- Receive Error --------------------------------------------------------- -- L2P Direction -- -- Source Sync DDR related signals l2p_clk_p_o : out std_logic; -- Transmitter Source Synchronous Clock+ l2p_clk_n_o : out std_logic; -- Transmitter Source Synchronous Clock- l2p_data_o : out std_logic_vector(15 downto 0); -- Parallel transmit data l2p_dframe_o : out std_logic; -- Transmit Data Frame l2p_valid_o : out std_logic; -- Transmit Data Valid l2p_edb_o : out std_logic; -- Packet termination and discard -- L2P Control l2p_rdy_i : in std_logic; -- Tx Buffer Full Flag l_wr_rdy_i : in std_logic_vector(1 downto 0); -- Local-to-PCIe Write p_rd_d_rdy_i : in std_logic_vector(1 downto 0); -- PCIe-to-Local Read Response Data Ready tx_error_i : in std_logic; -- Transmit Error vc_rdy_i : in std_logic_vector(1 downto 0); -- Channel ready --------------------------------------------------------- -- Interrupt interface dma_irq_o : out std_logic_vector(1 downto 0); -- Interrupts sources to IRQ manager irq_p_i : in std_logic; -- Interrupt request pulse from IRQ manager irq_p_o : out std_logic; -- Interrupt request pulse to GN4124 GPIO --------------------------------------------------------- -- DMA registers wishbone interface (slave classic) dma_reg_clk_i : in std_logic; dma_reg_adr_i : in std_logic_vector(31 downto 0); dma_reg_dat_i : in std_logic_vector(31 downto 0); dma_reg_sel_i : in std_logic_vector(3 downto 0); dma_reg_stb_i : in std_logic; dma_reg_we_i : in std_logic; dma_reg_cyc_i : in std_logic; dma_reg_dat_o : out std_logic_vector(31 downto 0); dma_reg_ack_o : out std_logic; dma_reg_stall_o : out std_logic; --------------------------------------------------------- -- CSR wishbone interface (master pipelined) csr_clk_i : in std_logic; csr_adr_o : out std_logic_vector(31 downto 0); csr_dat_o : out std_logic_vector(31 downto 0); csr_sel_o : out std_logic_vector(3 downto 0); csr_stb_o : out std_logic; csr_we_o : out std_logic; csr_cyc_o : out std_logic; csr_dat_i : in std_logic_vector(31 downto 0); csr_ack_i : in std_logic; csr_stall_i : in std_logic; csr_err_i : in std_logic; csr_rty_i : in std_logic; csr_int_i : in std_logic; --------------------------------------------------------- -- DMA interface (Pipelined wishbone master) dma_clk_i : in std_logic; dma_adr_o : out std_logic_vector(31 downto 0); dma_dat_o : out std_logic_vector(31 downto 0); dma_sel_o : out std_logic_vector(3 downto 0); dma_stb_o : out std_logic; dma_we_o : out std_logic; dma_cyc_o : out std_logic; dma_dat_i : in std_logic_vector(31 downto 0); dma_ack_i : in std_logic; dma_stall_i : in std_logic; dma_err_i : in std_logic; dma_rty_i : in std_logic; dma_int_i : in std_logic ); end component; -- gn4124_core component wb_addr_decoder generic ( g_WINDOW_SIZE : integer := 18; -- Number of bits to address periph on the board (32-bit word address) g_WB_SLAVES_NB : integer := 2 ); port ( --------------------------------------------------------- -- GN4124 core clock and reset clk_i : in std_logic; rst_n_i : in std_logic; --------------------------------------------------------- -- wishbone master interface wbm_adr_i : in std_logic_vector(31 downto 0); -- Address wbm_dat_i : in std_logic_vector(31 downto 0); -- Data out wbm_sel_i : in std_logic_vector(3 downto 0); -- Byte select wbm_stb_i : in std_logic; -- Strobe wbm_we_i : in std_logic; -- Write wbm_cyc_i : in std_logic; -- Cycle wbm_dat_o : out std_logic_vector(31 downto 0); -- Data in wbm_ack_o : out std_logic; -- Acknowledge wbm_stall_o : out std_logic; -- Stall --------------------------------------------------------- -- wishbone slaves interface wb_adr_o : out std_logic_vector(31 downto 0); -- Address wb_dat_o : out std_logic_vector(31 downto 0); -- Data out wb_sel_o : out std_logic_vector(3 downto 0); -- Byte select wb_stb_o : out std_logic; -- Strobe wb_we_o : out std_logic; -- Write wb_cyc_o : out std_logic_vector(g_WB_SLAVES_NB-1 downto 0); -- Cycle wb_dat_i : in std_logic_vector((32*g_WB_SLAVES_NB)-1 downto 0); -- Data in wb_ack_i : in std_logic_vector(g_WB_SLAVES_NB-1 downto 0); -- Acknowledge wb_stall_i : in std_logic_vector(g_WB_SLAVES_NB-1 downto 0) -- Stall ); end component wb_addr_decoder; component wb_tx_core generic ( g_NUM_TX : integer range 1 to 32 := c_TX_CHANNELS ); port ( -- Sys connect wb_clk_i : in std_logic; rst_n_i : in std_logic; -- Wishbone slave interface wb_adr_i : in std_logic_vector(31 downto 0); wb_dat_i : in std_logic_vector(31 downto 0); wb_dat_o : out std_logic_vector(31 downto 0); wb_cyc_i : in std_logic; wb_stb_i : in std_logic; wb_we_i : in std_logic; wb_ack_o : out std_logic; wb_stall_o : out std_logic; -- TX tx_clk_i : in std_logic; tx_data_o : out std_logic_vector(g_NUM_TX-1 downto 0); trig_pulse_o : out std_logic; -- Async ext_trig_i : in std_logic ); end component; component wb_rx_core generic ( g_NUM_RX : integer range 1 to 32 := c_RX_CHANNELS ); port ( -- Sys connect wb_clk_i : in std_logic; rst_n_i : in std_logic; -- Wishbone slave interface wb_adr_i : in std_logic_vector(31 downto 0); wb_dat_i : in std_logic_vector(31 downto 0); wb_dat_o : out std_logic_vector(31 downto 0); wb_cyc_i : in std_logic; wb_stb_i : in std_logic; wb_we_i : in std_logic; wb_ack_o : out std_logic; wb_stall_o : out std_logic; -- RX IN rx_clk_i : in std_logic; rx_serdes_clk_i : in std_logic; rx_data_i : in std_logic_vector(g_NUM_RX-1 downto 0); trig_tag_i : in std_logic_vector(31 downto 0); -- RX OUT (sync to sys_clk) rx_valid_o : out std_logic; rx_data_o : out std_logic_vector(31 downto 0); busy_o : out std_logic; debug_o : out std_logic_vector(31 downto 0) ); end component; component wb_rx_bridge is port ( -- Sys Connect sys_clk_i : in std_logic; rst_n_i : in std_logic; -- Wishbone slave interface wb_adr_i : in std_logic_vector(31 downto 0); wb_dat_i : in std_logic_vector(31 downto 0); wb_dat_o : out std_logic_vector(31 downto 0); wb_cyc_i : in std_logic; wb_stb_i : in std_logic; wb_we_i : in std_logic; wb_ack_o : out std_logic; wb_stall_o : out std_logic; -- Wishbone DMA Master Interface dma_clk_i : in std_logic; dma_adr_o : out std_logic_vector(31 downto 0); dma_dat_o : out std_logic_vector(31 downto 0); dma_dat_i : in std_logic_vector(31 downto 0); dma_cyc_o : out std_logic; dma_stb_o : out std_logic; dma_we_o : out std_logic; dma_ack_i : in std_logic; dma_stall_i : in std_logic; -- Rx Interface rx_data_i : in std_logic_vector(31 downto 0); rx_valid_i : in std_logic; -- Status in trig_pulse_i : in std_logic; -- Status out irq_o : out std_logic; busy_o : out std_logic ); end component; component i2c_master_wb_top port ( wb_clk_i : in std_logic; wb_rst_i : in std_logic; arst_i : in std_logic; wb_adr_i : in std_logic_vector(2 downto 0); wb_dat_i : in std_logic_vector(7 downto 0); wb_dat_o : out std_logic_vector(7 downto 0); wb_we_i : in std_logic; wb_stb_i : in std_logic; wb_cyc_i : in std_logic; wb_ack_o : out std_logic; wb_inta_o: out std_logic; scl : inout std_logic; sda : inout std_logic ); end component; component wb_trigger_logic port ( -- Sys connect wb_clk_i : in std_logic; rst_n_i : in std_logic; -- Wishbone slave interface wb_adr_i : in std_logic_vector(31 downto 0); wb_dat_i : in std_logic_vector(31 downto 0); wb_dat_o : out std_logic_vector(31 downto 0); wb_cyc_i : in std_logic; wb_stb_i : in std_logic; wb_we_i : in std_logic; wb_ack_o : out std_logic; -- To/From outside world ext_trig_i : in std_logic_vector(3 downto 0); ext_trig_o : out std_logic; ext_busy_i : in std_logic; ext_busy_o : out std_logic; -- Eudet TLU eudet_clk_o : out std_logic; eudet_busy_o : out std_logic; eudet_trig_i : in std_logic; eudet_rst_i : in std_logic; -- To/From inside world clk_i : in std_logic; trig_tag : out std_logic_vector(31 downto 0); debug_o : out std_logic_vector(31 downto 0) ); end component; component ddr3_ctrl generic( --! Bank and port size selection g_BANK_PORT_SELECT : string := "SPEC_BANK3_32B_32B"; --! Core's clock period in ps g_MEMCLK_PERIOD : integer := 3000; --! If TRUE, uses Xilinx calibration core (Input term, DQS centering) g_CALIB_SOFT_IP : string := "TRUE"; --! User ports addresses maping (BANK_ROW_COLUMN or ROW_BANK_COLUMN) g_MEM_ADDR_ORDER : string := "BANK_ROW_COLUMN"; --! Simulation mode g_SIMULATION : string := "FALSE"; --! DDR3 data port width g_NUM_DQ_PINS : integer := 16; --! DDR3 address port width g_MEM_ADDR_WIDTH : integer := 14; --! DDR3 bank address width g_MEM_BANKADDR_WIDTH : integer := 3; --! Wishbone port 0 data mask size (8-bit granularity) g_P0_MASK_SIZE : integer := 4; --! Wishbone port 0 data width g_P0_DATA_PORT_SIZE : integer := 32; --! Port 0 byte address width g_P0_BYTE_ADDR_WIDTH : integer := 30; --! Wishbone port 1 data mask size (8-bit granularity) g_P1_MASK_SIZE : integer := 4; --! Wishbone port 1 data width g_P1_DATA_PORT_SIZE : integer := 32; --! Port 1 byte address width g_P1_BYTE_ADDR_WIDTH : integer := 30 ); port( ---------------------------------------------------------------------------- -- Clock, control and status ---------------------------------------------------------------------------- --! Clock input clk_i : in std_logic; --! Reset input (active low) rst_n_i : in std_logic; --! Status output status_o : out std_logic_vector(31 downto 0); ---------------------------------------------------------------------------- -- DDR3 interface ---------------------------------------------------------------------------- --! DDR3 data bus ddr3_dq_b : inout std_logic_vector(g_NUM_DQ_PINS-1 downto 0); --! DDR3 address bus ddr3_a_o : out std_logic_vector(g_MEM_ADDR_WIDTH-1 downto 0); --! DDR3 bank address ddr3_ba_o : out std_logic_vector(g_MEM_BANKADDR_WIDTH-1 downto 0); --! DDR3 row address strobe ddr3_ras_n_o : out std_logic; --! DDR3 column address strobe ddr3_cas_n_o : out std_logic; --! DDR3 write enable ddr3_we_n_o : out std_logic; --! DDR3 on-die termination ddr3_odt_o : out std_logic; --! DDR3 reset ddr3_rst_n_o : out std_logic; --! DDR3 clock enable ddr3_cke_o : out std_logic; --! DDR3 lower byte data mask ddr3_dm_o : out std_logic; --! DDR3 upper byte data mask ddr3_udm_o : out std_logic; --! DDR3 lower byte data strobe (pos) ddr3_dqs_p_b : inout std_logic; --! DDR3 lower byte data strobe (neg) ddr3_dqs_n_b : inout std_logic; --! DDR3 upper byte data strobe (pos) ddr3_udqs_p_b : inout std_logic; --! DDR3 upper byte data strobe (pos) ddr3_udqs_n_b : inout std_logic; --! DDR3 clock (pos) ddr3_clk_p_o : out std_logic; --! DDR3 clock (neg) ddr3_clk_n_o : out std_logic; --! MCB internal termination calibration resistor ddr3_rzq_b : inout std_logic; --! MCB internal termination calibration ddr3_zio_b : inout std_logic; ---------------------------------------------------------------------------- -- Wishbone bus - Port 0 ---------------------------------------------------------------------------- --! Wishbone bus clock wb0_clk_i : in std_logic; --! Wishbone bus byte select wb0_sel_i : in std_logic_vector(g_P0_MASK_SIZE - 1 downto 0); --! Wishbone bus cycle select wb0_cyc_i : in std_logic; --! Wishbone bus cycle strobe wb0_stb_i : in std_logic; --! Wishbone bus write enable wb0_we_i : in std_logic; --! Wishbone bus address wb0_addr_i : in std_logic_vector(31 downto 0); --! Wishbone bus data input wb0_data_i : in std_logic_vector(g_P0_DATA_PORT_SIZE - 1 downto 0); --! Wishbone bus data output wb0_data_o : out std_logic_vector(g_P0_DATA_PORT_SIZE - 1 downto 0); --! Wishbone bus acknowledge wb0_ack_o : out std_logic; --! Wishbone bus stall (for pipelined mode) wb0_stall_o : out std_logic; ---------------------------------------------------------------------------- -- Status - Port 0 ---------------------------------------------------------------------------- --! Command FIFO empty p0_cmd_empty_o : out std_logic; --! Command FIFO full p0_cmd_full_o : out std_logic; --! Read FIFO full p0_rd_full_o : out std_logic; --! Read FIFO empty p0_rd_empty_o : out std_logic; --! Read FIFO count p0_rd_count_o : out std_logic_vector(6 downto 0); --! Read FIFO overflow p0_rd_overflow_o : out std_logic; --! Read FIFO error (pointers unsynchronized, reset required) p0_rd_error_o : out std_logic; --! Write FIFO full p0_wr_full_o : out std_logic; --! Write FIFO empty p0_wr_empty_o : out std_logic; --! Write FIFO count p0_wr_count_o : out std_logic_vector(6 downto 0); --! Write FIFO underrun p0_wr_underrun_o : out std_logic; --! Write FIFO error (pointers unsynchronized, reset required) p0_wr_error_o : out std_logic; ---------------------------------------------------------------------------- -- Wishbone bus - Port 1 ---------------------------------------------------------------------------- --! Wishbone bus clock wb1_clk_i : in std_logic; --! Wishbone bus byte select wb1_sel_i : in std_logic_vector(g_P1_MASK_SIZE - 1 downto 0); --! Wishbone bus cycle select wb1_cyc_i : in std_logic; --! Wishbone bus cycle strobe wb1_stb_i : in std_logic; --! Wishbone bus write enable wb1_we_i : in std_logic; --! Wishbone bus address wb1_addr_i : in std_logic_vector(31 downto 0); --! Wishbone bus data input wb1_data_i : in std_logic_vector(g_P1_DATA_PORT_SIZE - 1 downto 0); --! Wishbone bus data output wb1_data_o : out std_logic_vector(g_P1_DATA_PORT_SIZE - 1 downto 0); --! Wishbone bus acknowledge wb1_ack_o : out std_logic; --! Wishbone bus stall (for pipelined mode) wb1_stall_o : out std_logic; ---------------------------------------------------------------------------- -- Status - Port 1 ---------------------------------------------------------------------------- --! Command FIFO empty p1_cmd_empty_o : out std_logic; --! Command FIFO full p1_cmd_full_o : out std_logic; --! Read FIFO full p1_rd_full_o : out std_logic; --! Read FIFO empty p1_rd_empty_o : out std_logic; --! Read FIFO count p1_rd_count_o : out std_logic_vector(6 downto 0); --! Read FIFO overflow p1_rd_overflow_o : out std_logic; --! Read FIFO error (pointers unsynchronized, reset required) p1_rd_error_o : out std_logic; --! Write FIFO full p1_wr_full_o : out std_logic; --! Write FIFO empty p1_wr_empty_o : out std_logic; --! Write FIFO count p1_wr_count_o : out std_logic_vector(6 downto 0); --! Write FIFO underrun p1_wr_underrun_o : out std_logic; --! Write FIFO error (pointers unsynchronized, reset required) p1_wr_error_o : out std_logic ); end component ddr3_ctrl; component clk_gen port (-- Clock in ports CLK_40_IN : in std_logic; CLKFB_IN : in std_logic; -- Clock out ports CLK_640 : out std_logic; CLK_160 : out std_logic; CLK_80 : out std_logic; CLK_40 : out std_logic; CLK_40_90 : out std_logic; CLKFB_OUT : out std_logic; -- Status and control signals RESET : in std_logic; LOCKED : out std_logic ); end component; component ila PORT ( CONTROL : INOUT STD_LOGIC_VECTOR(35 DOWNTO 0); CLK : IN STD_LOGIC; TRIG0 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); TRIG1 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); TRIG2 : IN STD_LOGIC_VECTOR(31 DOWNTO 0)); end component; component ila_icon PORT ( CONTROL0 : INOUT STD_LOGIC_VECTOR(35 DOWNTO 0)); end component; ------------------------------------------------------------------------------ -- Constants declaration ------------------------------------------------------------------------------ constant c_BAR0_APERTURE : integer := 18; -- nb of bits for 32-bit word address constant c_CSR_WB_SLAVES_NB : integer := 16; -- upper 4 bits used for addressing slave ------------------------------------------------------------------------------ -- Signals declaration ------------------------------------------------------------------------------ -- System clock signal sys_clk : std_logic; -- IO clocks signal CLK_40 : std_logic; signal CLK_80 : std_logic; signal CLK_125 : std_logic; signal CLK_160 : std_logic; signal CLK_640 : std_logic; signal CLK_40_buf : std_logic; signal CLK_40_90_buf : std_logic; signal CLK_80_buf : std_logic; signal CLK_160_buf : std_logic; signal CLK_640_buf : std_logic; signal ioclk_fb : std_logic; -- System clock generation signal sys_clk_in : std_logic; signal sys_clk_40_buf : std_logic; signal sys_clk_200_buf : std_logic; signal sys_clk_40 : std_logic; signal sys_clk_200 : std_logic; signal sys_clk_fb : std_logic; signal sys_clk_pll_locked : std_logic; -- DDR3 clock signal ddr_clk : std_logic; signal ddr_clk_buf : std_logic; signal locked : std_logic; signal locked_v : std_logic_vector(1 downto 0); signal rst_n : std_logic; -- LCLK from GN4124 used as system clock signal l_clk : std_logic; -- P2L colck PLL status signal p2l_pll_locked : std_logic; -- CSR wishbone bus (master) signal wbm_adr : std_logic_vector(31 downto 0); signal wbm_dat_i : std_logic_vector(31 downto 0); signal wbm_dat_o : std_logic_vector(31 downto 0); signal wbm_sel : std_logic_vector(3 downto 0); signal wbm_cyc : std_logic; signal wbm_stb : std_logic; signal wbm_we : std_logic; signal wbm_ack : std_logic; signal wbm_stall : std_logic; -- CSR wishbone bus (slaves) signal wb_adr : std_logic_vector(31 downto 0); signal wb_dat_i : std_logic_vector((32*c_CSR_WB_SLAVES_NB)-1 downto 0) := (others => '0'); signal wb_dat_o : std_logic_vector(31 downto 0); signal wb_sel : std_logic_vector(3 downto 0); signal wb_cyc : std_logic_vector(c_CSR_WB_SLAVES_NB-1 downto 0) := (others => '0'); signal wb_stb : std_logic; signal wb_we : std_logic; signal wb_ack : std_logic_vector(c_CSR_WB_SLAVES_NB-1 downto 0) := (others => '0'); signal wb_stall : std_logic_vector(c_CSR_WB_SLAVES_NB-1 downto 0) := (others => '0'); -- DMA wishbone bus signal dma_adr : std_logic_vector(31 downto 0); signal dma_dat_i : std_logic_vector(31 downto 0); signal dma_dat_o : std_logic_vector(31 downto 0); signal dma_sel : std_logic_vector(3 downto 0); signal dma_cyc : std_logic; signal dma_stb : std_logic; signal dma_we : std_logic; signal dma_ack : std_logic; signal dma_stall : std_logic; signal ram_we : std_logic; -- DMAbus RX bridge signal rx_dma_adr : std_logic_vector(31 downto 0); signal rx_dma_dat_o : std_logic_vector(31 downto 0); signal rx_dma_dat_i : std_logic_vector(31 downto 0); signal rx_dma_cyc : std_logic; signal rx_dma_stb : std_logic; signal rx_dma_we : std_logic; signal rx_dma_ack : std_logic; signal rx_dma_stall : std_logic; -- Interrupts stuff signal irq_sources : std_logic_vector(1 downto 0); signal irq_to_gn4124 : std_logic; signal irq_out : std_logic; -- CSR whisbone slaves for test signal dummy_stat_reg_1 : std_logic_vector(31 downto 0); signal dummy_stat_reg_2 : std_logic_vector(31 downto 0); signal dummy_stat_reg_3 : std_logic_vector(31 downto 0); signal dummy_stat_reg_switch : std_logic_vector(31 downto 0); signal dummy_ctrl_reg_1 : std_logic_vector(31 downto 0); signal dummy_ctrl_reg_2 : std_logic_vector(31 downto 0); signal dummy_ctrl_reg_3 : std_logic_vector(31 downto 0); signal dummy_ctrl_reg_led : std_logic_vector(31 downto 0); -- I2C signal scl_t : std_logic; signal sda_t : std_logic; -- Trigger logic signal int_busy_t : std_logic; signal trig_tag_t : std_logic_vector(31 downto 0); signal int_trig_t : std_logic; signal eudet_trig_t : std_logic; signal eudet_clk_t : std_logic; signal eudet_rst_t : std_logic; signal eudet_busy_t : std_logic; -- FOR TESTS signal debug : std_logic_vector(31 downto 0); signal clk_div_cnt : unsigned(3 downto 0); signal clk_div : std_logic; -- LED signal led_cnt : unsigned(24 downto 0); signal led_en : std_logic; signal led_k2000 : unsigned(2 downto 0); signal led_pps : std_logic; signal leds : std_logic_vector(3 downto 0); -- ILA signal CONTROL : STD_LOGIC_VECTOR(35 DOWNTO 0); signal TRIG0 : STD_LOGIC_VECTOR(31 DOWNTO 0); signal TRIG1 : STD_LOGIC_VECTOR(31 DOWNTO 0); signal TRIG2 : STD_LOGIC_VECTOR(31 DOWNTO 0); signal TRIG0_t : STD_LOGIC_VECTOR(31 DOWNTO 0); signal TRIG1_t : STD_LOGIC_VECTOR(31 DOWNTO 0); signal TRIG2_t : STD_LOGIC_VECTOR(31 DOWNTO 0); signal debug_dma : std_logic_vector(31 downto 0); signal ddr_status : std_logic_vector(31 downto 0); signal gn4124_core_Status : std_logic_vector(31 downto 0); signal tx_data_o : std_logic_vector(0 downto 0); signal trig_pulse : std_logic; signal fe_cmd_o : std_logic_vector(c_TX_CHANNELS-1 downto 0); signal fe_clk_o : std_logic_vector(c_TX_CHANNELS-1 downto 0); signal fe_data_i : std_logic_vector(c_RX_CHANNELS-1 downto 0); signal rx_data : std_logic_vector(31 downto 0); signal rx_valid : std_logic; signal rx_busy : std_logic; -- FMC signal dac_ld_t : std_logic; signal inj_sw_t : std_logic; signal dac_din_t : std_logic; signal dac_clk_t : std_logic; signal dac_cs_t : std_logic; signal trigger_t : std_logic; signal clk_data_t : std_logic; signal rst_0_t : std_logic; signal clk_cnfg_t : std_logic; signal pix_d_cnfg_t : std_logic; signal ld_cnfg_t : std_logic; signal clk_bx_t : std_logic; signal rst_1_t : std_logic; signal en_pix_sr_cnfg_t : std_logic; signal si_cnfg_t : std_logic; signal so_cnfg_t : std_logic; signal hit_or_t : std_logic; signal out_data_t : std_logic; begin -- Buffers dac_ld <= dac_ld_t; inj_sw <= inj_sw_t; dac_din <= dac_din_t; dac_clk <= dac_clk_t; dac_cs <= dac_cs_t; trigger_buf : OBUFDS port map (O => trigger_n, OB => trigger_p, I => not trigger_t); -- inv clk_datar_buf : OBUFDS port map (O => clk_data_p, OB => clk_data_n, I => clk_data_t); rst_0_buf : OBUFDS port map (O => rst_0_n, OB => rst_0_p, I => not rst_0_t); -- inv clk_cnfg_buf : OBUFDS port map (O => clk_cnfg_n, OB => clk_cnfg_p, I => clk_cnfg_t); --inv pix_d_cnfg_buf : OBUFDS port map (O => pix_d_cnfg_p, OB => pix_d_cnfg_n, I => pix_d_cnfg_t); ld_cnfg_buf : OBUFDS port map (O => ld_cnfg_p, OB => ld_cnfg_n, I => ld_cnfg_t); clk_bx_buf : OBUFDS port map (O => clk_bx_p, OB => clk_bx_n, I => clk_bx_t); en_pix_sr_cnfg_buf : OBUFDS port map (O => en_pix_sr_cnfg_n, OB => en_pix_sr_cnfg_p, I => not en_pix_sr_cnfg_t); -- inv rst_1_buf : OBUFDS port map (O => rst_1_n, OB => rst_1_p, I => not rst_1_t); --inv si_cnfg_buf : OBUFDS port map (O => si_cnfg_p, OB => si_cnfg_n, I => si_cnfg_t); eudet_clk_buf : OBUFDS port map (O => EXT_4_P, OB => EXT_4_N, I => not eudet_clk_t); eudet_busy_buf : OBUFDS port map (O => EXT_2_P, OB => EXT_2_N, I => eudet_busy_t); so_cnfg_buf : IBUFDS generic map(DIFF_TERM => TRUE, IBUF_LOW_PWR => FALSE) port map (O => so_cnfg_t, I => so_cnfg_p, IB => so_cnfg_n); hit_or_buf : IBUFDS generic map(DIFF_TERM => TRUE, IBUF_LOW_PWR => FALSE) port map (O => hit_or_t, I => hit_or_p, IB => hit_or_n); out_data_buf : IBUFDS generic map(DIFF_TERM => TRUE, IBUF_LOW_PWR => FALSE) port map (O => out_data_t, I => out_data_p, IB => out_data_n); eudet_rst_buf : IBUFDS generic map(DIFF_TERM => TRUE, IBUF_LOW_PWR => FALSE) port map (O => eudet_rst_t, I => EXT_3_P, IB => EXT_3_N); eudet_trig_buf : IBUFDS generic map(DIFF_TERM => TRUE, IBUF_LOW_PWR => FALSE) port map (O => eudet_trig_t, I => EXT_1_P, IB => EXT_1_N); fe_data_i(0) <= not out_data_t; ------------------------------------------------------------------------------ -- Local clock from gennum LCLK ------------------------------------------------------------------------------ IBUFGDS_gn_clk : IBUFGDS generic map ( DIFF_TERM => TRUE, -- Differential Termination IBUF_LOW_PWR => FALSE, -- Low power (TRUE) vs. performance (FALSE) setting for referenced I/O standards IOSTANDARD => "DIFF_SSTL18_I" ) port map ( O => l_clk, -- Clock buffer output I => L_CLKp, -- Diff_p clock buffer input (connect directly to top-level port) IB => L_CLKn -- Diff_n clock buffer input (connect directly to top-level port) ); IBUFGDS_pll_clk : IBUFGDS generic map ( DIFF_TERM => TRUE, -- Differential Termination IBUF_LOW_PWR => FALSE, -- Low power (TRUE) vs. performance (FALSE) setting for referenced I/O standards IOSTANDARD => "LVDS_25" ) port map ( O => CLK_125, -- Clock buffer output I => clk_125m_pllref_p_i, -- Diff_p clock buffer input (connect directly to top-level port) IB => clk_125m_pllref_n_i -- Diff_n clock buffer input (connect directly to top-level port) ); cmp_fe65p2_addon: fe65p2_addon PORT MAP( clk_i => CLK_40, rst_n => rst_n, serial_in => fe_cmd_o(0), clk_rx_i => CLK_40, clk_bx_o => clk_bx_t, trig_o => trigger_t, clk_cnfg_o => clk_cnfg_t, en_pix_sr_cnfg_o => en_pix_sr_cnfg_t, ld_cnfg_o => ld_cnfg_t, si_cnfg_o => si_cnfg_t, pix_d_cnfg_o => pix_d_cnfg_t, clk_data_o => clk_data_t, rst_0_o => rst_0_t, rst_1_o => rst_1_t, dac_sclk_o => dac_clk_t, dac_sdi_o => dac_din_t, dac_ld_o => dac_ld_t, dac_cs_o => dac_cs_t, inj_sw_o => inj_sw_t ); ------------------------------------------------------------------------------ -- GN4124 interface ------------------------------------------------------------------------------ cmp_gn4124_core : gn4124_core port map ( --------------------------------------------------------- -- Control and status rst_n_a_i => rst_n, status_o => gn4124_core_status, --------------------------------------------------------- -- P2L Direction -- -- Source Sync DDR related signals p2l_clk_p_i => P2L_CLKp, p2l_clk_n_i => P2L_CLKn, p2l_data_i => P2L_DATA, p2l_dframe_i => P2L_DFRAME, p2l_valid_i => P2L_VALID, -- P2L Control p2l_rdy_o => P2L_RDY, p_wr_req_i => P_WR_REQ, p_wr_rdy_o => P_WR_RDY, rx_error_o => RX_ERROR, --------------------------------------------------------- -- L2P Direction -- -- Source Sync DDR related signals l2p_clk_p_o => L2P_CLKp, l2p_clk_n_o => L2P_CLKn, l2p_data_o => L2P_DATA, l2p_dframe_o => L2P_DFRAME, l2p_valid_o => L2P_VALID, l2p_edb_o => L2P_EDB, -- L2P Control l2p_rdy_i => L2P_RDY, l_wr_rdy_i => L_WR_RDY, p_rd_d_rdy_i => P_RD_D_RDY, tx_error_i => TX_ERROR, vc_rdy_i => VC_RDY, --------------------------------------------------------- -- Interrupt interface dma_irq_o => irq_sources, irq_p_i => irq_to_gn4124, irq_p_o => irq_out, --------------------------------------------------------- -- DMA registers wishbone interface (slave classic) dma_reg_clk_i => sys_clk, dma_reg_adr_i => wb_adr, dma_reg_dat_i => wb_dat_o, dma_reg_sel_i => wb_sel, dma_reg_stb_i => wb_stb, dma_reg_we_i => wb_we, dma_reg_cyc_i => wb_cyc(0), dma_reg_dat_o => wb_dat_i(31 downto 0), dma_reg_ack_o => wb_ack(0), dma_reg_stall_o => wb_stall(0), --------------------------------------------------------- -- CSR wishbone interface (master pipelined) csr_clk_i => sys_clk, csr_adr_o => wbm_adr, csr_dat_o => wbm_dat_o, csr_sel_o => wbm_sel, csr_stb_o => wbm_stb, csr_we_o => wbm_we, csr_cyc_o => wbm_cyc, csr_dat_i => wbm_dat_i, csr_ack_i => wbm_ack, csr_stall_i => wbm_stall, csr_err_i => '0', csr_rty_i => '0', csr_int_i => '0', --------------------------------------------------------- -- DMA wishbone interface (master pipelined) dma_clk_i => sys_clk, dma_adr_o => dma_adr, dma_dat_o => dma_dat_o, dma_sel_o => dma_sel, dma_stb_o => dma_stb, dma_we_o => dma_we, dma_cyc_o => dma_cyc, dma_dat_i => dma_dat_i, dma_ack_i => dma_ack, dma_stall_i => dma_stall, dma_err_i => '0', dma_rty_i => '0', dma_int_i => '0' ); GPIO(0) <= irq_out; GPIO(1) <= '0'; ------------------------------------------------------------------------------ -- CSR wishbone address decoder ------------------------------------------------------------------------------ cmp_csr_wb_addr_decoder : wb_addr_decoder generic map ( g_WINDOW_SIZE => c_BAR0_APERTURE, g_WB_SLAVES_NB => c_CSR_WB_SLAVES_NB ) port map ( --------------------------------------------------------- -- GN4124 core clock and reset clk_i => sys_clk, rst_n_i => rst_n, --------------------------------------------------------- -- wishbone master interface wbm_adr_i => wbm_adr, wbm_dat_i => wbm_dat_o, wbm_sel_i => wbm_sel, wbm_stb_i => wbm_stb, wbm_we_i => wbm_we, wbm_cyc_i => wbm_cyc, wbm_dat_o => wbm_dat_i, wbm_ack_o => wbm_ack, wbm_stall_o => wbm_stall, --------------------------------------------------------- -- wishbone slaves interface wb_adr_o => wb_adr, wb_dat_o => wb_dat_o, wb_sel_o => wb_sel, wb_stb_o => wb_stb, wb_we_o => wb_we, wb_cyc_o => wb_cyc, wb_dat_i => wb_dat_i, wb_ack_i => wb_ack, wb_stall_i => wb_stall ); ------------------------------------------------------------------------------ -- CSR wishbone bus slaves ------------------------------------------------------------------------------ -- cmp_dummy_stat_regs : dummy_stat_regs_wb_slave -- port map( -- rst_n_i => rst_n, -- wb_clk_i => sys_clk, -- wb_addr_i => wb_adr(1 downto 0), -- wb_data_i => wb_dat_o, -- wb_data_o => wb_dat_i(63 downto 32), -- wb_cyc_i => wb_cyc(1), -- wb_sel_i => wb_sel, -- wb_stb_i => wb_stb, -- wb_we_i => wb_we, -- wb_ack_o => wb_ack(1), -- dummy_stat_reg_1_i => dummy_stat_reg_1, -- dummy_stat_reg_2_i => dummy_stat_reg_2, -- dummy_stat_reg_3_i => dummy_stat_reg_3, -- dummy_stat_reg_switch_i => dummy_stat_reg_switch -- ); cmp_wb_tx_core : wb_tx_core port map ( -- Sys connect wb_clk_i => sys_clk, rst_n_i => rst_n, -- Wishbone slave interface wb_adr_i => wb_adr, wb_dat_i => wb_dat_o, wb_dat_o => wb_dat_i(63 downto 32), wb_cyc_i => wb_cyc(1), wb_stb_i => wb_stb, wb_we_i => wb_we, wb_ack_o => wb_ack(1), wb_stall_o => wb_stall(1), -- TX tx_clk_i => CLK_40, tx_data_o => fe_cmd_o, trig_pulse_o => trig_pulse, ext_trig_i => int_trig_t ); cmp_wb_rx_core: wb_rx_core PORT MAP( wb_clk_i => sys_clk, rst_n_i => rst_n, wb_adr_i => wb_adr, wb_dat_i => wb_dat_o, wb_dat_o => wb_dat_i(95 downto 64), wb_cyc_i => wb_cyc(2), wb_stb_i => wb_stb, wb_we_i => wb_we, wb_ack_o => wb_ack(2), wb_stall_o => wb_stall(2), rx_clk_i => CLK_40, rx_serdes_clk_i => CLK_160, rx_data_i => fe_data_i, rx_valid_o => rx_valid, rx_data_o => rx_data, trig_tag_i => trig_tag_t, busy_o => open, debug_o => debug ); cmp_wb_rx_bridge : wb_rx_bridge port map ( -- Sys Connect sys_clk_i => sys_clk, rst_n_i => rst_n, -- Wishbone slave interface wb_adr_i => wb_adr, wb_dat_i => wb_dat_o, wb_dat_o => wb_dat_i(127 downto 96), wb_cyc_i => wb_cyc(3), wb_stb_i => wb_stb, wb_we_i => wb_we, wb_ack_o => wb_ack(3), wb_stall_o => wb_stall(3), -- Wishbone DMA Master Interface dma_clk_i => sys_clk, dma_adr_o => rx_dma_adr, dma_dat_o => rx_dma_dat_o, dma_dat_i => rx_dma_dat_i, dma_cyc_o => rx_dma_cyc, dma_stb_o => rx_dma_stb, dma_we_o => rx_dma_we, dma_ack_i => rx_dma_ack, dma_stall_i => rx_dma_stall, -- Rx Interface (sync to sys_clk) rx_data_i => rx_data, rx_valid_i => rx_valid, -- Status in trig_pulse_i => trig_pulse, -- Status out irq_o => open, busy_o => rx_busy ); wb_dat_i(159 downto 136) <= (others => '0'); cmp_i2c_master : i2c_master_wb_top port map ( wb_clk_i => sys_clk, wb_rst_i => not rst_n, arst_i => rst_n, wb_adr_i => wb_adr(2 downto 0), wb_dat_i => wb_dat_o(7 downto 0), wb_dat_o => wb_dat_i(135 downto 128), wb_we_i => wb_we, wb_stb_i => wb_stb, wb_cyc_i => wb_cyc(4), wb_ack_o => wb_ack(4), wb_inta_o => open, scl => open, sda => open ); cmp_wb_trigger_logic: wb_trigger_logic PORT MAP( wb_clk_i => sys_clk, rst_n_i => rst_n, wb_adr_i => wb_adr(31 downto 0), wb_dat_i => wb_dat_o(31 downto 0), wb_dat_o => wb_dat_i(191 downto 160), wb_cyc_i => wb_cyc(5), wb_stb_i => wb_stb, wb_we_i => wb_we, wb_ack_o => wb_ack(5), ext_trig_i => "00" & IO_1 & not hit_or_t, ext_trig_o => open, ext_busy_i => '0', ext_busy_o => IO_0, eudet_clk_o => eudet_clk_t, eudet_busy_o => eudet_busy_t, eudet_trig_i => eudet_trig_t, eudet_rst_i => eudet_rst_t, clk_i => CLK_40, trig_tag => trig_tag_t ); --wb_stall(1) <= '0' when wb_cyc(1) = '0' else not(wb_ack(1)); -- wb_stall(2) <= '0' when wb_cyc(2) = '0' else not(wb_ack(2)); -- dummy_stat_reg_1 <= X"DEADBABE"; -- dummy_stat_reg_2 <= X"BEEFFACE"; -- dummy_stat_reg_3 <= X"12345678"; -- dummy_stat_reg_switch <= X"0000000" & "000" & p2l_pll_locked; led_red_o <= dummy_ctrl_reg_led(0); led_green_o <= dummy_ctrl_reg_led(1); -- TRIG0(31 downto 0) <= (others => '0'); TRIG1(31 downto 0) <= (others => '0'); TRIG2(31 downto 0) <= (others => '0'); -- TRIG0(12 downto 0) <= (others => '0'); --TRIG1(31 downto 0) <= rx_dma_dat_o; --TRIG1(31 downto 0) <= dma_dat_i; -- TRIG1(31 downto 0) <= gn4124_core_status; -- TRIG2(31 downto 0) <= ddr_status; -- TRIG0(13) <= rx_dma_cyc; -- TRIG0(14) <= rx_dma_stb; -- TRIG0(15) <= rx_dma_we; -- TRIG0(16) <= rx_dma_ack; -- TRIG0(17) <= rx_dma_stall; -- TRIG0(18) <= dma_cyc; -- TRIG0(19) <= dma_stb; -- TRIG0(20) <= dma_we; -- TRIG0(21) <= dma_ack; -- TRIG0(22) <= dma_stall; -- TRIG0(23) <= irq_out; -- TRIG0(24) <= rx_busy; -- TRIG0(31 downto 25) <= (others => '0'); -- TRIG0(0) <= rx_valid; -- TRIG0(1) <= fe_cmd_o(0); -- TRIG0(2) <= trig_pulse; -- TRIG0(3) <= fe_cmd_o(0); -- TRIG0(31 downto 4) <= (others => '0'); -- TRIG1 <= rx_data; -- TRIG2 <= debug; -- TRIG0(0) <= scl; -- TRIG0(1) <= sda; -- TRIG0(2) <= wb_stb; -- TRIG0(3) <= wb_ack(4); -- TRIG0(31 downto 4) <= (others => '0'); -- TRIG1 <= wb_adr; -- TRIG2 <= wb_dat_o; TRIG0(14 downto 0) <= trig_tag_t(14 downto 0); TRIG0(15) <= int_trig_t; TRIG0(16) <= eudet_trig_t; TRIG0(17) <= eudet_clk_t; TRIG0(18) <= eudet_busy_t; TRIG0(19) <= trigger_t; TRIG0(20) <= hit_or_t; ila_i : ila port map ( CONTROL => CONTROL, CLK => CLK_40, -- CLK => sys_clk, TRIG0 => TRIG0, TRIG1 => TRIG1, TRIG2 => TRIG2); ila_icon_i : ila_icon port map ( CONTROL0 => CONTROL); ------------------------------------------------------------------------------ -- Interrupt stuff ------------------------------------------------------------------------------ -- just forward irq pulses for test irq_to_gn4124 <= irq_sources(1) or irq_sources(0); ------------------------------------------------------------------------------ -- FOR TEST ------------------------------------------------------------------------------ p_led_cnt : process (L_RST_N, sys_clk) begin if L_RST_N = '0' then led_cnt <= (others => '1'); led_en <= '1'; elsif rising_edge(sys_clk) then led_cnt <= led_cnt - 1; led_en <= led_cnt(23); end if; end process p_led_cnt; led_pps <= led_cnt(23) and not(led_en); p_led_k2000 : process (sys_clk, L_RST_N) begin if L_RST_N = '0' then led_k2000 <= (others => '0'); leds <= "0001"; elsif rising_edge(sys_clk) then if led_pps = '1' then if led_k2000(2) = '0' then if leds /= "1000" then leds <= leds(2 downto 0) & '0'; end if; else if leds /= "0001" then leds <= '0' & leds(3 downto 1); end if; end if; led_k2000 <= led_k2000 + 1; end if; end if; end process p_led_k2000; AUX_LEDS_O <= not(leds); --AUX_LEDS_O(0) <= led_en; --AUX_LEDS_O(1) <= not(led_en); --AUX_LEDS_O(2) <= '1'; --AUX_LEDS_O(3) <= '0'; rst_n <= (L_RST_N and sys_clk_pll_locked and locked); cmp_clk_gen : clk_gen port map ( -- Clock in ports CLK_40_IN => sys_clk_40, CLKFB_IN => ioclk_fb, -- Clock out ports CLK_640 => CLK_640_buf, CLK_160 => CLK_160_buf, CLK_80 => CLK_80_buf, CLK_40 => CLK_40_buf, CLK_40_90 => CLK_40_90_buf, CLKFB_OUT => ioclk_fb, -- Status and control signals RESET => not L_RST_N, LOCKED => locked ); BUFPLL_640 : BUFPLL generic map ( DIVIDE => 4, -- DIVCLK divider (1-8) ENABLE_SYNC => TRUE -- Enable synchrnonization between PLL and GCLK (TRUE/FALSE) ) port map ( IOCLK => CLK_640, -- 1-bit output: Output I/O clock LOCK => open, -- 1-bit output: Synchronized LOCK output SERDESSTROBE => open, -- 1-bit output: Output SERDES strobe (connect to ISERDES2/OSERDES2) GCLK => CLK_160, -- 1-bit input: BUFG clock input LOCKED => locked, -- 1-bit input: LOCKED input from PLL PLLIN => clk_640_buf -- 1-bit input: Clock input from PLL ); cmp_ioclk_160_buf : BUFG port map ( O => CLK_160, I => CLK_160_buf); cmp_ioclk_80_buf : BUFG port map ( O => CLK_80, I => CLK_80_buf); cmp_ioclk_40_buf : BUFG port map ( O => CLK_40, I => CLK_40_buf); ------------------------------------------------------------------------------ -- Clocks distribution from 20MHz TCXO -- 40.000 MHz IO driver clock -- 200.000 MHz fast system clock -- 333.333 MHz DDR3 clock ------------------------------------------------------------------------------ sys_clk <= l_clk; -- AD5662BRMZ-1 DAC output powers up to 0V. The output remains valid until a -- write sequence arrives to the DAC. -- To avoid spurious writes, the DAC interface outputs are fixed to safe values. pll25dac_sync_n <= '1'; pll20dac_sync_n <= '1'; plldac_din <= '0'; plldac_sclk <= '0'; cmp_sys_clk_buf : IBUFG port map ( I => clk20_vcxo_i, O => sys_clk_in); cmp_sys_clk_pll : PLL_BASE generic map ( BANDWIDTH => "OPTIMIZED", CLK_FEEDBACK => "CLKFBOUT", COMPENSATION => "INTERNAL", DIVCLK_DIVIDE => 1, CLKFBOUT_MULT => 50, CLKFBOUT_PHASE => 0.000, CLKOUT0_DIVIDE => 25, CLKOUT0_PHASE => 0.000, CLKOUT0_DUTY_CYCLE => 0.500, CLKOUT1_DIVIDE => 5, CLKOUT1_PHASE => 0.000, CLKOUT1_DUTY_CYCLE => 0.500, CLKOUT2_DIVIDE => 3, CLKOUT2_PHASE => 0.000, CLKOUT2_DUTY_CYCLE => 0.500, CLKIN_PERIOD => 50.0, REF_JITTER => 0.016) port map ( CLKFBOUT => sys_clk_fb, CLKOUT0 => sys_clk_40_buf, CLKOUT1 => sys_clk_200_buf, CLKOUT2 => ddr_clk_buf, CLKOUT3 => open, CLKOUT4 => open, CLKOUT5 => open, LOCKED => sys_clk_pll_locked, RST => '0', CLKFBIN => sys_clk_fb, CLKIN => sys_clk_in); cmp_clk_125_buf : BUFG port map ( O => sys_clk_40, I => sys_clk_40_buf); cmp_clk_200_buf : BUFG port map ( O => sys_clk_200, I => sys_clk_200_buf); cmp_ddr_clk_buf : BUFG port map ( O => ddr_clk, I => ddr_clk_buf); cmp_ddr3_ctrl: ddr3_ctrl PORT MAP( clk_i => ddr_clk, rst_n_i => rst_n, status_o => ddr_status, ddr3_dq_b => DDR3_DQ, ddr3_a_o => DDR3_A, ddr3_ba_o => DDR3_BA, ddr3_ras_n_o => DDR3_RAS_N, ddr3_cas_n_o => DDR3_CAS_N, ddr3_we_n_o => DDR3_WE_N, ddr3_odt_o => DDR3_ODT, ddr3_rst_n_o => DDR3_RESET_N, ddr3_cke_o => DDR3_CKE, ddr3_dm_o => DDR3_LDM, ddr3_udm_o => DDR3_UDM, ddr3_dqs_p_b => DDR3_LDQS_P, ddr3_dqs_n_b => DDR3_LDQS_N, ddr3_udqs_p_b => DDR3_UDQS_P, ddr3_udqs_n_b => DDR3_UDQS_N, ddr3_clk_p_o => DDR3_CK_P, ddr3_clk_n_o => DDR3_CK_N, ddr3_rzq_b => DDR3_RZQ, ddr3_zio_b => DDR3_ZIO, wb0_clk_i => sys_clk, wb0_sel_i => dma_sel, wb0_cyc_i => dma_cyc, wb0_stb_i => dma_stb, wb0_we_i => dma_we, wb0_addr_i => dma_adr, wb0_data_i => dma_dat_o, wb0_data_o => dma_dat_i, wb0_ack_o => dma_ack, wb0_stall_o => dma_stall, p0_cmd_empty_o => open, p0_cmd_full_o => open, p0_rd_full_o => open, p0_rd_empty_o => open, p0_rd_count_o => open, p0_rd_overflow_o => open, p0_rd_error_o => open, p0_wr_full_o => open, p0_wr_empty_o => open, p0_wr_count_o => open, p0_wr_underrun_o => open, p0_wr_error_o => open, wb1_clk_i => sys_clk, wb1_sel_i => "1111", wb1_cyc_i => rx_dma_cyc, wb1_stb_i => rx_dma_stb, wb1_we_i => rx_dma_we, wb1_addr_i => rx_dma_adr, wb1_data_i => rx_dma_dat_o, wb1_data_o => rx_dma_dat_i, wb1_ack_o => rx_dma_ack, wb1_stall_o => rx_dma_stall, p1_cmd_empty_o => open, p1_cmd_full_o => open, p1_rd_full_o => open, p1_rd_empty_o => open, p1_rd_count_o => open, p1_rd_overflow_o => open, p1_rd_error_o => open, p1_wr_full_o => open, p1_wr_empty_o => open, p1_wr_count_o => open, p1_wr_underrun_o => open, p1_wr_error_o => open ); end rtl;
gpl-3.0
b137cb036f411ba73e66c745db03e238
0.428326
3.712017
false
false
false
false
joaocarlos/ModelSIM-compile
countertb.vhd
2
5,385
-- Test bench for Counter Exercise entity CounterTB is end; library design_library; library IEEE; use IEEE.Std_logic_1164.all; architecture Bench of CounterTB is component Counter port (Clock, Reset, Enable, Load, UpDn: in Std_logic; Data: in Std_logic_vector(7 downto 0); Q: out Std_logic_vector(7 downto 0)); end component; signal Clock, Reset, Enable, Load, UpDn: Std_logic; signal Data, Q: Std_logic_vector(7 downto 0); signal OK: Boolean := True; begin Clk: process begin while now <= 3000 NS loop Clock <= '0'; wait for 5 NS; Clock <= '1'; wait for 5 NS; end loop; wait; end process; Stim: process begin Enable <= '0'; Load <= '0'; UpDn <= '1'; Reset <= '1'; wait for 10 ns; -- Should be reset Reset <= '0'; wait for 10 ns; -- Should do nothing - not enabled Enable <= '1'; wait for 20 ns; -- Should count up to 2 UpDn <= '0'; wait for 40 ns; -- Should count downto 254 UpDn <= '1'; wait for 40 ns; -- Should count up to 2 Reset <= '1'; wait for 10 ns; -- Should be reset, overriding enable Reset <= '0'; wait for 30 ns; -- Should count up to 3 Enable <= '0'; wait for 10 ns; -- Should do nothing - not enabled Data <= "01111111"; Load <= '1'; wait for 10 ns; -- Should do nothing - not enabled Load <= '0'; Enable <= '1'; wait for 10 ns; -- Should count from 3 to 4 Load <= '1'; wait for 10 ns; -- Should load 127 Load <= '0'; wait for 20 ns; -- Should count from 127 to 129 Enable <= '0'; wait for 10 ns; -- Should do nothing - not enabled UpDn <= '0'; wait for 10 ns; -- Should do nothing - not enabled Enable <= '1'; wait for 20 ns; -- Should count down from 129 to 127 Data <= "11110000"; Load <= '1'; wait for 10 ns; -- Should load Reset <= '1'; wait for 10 ns; -- Should be reset, overriding load Load <= '0'; UpDn <= '1'; wait for 10 ns; -- Should stay at 0 - still reset Reset <= '0'; wait for 2560 ns; -- Should count from 0 round to 0 Enable <= '0'; wait; end process; G1: Counter port map (Clock, Reset, Enable, Load, UpDn, Data, Q); Check: process begin wait for 9 ns; if Q /= "00000000" then OK <= False; end if; wait for 10 ns; if Q /= "00000000" then OK <= False; end if; wait for 10 ns; if Q /= "00000001" then OK <= False; end if; wait for 10 ns; if Q /= "00000010" then OK <= False; end if; wait for 10 ns; if Q /= "00000001" then OK <= False; end if; wait for 10 ns; if Q /= "00000000" then OK <= False; end if; wait for 10 ns; if Q /= "11111111" then OK <= False; end if; wait for 10 ns; if Q /= "11111110" then OK <= False; end if; wait for 10 ns; if Q /= "11111111" then OK <= False; end if; wait for 10 ns; if Q /= "00000000" then OK <= False; end if; wait for 10 ns; if Q /= "00000001" then OK <= False; end if; wait for 10 ns; if Q /= "00000010" then OK <= False; end if; wait for 10 ns; if Q /= "00000000" then OK <= False; end if; wait for 10 ns; if Q /= "00000001" then OK <= False; end if; wait for 10 ns; if Q /= "00000010" then OK <= False; end if; wait for 10 ns; if Q /= "00000011" then OK <= False; end if; wait for 10 ns; if Q /= "00000011" then OK <= False; end if; wait for 10 ns; if Q /= "00000011" then OK <= False; end if; wait for 10 ns; if Q /= "00000100" then OK <= False; end if; wait for 10 ns; if Q /= "01111111" then OK <= False; end if; wait for 10 ns; if Q /= "10000000" then OK <= False; end if; wait for 10 ns; if Q /= "10000001" then OK <= False; end if; wait for 10 ns; if Q /= "10000001" then OK <= False; end if; wait for 10 ns; if Q /= "10000001" then OK <= False; end if; wait for 10 ns; if Q /= "10000000" then OK <= False; end if; wait for 10 ns; if Q /= "01111111" then OK <= False; end if; wait for 10 ns; if Q /= "11110000" then OK <= False; end if; wait for 10 ns; if Q /= "00000000" then OK <= False; end if; wait for 10 ns; if Q /= "00000000" then OK <= False; end if; wait for 10 ns; if Q /= "00000001" then OK <= False; end if; wait for 10 ns; if Q /= "00000010" then OK <= False; end if; wait for 10 ns; if Q /= "00000011" then OK <= False; end if; wait for 10 ns; if Q /= "00000100" then OK <= False; end if; wait for 2500 ns; if Q /= "11111110" then OK <= False; end if; wait for 10 ns; if Q /= "11111111" then OK <= False; end if; wait for 10 ns; if Q /= "00000000" then OK <= False; end if; wait; end process; end;
gpl-2.0
f1e4837460cf211915e6d3233dbf1d0b
0.499536
3.631153
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/process/rule_400_test_input.fixed.vhd
1
460
architecture RTL of FIFO is procedure rst_procedure is begin a <= (others => '0'); b <= (others => '0'); c := d; end procedure; begin PROC_1 : process procedure rst_procedure is begin a <= (others => '0'); b <= (others => '0'); c := d; end procedure; begin a <= 2; b := 1; a <= 2; b := 3; a <= 3; b := 10; end process; end architecture RTL;
gpl-3.0
67e9b589f552e77b033ae572b9398f9d
0.43913
3.484848
false
false
false
false
cwilkens/ecen4024-microphone-array
microphone-array/microphone-array.srcs/sources_1/ip/lp_FIR/fir_compiler_v7_1/hdl/rounder.vhd
2
13,395
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block QXXT9fPh/y/st30QwIpkSotovOraRfenkfhlUaSIdY8ZM5/cIpCmtN6JLbzv1BrqiwYJOULcDkEe 1+m2g5yQZA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block OKkgVMBFp+FlpRVneZeY4Y072iGrlNdRvhu30xZ3YmS/iS3cYHz7IqHPH5UHrRKO2p/Y0XmbiNoh xBpRNRYC/ywGuZLOGzgoDJzfZUbDxRCmxN7Qyu8LAPwcAPf/x6H15gugC4RCk2mE7C+5k+rdTUu3 952g39/g+LyYKCyRtgI= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block RVPklfYmcXtXk3dUVOqVDzsmp4Y57il9tQJWg4CbRBpE15kihwF2Usmk5Q5tm6N0WCUxMxLcLt8z peKIsr2tQCKPA0le0YDyBKd4acz/DG12Cf51N8pRw1zbKUF9FCzoQ+APnHV99CqzRdv8uoceE1Sq kMpsR3w9FpFzKBGv0rskdzG/ZcJSzH9owzOAKiHNfO7xgrxxb7fkDh8/yUSt4mDJ/+rtbV9lxsxA bnzE0MzguX0Ob50N10MP4cB7ej3eLkesfD1SrmWAre4w5OocuBFc7L4ICjPKkYSYPDMq12ad7nYS HaFcEdvXlt7Nr1G4A6q20Ri3OFTNaph1OgJ56Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block EYteBSxH7B+YQ7Sk1Y5QGTtjZkIx059bJCmA0kMmLL8/PXLxxiHUd3ZyM0+qwig9X5cha9UfKxpa IERfKYLmSlJyytGvbes0rcbEAIoA4l+zTuYdDZPhjKrThs+2H09Wjk97NOYbub8GwJcmpTIiqlRK /i6/w8z6osJGGKO8ccY= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block g1Wlbqbbz0DE2sP5F2B8al+a8UQgAozzo61rhNY5x0oWBOKUZrNZ/URTgmPZUsCT7f+m8vC/PSAo HsyQgh0olcVoZobkpWaaN4sTl9tCbNTabIIc4HjXCZ4EdcwL/1sjzYldrGJkCuOMK/i7BLXqzj2Y GhkgTWWAvd4sJ/dpB5WXkn1dRHRW2mxklRmnC0rRyNTmvBXEUdMHV0SEdxLTjhicqagihR72Jeq6 GHSe1+1gRfpo8a03DiwfwA60uycTlde+xaMQEp+7iYYiXWkkrkJJaPldllkGLZE2q3yNkuAW0ZNz Gy6kmDYJxFujFqdLzl1OtDOrd2gdgvQX5GfiNw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8176) `protect data_block lB77nW4M7445PO1wkOY45Z8wQVLa9TJrm3/SgT7ZdzIrov8NO4uTN5eLsNxYMA2qui1sXukZ/T4K zOe5fXsdlHFRGnFw1Ctjl0uoZ+l6UHUCnfl6huplPFcRE74xbNBXGNMroHDu4KDQDCirHNKhveLg 6InkW632+Ce4hGbqiTH5fJaUIBRYLI4P+sEMAmCHTvn4/rQhR3UX6vRtrtj9szak2z1z4rd8vWxD Kk4W1LvHKOOtBglfqJl4Bku8WW+jr8IpbuYGeZ0+QsnsJI10NoF8Qsv6Jq1zVO8alIXcqvSQpeJB 45g/jrrxyo8pYtHuZok6S75OvjUM5ssZVUce4ba3Z6mrrhri7yfWFn8LtE7JMbI+/Sbo/0yrhrau TWD+x9qtQI6aXLqVjm1YRxYmUAFH5uhnDA7U+kLz3PKTaZ17BERnI0S/T5xsfTic+FEz4TeXqKA1 lIH9pbmU2QHGteV5utWNmPdrkbywEwWKbkrvseHz+RZQCgdz9zJKgx1ZoAw+RL5kPKXjqI5pu+xI XlRq1T+nJyi6RyYR7RyqB56otsGQkM25xs90t/4AtouVbgiFocvDDPbey5H9RbxtsMqOUfPYJHjE HX2UkISLdgOmNhfUDVUEic4gPh6vQHFi0k9ZbzNIfIUZPyfVreP5pb17Qp/a7v1cOCHlsXLHBv4N QHKCK239RCGCnh7cAzOZBrFgG5PPCXyYnlJ7/W//s0qrwelgec8NDubM0DpxGLUatx86ucBO/CIt Uxg+G/Pv6/Df1zke9UQ5wzR1HbEP+ZxGj02eCfiCsJX3ICSx+1TIkEXD/D30kmteVuP9QLa0YmsC 61+e2MOsnmzT3uZ6R0y2CEbjV62Dxri26Pl69/aN/jQVbI/IUm547zXQ3LKhIxTZbaO5gWcZHG27 IQHH80T5EzRKTyv5724Qwby9hFFOOzzLTwr16iqeKj7BLl/IWdewwmef4tPvhBa26bnrdrFpbtX0 CfCE/yQTthuxJKCvq7kXbH7PNsg8Xijx8aSFpxbSzygFMsDlsb29MP8Kk5tsyOBmhx53SHeuBgJv WC1G4XR6kVYaY+zxzlwK8SpzRoDQ6ON3L49gHUwu0sTmaKnl4AgyidRBpIEBNiPu21zVZU8U2itr 63QwdcfTt0ClHqtcM4n2ifEfBa2E4h2Mk+/68Js9XeETrGzU2HJVdSXIzE9gPAvP26AF6B9Pbf6z lm/9uf/yyW9mx+V+X/99Gs5oXkiqul3gADvjkfQCMqZu6i9F3AIwaBJqKB/toxqO7IgA9J0WY+Mw 1ZI5H5uBF9EeazxUpV6/xxRi7VsEbRowaKKSMyUrB1eK6bbgcmJCmkHO3/A/4T/C6KSBuIDLJqPG 83fF69NJJZL967Qlh/CtbCVr+SLx27atAF0q4nB58gu33nL+tts9+LbiS6QbtdWwtL+3bjKOxO8b sTop09HRrKnblvcvizYVKxfMMauCL0ceDj3B3zSTvvkqBVA1H7G/+H7sNYyhdk+o9BI2LfU2PvdN 0O2KbA22JGj42MqlrdHgWDso3p1P4dDe3YwlidgH4N7G1XnEe1pOGLYXgiwLL4g21eqNrhfqzDgJ r7DvKpA5F4pr2HsX1hvKs86XjsZASg11dsGIh3N6op7NO67gnU0BBQRiYShpmcGiCyUgKLZ2iGgG hkE7hCiskcC7TreH9f1ikyUjUFNKVp9JG2Tksnf6/2QDZsRrX26jptVv73obGqGDLYOexM2lZj3E u9Wyra9CRC5xcQu7oBkC0hJ3xuP57pCFCixEyTc3xHKOj3UolkeDKbTieOQL7ISmBxRTzm2Bp7z4 fRj1iDJT8LHD39LYzA+r9GjNorZf9vwt/IAaUZDHtfux4wt6SpwArrRzO46ZvGJVkAIUklLYDEdx U6RjyTH8zDyQXckU0RkH/7b+ssr6lhWQ45y+EV7hwoZ5rUkw+093arpAmIng96743eVCiVUHUTnT ycFjmSWGY+DtRzrTY4K5ujKzOEBmUxq4aJy8hEH+Kq0YcM4DMhzhpyo6A68+kWhi65bheClC6/Qb 5EOdAWCpm0+1Y5hv6HrsiB6UOCXyrUSfESw9WsScnZXKWk/l1A/yP6ZPyM4J/KBzfl+3S2v9n7w0 6bpiwr7UDDxY3GuH/h7DMQCPD57F5Cx4TDUPATsqNG19zHAQ1DcetRP47r68t0Hm3taRQuae+lxV 8BZgeQm+zD8gF4Gn/nUNdKcX/5b00iMNSniey4bFvmSLvxyAHsl29ZcYSM2Cc69YkP65S8NEOepH qa1crdtw2Eh/lMtovnLw/yIocZFcHl1Cab/jNf0Otrpoug8fHJlNeAE2dzsP1FQ3jY9lGHyCSIni G22t7WkoGPw+0EzRsFZYk/qsucYyeARYTr9/VRJ6crepXPrsK094OC9v2GFBHB7areEYWrL1fAyX eKI9n66NfDixAj9Fz9cY779tqoojCd3SrLgRMUZEXgHk5z/khoqo+JufDmdYXyvFcnN5UUumyxHc tr6lCvmCC8l5J2U8W2bEfPgVmv1J5ezQHMVhltLI6KM7w9geqbFjNnUUT3DWJ4v50FxjiVnItkpm TVQuxZ4nFdR/6h984CMcOdQCAFRrZ8UGwp1RIlhRajSAB+LBSF8MlVnbt/nypgAyoyoXwSU4LJn/ ypVIM/bhjYJpOkxUh1ubGr9nAvDlRoQzQfubcOcTFnNqLD7ByqAIxlNQPVPaTHcTBDkvV3NGHaCQ 18CfJqDVOeXvDlw5E+GlFf5PT09e4QK0vnOvg96N/XJU1sW6W5+iRZja0B0Uc8c7zA+wTmn8MLzh KwwSR+pvdJLVKE95LI+cyWV6WKxJ3VLtccxvElesJJsUHUTfrn0OlBBdqW7MZYt71t6kmrNMvGBY 0d4YKpQwTa+gtOMR5OApKP0tqnw0/d2mvhwvc20EhA15RQJagoVLgoPJ5kB000dzYrvllzO1zlWa lAicB/tT/rQ0Neek2pcLRLZ0CyNbYoILjkl4fI2B3Q0uZ1V439GktRWr8TClAlN3pHLbOsZb2aAX glOj/2yH+Pc1NJkAdY0CNLPWydC/xxbyUl4hLgHxO9x7jL2pbwpbsmv2ztpfQKEPG0Im4xTKXx83 bXlHJXjxKkF3Ay4lp4+BxlLjQuuG+0eM4Owsjh2XAsViauPGLQY1GkNfI/DsEKCm3cCv7VM1SOyA IFapwJtm8deQHAF69sg828NqotjA1Wi3ZPoA1DY7ua8El/it5IP8qJxmAdIK2f0kg8HtH1fCzIsp 4XDuIma1hpPmDhQCGsjnkSMa64vC0cdFFZNip7iBkZObvc2sahCOoCK3vcBTGhfAiqvzxnaRX1az RbV9vjI40YlhzZWpgglgsXqRTkF7RQR1iAe28iZBy6FfCfHRU2OVb703PKO36MIZY+Vz+N4+giet MAjmxXhj1u/QaZcy28t1558raLoUSQwwBvczsmt/ejSVYK9BMVLOE9rAO0w0kgxKYmrJKUKqEtbP gqPjCdDjvUmHmes0EGRfRW0VBRlcFoNCgOi9Dunz2vD3V6+tPTtQX7i71LeensJRw08ZIaogqBNo bcBbBhTzq0mIjEBmsstPgombn5t75wEjbv1PWaX/EYtdnw9BZfskTJR9TFs0Zd0xsG7lL9ttRFOo 38OMhMajYYnI9xvyVk5AUnhShUJB69C6X2hXymkcIdoaRHx1Lij5hGpCEB0jcWKT0ornn8Webdh5 pCiGaOFitDjDI9vfnt3hpdGnV1LzAS6Vz0nqek8KdJHvZVrmuBqfvBRdY1MqT5ulgW8l9EjYtGEj 1jLMfGZ+HyJ/JRwPKZopAzDMN3lb1aQzSgoy6rdLGShEYTYpVLSW3e3nkU9/z4/qK9YrAfVb+6Y9 A1bbRwDZ2qKuHerb2dSFRFAy1+KLLJqY0D506MsK+tQ3QuKlbO4L6MGs2ORHKQkSn63TYxvZzmwA vI5KKVdMoUyIAjgDsmAorPc/6sS9bCyiUhEk293asUE3zVnDRPDG4FbWehja3iMHC3ceOlSNjcDB HVN26AHO0lX9Mh/dMNFE9LP5slk9DwE5UowqkC1D2ET93vZHPnjaaOv2MT4+06bXeL3cONrwsNED Tq4nTuXA1mV2i+WwEPQ3uBCSJ7v9NTpkixHbZUQXlOivwS2K7WuhhH4aXsklAAjqRgq3WM7B0l57 4MFG76s6I/NFddpdoziE2BH8ORUX96OFiQtv8Diosj09LmgNxFR6GZlNdOmF33UdHfDufKx5WwfT G273+aOdFIkEj0Kh/CwqzaKyOyzJwp68HVobR0BEk3XgC0ZmKpTeNKnWqEujN0qmRu2ooHmtEtaz RilekCnxnbHd72R5cju0w0s7vT7sG4S2JNtTokl9u+cEwWoyX765WxEf7zsrYqJ15vN++x0XsqAA 1Z5W0GDphEKmZuF0RDF2zXHeidkOgqyHB0CYu7UfeBA4QYA/nebNbwFFzSxUdlUfaSPWeAiXOGIK SRtLpxvjKssdTQ5Qck4G2CTTndd/HFocUjZQ3vo+ttaDnOx6FQBH+I17+gobg7M+DA7NGg/A8xqj 4vcq9IAjinypV5cbB5MeIeQ+79ong3M3UuUXrBn/DCM3taiNFmIafKpl1mYaochuS2RresUpcMim CNGZ350h+Iek5YM7Y0txjhjcvMS7BHl465lKx5Uv9OQshFSKjow6exT4Z6wdWn+OXA+c84sM2wpv KBm8EuRLZSMXPakZRTCkhN/ya/jSJ+aADFl/L3sA3qFlge6jgutyD7RDJobKeFGfWkjXP7xRg7Jl YT4W3dJ/lMu7uNMVjB4RJxSTaCrxTLBJP+66EkiUfdtowjGIqxdQMNffkyJ6MEr37mOQkcQOwYqV kQizL/CDJwMW6Qb7k4r0B6MKGBiWJvnVckGlov8tOANKSRrZOKQA+H3ci5d8BfVPGZoTdzpGnexY x7F8ReVrl4oM2ayfmTSNo03cjDMKIUCPhxrz/QoJvx4H/XpJpIU8yTmxMXbrFGyNiyRsa7zdSjVY 8UQLdU8cB95nBs80R2HRdJ3CUYbI0hiV1Qn9nIcNOSBMoyebHrB3UgTIkD3lf43hPHwtMyRXs54U Zr7B5PrtnJ1vGM4f8S1K5y2MLbOeSemRwyjj98T6PnPUN9CSGMVA/FUykGaq+S5koFYUFb1pHtmB M+4iGvaEzOYhtTyFQhlXSO0L6zK3JjOEgtxiTH3sGbHWzYkhXDxc5CJa7BgUoOWRdDdE9rKfuAoC 1Lprzp2HG4H+pc0Q2o1Dvg4nAC/SNTAkKPO8YUfQPA4eJdnXwROWlWT/qo7seDLojJarWRTqpGeG bBoaONag/+LgdviG+YvFQOk99ABJ4YhbNme8mq+X0eVhs0+8NEgnr2gOFTDdIydN+pDi4ht/bpWn WM9h/of5cjRvFpN4zJXlefDoHo6meWemzikILM/+b9ugKEg39fTTOVAPJT+ot55fX+L2VvyNpz4a TlnCMvqcy1KSM/F7nhpUgV4acOho6U3hQo09PY6eihOrTSHtQcmLelXennPq6apGvSGy/m//2Obd j8y8uSm10OrfXSkb9KMKE61jp/cpMSPQxpVki7aZRsV+NI3FWq45FlwOo++jpOeLpTyV5XU/MJAz ZfnRHNtVedy0slsHfYVsF03i3EsBJUi84XHxTvP60SVAvBFvWIxreDauRhUWlKIpDwcNIzk35Jji 7/eUvKboygwv2IaWqcGU4uTnGomvomHzTAy5KhVoIRA+bWjApC+cc2sQ3xTPgrD4wpjeC6z3sjAm izwPcR+LXfm1GgALbWyW1081d/JCc9meFO9PZA0l8cdyFfvA3EQYUZoV6Cqjkuz4kM2g+SePPjA4 OsBtL0mvTSBqoF97Ynz3UwrXmThD1g+ezoqGDY0how34RY9rMZO3v88iL8g6AfAJlMFquyL4Y+sR 14AavQwhFvctkIlmF8fc0r5mA1q221fErBUFI4L3C0/WXNHQhaMxnDU7X1+H7breDEP5+Vb0XWli uaSNtDJHTWOvK61VEmeMMWeTai58XuezSRGqUj0jvPOv7NDytKXCD1Zqweio+5LN7F8yGbTovRQh LfEzuiZQYQjzveCCf5aAIi9jXDDpDd1e4QUFJVBtDZF4NEu8jg1GYyMnrh6Yd2NjT1ahNVHMG7fG m/8bdgDhnkxOC74DlNKosGCalADtx/GuRpC6Yg2ZJI5bDfICZUIJeSk/23alaE8tUiEJG271FFtD 1Ju0K8qjpa1++0gkKAIgLBMWAAq0sI0wAPgo+WC+Z9eW9it+BaUzkN5Ib5JBMUy0KMlFOkj9nWgu UmMfEhIi5RpSRe54Sx4man6GM3X3IaAUSw0QDtFkRR8Qzju/CC06t929wSYntkhHkkJxYMlGux2S rnv/vVvR70DiTmCnD5SrAwCv1ykkdYSHzKyT69lEl67amCy5Z1qvwly/xwZhPYVjVQSA6Wf8KiSG Pfl5iuKd50Ba27FwkzgwLD12jqTlDMVeMk/7/vU4P32tCbDPwrhmYMj2nubpF/Sir99xyHV1W6Mv MwCh4LbH/x176C2UHTJ3UPq3KLEEXfSLZ8CNevIe7kTI13L3exbGC7k0qqmaUVZNysP3Y60ivg2e tfQKGocQ+uxYla+YXZ11BLD0TzZY5dmyAGJdbP3yXIoxGrVDmgOfl7rV+wDertuZwOIebIKbv+j5 nSeIBsz1CiZLDOPqcrkO1AT7C9CBNXVNyAcs7YI4wAExU9ieDtLqGEydOoYVJ/D8dtNLk9EbpOwc FN81iRcs55orsoAIFu8ofnB93RpncEMV87Et1sPvMiYNah2DQVYbDYQwr9Y/NHLKaLrRlcbzaOuy KMcm5rJcL8YQAwQfx2eX5m6Dgpi+elEnPFLlfOogNinKAqyHDqPSa70fRy7pWSPjMMptBdrbX3hY 9J7WR5geqo8//2Y3fufN6gWjpXFoEqIaUxzkfcLg/QDBsZNHEjSGFIkl32DjnwcS9pGKm39k5thx s6tkuH2ZZ5HQ5rjqpkQADN33lmAnIf6BfjxXkhowWqVN1mG3zi/btchjnDNs3rhiGp2sHIHxo47d OvQSKTKPVOgEMqLDcjCIBq4ADETxXjhtaE7cqAAe3zRadY0Gu2tMwP88pcFwCB2jYMtw3+Hk/j/M posnA5PPBHKnF+WWo4H64HRI//4Hg31q59D1rxGAcQi8wa6yjnm390ja0YNCHPm1LoH3TG+BuBpg Ui0/BA2FDM22vRVXp3o2dr69fpOn0fmmdSFn1vwvPYPq4D8hEi4DlrIxszqMo+A4TN39I+FFL5xq xTd3P1rAN+u4WB90NeMMWdEHMsSF7S6aitoZXoXacJewt+v8fSsQQhnQpcmB4SZK2JSu9G7hkTX6 dvqdwNCCyPTh0l6cJpyZsqrQFDbEVx+r+rX4bqOF2sQU5eJ3t0Lb+xEf6qwGrjKsAvOUC49C0H7x q5cf84TU9rvFbwBtDnhdmUl3pMLmnm0bTIp2ENwUNxdxSQtBQVfxBFQBm/FaS/owEIvdvpLHqc1A Yx5G5fF50DhhscpXD1PnC7aktSF86jw9R5pfSm9+izz44f4lxnU7YFqqFUYr6qi3FAJr/GVYFlty PfHOSIrat8fxuXWasvcPn+rjU2PqKzlNrBImrkJjeeJS6nZ4nS92sOMJc4fyci/qsvRJz5XgS22u QguX9VU65WiobCsNoy4dQgoEfOy4e8voGmE8v1C1xrqrbB2q3gkV5pXSXqjpXLsY5psulo/lcIIU 7neJ3WPjbv+3LE92Iw0HuvjM/6Gx+4tds1Rz4bj6N4cO9U9QEeNm31y5BpZhHasu00J6LieGxwNd FR64H3jV9T8Oa+7X2AwORD4cFrHg+Wb2xktiRlNfQ8WMjpDIypdlVle15vhVabVcFs5bUTEJIAqH cr6G4lfzvvqGJtyDOpoXYC4P8teSj/V2eWvJpFMBhJGlW4EjSMO2WLhU0qI1zM8AxELlJKds0fO1 aTIXS7n58Jnr886M0Mel65E86N0jIH5qM/0+j/vTocIgUkopVvbznmG+hxR/FfsfsbftYZKq862g LCbUk1HRgT87/SwM15hKYd35857icVDYEA6oq/nfaJIxAKoq7DsXWLo5NP2ZSVYs/rnHPsfIUWQd lPT/6uIZya83W7pPa9V3n9dEGgzQPrRUXf9nwHjhVm/F2R8ifimxOOwy/rIj9o8oky+iFrfutNRD IqXlr6CNTvteOtq8BzDvyF8g8lRq0jMyE09YKwqmghfK+s8rY5l9LyZFCpxt8d6Bq3JmRAw2J7+4 WjhQCfc+wYI4z+czp9RMwjuD9Ixh87/eGYIcX/VefU7CtnUzE6wn2U2mJWM3/ile4UvJl5zIoWcu i0hd/3F1G0lMalTdzlGWuOEtrioXXzp/qJHB4+dXWtop/yo5kRFpjch67kNXCgsbWby1n0xz5oSd YUH4asvQGAqWc/IyutR1JFKJCl6eb6MSqldW/Xgh1k/LlYDrOoAKifN1Rseucq3uVm3rNzlsDtUF 1pu74vXxjjleqEkRYgk7YhAgMZaEUPZY5EJT1H1CUT6GBgEj06nQDcXnX5bzUjYTSMR2vxIL4eIM 9PE9WxqE138KNYoIdbOIs2qCJPWI7C+iuCj+vjBHFE7nUvcQ7LU/Zfe3nKgEYgi+hlKAfsW4PyUe GrY0zGolMCZV+V/auCoSMbryjODsnZ8cPyL5WcQ2f1HTsejIn0G12kXQ2zZ8l+QqpzXfYyMxI3LD yNq2G95GXcBEiKkSdrDIF/B3A+tmlXTnRW7gPznT+Tn3kmdTSI6rkHKtYH7MsUJMnXlvGnG4M/dK cuTA7ojgUWvmlr4ID6mD5yMvAssp9nXwpjGjIAYkKXHmxtSxzk8pAGSRDr1+2CmkH4i1hphYe8xn yJ1vIOZZn8JU/cfQxlZlTJUSww36T/ODS3J3oAhOe9sdrSp9NxRBtm16nB1v3UBbdkgKFvoRdwU1 vsCs4gk8mItjlGJf7BDMsVCPv/BP4IzmGVOXd2IC60HihDFacGM3LLLnlgUOcYjUz0NLnazj5Ts6 4TpER3uIn+TRGjdszP5vWMT34KtAe32cGGYknPLbLJ4MWwsnnwdnwkU5TAv2q/0yQwoTzo2uRRav kf2j1o6bgOKjXezrR5zjI0c7UlfOjpCYWv2cdndtu7YPr3DnvvC4ONaWNeg7seEbjc3Bsakbvqu0 uGXOUejE153j9kZQuw8dtUjpUBUe/OZe9/F1b4qjMlnbWdL00Yr1FRLnTAPP/G52ktDVyWe4HkPl w3okgHKxyfHUqwo7zUsOVUMuOxdHKbiHMQPVzsLD+d7nXFDX/jzl5GDQ4ZkYt5yOJdbj6Oi/GMBW Et4Dfu2Wo9eMje3QKywl1cHYzO49ogVO0nymQMQHWQf9PP5FCEkODbV4PhuS3BXDkDws3i3+7OUG M2UW1nQyhwx1qHM2mLjiaWkAYYkpsT7iWYAsDFKQD9jIgUddaKeia0tAlU52slP9Y9v/FARkCVRU Rv5qZbh0DifmGI+hIztryJp4tF7fTKj+z4428SWbKZw5iUhlnkLfC997wI3BrnP0uJPjIhK13qoK fe2MVaq5VcdJ2dX/62Dd05yc7SzsQm3lhcl32kRWU+Liz0xXhzFlmoRkl4lDH+oQyIPZICu0GBhS uVt5zCcXPBRyJT/+YkS9heydNqhsBGI2ZeM2AIoaIcUt5wVYP74xem6/XMk/7AevBCWAelWo99wM 96iaLbALxyW7CFiXFTfkvAFBo22Hjstm0UslV7T6GXYxLpXFrjWz2f6m/xXoNhDFqHZb77SAIPbb eMDdJPWBpueFIZqYgxMHNpQPLXeXftcUYEE2xIvPuhRgUAD3v53J+a1wij9Ypb47+6s7810pj3UB QNytVg72N+wLLS8N0JDvGqhEXfjPs9oiGN0+GzRS/ckABzFWpnAJ385FhA3V9BaSg1EGOrdIqlnj 1u4pSDf9OKbjdK/PnqW+NZt5+M9LOvRQ22JRMzpSiZXRp1hQNt4uA9ge7Gg73xsL07rkL1FF4vGq wOn2P3EYxpYsDaAD6GROzkNc8kaur1tgzNGWNCOhN9bB+UvTiyZYUOG+te1/XcvbTeFBvTxNrf+9 Eq0xhjOHGRYhkPIpvxPW6Zk8xnIT0xaC0YsN7rnsxMgfPFOnAYL0nssdRMM0pNafli7w/EYEz+Q4 pg7Yie3WU8i9cdXhXJhZWXYhb8W+13IQRHwo8Mrl3WWC4aSUfXYuLDwcMbv3BBhbCzrHuMna40Kj fTBx+Oz9rZMj25ofD/+ZesqJfn6rkp5JPZWRpql7pzQSP5PolD+Qu5ESJpmLMF5/bqsQqNSlQiQa W1iunYGCncInwR3PmE3zMMg4Yqk0tvY9zlssuAmrzZIkgFI+kRMPTGGWOVw4sT+t1yfINrAxFjhU ICj1+7ZgsZ/Q5R6j6IFY9MnjSZKntx+SV/omS+vV88UXKaNOVuQko0ZSGSPj4ljlymdtkkNRvsNj DR8dJigro2tFhX0DJ0L41Xdj6BfvT7/wE+EbKaHRCXFhYdaFo+oppbkiPFEToR0i4bBFgpiZ/YDy b2Ov/Q3ytRSaLYMIu1CDoabmb7frG/GELMzNwhTlt+wMQTb2HDZCgpDtC9StO0qFwp1xc27+YC9G PEfE1/8fqmjF4+UEKnFWa0mqN+jGukz2mCJY624T8SHqZK441kG4V+9bjYrePKEBwak+Ne3H3rLt 0rQvZOdjRlYSDtSlw9QrIV9MHjmItAkrP/m+cwMsxk8oU7liq7uCnTTCZwS5fmF4LMYHIL0cBvfq qHA+IKmkBHdxP28OkPvnFkTU2yfZClR0LHzTI4KIUKBUJ5FSCNXeuWpr+k7EmL4zHFNASM1cGNg9 WdJGSZJhe1T4lJJzXD2RcCE1vEo8TYHgzg== `protect end_protected
mit
342ada606c5bc3683974b36ca36606a8
0.931392
1.868983
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/case/rule_014_test_input.fixed_upper.vhd
1
589
architecture ARCH of ENTITY is begin PROC_1 : process (a, b, c) is begin CASE boolean_1 is when STATE_1 => a <= b; b <= c; c <= d; end case; end process PROC_1; PROC_2 : process (a, b, c) is begin CASE boolean_1 is when STATE_1=> a <= b; b <= c; c <= d; end case; end process PROC_2; PROC_3 : process (a, b, c) is begin CASE boolean_1 is when STATE_1=> a <= b; b <= c; c <= d; end case; end process PROC_3; end architecture ARCH;
gpl-3.0
bcee140a8526348dd0cfeb8deb9548ce
0.4618
3.308989
false
false
false
false
Yarr/Yarr-fw
rtl/spartan6/rx-core/cdr_serdes.vhd
2
7,015
-- CDR with SERDES library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; library UNISIM; use UNISIM.VComponents.all; entity cdr_serdes is port ( -- clocks clk160 : in std_logic; clk640 : in std_logic; -- reset reset : in std_logic; -- data input din : in std_logic; -- data output data_value : out std_logic_vector(1 downto 0); data_valid : out std_logic_vector(1 downto 0); data_lock : out std_logic ); end cdr_serdes; architecture rtl of cdr_serdes is signal AZ : std_logic_vector(4 downto 0) := (others => '0'); signal BZ : std_logic_vector(4 downto 0) := (others => '0'); signal CZ : std_logic_vector(4 downto 0) := (others => '0'); signal DZ : std_logic_vector(4 downto 0) := (others => '0'); signal AAP, AAN : std_logic := '0'; signal BBP, BBN : std_logic := '0'; signal CCP, CCN : std_logic := '0'; signal DDP, DDN : std_logic := '0'; signal use_A : std_logic := '0'; signal use_B : std_logic := '0'; signal use_C : std_logic := '0'; signal use_D : std_logic := '0'; signal use_A1, use_A2 : std_logic := '0'; signal use_B1, use_B2 : std_logic := '0'; signal use_C1, use_C2 : std_logic := '0'; signal use_D1, use_D2 : std_logic := '0'; signal use_A_reg : std_logic := '0'; signal use_B_reg : std_logic := '0'; signal use_C_reg : std_logic := '0'; signal use_D_reg : std_logic := '0'; signal use_A_reg2 : std_logic := '0'; signal use_B_reg2 : std_logic := '0'; signal use_C_reg2 : std_logic := '0'; signal use_D_reg2 : std_logic := '0'; signal sdata_A : std_logic_vector(1 downto 0) := "00"; signal sdata_B : std_logic_vector(1 downto 0) := "00"; signal sdata_C : std_logic_vector(1 downto 0) := "00"; signal sdata_D : std_logic_vector(1 downto 0) := "00"; signal pipe_ce0 : std_logic := '0'; signal pipe_ce1 : std_logic := '0'; signal valid_int : std_logic_vector(1 downto 0) := "00"; signal lockcnt : integer range 0 to 31 := 0; begin serdes: ISERDES2 generic map ( BITSLIP_ENABLE => FALSE, -- Enable Bitslip Functionality (TRUE/FALSE) DATA_RATE => "SDR", -- Data-rate ("SDR" or "DDR") DATA_WIDTH => 4, -- Parallel data width selection (2-8) INTERFACE_TYPE => "RETIMED", -- "NETWORKING", "NETWORKING_PIPELINED" or "RETIMED" SERDES_MODE => "NONE" -- "NONE", "MASTER" or "SLAVE" ) port map ( CFB0 => open, -- 1-bit output: Clock feed-through route output CFB1 => open, -- 1-bit output: Clock feed-through route output DFB => open, -- 1-bit output: Feed-through clock output FABRICOUT => open, -- 1-bit output: Unsynchrnonized data output INCDEC => open, -- 1-bit output: Phase detector output -- Q1 - Q4: 1-bit (each) output: Registered outputs to FPGA logic Q1 => AZ(0), Q2 => BZ(0), Q3 => CZ(0), Q4 => DZ(0), SHIFTOUT => open, -- 1-bit output: Cascade output signal for master/slave I/O VALID => open, -- 1-bit output: Output status of the phase detector BITSLIP => '0', -- 1-bit input: Bitslip enable input CE0 => '1', -- 1-bit input: Clock enable input CLK0 => clk640, -- 1-bit input: I/O clock network input CLK1 => '0', -- 1-bit input: Secondary I/O clock network input CLKDIV => clk160, -- 1-bit input: FPGA logic domain clock input D => din, -- 1-bit input: Input data IOCE => '1', -- 1-bit input: Data strobe input RST => reset, -- 1-bit input: Asynchronous reset input SHIFTIN => '0' -- 1-bit input: Cascade input signal for master/slave I/O ); process begin wait until rising_edge(clk160); if reset = '1' then AZ(4 downto 1) <= (others => '0'); BZ(4 downto 1) <= (others => '0'); CZ(4 downto 1) <= (others => '0'); DZ(4 downto 1) <= (others => '0'); AAP <= '0'; AAN <= '0'; BBP <= '0'; BBN <= '0'; CCP <= '0'; CCN <= '0'; DDP <= '0'; DDN <= '0'; use_A1 <= '0'; use_A2 <= '0'; use_A <= '0'; use_B1 <= '0'; use_B2 <= '0'; use_B <= '0'; use_C1 <= '0'; use_C2 <= '0'; use_C <= '0'; use_D1 <= '0'; use_D2 <= '0'; use_D <= '0'; use_A_reg <= '0'; use_A_reg2 <= '0'; use_B_reg <= '0'; use_B_reg2 <= '0'; use_C_reg <= '0'; use_C_reg2 <= '0'; use_D_reg <= '0'; use_D_reg2 <= '0'; sdata_A <= "00"; sdata_B <= "00"; sdata_C <= "00"; sdata_D <= "00"; valid_int <= "00"; data_value <= "00"; data_valid <= "00"; data_lock <= '0'; lockcnt <= 0; pipe_ce0 <= '0'; pipe_ce1 <= '0'; else -- clock in the data AZ(4 downto 1) <= AZ(3 downto 0); BZ(4 downto 1) <= BZ(3 downto 0); CZ(4 downto 1) <= CZ(3 downto 0); DZ(4 downto 1) <= DZ(3 downto 0); -- find positive edges AAP <= (AZ(2) xor AZ(3)) and not AZ(2); BBP <= (BZ(2) xor BZ(3)) and not BZ(2); CCP <= (CZ(2) xor CZ(3)) and not CZ(2); DDP <= (DZ(2) xor DZ(3)) and not DZ(2); -- find negative edges AAN <= (AZ(2) xor AZ(3)) and AZ(2); BBN <= (BZ(2) xor BZ(3)) and BZ(2); CCN <= (CZ(2) xor CZ(3)) and CZ(2); DDN <= (DZ(2) xor DZ(3)) and DZ(2); -- decision of sampling point use_A1 <= (BBP and not CCP and not DDP and AAP); use_A2 <= (BBN and not CCN and not DDN and AAN); use_B1 <= (CCP and not DDP and AAP and BBP); use_B2 <= (CCN and not DDN and AAN and BBN); use_C1 <= (DDP and AAP and BBP and CCP); use_C2 <= (DDN and AAN and BBN and CCN); use_D1 <= (AAP and not BBP and not CCP and not DDP); use_D2 <= (AAN and not BBN and not CCN and not DDN); use_A <= use_A1 or use_A2; use_B <= use_B1 or use_B2; use_C <= use_C1 or use_C2; use_D <= use_D1 or use_D2; -- if we found an edge if (use_A or use_B or use_C or use_D) = '1' then lockcnt <= 31; pipe_ce0 <= '1'; -- sync marker pipe_ce1 <= '1'; else if lockcnt = 0 then pipe_ce0 <= '0'; else lockcnt <= lockcnt - 1; end if; pipe_ce1 <= '0'; end if; -- register use_A_reg <= use_A; use_B_reg <= use_B; use_C_reg <= use_C; use_D_reg <= use_D; if pipe_ce1 = '1' then use_A_reg2 <= use_A_reg; use_B_reg2 <= use_B_reg; use_C_reg2 <= use_C_reg; use_D_reg2 <= use_D_reg; end if; -- collect output data sdata_A(0) <= AZ(4) and use_A_reg2; sdata_A(1) <= AZ(4) and use_D_reg2; sdata_B(0) <= BZ(4) and use_B_reg2; sdata_B(1) <= '0'; sdata_C(0) <= CZ(4) and use_C_reg2; sdata_C(1) <= '0'; sdata_D(0) <= DZ(4) and use_D_reg2; sdata_D(1) <= DZ(4) and use_A_reg2; -- ouput data if we have seen an edge if pipe_ce0 = '1' then data_value <= sdata_A or sdata_B or sdata_C or sdata_D; end if; -- data valid output if use_D_reg2 = '1' and use_A_reg = '1' then valid_int <= "00"; -- move from A to D: no valid data elsif use_A_reg2 = '1' and use_D_reg = '1' then valid_int <= "11"; -- move from D to A: 2 bits valid else valid_int <= "01"; -- only one bit is valid end if; if pipe_ce0 = '1' then data_valid <= valid_int; else data_valid <= "00"; end if; data_lock <= pipe_ce0; end if; end process; end architecture;
gpl-3.0
1f911ad09aa50a1917c4848eb2a8bbdc
0.560513
2.518851
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/case/rule_012_test_input.fixed.vhd
1
403
architecture ARCH of ENTITY is begin PROC_1 : process (a, b, c) is begin case boolean_1 is when STATE_1 => a <= b; when STATE_1 => -- This is okay a <= b; when STATE_1 =>-- This is okay a <= b; when STATE_2 => a <= b; when STATE_3 => a <= b; when STATE_4 => null; end case; end process PROC_1; end architecture ARCH;
gpl-3.0
fb20084489a6bb7436ba6d0d7b5ce3ed
0.501241
3.358333
false
false
false
false
Yarr/Yarr-fw
rtl/spartan6/ddr3-core/ip_cores/ddr3_ctrl_spec_bank3_64b_32b/user_design/sim/mcb_flow_control.vhd
20
18,501
--***************************************************************************** -- (c) Copyright 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: %version -- \ \ Application: MIG -- / / Filename: mcb_flow_control.vhd -- /___/ /\ Date Last Modified: $Date: 2011/06/02 07:16:40 $ -- \ \ / \ Date Created: Jul 03 2009 -- \___\/\___\ -- -- Device: Spartan6 -- Design Name: DDR/DDR2/DDR3/LPDDR -- Purpose: This module is the main flow control between cmd_gen.v, -- write_data_path and read_data_path modules. -- Reference: -- Revision History: --***************************************************************************** LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.numeric_std.all; ENTITY mcb_flow_control IS GENERIC ( TCQ : TIME := 100 ps; FAMILY : STRING := "SPARTAN6" ); PORT ( clk_i : IN STD_LOGIC; rst_i : IN STD_LOGIC_VECTOR(9 DOWNTO 0); cmd_rdy_o : OUT STD_LOGIC; cmd_valid_i : IN STD_LOGIC; cmd_i : IN STD_LOGIC_VECTOR(2 DOWNTO 0); addr_i : IN STD_LOGIC_VECTOR(31 DOWNTO 0); bl_i : IN STD_LOGIC_VECTOR(5 DOWNTO 0); mcb_cmd_full : IN STD_LOGIC; cmd_o : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); cmd_en_o : OUT STD_LOGIC; last_word_wr_i : IN STD_LOGIC; wdp_rdy_i : IN STD_LOGIC; wdp_valid_o : OUT STD_LOGIC; wdp_validB_o : OUT STD_LOGIC; wdp_validC_o : OUT STD_LOGIC; wr_addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); wr_bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); last_word_rd_i : IN STD_LOGIC; rdp_rdy_i : IN STD_LOGIC; rdp_valid_o : OUT STD_LOGIC; rd_addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); rd_bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0) ); END mcb_flow_control; ARCHITECTURE trans OF mcb_flow_control IS constant READY : std_logic_vector(4 downto 0) := "00001"; constant READ : std_logic_vector(4 downto 0) := "00010"; constant WRITE : std_logic_vector(4 downto 0) := "00100"; constant CMD_WAIT : std_logic_vector(4 downto 0) := "01000"; constant REFRESH_ST : std_logic_vector(4 downto 0) := "10000"; constant RD : std_logic_vector(2 downto 0) := "001"; constant RDP : std_logic_vector(2 downto 0) := "011"; constant WR : std_logic_vector(2 downto 0) := "000"; constant WRP : std_logic_vector(2 downto 0) := "010"; constant REFRESH : std_logic_vector(2 downto 0) := "100"; constant NOP : std_logic_vector(2 downto 0) := "101"; SIGNAL cmd_fifo_rdy : STD_LOGIC; SIGNAL cmd_rd : STD_LOGIC; SIGNAL cmd_wr : STD_LOGIC; SIGNAL cmd_others : STD_LOGIC; SIGNAL push_cmd : STD_LOGIC; SIGNAL xfer_cmd : STD_LOGIC; SIGNAL rd_vld : STD_LOGIC; SIGNAL wr_vld : STD_LOGIC; SIGNAL cmd_rdy : STD_LOGIC; SIGNAL cmd_reg : STD_LOGIC_VECTOR(2 DOWNTO 0); SIGNAL addr_reg : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL bl_reg : STD_LOGIC_VECTOR(5 DOWNTO 0); SIGNAL rdp_valid : STD_LOGIC; SIGNAL wdp_valid : STD_LOGIC; SIGNAL wdp_validB : STD_LOGIC; SIGNAL wdp_validC : STD_LOGIC; SIGNAL current_state : STD_LOGIC_VECTOR(4 DOWNTO 0); SIGNAL next_state : STD_LOGIC_VECTOR(4 DOWNTO 0); SIGNAL tstpointA : STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL push_cmd_r : STD_LOGIC; SIGNAL wait_done : STD_LOGIC; SIGNAL cmd_en_r1 : STD_LOGIC; SIGNAL wr_in_progress : STD_LOGIC; SIGNAL tst_cmd_rdy_o : STD_LOGIC; SIGNAL cmd_wr_pending_r1 : STD_LOGIC; SIGNAL cmd_rd_pending_r1 : STD_LOGIC; -- Declare intermediate signals for referenced outputs SIGNAL cmd_rdy_o_xhdl0 : STD_LOGIC; BEGIN -- Drive referenced outputs cmd_rdy_o <= cmd_rdy_o_xhdl0; cmd_en_o <= cmd_en_r1; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN cmd_rdy_o_xhdl0 <= cmd_rdy; tst_cmd_rdy_o <= cmd_rdy; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(8)) = '1') THEN cmd_en_r1 <= '0' ; ELSIF (xfer_cmd = '1') THEN cmd_en_r1 <= '1' ; ELSIF ((NOT(mcb_cmd_full)) = '1') THEN cmd_en_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(9)) = '1') THEN cmd_fifo_rdy <= '1'; ELSIF (xfer_cmd = '1') THEN cmd_fifo_rdy <= '0'; ELSIF ((NOT(mcb_cmd_full)) = '1') THEN cmd_fifo_rdy <= '1'; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(9)) = '1') THEN addr_o <= (others => '0'); cmd_o <= (others => '0'); bl_o <= (others => '0'); ELSIF (xfer_cmd = '1') THEN addr_o <= addr_reg; IF (FAMILY = "SPARTAN6") THEN cmd_o <= cmd_reg; ELSE cmd_o <= ("00" & cmd_reg(0)); END IF; bl_o <= bl_reg; END IF; END IF; END PROCESS; wr_addr_o <= addr_i; rd_addr_o <= addr_i; rd_bl_o <= bl_i; wr_bl_o <= bl_i; wdp_valid_o <= wdp_valid; wdp_validB_o <= wdp_validB; wdp_validC_o <= wdp_validC; rdp_valid_o <= rdp_valid; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(8)) = '1') THEN wait_done <= '1' ; ELSIF (push_cmd_r = '1') THEN wait_done <= '1' ; ELSIF ((cmd_rdy_o_xhdl0 AND cmd_valid_i) = '1' AND FAMILY = "SPARTAN6") THEN wait_done <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN push_cmd_r <= push_cmd ; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (push_cmd = '1') THEN cmd_reg <= cmd_i ; addr_reg <= addr_i ; bl_reg <= bl_i - "000001" ; END IF; END IF; END PROCESS; cmd_wr <= '1' WHEN (((cmd_i = WR) OR (cmd_i = WRP)) AND (cmd_valid_i = '1')) ELSE '0'; cmd_rd <= '1' WHEN (((cmd_i = RD) OR (cmd_i = RDP)) AND (cmd_valid_i = '1')) ELSE '0'; cmd_others <= '1' WHEN ((cmd_i(2) = '1') AND (cmd_valid_i = '1') AND (FAMILY = "SPARTAN6")) ELSE '0'; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN cmd_wr_pending_r1 <= '0' ; ELSIF (last_word_wr_i = '1') THEN cmd_wr_pending_r1 <= '1' ; ELSIF (push_cmd = '1') THEN cmd_wr_pending_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((cmd_rd AND push_cmd) = '1') THEN cmd_rd_pending_r1 <= '1' ; ELSIF (xfer_cmd = '1') THEN cmd_rd_pending_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN wr_in_progress <= '0'; ELSIF (last_word_wr_i = '1') THEN wr_in_progress <= '0'; ELSIF (current_state = WRITE) THEN wr_in_progress <= '1'; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN current_state <= "00001" ; ELSE current_state <= next_state ; END IF; END IF; END PROCESS; PROCESS (current_state, rdp_rdy_i, cmd_rd, cmd_fifo_rdy, wdp_rdy_i, cmd_wr, last_word_rd_i, cmd_others, last_word_wr_i, cmd_valid_i, wait_done, wr_in_progress, cmd_wr_pending_r1) BEGIN push_cmd <= '0'; xfer_cmd <= '0'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; cmd_rdy <= '0'; next_state <= current_state; CASE current_state IS WHEN READY => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '0'; rdp_valid <= '1'; ELSIF ((wdp_rdy_i AND cmd_wr AND cmd_fifo_rdy) = '1') THEN next_state <= WRITE; push_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSIF ((cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '0'; ELSE next_state <= READY; push_cmd <= '0'; END IF; IF (cmd_fifo_rdy = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; WHEN REFRESH_ST => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; rdp_valid <= '1'; wdp_valid <= '0'; xfer_cmd <= '1'; ELSIF ((cmd_fifo_rdy AND cmd_wr AND wdp_rdy_i) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSIF ((cmd_fifo_rdy and cmd_others) = '1') THEN push_cmd <= '1'; xfer_cmd <= '1'; ELSIF ((not(cmd_fifo_rdy)) = '1') THEN next_state <= CMD_WAIT; tstpointA <= "1001"; ELSE next_state <= READ; END IF; IF ((cmd_fifo_rdy AND ((rdp_rdy_i AND cmd_rd) OR (wdp_rdy_i AND cmd_wr) OR (cmd_others))) = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; WHEN READ => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; rdp_valid <= '1'; wdp_valid <= '0'; xfer_cmd <= '1'; tstpointA <= "0101"; ELSIF ((cmd_fifo_rdy AND cmd_wr AND wdp_rdy_i) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; tstpointA <= "0110"; ELSIF ((NOT(rdp_rdy_i)) = '1') THEN next_state <= READ; push_cmd <= '0'; xfer_cmd <= '0'; tstpointA <= "0111"; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; ELSIF ((last_word_rd_i AND cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; tstpointA <= "1000"; ELSIF ((NOT(cmd_fifo_rdy) OR NOT(wdp_rdy_i)) = '1') THEN next_state <= CMD_WAIT; tstpointA <= "1001"; ELSE next_state <= READ; END IF; IF ((((rdp_rdy_i AND cmd_rd) OR (cmd_wr AND wdp_rdy_i) OR cmd_others) AND cmd_fifo_rdy) = '1') THEN cmd_rdy <= wait_done; --'1'; ELSE cmd_rdy <= '0'; END IF; WHEN WRITE => IF ((cmd_fifo_rdy AND cmd_rd AND rdp_rdy_i AND last_word_wr_i) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '1'; rdp_valid <= '1'; tstpointA <= "0000"; ELSIF ((NOT(wdp_rdy_i) OR (wdp_rdy_i AND cmd_wr AND cmd_fifo_rdy AND last_word_wr_i)) = '1') THEN next_state <= WRITE; tstpointA <= "0001"; IF ((cmd_wr AND last_word_wr_i) = '1') THEN wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSE wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; END IF; IF (last_word_wr_i = '1') THEN push_cmd <= '1'; xfer_cmd <= '1'; ELSE push_cmd <= '0'; xfer_cmd <= '0'; END IF; ELSIF ((last_word_wr_i AND cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; tstpointA <= "0010"; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; ELSIF ((((NOT(cmd_fifo_rdy)) AND last_word_wr_i) OR (NOT(rdp_rdy_i)) OR (NOT(cmd_valid_i) AND wait_done)) = '1') THEN next_state <= CMD_WAIT; push_cmd <= '0'; xfer_cmd <= '0'; tstpointA <= "0011"; ELSE next_state <= WRITE; tstpointA <= "0100"; END IF; IF ((last_word_wr_i AND (cmd_others OR (rdp_rdy_i AND cmd_rd) OR (cmd_wr AND wdp_rdy_i)) AND cmd_fifo_rdy) = '1') THEN cmd_rdy <= wait_done; ELSE cmd_rdy <= '0'; END IF; WHEN CMD_WAIT => IF ((NOT(cmd_fifo_rdy) OR wr_in_progress) = '1') THEN next_state <= CMD_WAIT; cmd_rdy <= '0'; tstpointA <= "1010"; ELSIF ((cmd_fifo_rdy AND rdp_rdy_i AND cmd_rd) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '1'; cmd_rdy <= '1'; rdp_valid <= '1'; tstpointA <= "1011"; ELSIF ((cmd_fifo_rdy AND cmd_wr AND (wait_done OR cmd_wr_pending_r1)) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; cmd_rdy <= '1'; tstpointA <= "1100"; ELSIF ((cmd_fifo_rdy AND cmd_others) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; tstpointA <= "1101"; cmd_rdy <= '1'; ELSE next_state <= CMD_WAIT; tstpointA <= "1110"; IF (((wdp_rdy_i AND rdp_rdy_i)) = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; END IF; WHEN OTHERS => push_cmd <= '0'; xfer_cmd <= '0'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; next_state <= READY; END CASE; END PROCESS; END trans;
gpl-3.0
667253d01ebc1e113b0647f3bebabd25
0.461489
3.611361
false
false
false
false
Logistic1994/CPU
module_74181.vhd
1
5,810
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 13:40:00 05/25/2015 -- Design Name: -- Module Name: module_74181 - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; --use IEEE.STD_LOGIC_ARITH.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity module_74181 is port ( M: in std_logic; -- Ñ¡ÔñÂß¼­»òÕßËãÊõ A: in std_logic_vector(3 downto 0); -- ÊäÈëÊýA B: in std_logic_vector(3 downto 0); -- ÊäÈëÊýB S: in std_logic_vector(3 downto 0); -- op C0: in std_logic; -- ½øÎ»ÊäÈë result: out std_logic_vector(3 downto 0); -- ½á¹û CN: out std_logic); -- ½øÎ»Êä³ö end module_74181; architecture Behavioral of module_74181 is signal lres: std_logic_vector(3 downto 0); signal ares: std_logic_vector(4 downto 0); signal aA, aB: std_logic_vector(4 downto 0); begin result <= ares(3 downto 0) when M = '0' else lres; CN <= '0' when M = '1' else '1' when S = "0000" and ares(4) = '0' else '0' when S = "0000" and ares(4) = '1' else '1' when S = "1111" and ares(4) = '0' else '0' when S = "1111" and ares(4) = '1' else ares(4); process(M, A, B, S, C0) begin if M = '1' then -- Âß¼­ÔËËã ares <= (others => '0'); aA <= (others => '0'); aB <= (others => '0'); case S is when "0000" => lres <= not A; when "0001" => lres <= not (A or B); when "0010" => lres <= (not A) and B; when "0011" => lres <= (others => '0'); when "0100" => lres <= not (A and B); when "0101" => lres <= not B; when "0110" => lres <= A xor B; when "0111" => lres <= A and (not B); when "1000" => lres <= A or B; when "1001" => lres <= not (A xor B); when "1010" => lres <= B; when "1011" => lres <= A and B; when "1100" => lres <= (others => '1'); when "1101" => lres <= A or (not B); when "1110" => lres <= A or B; when others => lres <= A; end case; -- Êä³ö --result <= lres; --CN <= '0'; elsif M = '0' then -- ËãÊõÔËËã lres <= (others => '0'); aA <= '0' & A; aB <= '0' & B; case S is when "0000" => if C0 = '0' then ares <= std_logic_vector(unsigned(aA) + 1); else ares <= std_logic_vector(unsigned(aA)); end if; when "0001" => if C0 = '0' then ares <= aA or aB; else ares <= std_logic_vector(unsigned(aA or aB) + 1); end if; when "0010" => if C0 = '0' then ares <= std_logic_vector(unsigned(aA or (not aB))); else ares <= std_logic_vector(unsigned(aA or (not aB)) + 1); end if; when "0011" => if C0 = '0' then ares <= (others => '1'); else ares <= (others => '0'); end if; when "0100" => if C0 = '0' then ares <= std_logic_vector(unsigned(aA) + unsigned(aA and (not aB))); else ares <= std_logic_vector(unsigned(aA) + unsigned(aA and (not aB)) + 1); end if; when "0101" => if C0 = '0' then ares <= std_logic_vector(unsigned(aA or aB) + unsigned(aA and (not aB))); else ares <= std_logic_vector(unsigned(aA or aB) + unsigned(aA and (not aB)) + 1); end if; when "0110" => if C0 = '0' then ares <= std_logic_vector(unsigned(aA) - unsigned(aB)); else ares <= std_logic_vector(unsigned(aA) - unsigned(aB) - 1); end if; when "0111" => if C0 = '0' then ares <= std_logic_vector(unsigned(aA and (not aB)) - 1); else ares <= std_logic_vector(unsigned(aA and (not aB))); end if; when "1000" => if C0 = '0' then ares <= std_logic_vector(unsigned(aA) + unsigned(aA and aB)); else ares <= std_logic_vector(unsigned(aA) + unsigned(aA and aB) + 1); end if; when "1001" => if C0 = '0' then ares <= std_logic_vector(unsigned(aA) + unsigned(aB)); else ares <= std_logic_vector(unsigned(aA) + unsigned(aB) + 1); end if; when "1010" => if C0 = '0' then ares <= std_logic_vector(unsigned(aA or (not aB)) + unsigned(aA and aB)); else ares <= std_logic_vector(unsigned(aA or (not aB)) + unsigned(aA and aB) + 1); end if; when "1011" => if C0 = '0' then ares <= std_logic_vector(unsigned(aA and aB) - 1); else ares <= std_logic_vector(unsigned(aA and aB)); end if; when "1100" => if C0 = '0' then ares <= std_logic_vector(unsigned(aA) + unsigned(aA)); else ares <= std_logic_vector(unsigned(aA) + unsigned(aA) + 1); end if; when "1101" => if C0 = '0' then ares <= std_logic_vector(unsigned(aA or aB) + unsigned(aA)); else ares <= std_logic_vector(unsigned(aA or aB) + unsigned(aA) + 1); end if; when "1110" => if C0 = '0' then ares <= std_logic_vector(unsigned(aA or (not aB)) + unsigned(aA)); else ares <= std_logic_vector(unsigned(aA or (not aB)) + unsigned(aA) + 1); end if; when "1111" => if C0 = '0' then ares <= std_logic_vector(unsigned(aA) - 1); else ares <= aA; end if; when others => ares <= (others => '0'); end case; -- Êä³ö --result <= ares(3 downto 0); --CN <= ares(4); end if; end process; end Behavioral;
gpl-2.0
03d192af2dc10282b4cd13dbd3d403fe
0.526162
2.782567
false
false
false
false
siavooshpayandehazad/TTU_CPU_Project
pico_CPU_pipelined/Controller.vhd
1
15,716
library IEEE; use IEEE.std_logic_1164.all; USE ieee.std_logic_unsigned.ALL; USE ieee.numeric_std.ALL; entity ControlUnit is generic (BitWidth: integer; InstructionWidth: integer); port( rst : in std_logic; clk : in std_logic; ---------------------------------------- Instr_In : in std_logic_vector (InstructionWidth-1 downto 0); Instr_Add : out std_logic_vector (BitWidth-1 downto 0); ---------------------------------------- MemRdAddress : out std_logic_vector (BitWidth-1 downto 0); MemWrtAddress: out std_logic_vector (BitWidth-1 downto 0); Mem_RW : out std_logic; ---------------------------------------- IO_DIR : out std_logic; IO_RD : in std_logic_vector (BitWidth-1 downto 0); IO_WR : out std_logic_vector (BitWidth-1 downto 0); ---------------------------------------- DPU_Flags : in std_logic_vector (3 downto 0); DPU_Flags_FF : in std_logic_vector (3 downto 0); DataToDPU : out std_logic_vector (BitWidth-1 downto 0); CommandToDPU : out std_logic_vector (10 downto 0); Reg_in_sel : out std_logic_vector (7 downto 0); Reg_out_sel : out std_logic_vector (2 downto 0); flush_pipeline : out std_logic; DataFromDPU_bypass: in std_logic_vector (BitWidth-1 downto 0); DataFromDPU : in std_logic_vector (BitWidth-1 downto 0) ); end ControlUnit; architecture RTL of ControlUnit is --------------------------------------------- -- Signals and Types --------------------------------------------- TYPE Instruction IS (PUSH,POP, JMPEQ,Jmp_rel,Jmp,JmpZ,JmpOV,JmpC, FlipA,And_A_R,OR_A_R,XOR_A_R,NegA, ShiftA_R,ShiftA_L,ShiftArithL,ShiftArithR, RRC,RLC, LoadPC,SavePC, Add_A_R, Add_A_Mem,Add_A_Dir, Sub_A_R,Sub_A_Mem,Sub_A_Dir,IncA,DecA, Load_A_Mem,Load_R0_Mem,Load_R0_Dir,Store_A_Mem,load_A_R,load_R_A,Load_Ind_A, ClearZ,ClearOV,ClearC, ClearACC, NOP,HALT, GPIO_RD, GPIO_WR, GPIO_DIR); signal Instr_D, Instr_E, Instr_WB :Instruction := NOP; signal SP_in, SP_out : std_logic_vector (BitWidth-1 downto 0):= (others => '0'); signal PC_in, PC_out : std_logic_vector (BitWidth-1 downto 0):= (others => '0'); signal InstrReg_out: std_logic_vector (InstructionWidth-1 downto 0) := (others => '0'); signal arithmetic_operation : std_logic; signal halt_signal_in, halt_signal : std_logic := '0'; signal flush_signal, flush_signal_FF: std_logic; signal IO_WR_in, IO_WR_in_FF : std_logic_vector(BitWidth-1 downto 0); signal IO_DIR_in, IO_DIR_FF :std_logic; --------------------------------------------- -- OpCode Aliases --------------------------------------------- alias opcode : std_logic_vector (5 downto 0) is InstrReg_out (InstructionWidth-1 downto BitWidth); alias opcode_in : std_logic_vector (5 downto 0) is Instr_In (InstructionWidth-1 downto BitWidth); begin flush_pipeline <= flush_signal; --------------------------------------------- -- Registers setting --------------------------------------------- process (clk,rst) begin if rst = '1' then SP_out <= (others => '0'); PC_out <= (others => '0'); InstrReg_out(InstructionWidth-1 downto BitWidth) <= "111110"; InstrReg_out(BitWidth-1 downto 0) <= (others=> '0'); Instr_E <= NOP; Instr_WB <= NOP; halt_signal<= '0'; flush_signal_FF<= '0'; IO_WR_in_FF <= (others=> '0'); IO_DIR_FF <= '0'; elsif clk'event and clk='1' then IO_WR_in_FF <= IO_WR_in; IO_DIR_FF <= IO_DIR_in; SP_out <= SP_in; PC_out <= PC_in; halt_signal<= halt_signal_in; if halt_signal = '0' then InstrReg_out <= Instr_In; Instr_E <= Instr_D; Instr_WB <= Instr_E; end if; flush_signal_FF <= flush_signal; end if; end process; --------------------------------------------- IO_DIR <= IO_DIR_FF; IO_WR <= IO_WR_in_FF; ----------------------------------------------------------- --Control FSM ----------------------------------------------------------- process(PC_out,Instr_D,InstrReg_out,DataFromDPU, SP_out, DPU_Flags) begin IO_WR_in <= IO_WR_in_FF; IO_DIR_in <= IO_DIR_FF; SP_in <= SP_out; Instr_Add <= PC_out; Mem_RW <= '0'; MemRdAddress <= (others => '0'); DataToDPU <= (others => '0'); CommandToDPU <= "00000001000"; --do not do anything Reg_in_sel<="00000000"; Reg_out_sel<="000"; MemWrtAddress <= (others => '0'); -----------------------Arithmetic-------------------------- if Instr_D = Add_A_R then CommandToDPU <= "00000000010"; Reg_out_sel<= InstrReg_out (2 downto 0); elsif Instr_D = Add_A_Mem then MemRdAddress <= InstrReg_out (BitWidth-1 downto 0); CommandToDPU <= "00000000000"; elsif Instr_D = Add_A_Dir then DataToDPU <= InstrReg_out (BitWidth-1 downto 0); CommandToDPU <= "00000000001"; ----------------------------------------------- elsif Instr_D = Sub_A_R then CommandToDPU <= "00000000110"; Reg_out_sel<= InstrReg_out (2 downto 0); elsif Instr_D = Sub_A_Mem then MemRdAddress <= InstrReg_out (BitWidth-1 downto 0); CommandToDPU <= "00000000100"; elsif Instr_D = Sub_A_Dir then DataToDPU <= InstrReg_out (BitWidth-1 downto 0); CommandToDPU <= "00000000101"; ----------------------------------------------- elsif Instr_D = IncA then CommandToDPU <= "00000000011"; elsif Instr_D = DecA then CommandToDPU <= "00000000111"; -----------------------Shift------------------------------- elsif Instr_D = ShiftA_R then CommandToDPU <= "00000011100"; elsif Instr_D = ShiftA_L then CommandToDPU <= "00000100000"; elsif Instr_D = ShiftArithL then CommandToDPU <= "00000101100"; elsif Instr_D = ShiftArithR then CommandToDPU <= "00000101000"; elsif Instr_D = RRC then CommandToDPU <= "00000111000"; elsif Instr_D = RLC then CommandToDPU <= "00000111100"; -----------------------Logical----------------------------- elsif Instr_D = NegA then CommandToDPU <= "00000100100"; elsif Instr_D = FlipA then CommandToDPU <= "00000110000"; elsif Instr_D = And_A_R then CommandToDPU <= "00000010010"; Reg_out_sel<= InstrReg_out (2 downto 0); elsif Instr_D = OR_A_R then CommandToDPU <= "00000010110"; Reg_out_sel<= InstrReg_out (2 downto 0); elsif Instr_D = XOR_A_R then CommandToDPU <= "00000011010"; Reg_out_sel<= InstrReg_out (2 downto 0); -----------------------Memory------------------------------ elsif Instr_D = Load_R0_Mem then MemRdAddress <= InstrReg_out (BitWidth-1 downto 0); CommandToDPU <= "11000001000"; Reg_in_sel<= "00000001"; elsif Instr_D = Load_A_Mem then MemRdAddress <= InstrReg_out (BitWidth-1 downto 0); CommandToDPU <= "00000001100"; elsif Instr_D = SavePC then DataToDPU <= PC_out-1; CommandToDPU <= "00000001101"; elsif Instr_D = Load_R0_Dir then CommandToDPU <= "01000001000"; DataToDPU <= InstrReg_out (BitWidth-1 downto 0); Reg_in_sel<= "00000001"; Reg_out_sel<= "000"; elsif Instr_D = Load_Ind_A then MemRdAddress <= DataFromDPU; CommandToDPU <= "00000001100"; Reg_in_sel<= "00000000"; Reg_out_sel<= "000"; elsif Instr_D = load_A_R then CommandToDPU <= "00000001100"; Reg_out_sel<= InstrReg_out (2 downto 0); elsif Instr_D = load_R_A then CommandToDPU <= "10000001000"; Reg_in_sel<= InstrReg_out (7 downto 0); -----------------------GPIO------------------------------- elsif Instr_D = GPIO_RD then DataToDPU <= IO_RD; CommandToDPU <= "00000001101"; elsif Instr_D = GPIO_WR then IO_WR_in <= DataFromDPU; elsif Instr_D = GPIO_DIR then if to_integer(unsigned(InstrReg_out (BitWidth-1 downto 0))) = 0 then IO_DIR_in <= '0'; else IO_DIR_in <= '1'; end if; -----------------------store------------------------------- elsif Instr_D = Store_A_Mem then MemWrtAddress <= InstrReg_out (BitWidth-1 downto 0); Mem_RW <= '1'; -----------------------Stack------------------------------- elsif Instr_D = POP then MemRdAddress <= SP_out - "00000001"; SP_in <= SP_out - 1; CommandToDPU <= "00000001100"; -----------------------Stack OP---------------------------- elsif Instr_D= PUSH then MemWrtAddress <= SP_out; SP_in <= SP_out + 1; Mem_RW <= '1'; -----------------------ClearFlags-------------------------- elsif Instr_D = ClearZ then CommandToDPU <= "00001001000"; elsif Instr_D = ClearOV then CommandToDPU <= "00010001000"; elsif Instr_D = ClearC then CommandToDPU <= "00100001000"; elsif Instr_D = ClearACC then CommandToDPU <= "00000110100"; else CommandToDPU <= "00000001000"; --do not do anything end if; end process; --PC handling------------------------------------------------------------------------ process(Instr_D, InstrReg_out, PC_out, DPU_Flags, DPU_Flags_FF, Instr_E, halt_signal, arithmetic_operation, DataFromDPU_bypass)begin halt_signal_in <= halt_signal; flush_signal <= '0'; if halt_signal = '1' then pc_in <= PC_out; else PC_in <= PC_out; if Instr_D = HALT then halt_signal_in <= '1'; -----------------------Jump-------------------------------- elsif Instr_D = Jmp then PC_in <= InstrReg_out (BitWidth-1 downto 0); flush_signal <= '1'; elsif Instr_D = JmpOV and DPU_Flags_FF(0) = '1' then PC_in <= InstrReg_out (BitWidth-1 downto 0); flush_signal <= '1'; elsif Instr_D = JmpZ and DPU_Flags_FF(1) = '1' then PC_in <= InstrReg_out (BitWidth-1 downto 0); flush_signal <= '1'; elsif Instr_D = JMPEQ and DPU_Flags_FF(2) = '1' then PC_in <= InstrReg_out (BitWidth-1 downto 0); flush_signal <= '1'; elsif Instr_D = JmpC and DPU_Flags_FF(3) = '1' then PC_in <= InstrReg_out (BitWidth-1 downto 0); flush_signal <= '1'; elsif Instr_D= Jmp_rel then PC_in <= PC_out + InstrReg_out (BitWidth-1 downto 0); flush_signal <= '1'; elsif Instr_D= LoadPC then if arithmetic_operation = '1' then PC_in <= DataFromDPU_bypass; else PC_in <= DataFromDPU; end if; flush_signal <= '1'; else PC_in <= PC_out+1; end if; end if; end process; process(Instr_E)begin if Instr_E = Add_A_R or Instr_E = Add_A_Mem or Instr_E = Add_A_Dir or Instr_E = Sub_A_R or Instr_E = Sub_A_Mem or Instr_E = Sub_A_Dir or Instr_E = IncA or Instr_E = DecA then arithmetic_operation <= '1'; else arithmetic_operation <= '0'; end if; end process; ------------------------------------------------ -- Instr decoder ------------------------------------------------ process (opcode, flush_signal_FF) begin if flush_signal_FF = '0' then case opcode is when "000000" => Instr_D <= Add_A_R; when "000001" => Instr_D <= Add_A_Mem; when "000010" => Instr_D <= Add_A_Dir; when "000011" => Instr_D <= Sub_A_R; when "000100" => Instr_D <= Sub_A_Mem; when "000101" => Instr_D <= Sub_A_Dir; when "000110" => Instr_D <= IncA; when "000111" => Instr_D <= DecA; when "001000" => Instr_D <= ShiftArithR; when "001001" => Instr_D <= ShiftArithL; when "001010" => Instr_D <= ShiftA_R; when "001011" => Instr_D <= ShiftA_L; when "001100" => Instr_D <= RRC; when "001101" => Instr_D <= RLC; when "001110" => Instr_D <= And_A_R; when "001111" => Instr_D <= OR_A_R; when "010000" => Instr_D <= XOR_A_R; when "010001" => Instr_D <= FlipA; when "010010" => Instr_D <= NegA; when "010011" => Instr_D <= Jmp; when "010100" => Instr_D <= JmpZ; when "010101" => Instr_D <= JmpOV; when "010110" => Instr_D <= JmpC; when "010111" => Instr_D <= Jmp_rel; when "011000" => Instr_D <= JMPEQ; when "011001" => Instr_D <= ClearZ; when "011010" => Instr_D <= ClearOV; when "011011" => Instr_D <= ClearC; when "011100" => Instr_D <= ClearACC; when "011101" => Instr_D <= LoadPC; when "011110" => Instr_D <= SavePC; when "011111" => Instr_D <= Load_A_Mem; when "100000" => Instr_D <= Store_A_Mem; when "100001" => Instr_D <= Load_R0_Dir; when "100010" => Instr_D <= Load_R0_Mem; when "100011" => Instr_D <= load_A_R; when "100100" => Instr_D <= load_R_A; when "100101" => Instr_D <= Load_Ind_A ; when "100110" => Instr_D <= GPIO_DIR; when "100111" => Instr_D <= GPIO_RD; when "101000" => Instr_D <= GPIO_WR; when "111100" => Instr_D <= PUSH; when "111101" => Instr_D <= POP; when "111110" => Instr_D <= NOP; when "111111" => Instr_D <= HALT; when others => Instr_D <= NOP; end case; else Instr_D <= NOP; end if; end process; end RTL;
gpl-2.0
f9a9f2c5d0f454d947e3ca4ba64062b3
0.439488
4.153277
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_wrdata_cntl.vhd
1
91,898
------------------------------------------------------------------------------- -- axi_datamover_wrdata_cntl.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_wrdata_cntl.vhd -- -- Description: -- This file implements the DataMover Master Write Data Controller. -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_datamover_wrdata_cntl.vhd -- ------------------------------------------------------------------------------- -- Revision History: -- -- -- Author: DET -- -- History: -- DET 04/19/2011 Initial Version for EDK 13.3 -- -- DET 6/20/2011 Initial Version for EDK 13.3 -- ~~~~~~ -- - Added 512 and 1024 data width support -- ^^^^^^ -- -- DET 7/8/2011 Initial Version for EDK 13.3 -- ~~~~~~ -- -- Per CR616212 -- - Added special case status push on TLAST error and no addresses have -- been posted to the AXI Address Channel. -- ^^^^^^ -- -- DET 8/19/2011 Initial Version for EDK 13.3 -- ~~~~~~ -- -- Per CR616409 -- - The function funct_get_dbeat_residue_width was updated to support -- 512 and 1024 bit transfer widths. -- ^^^^^^ -- -- DET 9/1/2011 Initial Version for EDK 13.3 -- ~~~~~~ -- - Fixed Lint reported excesive line length for line 1558. -- ^^^^^^ -- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library axi_datamover_v5_1; use axi_datamover_v5_1.axi_datamover_fifo; use axi_datamover_v5_1.axi_datamover_strb_gen2; ------------------------------------------------------------------------------- entity axi_datamover_wrdata_cntl is generic ( C_REALIGNER_INCLUDED : Integer range 0 to 1 := 0; -- Indicates the Data Realignment function is included (external -- to this module) C_ENABLE_INDET_BTT : Integer range 0 to 1 := 0; -- Indicates the INDET BTT function is included (external -- to this module) C_SF_BYTES_RCVD_WIDTH : Integer range 1 to 23 := 1; -- Sets the width of the data2wsc_bytes_rcvd port used for -- relaying the actual number of bytes received when Idet BTT is -- enabled (C_ENABLE_INDET_BTT = 1) C_SEL_ADDR_WIDTH : Integer range 1 to 8 := 5; -- Sets the width of the LS bits of the transfer address that -- are being used to Demux write data to a wider AXI4 Write -- Data Bus C_DATA_CNTL_FIFO_DEPTH : Integer range 1 to 32 := 4; -- Sets the depth of the internal command fifo used for the -- command queue C_MMAP_DWIDTH : Integer range 32 to 1024 := 32; -- Indicates the native data width of the Read Data port C_STREAM_DWIDTH : Integer range 8 to 1024 := 32; -- Sets the width of the Stream output data port C_TAG_WIDTH : Integer range 1 to 8 := 4; -- Indicates the width of the Tag field of the input command C_FAMILY : String := "virtex7" -- Indicates the device family of the target FPGA ); port ( -- Clock and Reset inputs ---------------------------------------------- -- primary_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- Reset input -- mmap_reset : in std_logic; -- -- Reset used for the internal master logic -- ------------------------------------------------------------------------ -- Soft Shutdown internal interface ------------------------------------ -- rst2data_stop_request : in std_logic; -- -- Active high soft stop request to modules -- -- data2addr_stop_req : Out std_logic; -- -- Active high signal requesting the Address Controller -- -- to stop posting commands to the AXI Read Address Channel -- -- data2rst_stop_cmplt : Out std_logic; -- -- Active high indication that the Data Controller has completed -- -- any pending transfers committed by the Address Controller -- -- after a stop has been requested by the Reset module. -- ------------------------------------------------------------------------ -- Store and Forward support signals for external User logic ------------ -- wr_xfer_cmplt : Out std_logic; -- -- Active high indication that the Data Controller has completed -- -- a single write data transfer on the AXI4 Write Data Channel. -- -- This signal is escentially echos the assertion of wlast sent -- -- to the AXI4. -- -- s2mm_ld_nxt_len : out std_logic; -- -- Active high pulse indicating a new xfer length has been queued -- -- to the WDC Cmd FIFO -- -- s2mm_wr_len : out std_logic_vector(7 downto 0); -- -- Bus indicating the AXI LEN value associated with the xfer command -- -- loaded into the WDC Command FIFO. -- ------------------------------------------------------------------------- -- AXI Write Data Channel Skid buffer I/O --------------------------------------- -- data2skid_saddr_lsb : out std_logic_vector(C_SEL_ADDR_WIDTH-1 downto 0); -- -- Write DATA output to skid buffer -- -- data2skid_wdata : Out std_logic_vector(C_STREAM_DWIDTH-1 downto 0); -- -- Write DATA output to skid buffer -- -- data2skid_wstrb : Out std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); -- -- Write DATA output to skid buffer -- -- data2skid_wlast : Out std_logic; -- -- Write LAST output to skid buffer -- -- data2skid_wvalid : Out std_logic; -- -- Write VALID output to skid buffer -- -- skid2data_wready : In std_logic; -- -- Write READY input from skid buffer -- ---------------------------------------------------------------------------------- -- AXI Slave Stream In ----------------------------------------------------------- -- s2mm_strm_wvalid : In std_logic; -- -- AXI Stream VALID input -- -- s2mm_strm_wready : Out Std_logic; -- -- AXI Stream READY Output -- -- s2mm_strm_wdata : In std_logic_vector(C_STREAM_DWIDTH-1 downto 0); -- -- AXI Stream data input -- -- s2mm_strm_wstrb : In std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); -- -- AXI Stream STRB input -- -- s2mm_strm_wlast : In std_logic; -- -- AXI Stream LAST input -- ---------------------------------------------------------------------------------- -- Stream input sideband signal from Indeterminate BTT and/or DRE ---------------- -- s2mm_strm_eop : In std_logic; -- -- Stream End of Packet marker input. This is only used when Indeterminate -- -- BTT mode is enable. Otherwise it is ignored -- -- -- s2mm_stbs_asserted : in std_logic_vector(7 downto 0); -- -- Indicates the number of asserted WSTRB bits for the -- -- associated input stream data beat -- -- -- -- Realigner Underrun/overrun error flag used in non Indeterminate BTT -- -- Mode -- realign2wdc_eop_error : In std_logic ; -- -- Asserted active high and will only clear with reset. It is only used -- -- when Indeterminate BTT is not enabled and the Realigner Module is -- -- instantiated upstream from the WDC. The Realigner will detect overrun -- -- underrun conditions and will will relay these conditions via this signal. -- ---------------------------------------------------------------------------------- -- Command Calculator Interface -------------------------------------------------- -- mstr2data_tag : In std_logic_vector(C_TAG_WIDTH-1 downto 0); -- -- The next command tag -- -- mstr2data_saddr_lsb : In std_logic_vector(C_SEL_ADDR_WIDTH-1 downto 0); -- -- The next command start address LSbs to use for the write strb -- -- demux (only used if Stream data width is less than the MMap Dwidth). -- -- mstr2data_len : In std_logic_vector(7 downto 0); -- -- The LEN value output to the Address Channel -- -- mstr2data_strt_strb : In std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); -- -- The starting strobe value to use for the first stream data beat -- -- mstr2data_last_strb : In std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); -- -- The endiing (LAST) strobe value to use for the last stream -- -- data beat -- -- mstr2data_drr : In std_logic; -- -- The starting tranfer of a sequence of transfers -- -- mstr2data_eof : In std_logic; -- -- The endiing tranfer of a sequence of transfers -- -- mstr2data_sequential : In std_logic; -- -- The next sequential tranfer of a sequence of transfers -- -- spawned from a single parent command -- -- mstr2data_calc_error : In std_logic; -- -- Indication if the next command in the calculation pipe -- -- has a calculation error -- -- mstr2data_cmd_cmplt : In std_logic; -- -- The final child tranfer of a parent command fetched from -- -- the Command FIFO (not necessarily an EOF command) -- -- mstr2data_cmd_valid : In std_logic; -- -- The next command valid indication to the Data Channel -- -- Controller for the AXI MMap -- -- data2mstr_cmd_ready : Out std_logic ; -- -- Indication from the Data Channel Controller that the -- -- command is being accepted on the AXI Address -- -- Channel -- ---------------------------------------------------------------------------------- -- Address Controller Interface -------------------------------------------------- -- addr2data_addr_posted : In std_logic ; -- -- Indication from the Address Channel Controller to the -- -- Data Controller that an address has been posted to the -- -- AXI Address Channel -- -- -- data2addr_data_rdy : out std_logic; -- -- Indication that the Data Channel is ready to send the first -- -- databeat of the next command on the write data channel. -- -- This is used for the "wait for data" feature which keeps the -- -- address controller from issuing a transfer request until the -- -- corresponding data valid is asserted on the stream input. The -- -- WDC will continue to assert the output until an assertion on -- -- the addr2data_addr_posted is received. -- --------------------------------------------------------------------------------- -- Premature TLAST assertion error flag ------------------------------------------ -- data2all_tlast_error : Out std_logic; -- -- When asserted, this indicates the data controller detected -- -- a premature TLAST assertion on the incoming data stream. -- --------------------------------------------------------------------------------- -- Data Controller Halted Status ------------------------------------------------- -- data2all_dcntlr_halted : Out std_logic; -- -- When asserted, this indicates the data controller has satisfied -- -- all pending transfers queued by the Address Controller and is halted. -- ---------------------------------------------------------------------------------- -- Input Stream Skid Buffer Halt control ----------------------------------------- -- data2skid_halt : Out std_logic; -- -- The data controller asserts this output for 1 primary clock period -- -- The pulse commands the MM2S Stream skid buffer to tun off outputs -- -- at the next tlast transmission. -- ---------------------------------------------------------------------------------- -- Write Status Controller Interface --------------------------------------------- -- data2wsc_tag : Out std_logic_vector(C_TAG_WIDTH-1 downto 0); -- -- The command tag -- -- data2wsc_calc_err : Out std_logic ; -- -- Indication that the current command out from the Cntl FIFO -- -- has a calculation error -- -- data2wsc_last_err : Out std_logic ; -- -- Indication that the current write transfer encountered a premature -- -- TLAST assertion on the incoming Stream Channel -- -- data2wsc_cmd_cmplt : Out std_logic ; -- -- Indication by the Data Channel Controller that the -- -- corresponding status is the last status for a command -- -- pulled from the command FIFO -- -- wsc2data_ready : in std_logic; -- -- Input from the Write Status Module indicating that the -- -- Status Reg/FIFO is ready to accept data -- -- data2wsc_valid : Out std_logic; -- -- Output to the Command/Status Module indicating that the -- -- Data Controller has valid tag and err indicators to write -- -- to the Status module -- -- data2wsc_eop : Out std_logic; -- -- Output to the Write Status Controller indicating that the -- -- associated command status also corresponds to a End of Packet -- -- marker for the input Stream. This is only used when Inderminate -- -- BTT is enabled in the S2MM. -- -- data2wsc_bytes_rcvd : Out std_logic_vector(C_SF_BYTES_RCVD_WIDTH-1 downto 0); -- -- Output to the Write Status Controller indicating the actual -- -- number of bytes received from the Stream input for the -- -- corresponding command status. This is only used when Inderminate -- -- BTT is enabled in the S2MM. -- -- wsc2mstr_halt_pipe : In std_logic -- -- Indication to Halt the Data and Address Command pipeline due -- -- to the Status FIFO going full or an internal error being logged -- ---------------------------------------------------------------------------------- ); end entity axi_datamover_wrdata_cntl; architecture implementation of axi_datamover_wrdata_cntl is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function declaration ---------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_dbeat_residue_width -- -- Function Description: -- Calculates the number of Least significant bits of the BTT field -- that are unused for the LEN calculation -- ------------------------------------------------------------------- function funct_get_dbeat_residue_width (bytes_per_beat : integer) return integer is Variable temp_dbeat_residue_width : Integer := 0; -- 8-bit stream begin case bytes_per_beat is when 128 => -- 1024 bits -- Added per Per CR616409 temp_dbeat_residue_width := 7; -- Added per Per CR616409 when 64 => -- 512 bits -- Added per Per CR616409 temp_dbeat_residue_width := 6; -- Added per Per CR616409 when 32 => -- 256 bits temp_dbeat_residue_width := 5; when 16 => -- 128 bits temp_dbeat_residue_width := 4; when 8 => -- 64 bits temp_dbeat_residue_width := 3; when 4 => -- 32 bits temp_dbeat_residue_width := 2; when 2 => -- 16 bits temp_dbeat_residue_width := 1; when others => -- assume 1-byte transfers temp_dbeat_residue_width := 0; end case; Return (temp_dbeat_residue_width); end function funct_get_dbeat_residue_width; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_set_cnt_width -- -- Function Description: -- Sets a count width based on a fifo depth. A depth of 4 or less -- is a special case which requires a minimum count width of 3 bits. -- ------------------------------------------------------------------- function funct_set_cnt_width (fifo_depth : integer) return integer is Variable temp_cnt_width : Integer := 4; begin if (fifo_depth <= 4) then temp_cnt_width := 3; elsif (fifo_depth <= 8) then temp_cnt_width := 4; elsif (fifo_depth <= 16) then temp_cnt_width := 5; elsif (fifo_depth <= 32) then temp_cnt_width := 6; else -- fifo depth <= 64 temp_cnt_width := 7; end if; Return (temp_cnt_width); end function funct_set_cnt_width; -- Constant Declarations -------------------------------------------- Constant STRM_STRB_WIDTH : integer := C_STREAM_DWIDTH/8; Constant LEN_OF_ZERO : std_logic_vector(7 downto 0) := (others => '0'); Constant USE_SYNC_FIFO : integer := 0; Constant REG_FIFO_PRIM : integer := 0; Constant BRAM_FIFO_PRIM : integer := 1; Constant SRL_FIFO_PRIM : integer := 2; Constant FIFO_PRIM_TYPE : integer := SRL_FIFO_PRIM; Constant TAG_WIDTH : integer := C_TAG_WIDTH; Constant SADDR_LSB_WIDTH : integer := C_SEL_ADDR_WIDTH; Constant LEN_WIDTH : integer := 8; Constant STRB_WIDTH : integer := C_STREAM_DWIDTH/8; Constant DRR_WIDTH : integer := 1; Constant EOF_WIDTH : integer := 1; Constant CALC_ERR_WIDTH : integer := 1; Constant CMD_CMPLT_WIDTH : integer := 1; Constant SEQUENTIAL_WIDTH : integer := 1; Constant DCTL_FIFO_WIDTH : Integer := TAG_WIDTH + -- Tag field SADDR_LSB_WIDTH + -- LS Address field width LEN_WIDTH + -- LEN field STRB_WIDTH + -- Starting Strobe field STRB_WIDTH + -- Ending Strobe field DRR_WIDTH + -- DRE Re-alignment Request Flag Field EOF_WIDTH + -- EOF flag field SEQUENTIAL_WIDTH + -- Sequential command flag CMD_CMPLT_WIDTH + -- Command Complete Flag CALC_ERR_WIDTH; -- Calc error flag Constant TAG_STRT_INDEX : integer := 0; Constant SADDR_LSB_STRT_INDEX : integer := TAG_STRT_INDEX + TAG_WIDTH; Constant LEN_STRT_INDEX : integer := SADDR_LSB_STRT_INDEX + SADDR_LSB_WIDTH; Constant STRT_STRB_STRT_INDEX : integer := LEN_STRT_INDEX + LEN_WIDTH; Constant LAST_STRB_STRT_INDEX : integer := STRT_STRB_STRT_INDEX + STRB_WIDTH; Constant DRR_STRT_INDEX : integer := LAST_STRB_STRT_INDEX + STRB_WIDTH; Constant EOF_STRT_INDEX : integer := DRR_STRT_INDEX + DRR_WIDTH; Constant SEQUENTIAL_STRT_INDEX : integer := EOF_STRT_INDEX + EOF_WIDTH; Constant CMD_CMPLT_STRT_INDEX : integer := SEQUENTIAL_STRT_INDEX+SEQUENTIAL_WIDTH; Constant CALC_ERR_STRT_INDEX : integer := CMD_CMPLT_STRT_INDEX+CMD_CMPLT_WIDTH; Constant ADDR_INCR_VALUE : integer := C_STREAM_DWIDTH/8; Constant ADDR_POSTED_CNTR_WIDTH : integer := funct_set_cnt_width(C_DATA_CNTL_FIFO_DEPTH); Constant ADDR_POSTED_ZERO : unsigned(ADDR_POSTED_CNTR_WIDTH-1 downto 0) := (others => '0'); Constant ADDR_POSTED_ONE : unsigned(ADDR_POSTED_CNTR_WIDTH-1 downto 0) := TO_UNSIGNED(1, ADDR_POSTED_CNTR_WIDTH); Constant ADDR_POSTED_MAX : unsigned(ADDR_POSTED_CNTR_WIDTH-1 downto 0) := (others => '1'); -- Signal Declarations -------------------------------------------- signal sig_get_next_dqual : std_logic := '0'; signal sig_last_mmap_dbeat : std_logic := '0'; signal sig_last_mmap_dbeat_reg : std_logic := '0'; signal sig_mmap2data_ready : std_logic := '0'; signal sig_data2mmap_valid : std_logic := '0'; signal sig_data2mmap_last : std_logic := '0'; signal sig_data2mmap_data : std_logic_vector(C_STREAM_DWIDTH-1 downto 0) := (others => '0'); signal sig_ld_new_cmd : std_logic := '0'; signal sig_ld_new_cmd_reg : std_logic := '0'; signal sig_cmd_cmplt_reg : std_logic := '0'; signal sig_calc_error_reg : std_logic := '0'; signal sig_tag_reg : std_logic_vector(TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_addr_lsb_reg : std_logic_vector(C_SEL_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_strt_strb_reg : std_logic_vector(STRM_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_last_strb_reg : std_logic_vector(STRM_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_addr_posted : std_logic := '0'; signal sig_dqual_rdy : std_logic := '0'; signal sig_good_mmap_dbeat : std_logic := '0'; signal sig_first_dbeat : std_logic := '0'; signal sig_last_dbeat : std_logic := '0'; signal sig_single_dbeat : std_logic := '0'; signal sig_new_len_eq_0 : std_logic := '0'; signal sig_dbeat_cntr : unsigned(7 downto 0) := (others => '0'); Signal sig_dbeat_cntr_int : Integer range 0 to 255 := 0; signal sig_dbeat_cntr_eq_0 : std_logic := '0'; signal sig_dbeat_cntr_eq_1 : std_logic := '0'; signal sig_wsc_ready : std_logic := '0'; signal sig_push_to_wsc : std_logic := '0'; signal sig_push_to_wsc_cmplt : std_logic := '0'; signal sig_set_push2wsc : std_logic := '0'; signal sig_data2wsc_tag : std_logic_vector(TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_data2wsc_calc_err : std_logic := '0'; signal sig_data2wsc_last_err : std_logic := '0'; signal sig_data2wsc_cmd_cmplt : std_logic := '0'; signal sig_tlast_error : std_logic := '0'; signal sig_tlast_error_strbs : std_logic := '0'; signal sig_end_stbs_match_err : std_logic := '0'; signal sig_tlast_error_reg : std_logic := '0'; signal sig_cmd_is_eof : std_logic := '0'; signal sig_push_err2wsc : std_logic := '0'; signal sig_tlast_error_ovrrun : std_logic := '0'; signal sig_tlast_error_undrrun : std_logic := '0'; signal sig_next_tag_reg : std_logic_vector(TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_next_strt_strb_reg : std_logic_vector(STRM_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_next_last_strb_reg : std_logic_vector(STRM_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_next_eof_reg : std_logic := '0'; signal sig_next_sequential_reg : std_logic := '0'; signal sig_next_cmd_cmplt_reg : std_logic := '0'; signal sig_next_calc_error_reg : std_logic := '0'; signal sig_pop_dqual_reg : std_logic := '0'; signal sig_push_dqual_reg : std_logic := '0'; signal sig_dqual_reg_empty : std_logic := '0'; signal sig_dqual_reg_full : std_logic := '0'; signal sig_addr_posted_cntr : unsigned(ADDR_POSTED_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_addr_posted_cntr_eq_0 : std_logic := '0'; signal sig_addr_posted_cntr_max : std_logic := '0'; signal sig_decr_addr_posted_cntr : std_logic := '0'; signal sig_incr_addr_posted_cntr : std_logic := '0'; signal sig_addr_posted_cntr_eq_1 : std_logic := '0'; signal sig_apc_going2zero : std_logic := '0'; signal sig_aposted_cntr_ready : std_logic := '0'; signal sig_addr_chan_rdy : std_logic := '0'; Signal sig_no_posted_cmds : std_logic := '0'; signal sig_ls_addr_cntr : unsigned(C_SEL_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_incr_ls_addr_cntr : std_logic := '0'; signal sig_addr_incr_unsgnd : unsigned(C_SEL_ADDR_WIDTH-1 downto 0) := (others => '0'); Signal sig_cmd_fifo_data_in : std_logic_vector(DCTL_FIFO_WIDTH-1 downto 0) := (others => '0'); Signal sig_cmd_fifo_data_out : std_logic_vector(DCTL_FIFO_WIDTH-1 downto 0) := (others => '0'); signal sig_fifo_next_tag : std_logic_vector(TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_fifo_next_sadddr_lsb : std_logic_vector(C_SEL_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_fifo_next_len : std_logic_vector(7 downto 0) := (others => '0'); signal sig_fifo_next_strt_strb : std_logic_vector(STRM_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_fifo_next_last_strb : std_logic_vector(STRM_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_fifo_next_drr : std_logic := '0'; signal sig_fifo_next_eof : std_logic := '0'; signal sig_fifo_next_cmd_cmplt : std_logic := '0'; signal sig_fifo_next_sequential : std_logic := '0'; signal sig_fifo_next_calc_error : std_logic := '0'; signal sig_cmd_fifo_empty : std_logic := '0'; signal sig_fifo_wr_cmd_valid : std_logic := '0'; signal sig_fifo_wr_cmd_ready : std_logic := '0'; signal sig_fifo_rd_cmd_valid : std_logic := '0'; signal sig_fifo_rd_cmd_ready : std_logic := '0'; signal sig_sequential_push : std_logic := '0'; signal sig_clr_dqual_reg : std_logic := '0'; signal sig_tlast_err_stop : std_logic := '0'; signal sig_halt_reg : std_logic := '0'; signal sig_halt_reg_dly1 : std_logic := '0'; signal sig_halt_reg_dly2 : std_logic := '0'; signal sig_halt_reg_dly3 : std_logic := '0'; signal sig_data2skid_halt : std_logic := '0'; signal sig_stop_wvalid : std_logic := '0'; signal sig_data2rst_stop_cmplt : std_logic := '0'; signal sig_s2mm_strm_wready : std_logic := '0'; signal sig_good_strm_dbeat : std_logic := '0'; signal sig_halt_strb : std_logic_vector(STRM_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_sfhalt_next_strt_strb : std_logic_vector(STRM_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_wfd_simult_clr_set : std_logic := '0'; signal sig_wr_xfer_cmplt : std_logic := '0'; signal sig_s2mm_ld_nxt_len : std_logic := '0'; signal sig_s2mm_wr_len : std_logic_vector(7 downto 0) := (others => '0'); signal sig_data2mstr_cmd_ready : std_logic := '0'; signal sig_spcl_push_err2wsc : std_logic := '0'; begin --(architecture implementation) -- Command calculator handshake data2mstr_cmd_ready <= sig_data2mstr_cmd_ready; -- Write Data Channel Skid Buffer Port assignments sig_mmap2data_ready <= skid2data_wready ; data2skid_wvalid <= sig_data2mmap_valid ; data2skid_wlast <= sig_data2mmap_last ; data2skid_wdata <= sig_data2mmap_data ; data2skid_saddr_lsb <= sig_addr_lsb_reg ; -- AXI MM2S Stream Channel Port assignments sig_data2mmap_data <= s2mm_strm_wdata ; -- Premature TLAST assertion indication data2all_tlast_error <= sig_tlast_error_reg ; -- Stream Input Ready Handshake s2mm_strm_wready <= sig_s2mm_strm_wready ; sig_good_strm_dbeat <= s2mm_strm_wvalid and sig_s2mm_strm_wready; sig_data2mmap_last <= sig_dbeat_cntr_eq_0 and sig_dqual_rdy; -- Write Status Block interface signals data2wsc_valid <= sig_push_to_wsc and not(sig_tlast_err_stop) ; -- only allow 1 status write on TLAST errror sig_wsc_ready <= wsc2data_ready ; data2wsc_tag <= sig_data2wsc_tag ; data2wsc_calc_err <= sig_data2wsc_calc_err ; data2wsc_last_err <= sig_data2wsc_last_err ; data2wsc_cmd_cmplt <= sig_data2wsc_cmd_cmplt ; -- Address Channel Controller synchro pulse input sig_addr_posted <= addr2data_addr_posted; -- Request to halt the Address Channel Controller data2addr_stop_req <= sig_halt_reg or sig_tlast_error_reg; -- Halted flag to the reset module data2rst_stop_cmplt <= sig_data2rst_stop_cmplt; -- Indicate the Write Data Controller is always ready data2addr_data_rdy <= '1'; -- Write Transfer Completed Status output wr_xfer_cmplt <= sig_wr_xfer_cmplt ; -- New LEN value is being loaded s2mm_ld_nxt_len <= sig_s2mm_ld_nxt_len; -- The new LEN value s2mm_wr_len <= sig_s2mm_wr_len; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_WR_CMPLT_FLAG -- -- Process Description: -- Implements the status flag indicating that a write data -- transfer has completed. This is an echo of a wlast assertion -- and a qualified data beat on the AXI4 Write Data Channel. -- ------------------------------------------------------------- IMP_WR_CMPLT_FLAG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_wr_xfer_cmplt <= '0'; else sig_wr_xfer_cmplt <= sig_data2mmap_last and sig_good_strm_dbeat; end if; end if; end process IMP_WR_CMPLT_FLAG; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_OMIT_INDET_BTT -- -- If Generate Description: -- Omits any Indeterminate BTT Support logic and includes -- any error detection needed in Non Indeterminate BTT mode. -- ------------------------------------------------------------ GEN_OMIT_INDET_BTT : if (C_ENABLE_INDET_BTT = 0) generate begin sig_sfhalt_next_strt_strb <= sig_fifo_next_strt_strb; -- Just housekeep the output port signals data2wsc_eop <= '0'; data2wsc_bytes_rcvd <= (others => '0'); -- WRSTRB logic ------------------------------ -- Generate the Write Strobes for the MMap Write Data Channel -- for the non Indeterminate BTT Case data2skid_wstrb <= sig_strt_strb_reg When (sig_first_dbeat = '1') Else sig_last_strb_reg When (sig_last_dbeat = '1') Else (others => '1'); -- Generate the Stream Ready for the Stream input side sig_s2mm_strm_wready <= sig_halt_reg or -- force tready if a halt requested (sig_mmap2data_ready and sig_addr_chan_rdy and -- This puts combinational logic in the stream WREADY path sig_dqual_rdy and not(sig_calc_error_reg) and not(sig_tlast_error_reg)); -- Stop the stream channel at a overrun/underrun detection -- MMap Write Data Channel Valid Handshaking sig_data2mmap_valid <= (s2mm_strm_wvalid or sig_tlast_error_reg or -- force valid if TLAST error sig_halt_reg ) and -- force valid if halt requested sig_addr_chan_rdy and -- xfers are commited on the address channel and sig_dqual_rdy and -- there are commands in the command fifo not(sig_calc_error_reg) and not(sig_stop_wvalid); -- gate off wvalid immediately after a wlast for 1 clk -- or when the soft shutdown has completed ------------------------------------------------------------ -- If Generate -- -- Label: GEN_LOCAL_ERR_DETECT -- -- If Generate Description: -- Implements the local overrun and underrun detection when -- the S2MM Realigner is not included. -- -- ------------------------------------------------------------ GEN_LOCAL_ERR_DETECT : if (C_REALIGNER_INCLUDED = 0) generate begin ------- Input Stream TLAST assertion error ------------------------------- sig_tlast_error_ovrrun <= sig_cmd_is_eof and sig_dbeat_cntr_eq_0 and sig_good_mmap_dbeat and not(s2mm_strm_wlast); sig_tlast_error_undrrun <= s2mm_strm_wlast and sig_good_mmap_dbeat and (not(sig_dbeat_cntr_eq_0) or not(sig_cmd_is_eof)); sig_end_stbs_match_err <= '1' -- Set flag if the calculated end strobe value When ((s2mm_strm_wstrb /= sig_next_last_strb_reg) and -- does not match the received strobe value (s2mm_strm_wlast = '1') and -- at TLAST assertion (sig_good_mmap_dbeat = '1')) -- Qualified databeat Else '0'; sig_tlast_error <= (sig_tlast_error_ovrrun or sig_tlast_error_undrrun or sig_end_stbs_match_err) and not(sig_halt_reg); -- Suppress TLAST error when in soft shutdown -- Just housekeep this when local TLAST error detection is used sig_spcl_push_err2wsc <= '0'; end generate GEN_LOCAL_ERR_DETECT; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_EXTERN_ERR_DETECT -- -- If Generate Description: -- Omits the local overrun and underrun detection and relies -- on the S2MM Realigner for the detection. -- ------------------------------------------------------------ GEN_EXTERN_ERR_DETECT : if (C_REALIGNER_INCLUDED = 1) generate begin sig_tlast_error_undrrun <= '0'; -- not used here sig_tlast_error_ovrrun <= '0'; -- not used here sig_end_stbs_match_err <= '0'; -- not used here sig_tlast_error <= realign2wdc_eop_error and -- External error detection asserted not(sig_halt_reg); -- Suppress TLAST error when in soft shutdown -- Special case for pushing error status when timing is such that no -- addresses have been posted to AXI and a TLAST error has been detected -- by the Realigner module and propagated in from the Stream input side. sig_spcl_push_err2wsc <= sig_tlast_error_reg and not(sig_tlast_err_stop) and not(sig_addr_chan_rdy ); end generate GEN_EXTERN_ERR_DETECT; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_TLAST_ERR_REG -- -- Process Description: -- Implements a sample and hold flop for the flag indicating -- that the input Stream TLAST assertion was not at the expected -- data beat relative to the commanded number of databeats -- from the associated command from the SCC or PCC. ------------------------------------------------------------- IMP_TLAST_ERR_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_tlast_error_reg <= '0'; elsif (sig_tlast_error = '1') then sig_tlast_error_reg <= '1'; else null; -- hold current state end if; end if; end process IMP_TLAST_ERR_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_TLAST_ERROR_STOP -- -- Process Description: -- Implements the flop to generate a stop flag once the TLAST -- error condition has been relayed to the Write Status -- Controller. This stop flag is used to prevent any more -- pushes to the Write Status Controller. -- ------------------------------------------------------------- IMP_TLAST_ERROR_STOP : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_tlast_err_stop <= '0'; elsif (sig_tlast_error_reg = '1' and sig_push_to_wsc_cmplt = '1') then sig_tlast_err_stop <= '1'; else null; -- Hold State end if; end if; end process IMP_TLAST_ERROR_STOP; end generate GEN_OMIT_INDET_BTT; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_INDET_BTT -- -- If Generate Description: -- Includes any Indeterminate BTT Support logic. Primarily -- this is a counter for the input stream bytes received. The -- received byte count is relayed to the Write Status Controller -- for each parent command completed. -- When a packet completion is indicated via the EOP marker -- assertion, the status to the Write Status Controller also -- indicates the EOP condition. -- Note that underrun and overrun detection/error flagging -- is disabled in Indeterminate BTT Mode. -- ------------------------------------------------------------ GEN_INDET_BTT : if (C_ENABLE_INDET_BTT = 1) generate -- local constants Constant BYTE_CNTR_WIDTH : integer := C_SF_BYTES_RCVD_WIDTH; Constant NUM_ZEROS_WIDTH : integer := 8; Constant BYTES_PER_DBEAT : integer := C_STREAM_DWIDTH/8; Constant STRBGEN_ADDR_SLICE_WIDTH : integer := funct_get_dbeat_residue_width(BYTES_PER_DBEAT); Constant STRBGEN_ADDR_0 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0) := (others => '0'); -- local signals signal lsig_byte_cntr : unsigned(BYTE_CNTR_WIDTH-1 downto 0) := (others => '0'); signal lsig_byte_cntr_incr_value : unsigned(BYTE_CNTR_WIDTH-1 downto 0) := (others => '0'); signal lsig_ld_byte_cntr : std_logic := '0'; signal lsig_incr_byte_cntr : std_logic := '0'; signal lsig_clr_byte_cntr : std_logic := '0'; signal lsig_end_of_cmd_reg : std_logic := '0'; signal lsig_eop_s_h_reg : std_logic := '0'; signal lsig_eop_reg : std_logic := '0'; signal sig_strbgen_addr : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0) := (others => '0'); signal sig_strbgen_bytes : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH downto 0) := (others => '0'); begin -- Assign the outputs to the Write Status Controller data2wsc_eop <= lsig_eop_reg and not(sig_next_calc_error_reg); data2wsc_bytes_rcvd <= STD_LOGIC_VECTOR(lsig_byte_cntr); -- WRSTRB logic ------------------------------ --sig_strbgen_bytes <= (others => '1'); -- set to the max value -- set the length to the max number of bytes per databeat sig_strbgen_bytes <= STD_LOGIC_VECTOR(TO_UNSIGNED(BYTES_PER_DBEAT, STRBGEN_ADDR_SLICE_WIDTH+1)); sig_strbgen_addr <= STD_LOGIC_VECTOR(RESIZE(UNSIGNED(sig_fifo_next_sadddr_lsb), STRBGEN_ADDR_SLICE_WIDTH)) ; ------------------------------------------------------------ -- Instance: I_STRT_STRB_GEN -- -- Description: -- Strobe generator used to generate the starting databeat -- strobe value for soft shutdown case where the S2MM has to -- flush out all of the transfers that have been committed -- to the AXI Write address channel. Starting Strobes must -- match the committed address offest for each transfer. -- ------------------------------------------------------------ I_STRT_STRB_GEN : entity axi_datamover_v5_1.axi_datamover_strb_gen2 generic map ( C_OP_MODE => 0 , -- 0 = Offset/Length mode C_STRB_WIDTH => BYTES_PER_DBEAT , C_OFFSET_WIDTH => STRBGEN_ADDR_SLICE_WIDTH , C_NUM_BYTES_WIDTH => STRBGEN_ADDR_SLICE_WIDTH+1 ) port map ( start_addr_offset => sig_strbgen_addr , end_addr_offset => STRBGEN_ADDR_0 , -- not used in op mode 0 num_valid_bytes => sig_strbgen_bytes , strb_out => sig_sfhalt_next_strt_strb ); -- Generate the WSTRB to use during soft shutdown sig_halt_strb <= sig_strt_strb_reg When (sig_first_dbeat = '1' or sig_single_dbeat = '1') Else (others => '1'); -- Generate the Write Strobes for the MMap Write Data Channel -- for the Indeterminate BTT case. Strobes come from the Stream -- input from the Indeterminate BTT module during normal operation. -- However, during soft shutdown, those strobes become unpredictable -- so generated strobes have to be used. data2skid_wstrb <= sig_halt_strb When (sig_halt_reg = '1') Else s2mm_strm_wstrb; -- Generate the Stream Ready for the Stream input side sig_s2mm_strm_wready <= sig_halt_reg or -- force tready if a halt requested (sig_mmap2data_ready and -- MMap is accepting the xfers sig_addr_chan_rdy and -- xfers are commited on the address channel and sig_dqual_rdy and -- there are commands in the command fifo not(sig_calc_error_reg) and -- No internal error not(sig_stop_wvalid)); -- Gate off stream ready immediately after a wlast for 1 clk -- or when the soft shutdown has completed -- MMap Write Data Channel Valid Handshaking sig_data2mmap_valid <= (s2mm_strm_wvalid or -- Normal Stream input valid sig_halt_reg ) and -- force valid if halt requested sig_addr_chan_rdy and -- xfers are commited on the address channel and sig_dqual_rdy and -- there are commands in the command fifo not(sig_calc_error_reg) and -- No internal error not(sig_stop_wvalid); -- Gate off wvalid immediately after a wlast for 1 clk -- or when the soft shutdown has completed -- TLAST Error housekeeping for Indeterminate BTT Mode -- There is no Underrun/overrun in Stroe and Forward mode sig_tlast_error_ovrrun <= '0'; -- Not used with Indeterminate BTT sig_tlast_error_undrrun <= '0'; -- Not used with Indeterminate BTT sig_end_stbs_match_err <= '0'; -- Not used with Indeterminate BTT sig_tlast_error <= '0'; -- Not used with Indeterminate BTT sig_tlast_error_reg <= '0'; -- Not used with Indeterminate BTT sig_tlast_err_stop <= '0'; -- Not used with Indeterminate BTT ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_EOP_REG_FLOP -- -- Process Description: -- Register the End of Packet marker. -- ------------------------------------------------------------- IMP_EOP_REG_FLOP : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then lsig_end_of_cmd_reg <= '0'; lsig_eop_reg <= '0'; Elsif (sig_good_strm_dbeat = '1') Then lsig_end_of_cmd_reg <= sig_next_cmd_cmplt_reg and s2mm_strm_wlast; lsig_eop_reg <= s2mm_strm_eop; else null; -- hold current state end if; end if; end process IMP_EOP_REG_FLOP; ----- Byte Counter Logic ----------------------------------------------- -- The Byte counter reflects the actual byte count received on the -- Stream input for each parent command loaded into the S2MM command -- FIFO. Thus it counts input bytes until the command complete qualifier -- is set and the TLAST input from the Stream input. lsig_clr_byte_cntr <= lsig_end_of_cmd_reg and -- Clear if a new stream packet does not start not(sig_good_strm_dbeat); -- immediately after the previous one finished. lsig_ld_byte_cntr <= lsig_end_of_cmd_reg and -- Only load if a new stream packet starts sig_good_strm_dbeat; -- immediately after the previous one finished. lsig_incr_byte_cntr <= sig_good_strm_dbeat; lsig_byte_cntr_incr_value <= RESIZE(UNSIGNED(s2mm_stbs_asserted), BYTE_CNTR_WIDTH); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_BYTE_CMTR -- -- Process Description: -- Keeps a running byte count per burst packet loaded into the -- xfer FIFO. It is based on the strobes set on the incoming -- Stream dbeat. -- ------------------------------------------------------------- IMP_BYTE_CMTR : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1' or lsig_clr_byte_cntr = '1') then lsig_byte_cntr <= (others => '0'); elsif (lsig_ld_byte_cntr = '1') then lsig_byte_cntr <= lsig_byte_cntr_incr_value; elsif (lsig_incr_byte_cntr = '1') then lsig_byte_cntr <= lsig_byte_cntr + lsig_byte_cntr_incr_value; else null; -- hold current value end if; end if; end process IMP_BYTE_CMTR; end generate GEN_INDET_BTT; -- Internal logic ------------------------------ sig_good_mmap_dbeat <= sig_mmap2data_ready and sig_data2mmap_valid; sig_last_mmap_dbeat <= sig_good_mmap_dbeat and sig_data2mmap_last; sig_get_next_dqual <= sig_last_mmap_dbeat; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_LAST_DBEAT -- -- Process Description: -- This implements a FLOP that creates a pulse -- indicating the LAST signal for an outgoing write data channel -- has been sent. Note that it is possible to have back to -- back LAST databeats. -- ------------------------------------------------------------- REG_LAST_DBEAT : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_last_mmap_dbeat_reg <= '0'; else sig_last_mmap_dbeat_reg <= sig_last_mmap_dbeat; end if; end if; end process REG_LAST_DBEAT; ----- Write Status Interface Stuff -------------------------- sig_push_to_wsc_cmplt <= sig_push_to_wsc and sig_wsc_ready; sig_set_push2wsc <= (sig_good_mmap_dbeat and sig_dbeat_cntr_eq_0) or sig_push_err2wsc or sig_spcl_push_err2wsc; -- Special case from CR616212 ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_INTERR_PUSH_FLOP -- -- Process Description: -- Generate a 1 clock wide pulse when a calc error has propagated -- from the Command Calculator. This pulse is used to force a -- push of the error status to the Write Status Controller -- without a AXI transfer completion. -- ------------------------------------------------------------- IMP_INTERR_PUSH_FLOP : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1' or sig_push_err2wsc = '1') then sig_push_err2wsc <= '0'; elsif (sig_ld_new_cmd_reg = '1' and sig_calc_error_reg = '1') then sig_push_err2wsc <= '1'; else null; -- hold state end if; end if; end process IMP_INTERR_PUSH_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_PUSH2WSC_FLOP -- -- Process Description: -- Implements a Sample and hold register for the outbound status -- signals to the Write Status Controller (WSC). This register -- has to support back to back transfer completions. -- ------------------------------------------------------------- IMP_PUSH2WSC_FLOP : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1' or (sig_push_to_wsc_cmplt = '1' and sig_set_push2wsc = '0')) then sig_push_to_wsc <= '0'; sig_data2wsc_tag <= (others => '0'); sig_data2wsc_calc_err <= '0'; sig_data2wsc_last_err <= '0'; sig_data2wsc_cmd_cmplt <= '0'; elsif (sig_set_push2wsc = '1' and sig_tlast_err_stop = '0') then sig_push_to_wsc <= '1'; sig_data2wsc_tag <= sig_tag_reg ; sig_data2wsc_calc_err <= sig_calc_error_reg ; sig_data2wsc_last_err <= sig_tlast_error_reg or sig_tlast_error ; sig_data2wsc_cmd_cmplt <= sig_cmd_cmplt_reg or sig_tlast_error_reg or sig_tlast_error ; else null; -- hold current state end if; end if; end process IMP_PUSH2WSC_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_LD_NEW_CMD_REG -- -- Process Description: -- Registers the flag indicating a new command has been -- loaded. Needs to be a 1 clk wide pulse. -- ------------------------------------------------------------- IMP_LD_NEW_CMD_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1' or sig_ld_new_cmd_reg = '1') then sig_ld_new_cmd_reg <= '0'; else sig_ld_new_cmd_reg <= sig_ld_new_cmd; end if; end if; end process IMP_LD_NEW_CMD_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_NXT_LEN_REG -- -- Process Description: -- Registers the load control and length value for a command -- passed to the WDC input command interface. The registered -- signals are used for the external Indeterminate BTT support -- ports. -- ------------------------------------------------------------- IMP_NXT_LEN_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_s2mm_ld_nxt_len <= '0'; sig_s2mm_wr_len <= (others => '0'); else sig_s2mm_ld_nxt_len <= mstr2data_cmd_valid and sig_data2mstr_cmd_ready; sig_s2mm_wr_len <= mstr2data_len; end if; end if; end process IMP_NXT_LEN_REG; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_NO_DATA_CNTL_FIFO -- -- If Generate Description: -- Omits the input data control FIFO if the requested FIFO -- depth is 1. The Data Qualifier Register serves as a -- 1 deep FIFO by itself. -- ------------------------------------------------------------ GEN_NO_DATA_CNTL_FIFO : if (C_DATA_CNTL_FIFO_DEPTH = 1) generate begin -- Command Calculator Handshake output sig_data2mstr_cmd_ready <= sig_fifo_wr_cmd_ready; sig_fifo_rd_cmd_valid <= mstr2data_cmd_valid ; -- pre 13.1 sig_fifo_wr_cmd_ready <= sig_dqual_reg_empty and -- pre 13.1 sig_aposted_cntr_ready and -- pre 13.1 not(wsc2mstr_halt_pipe) and -- The Wr Status Controller is not stalling -- pre 13.1 not(sig_calc_error_reg); -- the command execution pipe and there is -- pre 13.1 -- no calculation error being propagated sig_fifo_wr_cmd_ready <= sig_push_dqual_reg; sig_fifo_next_tag <= mstr2data_tag ; sig_fifo_next_sadddr_lsb <= mstr2data_saddr_lsb ; sig_fifo_next_len <= mstr2data_len ; sig_fifo_next_strt_strb <= mstr2data_strt_strb ; sig_fifo_next_last_strb <= mstr2data_last_strb ; sig_fifo_next_drr <= mstr2data_drr ; sig_fifo_next_eof <= mstr2data_eof ; sig_fifo_next_sequential <= mstr2data_sequential ; sig_fifo_next_cmd_cmplt <= mstr2data_cmd_cmplt ; sig_fifo_next_calc_error <= mstr2data_calc_error ; end generate GEN_NO_DATA_CNTL_FIFO; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_DATA_CNTL_FIFO -- -- If Generate Description: -- Includes the input data control FIFO if the requested -- FIFO depth is more than 1. -- ------------------------------------------------------------ GEN_DATA_CNTL_FIFO : if (C_DATA_CNTL_FIFO_DEPTH > 1) generate begin -- Command Calculator Handshake output sig_data2mstr_cmd_ready <= sig_fifo_wr_cmd_ready; sig_fifo_wr_cmd_valid <= mstr2data_cmd_valid ; -- pop the fifo when dqual reg is pushed sig_fifo_rd_cmd_ready <= sig_push_dqual_reg; -- Format the input fifo data word sig_cmd_fifo_data_in <= mstr2data_calc_error & mstr2data_cmd_cmplt & mstr2data_sequential & mstr2data_eof & mstr2data_drr & mstr2data_last_strb & mstr2data_strt_strb & mstr2data_len & mstr2data_saddr_lsb & mstr2data_tag ; -- Rip the output fifo data word sig_fifo_next_tag <= sig_cmd_fifo_data_out((TAG_STRT_INDEX+TAG_WIDTH)-1 downto TAG_STRT_INDEX); sig_fifo_next_sadddr_lsb <= sig_cmd_fifo_data_out((SADDR_LSB_STRT_INDEX+SADDR_LSB_WIDTH)-1 downto SADDR_LSB_STRT_INDEX); sig_fifo_next_len <= sig_cmd_fifo_data_out((LEN_STRT_INDEX+LEN_WIDTH)-1 downto LEN_STRT_INDEX); sig_fifo_next_strt_strb <= sig_cmd_fifo_data_out((STRT_STRB_STRT_INDEX+STRB_WIDTH)-1 downto STRT_STRB_STRT_INDEX); sig_fifo_next_last_strb <= sig_cmd_fifo_data_out((LAST_STRB_STRT_INDEX+STRB_WIDTH)-1 downto LAST_STRB_STRT_INDEX); sig_fifo_next_drr <= sig_cmd_fifo_data_out(DRR_STRT_INDEX); sig_fifo_next_eof <= sig_cmd_fifo_data_out(EOF_STRT_INDEX); sig_fifo_next_sequential <= sig_cmd_fifo_data_out(SEQUENTIAL_STRT_INDEX); sig_fifo_next_cmd_cmplt <= sig_cmd_fifo_data_out(CMD_CMPLT_STRT_INDEX); sig_fifo_next_calc_error <= sig_cmd_fifo_data_out(CALC_ERR_STRT_INDEX); ------------------------------------------------------------ -- Instance: I_DATA_CNTL_FIFO -- -- Description: -- Instance for the Command Qualifier FIFO -- ------------------------------------------------------------ I_DATA_CNTL_FIFO : entity axi_datamover_v5_1.axi_datamover_fifo generic map ( C_DWIDTH => DCTL_FIFO_WIDTH , C_DEPTH => C_DATA_CNTL_FIFO_DEPTH , C_IS_ASYNC => USE_SYNC_FIFO , C_PRIM_TYPE => FIFO_PRIM_TYPE , C_FAMILY => C_FAMILY ) port map ( -- Write Clock and reset fifo_wr_reset => mmap_reset , fifo_wr_clk => primary_aclk , -- Write Side fifo_wr_tvalid => sig_fifo_wr_cmd_valid , fifo_wr_tready => sig_fifo_wr_cmd_ready , fifo_wr_tdata => sig_cmd_fifo_data_in , fifo_wr_full => open , -- Read Clock and reset fifo_async_rd_reset => mmap_reset , fifo_async_rd_clk => primary_aclk , -- Read Side fifo_rd_tvalid => sig_fifo_rd_cmd_valid , fifo_rd_tready => sig_fifo_rd_cmd_ready , fifo_rd_tdata => sig_cmd_fifo_data_out , fifo_rd_empty => sig_cmd_fifo_empty ); end generate GEN_DATA_CNTL_FIFO; -- Data Qualifier Register ------------------------------------ sig_ld_new_cmd <= sig_push_dqual_reg ; sig_dqual_rdy <= sig_dqual_reg_full ; sig_strt_strb_reg <= sig_next_strt_strb_reg ; sig_last_strb_reg <= sig_next_last_strb_reg ; sig_tag_reg <= sig_next_tag_reg ; sig_cmd_cmplt_reg <= sig_next_cmd_cmplt_reg ; sig_calc_error_reg <= sig_next_calc_error_reg ; sig_cmd_is_eof <= sig_next_eof_reg ; -- new for no bubbles between child requests sig_sequential_push <= sig_good_mmap_dbeat and -- MMap handshake qualified sig_last_dbeat and -- last data beat of transfer sig_next_sequential_reg;-- next queued command is sequential -- to the current command -- pre 13.1 sig_push_dqual_reg <= (sig_sequential_push or -- pre 13.1 sig_dqual_reg_empty) and -- pre 13.1 sig_fifo_rd_cmd_valid and -- pre 13.1 sig_aposted_cntr_ready and -- pre 13.1 not(wsc2mstr_halt_pipe); -- The Wr Status Controller is not -- pre 13.1 -- stalling the command execution pipe sig_push_dqual_reg <= (sig_sequential_push or sig_dqual_reg_empty) and sig_fifo_rd_cmd_valid and sig_aposted_cntr_ready and not(sig_calc_error_reg) and -- 13.1 addition => An error has not been propagated not(wsc2mstr_halt_pipe); -- The Wr Status Controller is not -- stalling the command execution pipe sig_pop_dqual_reg <= not(sig_next_calc_error_reg) and sig_get_next_dqual and sig_dqual_reg_full ; -- new for no bubbles between child requests sig_clr_dqual_reg <= mmap_reset or (sig_pop_dqual_reg and not(sig_push_dqual_reg)); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_DQUAL_REG -- -- Process Description: -- This process implements a register for the Data -- Control and qualifiers. It operates like a 1 deep Sync FIFO. -- ------------------------------------------------------------- IMP_DQUAL_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_clr_dqual_reg = '1') then sig_next_tag_reg <= (others => '0'); sig_next_strt_strb_reg <= (others => '0'); sig_next_last_strb_reg <= (others => '0'); sig_next_eof_reg <= '0' ; sig_next_sequential_reg <= '0' ; sig_next_cmd_cmplt_reg <= '0' ; sig_next_calc_error_reg <= '0' ; sig_dqual_reg_empty <= '1' ; sig_dqual_reg_full <= '0' ; elsif (sig_push_dqual_reg = '1') then sig_next_tag_reg <= sig_fifo_next_tag ; sig_next_strt_strb_reg <= sig_sfhalt_next_strt_strb ; sig_next_last_strb_reg <= sig_fifo_next_last_strb ; sig_next_eof_reg <= sig_fifo_next_eof ; sig_next_sequential_reg <= sig_fifo_next_sequential ; sig_next_cmd_cmplt_reg <= sig_fifo_next_cmd_cmplt ; sig_next_calc_error_reg <= sig_fifo_next_calc_error ; sig_dqual_reg_empty <= '0'; sig_dqual_reg_full <= '1'; else null; -- don't change state end if; end if; end process IMP_DQUAL_REG; -- Address LS Cntr logic -------------------------- sig_addr_lsb_reg <= STD_LOGIC_VECTOR(sig_ls_addr_cntr); sig_addr_incr_unsgnd <= TO_UNSIGNED(ADDR_INCR_VALUE, C_SEL_ADDR_WIDTH); sig_incr_ls_addr_cntr <= sig_good_mmap_dbeat; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: DO_ADDR_LSB_CNTR -- -- Process Description: -- Implements the LS Address Counter used for controlling -- the Write STRB DeMux during Burst transfers -- ------------------------------------------------------------- DO_ADDR_LSB_CNTR : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1' or (sig_pop_dqual_reg = '1'and sig_push_dqual_reg = '0')) then -- Clear the Counter sig_ls_addr_cntr <= (others => '0'); elsif (sig_push_dqual_reg = '1') then -- Load the Counter sig_ls_addr_cntr <= unsigned(sig_fifo_next_sadddr_lsb); elsif (sig_incr_ls_addr_cntr = '1') then -- Increment the Counter sig_ls_addr_cntr <= sig_ls_addr_cntr + sig_addr_incr_unsgnd; else null; -- Hold Current value end if; end if; end process DO_ADDR_LSB_CNTR; -- Address Posted Counter Logic -------------------------------------- sig_addr_chan_rdy <= not(sig_addr_posted_cntr_eq_0 or sig_apc_going2zero) ; -- Gates data channel xfer handshake sig_aposted_cntr_ready <= not(sig_addr_posted_cntr_max) ; -- Gates new command fetching sig_no_posted_cmds <= sig_addr_posted_cntr_eq_0 ; -- Used for flushing cmds that are posted sig_incr_addr_posted_cntr <= sig_addr_posted ; sig_decr_addr_posted_cntr <= sig_last_mmap_dbeat_reg ; sig_addr_posted_cntr_eq_0 <= '1' when (sig_addr_posted_cntr = ADDR_POSTED_ZERO) Else '0'; sig_addr_posted_cntr_max <= '1' when (sig_addr_posted_cntr = ADDR_POSTED_MAX) Else '0'; sig_addr_posted_cntr_eq_1 <= '1' when (sig_addr_posted_cntr = ADDR_POSTED_ONE) Else '0'; sig_apc_going2zero <= sig_addr_posted_cntr_eq_1 and sig_decr_addr_posted_cntr and not(sig_incr_addr_posted_cntr); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_ADDR_POSTED_FIFO_CNTR -- -- Process Description: -- This process implements a counter for the tracking -- if an Address has been posted on the AXI address channel. -- The Data Controller must wait for an address to be posted -- before proceeding with the corresponding data transfer on -- the Data Channel. The counter is also used to track flushing -- operations where all transfers commited on the AXI Address -- Channel have to be completed before a halt can occur. ------------------------------------------------------------- IMP_ADDR_POSTED_FIFO_CNTR : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_addr_posted_cntr <= ADDR_POSTED_ZERO; elsif (sig_incr_addr_posted_cntr = '1' and sig_decr_addr_posted_cntr = '0' and sig_addr_posted_cntr_max = '0') then sig_addr_posted_cntr <= sig_addr_posted_cntr + ADDR_POSTED_ONE ; elsif (sig_incr_addr_posted_cntr = '0' and sig_decr_addr_posted_cntr = '1' and sig_addr_posted_cntr_eq_0 = '0') then sig_addr_posted_cntr <= sig_addr_posted_cntr - ADDR_POSTED_ONE ; else null; -- don't change state end if; end if; end process IMP_ADDR_POSTED_FIFO_CNTR; ------- First/Middle/Last Dbeat detimination ------------------- sig_new_len_eq_0 <= '1' When (sig_fifo_next_len = LEN_OF_ZERO) else '0'; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: DO_FIRST_MID_LAST -- -- Process Description: -- Implements the detection of the First/Mid/Last databeat of -- a transfer. -- ------------------------------------------------------------- DO_FIRST_MID_LAST : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_first_dbeat <= '0'; sig_last_dbeat <= '0'; sig_single_dbeat <= '0'; elsif (sig_ld_new_cmd = '1') then sig_first_dbeat <= not(sig_new_len_eq_0); sig_last_dbeat <= sig_new_len_eq_0; sig_single_dbeat <= sig_new_len_eq_0; Elsif (sig_dbeat_cntr_eq_1 = '1' and sig_good_mmap_dbeat = '1') Then sig_first_dbeat <= '0'; sig_last_dbeat <= '1'; sig_single_dbeat <= '0'; Elsif (sig_dbeat_cntr_eq_0 = '0' and sig_dbeat_cntr_eq_1 = '0' and sig_good_mmap_dbeat = '1') Then sig_first_dbeat <= '0'; sig_last_dbeat <= '0'; sig_single_dbeat <= '0'; else null; -- hold current state end if; end if; end process DO_FIRST_MID_LAST; ------- Data Controller Halted Indication ------------------------------- data2all_dcntlr_halted <= sig_no_posted_cmds or sig_calc_error_reg; ------- Data Beat counter logic ------------------------------- sig_dbeat_cntr_int <= TO_INTEGER(sig_dbeat_cntr); sig_dbeat_cntr_eq_0 <= '1' when (sig_dbeat_cntr_int = 0) Else '0'; sig_dbeat_cntr_eq_1 <= '1' when (sig_dbeat_cntr_int = 1) Else '0'; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: DO_DBEAT_CNTR -- -- Process Description: -- Implements the transfer data beat counter used to track -- progress of the transfer. -- ------------------------------------------------------------- DO_DBEAT_CNTR : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_dbeat_cntr <= (others => '0'); elsif (sig_ld_new_cmd = '1') then sig_dbeat_cntr <= unsigned(sig_fifo_next_len); Elsif (sig_good_mmap_dbeat = '1' and sig_dbeat_cntr_eq_0 = '0') Then sig_dbeat_cntr <= sig_dbeat_cntr-1; else null; -- Hold current state end if; end if; end process DO_DBEAT_CNTR; ------- Soft Shutdown Logic ------------------------------- -- Formulate the soft shutdown complete flag sig_data2rst_stop_cmplt <= (sig_halt_reg_dly3 and -- Normal Mode shutdown sig_no_posted_cmds and not(sig_calc_error_reg)) or (sig_halt_reg_dly3 and -- Shutdown after error trap sig_calc_error_reg); -- Generate a gate signal to deassert the WVALID output -- for 1 clock cycle after a WLAST is issued. This only -- occurs when in soft shutdown mode. sig_stop_wvalid <= (sig_last_mmap_dbeat_reg and sig_halt_reg) or sig_data2rst_stop_cmplt; -- Assign the output port skid buf control for the -- input Stream skid buffer data2skid_halt <= sig_data2skid_halt; -- Create a 1 clock wide pulse to tell the input -- stream skid buffer to shut down. sig_data2skid_halt <= sig_halt_reg_dly2 and not(sig_halt_reg_dly3); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_HALT_REQ_REG -- -- Process Description: -- Implements the flop for capturing the Halt request from -- the Reset module. -- ------------------------------------------------------------- IMP_HALT_REQ_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_halt_reg <= '0'; elsif (rst2data_stop_request = '1') then sig_halt_reg <= '1'; else null; -- Hold current State end if; end if; end process IMP_HALT_REQ_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_HALT_REQ_REG_DLY -- -- Process Description: -- Implements the flops for delaying the halt request by 3 -- clocks to allow the Address Controller to halt before the -- Data Contoller can safely indicate it has exhausted all -- transfers committed to the AXI Address Channel by the Address -- Controller. -- ------------------------------------------------------------- IMP_HALT_REQ_REG_DLY : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_halt_reg_dly1 <= '0'; sig_halt_reg_dly2 <= '0'; sig_halt_reg_dly3 <= '0'; else sig_halt_reg_dly1 <= sig_halt_reg; sig_halt_reg_dly2 <= sig_halt_reg_dly1; sig_halt_reg_dly3 <= sig_halt_reg_dly2; end if; end if; end process IMP_HALT_REQ_REG_DLY; end implementation;
bsd-2-clause
35c62a0ad9a47797a0dc4a20d1066a29
0.419715
5.071913
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/concurrent/rule_007_test_input.fixed_false.vhd
1
524
architecture RTL of FIFO is begin -- These are passing a <= '0' when c = '0' else '1'; a <= '0' when c = '0' else-- Comment '1' when c = '1' else -- comment '0' when d = '1' else '1'; -- Violations below a <= '0' when c = '0' else '1' when c = '1' else '0' when d = '1' else '1'; a <= '0' when c = '0' else '1' when c = '1' else '0' when d = '1' else '1'; a <= '0' when c = '0' else '1' when c = '1' else '0' when d = '1' else '1'; end architecture RTL;
gpl-3.0
6c85fe5df84f6f8c75ae5f0ba3b5f38b
0.46374
2.556098
false
false
false
false
Jorge9314/ElectronicaDigital
Impresora2D/Reception_8bits.vhd
1
3,894
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.NUMERIC_STD.ALL; entity Reception_8bits is Port ( Divisor_Frecuencia : in STD_LOGIC; Entrada : in STD_LOGIC; Mensaje : out STD_LOGIC_VECTOR (7 downto 0) := "00000000"; Confirmado : out STD_LOGIC := '0'); end Reception_8bits; architecture arq_Reception_8bits of Reception_8bits is signal ParidadPAR : boolean := True; -- Significa que el estado par es VERDADERO o que es PAR signal estado : std_logic_vector(3 downto 0) := "0000"; -- El estado va a ser de la siguiente manera -- "0000" o estado 0 significa el estado de IDLE hasta que llegue un '0' -- "0001" o estado 1 significa que recibe el primer bit de información -- "0010" o estado 2 significa que recibe el segundo bit de información -- ................. significa que recibe los demas bits de información -- "1011" o estado 11 significa que recibe el BIT DE PARIDAD PAR '0' para par y '1' para impar -- "1100" o estado 12 significa que recibe el primer BIT DE FINALIZACION '1' -- "1101" o estado 13 significa que recibe el segundo BIT DE FINALIZACION '1' -- "0000" o estado 14 significa que vuelve a estar en IDLE hasta el proximo '0' signal ConfirmadoAux : std_logic := '0'; begin process begin wait until rising_edge(Divisor_Frecuencia); Confirmado <= '0'; case(estado) is when "0000" => if Entrada = '0' then ParidadPAR <= False; -- En este caso decimos que si no hay 1s es PAR estado <= "0001"; end if; when "0001" => if Entrada = '1' then ParidadPAR <= not ParidadPar; -- Es IMPAR end if; Mensaje(7) <= Entrada; estado <= "0010"; when "0010" => if Entrada = '1' then ParidadPAR <= not ParidadPar; -- Es IMPAR end if; Mensaje(6) <= Entrada; estado <= "0011"; when "0011" => if Entrada = '1' then ParidadPAR <= not ParidadPar; -- Es IMPAR end if; Mensaje(5) <= Entrada; estado <= "0100"; when "0100" => if Entrada = '1' then ParidadPAR <= not ParidadPar; -- Es IMPAR end if; Mensaje(4) <= Entrada; estado <= "0101"; when "0101" => if Entrada = '1' then ParidadPAR <= not ParidadPar; -- Es IMPAR end if; Mensaje(3) <= Entrada; estado <= "0111"; when "0111" => if Entrada = '1' then ParidadPAR <= not ParidadPar; -- Es IMPAR end if; Mensaje(2) <= Entrada; estado <= "1000"; when "1000" => if Entrada = '1' then ParidadPAR <= not ParidadPar; -- Es IMPAR end if; Mensaje(1) <= Entrada; estado <= "1001"; when "1001" => if Entrada = '1' then ParidadPAR <= not ParidadPar; -- Es IMPAR end if; Mensaje(0) <= Entrada; estado <= "1011"; when "1011" => if ParidadPAR then if Entrada = '1' then ConfirmadoAux <= '1'; end if; else if Entrada = '0' then ConfirmadoAux <= '1'; end if; end if; estado <= "1100"; when "1100" => -- Si el bit de parada es 1 se continua normal -- SI NO pasamos a un estado de error y no se confirma el mensaje if Entrada = '1' then estado <= "1101"; else estado <= "1110"; -- Estado de error (No se envia el mensaje) end if; when "1101" => if Entrada = '1' then if ConfirmadoAux = '1' then Confirmado <= '1'; end if; estado <= "0000"; ConfirmadoAux <= '0'; else estado <= "0000"; ConfirmadoAux <= '0'; end if; when others => estado <= "0000"; ConfirmadoAux <= '0'; end case; -- Si el mensaje se termino de recibir y esta correcto entoces -- Confirmado es verdadero y se le asigna el Valor a Mensaje -- y se confirma para que se pueda utilizar el mensaje end process; end arq_Reception_8bits;
gpl-3.0
15607f918f03789f781bfdfa8c1567f1
0.597586
3.039813
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/styles/indent_only/graphicsaccelerator/Debouncer.vhd
1
974
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.numeric_std.all; use IEEE.std_logic_unsigned.all; entity Debouncer is Port ( Clk : in STD_LOGIC; Button : in STD_LOGIC; Dout : out STD_LOGIC); end Debouncer; architecture Behavioral of Debouncer is signal Counter,nCounter : STD_LOGIC_VECTOR (23 downto 0) := x"000000"; signal ButtonHistory,nButtonHistory : STD_LOGIC_VECTOR (1 downto 0) := "00"; signal nextHistory : STD_LOGIC := '0'; begin nCounter <= x"FFFFFF" when Counter=x"FFFFFF" and Button='1' else x"000000" when Counter=x"000000" and Button='0' else Counter+1 when Button='1' else Counter-1; nextHistory <= '0' when Counter=x"000000" else '1'; nButtonHistory <= nextHistory & ButtonHistory(1); Dout <= '1' when ButtonHistory="01" else '0'; process (Clk) begin if (rising_edge(Clk)) then Counter <= nCounter; ButtonHistory <= nButtonHistory; end if; end process; end Behavioral;
gpl-3.0
10ae4081b21728a70eb5b12f49b79362
0.671458
3.453901
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/styles/indent_only/graphicsaccelerator/Synchronizer.vhd
2
3,132
library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use IEEE.std_logic_unsigned.all; entity SYNCHRONIZER is port ( R : out std_logic; G : out std_logic; B : out std_logic; HS : out std_logic; VS : out std_logic; CLK : in std_logic; DATAIN : in std_logic_vector(2 downto 0); ADDRESSX : out std_logic_vector(9 downto 0); ADDRESSY : out std_logic_vector(8 downto 0) ); end entity SYNCHRONIZER; architecture BEHAVIORAL of SYNCHRONIZER is signal x, nx : std_logic_vector(10 downto 0) := (others=>'0'); signal y, ny : std_logic_vector(20 downto 0) := (others=>'0'); constant tpw : std_logic_vector(1 downto 0) := "00"; constant tbp : std_logic_vector(1 downto 0) := "01"; constant tdp : std_logic_vector(1 downto 0) := "10"; constant tfp : std_logic_vector(1 downto 0) := "11"; signal xstate : std_logic_vector(1 downto 0) := tpw; signal ystate : std_logic_vector(1 downto 0) := tpw; signal enabledisplay : std_logic; signal addressofy, naddressofy : std_logic_vector(8 downto 0); begin nx <= x + 1; ny <= y + 1; naddressofy <= addressofy + 1; HS <= '0' when xstate=tpw else '1'; VS <= '0' when ystate=tpw else '1'; enabledisplay <= '1' when xstate=tdp and ystate=tdp else '0'; R <= dataIn(0) when enabledisplay='1' else '0'; B <= dataIn(1) when enabledisplay='1' else '0'; G <= dataIn(2) when enabledisplay='1' else '0'; AddressX <= x(10 downto 1); AddressY <= addressofy - 30; process (Clk) is begin if (Clk'event and Clk = '1') then if (xstate=tpw and x(7 downto 1)="1100000") then x <= (others=>'0'); xstate <= tbp; elsif (xstate=tbp and x(6 downto 1)="110000") then x <= (others=>'0'); xstate <= tdp; elsif (xstate=tdp and x(10 downto 1)="1010000000") then x <= (others=>'0'); xstate <= tfp; elsif (xstate=tfp and x(5 downto 1)="10000") then x <= (others=>'0'); xstate <= tpw; addressofy <= naddressofy; else x <= nx; end if; if (ystate=tpw and y(12 downto 1)="11001000000") then y <= (others=>'0'); ystate <= tbp; elsif (ystate=tbp and y(16 downto 1)="101101010100000") then y <= (others=>'0'); ystate <= tdp; elsif (ystate=tdp and y(20 downto 1)="1011101110000000000") then y <= (others=>'0'); ystate <= tfp; elsif (ystate=tfp and y(14 downto 1)="1111101000000") then y <= (others=>'0'); x <= (others=>'0'); ystate <= tpw; xstate <= tpw; addressofy <= (others=>'0'); else y <= ny; end if; end if; end process; end architecture BEHAVIORAL;
gpl-3.0
2d2edff1e28cf92017b71c9dcc33c9b9
0.501596
3.542986
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/fifo_generator_v11_0/ramfifo/rd_dc_fwft_ext_as.vhd
2
12,811
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block b+7Eu4MbGPZSvF8aW75qHUtQghsfn14qDm82OXW8SzaoR7CDJllhcbouGjvOWuA2qVIm+Z7ewN4e wZNMEkWpaQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block GOwHz6eHmypL7IB9HBKnYDs3i8gjtUr8HSqiQVTH54nceqZwln5jf5E4mtCZsa3kDcr7g8z7HZKm Sejdx44gQrEztrVXNeQHNyHtC0S3a+6Bq9jA3ziihWaYUhavWgXFRQc9qLDYlfnK6Sn/nx7sVkzN 06rgs8tFmVGSXwObgbI= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dFx6VZyOBx3VgnpZ7yGf6pXPlb6CxnKbL4Tqf/RyAMDRJRu+Feqa0GzuS3aj70ZQtQKoAsBCGdak 99RuqIjuVHi6qM+I+NunhwbH5Nd8aha3/+dO9jsb0KXd8x7qi1ZrQAsyYECXxv8qIY9aj55zUN9E ro3lUx1C1eBN0znpK5Z2pW30TexS3baGyNroZJlQRGiXwbfbUWHFHAdvDEWy3Z+8C+CBROWYaYpw KBrYYwBXmYQHoTtDSv2Ycv4bp62gqddlTePTLmnyCs4ZOUYb3qt19HQu8D8XBnXFz44aSpfRwEyq C3n2rfZRUSmmR7ESMLCFb4e4GXHyhyrSRjJYtg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IYIUVx9WD278SrP7km6u4Bjsij7WJwLCtlUO4toS96r47NVdMWaXPC4erx4cN/AjOJdwhbzbDntp CNoZbvoUUA08HQyZIjsITVledCpz+fPQ+jshOuNP0pPuFIVomXPakUQYiH0bzyD68UiemJHk1XwF hKwyNLufxCdlEfjSMPU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block b+oZMMyOHrlOVKkreOYJkzYQL6rkeVk/UYxg69iNmReM1XwYjZ9XVsSyDCqiCSB4a8lQd563Nrcg VK811cjMtMctEtuB8pBN44WSNTXGghUGwvNmsSX55iud56wehJhglUxOSwSOyhrnMnqxqt/pZz+1 hPQqylkBeMsByawPsQ5Kt38s3Nwc8v9MMp9AUoMlLaixdft1mMtNwF0DnK/pMCAFpB+0YzddA2Fp mRGDPbUi7wt0Agmhzgu+ha12YlCL9rF5iWAQqX5wc8R1QPKdD9fIpdm5Ccz5O/IubB18RGDXeYtS xF3uMA0TZeFL6HHyYTyXxYxtwuHpfGaiJDBK2w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7744) `protect data_block HZ58kYWcbwUfuG/BzQ9beyOb1beuSg5CpsavFG3jxgSPO5xzuOYcr7n91189jI6yryn4dYhLx0I9 Mjpy8Z8cjlLC1k86aXJ4RgZUGO/ObbWPpqoC2DS7hd+jYU6F/SmUJMtwZcjqTp5UxbP5MQCgzqN1 mzlYc/MtHcuepAd9t58BULjH1bLd/CWstyJhBYC6fNLGIYS+t2jZmnJnWXYaMP4Ii8mVV/V3/YPU 8Rvopv6F2+EwOfgTthIMCGXrGMTIOeLReE4HlQ/Q5+qspcDVJe8Yb6mPVMWA0ybmHUa6bSoS1wee 10v9mXYVKyB0qrgFfNlR4ej67f1fIE5YGfsuPKBO3j1C1tTtJgscCVJM0a0gF5LSCbv3U9n6cWIp e3bOnAdsVp/4MChYCGXKPGdF0a7hVT43rcJuMmn3Fjh9h1njtOT1hNbAyI90DGdnFJCSyqEVvIgp Fwfr8xW5Pt8PV99wMyb0YgTIJ/rnrQn9tgvz39iHqYnj8vjqenLLUAC+a23rlJoP+8PH36TFLMIU XLvkQLNcKuEXKrTCRkUOsuf2guWH01lJGj3z3RL76AZuUXIxtSfbtMYHUH+FVZouqCbEf2ZCYnpX 3VR3EjcPhfBQ+7cacivwdsLxY6y8tIRZanirXIp6Q6BlYx1T2DIhx6hXrXQXdp0bPklbfWJq8y7I B0RK19oonsbA6u1uyxyek/RV2xqxPCoVoSbQMzh8VdfXGmFyNG1oUCVRL8yFneb+pIyXYvHwwll4 Z7PaRvWC2OlyxwRE2vvjQuO/8uLs/Rjf0BPVs0uRlW3fdRJoZfzs/gMW6S5pf5DqB0xmR9acZyt7 vRIxJQOgWXw4LTIljOajhSjnUoEeimmBrrzqThap2L/HFpo5tu7odQZ94MlFR+3dbI+ZImwxNn5l RVwuA00q3WjKVPniWp6oH9AjzTWsifCR8Rne/1ojr6JfKJbfeZJIilpuRSrh4n7RcPJKoIuYRwd3 7mBaFRB3/gzMDTde9lS9RrQb/fQXqywa56/GLVuhi6iA4kWPIo2+ElucxtcuYpO5RO+sxvWWL1pw b1Q0R8K91zN8Kk9IUObhQhjLePY+DdZbcPR9K/vSZHnYqiwsFXXhGyeX92rpsMxQ/70X6DnMCpDL Np7UmvGiyfnpnPaMDYhdL7AP0GkoSPJDZ34zaS4ILIkxY0BZkENpxXHNrv15x4cH5ox8OMpgpj/s 5+kqJUtFwhruDxROQdRigewVozDNlKo0zfUrNKs9/c9qaVVtDDWLMomow3Sdj2Xc0aMJvkggpgKm HR29JYa5fVVQIWtRepvruaZkMURU2n05Lq6JMwFR2zmEFLvjqWXP74B3Zb2tbByzpTm3JW++gEIn YS5xoARKwQQ6Po/oHIqZDShTFYpIyR17fOtaP9N62VxsaSvLmZMOj/JXWHB9xQPG+jXe6HR6AaNZ tlXvxjvZ+JsZPqRAB2r/uFCMZjCX1jlgldqr3GsWXEYXyfA8NPWpv0+abGYFCnRufWjIPIWGcBB3 j+gdwh4I51DubkYnbwtesVXK35me4q30TOzsvOmYXQxkgNjMz9ZwefczOhUGUxMn6Rx9a/h81yPH d3VixwHRMcKfXYgWF//OCqDvbqRd9O5pBzbO8tHK5MlHJsI7c7JLg+8f4mLsuvyFZqw8Z9iHY5at 0peeESa6KIZ7rxB5L2UUYxtLKTNViKQfexp8XLXj4O2joN+kjRlYsieb/YP0IIrbjEFf2TWd2+oj UuT3mgr6BoG/ZVtn4OC74TxhyT8GWFtsMUV3ckVIEnYW7Vif8aH8N42CWmcSvfaVdXBJUqw47yI6 3wbrfc3Y1JM04DgN8hLnd5Z3jb7HP3yRHBOob7qwzMnV2/K785V+oOAhPTE2jS3LBAwpoDPhs0kD f0HGy1A2o4CDGeM83u5ZKwPObpzZBt2NXMhaDnM4eWWZM5ye173nJlAP8zhNhPZSZmtThRiOCIR6 WciTGI4oI9YbxDTbMGaW1cmCk7/q5n30vrrFGrf3UdmvHlYD3vIq2DPQSojjXu3EvkvbemInCHxc bxhE/q1W6GJBfQQJYsZoYm/K+zBQSva40DED85pG1SbneibUkeMscWEaDNNRwL7b5JHVoxJNNXrE KRbmUvgg3PNSBoWKwUzvnF4spttNzB7U3fyi4qzXpA8LKrd7GNc1Y66jZHxFRDUmNSqvCZGGte82 GHvNt8VVK2DLHT284pCy3zPKzhy6Qm0YaoJuu0bxvdp625JyxSxOgu3VIxFy6m08HkP4yL/fv7x5 HpsWUhc0Y87Xlg6Vvjx+oau4tVuYV9sJVlv2AA/wl83lc/j1d+Gz3yY7H9EHAXSq09X5hAULalDF DocZv+HDX11JjZqIVk0Rh5SjNQilG6OKAW+vOSydf/Z3pL0bt5f0KgtFdmMWk6Qfu3f4NbPl/9pg Gaj520QFVDmqjtII2DCSGMcy9GtnH8jtwk5Mpac7WAMEF6ODOYZt3re1L3sUEmt2zKhy10S45LUq aNfaSwjUZaNV6vfycR85SSHoBKKI+TCESmmFSFY13sghMiGO+4IXcCHHkX2rNVt8VvB38nUYo8gz xrcVdXoImgqM00F7rHii1VKYGl7M8TjB++IWbyZY52s+9kEwHmLV3ccfuT1pxSO1qVl3HQkMp3vq otoH7w2MCjTXo6prTK5JG2dJBJG7n2Wj0oYyn6Dja4ZebCQ8Q4BCfVa2JqJCstrVFgu20yAB0GSZ q7uj/XT4OK+lvx+RmlR0pZHac+VjMHp/j5+Df2pQEY09N9jDsy7QjADA/QL//DjxmPLqs257kPwg yWPsmSjGQ1B5fipqJS61/BmLGsxqWwommOv56HAJNGqsIh0XU61rOb5nu57EVygD+NXeYYQ3MJqJ hOWcxzRW+pHgIiYlbv5uxUnUE+Ziz8gtH929UortMtXh1gW8Rsd025fo/sMwrmafSY1XncAO/tr7 cjjDBM7l37iVhV4R5knaxfdqzXq9zfb4Te2Ez4oRqUWr8ZI6r24OlDGVANIPeU5jIeK9mEa6qmFv fkdg5G63aK9EBGE7KQjJ5Q288iUjRx3Y2omuvDROb7pLMDVII9ByBAcQptsspyCzJZLCNZJZz1ey 3JmXNoiOdjLsuqv0wHbg0HGM3G8wtq+ahKeKCOw5qtQjbLmpb3iHrggtoaeSPbXDw1eNk2KlVito uSyHNvXYK/jBQqJKA3ozMMPOhXCPg3vzwcW3R0BDILc/KvscrwjhZ26ENzSqvqi1rriJ4JW+kAUM XunHT/Uv2YaKXI1DIULM6Or+i6idS08Sq5eAAO37XWod2daEEq75cbCKIL0cVw8dWs/O9D8ZNdWO r57cnd01M/gcx8G/Jr8oIhp+1Iai62EK6mpRz3HEfvAVKr4LXGAfX2NidG0BSOk92C5N/HqHw4cb CSAEJjVY4UDF3OiAw76j1L9S+c/98XDhq1xwnm+h7gdJTgwZR7DjIw054OBUwmZCs5tdmVqHoTHe AinJDSEMj96KPK3qxwfILr9kzZhI6lJH2iJCggmngy9Rd6lRicQs+PoKP77R1vrPWWnsaZwTcJE8 0KCq/0jqNdxkC/x1Iq8fluDrVfH87W90W5GSim/BWEz0JHmsKDJ5Q58D2/tbg4AIXNRU3bQVDUBB u3V1LKLxUsagsbOMe3x1tD3WKFJLwXsEas6gIcXeflSOOgAsRizm7QuSvuhc9Ml4UdLwsd+PdJyL gf2ihbmOENLFYeFUTGl9H6etB3X8uavsPw+xo1ToxC4TsdDoxheGkXhppjxYVQ+cgARQvksxGsCJ 8Shq5S6wEPUEHCaGYe0Ba96/3dUZVhorF3xTTangKn6x4sQYzMufGikYLyzL8nRNGtvEhqzxxDUz 3a0zhzzUPWmY9befRFLaIRn/46/1S17IYIo1iOaSE9fyin6OsS+yoD9BtfXT863iZSDtpj17+AS9 lIoiu2FsOsuXfWcpjsTiQLX0IkdJZIBQHAt02CT1d/MoCu53S4UkOSpNGSRVoyqvpdml7ROjPLN1 WAvrU/qAKSzHkjS9CBaz/LFNcw7nsygbk7yApVwOlSGGQjJxskGiL3tGR2zquqCJJ7HwU7e8sz6U UhB4xFGC5EJEbYMUcCNqs0UOc6hlutI4z/fg8hphzmy8McHKvqcQN/gRiSvsHwW+m6pGEW6zRgUX yc4z2fKDt5jlQXVEhJsJoy4U8a6U7cMcvUiot1jWRHzpJZmh9OfC4WYCDjI2ojz2ZqZULwEqidSz +42QM/x0y84fxnIW3VsPQIvBP4EXu1Q/q3o542roah1/YPh2alUbOuphsQgsiujX93oJDktZxfEn fyVQMMFNTCmn+tLqTPLgbtRCa1FR2BgVonUeUcR71NfVNrK1eyRTeY/URwIFbe2xUCFtSLeb44yl 36u+y/cRW2OmsA0kj4jm81ffWsOQ/RU34T35om333tmveiGeCzfWyAtzpLNRV/SGqsuwvSuSQgdf oT2ip5siBLi1g/M+3KbLwP4vhKqKwhBIjyI6MNPv1A4iFCqTGr2wSD32wtRdD4X1Q7v5kjfu7Mqj YQSQ4PTV7b2xfUx/jVlzVfg9tfVD29wcHUA6rdA0YACxdfUb2WzEyFpFhH8EjR3pwRka23GnoDS2 +42voF2nAQsii6cB7RFOQ3SXgQDzYZT0+hbxvOYDy3H0AWo3i6/i0/f7iRRtDD5P0SrghDhjlX55 Jlcbp2VrtMTTqvcmTDB/o1JmNwYMPHULGzAI+q2lgA0qcaX9ZyeSfOnE6rVoQZ6buvRy3xBm7S2U kna+b9MB04NOlSo7Jw7Vn3ksrm0hMMagBQnSS7oMg6RPI7iuZow1R5SA0LW8+yl0mudwTM3L4naR I6aq4g8GAm5Z0xUlIFcDJLIM8HI+sZvxOHcUwcA4uY2labqdeSW2wfEGy1zNu6KEeG2ttS0V8yHN GVfeNEW+RLkfXgWkc20EeLVkq90fVX7UMshtkMev3TyRH2sDEDde/Lt/UQ1qUmpWhgoFd41z1Ik1 6ynMIL/+R1toCytTkEc8K0oH8NkTR0XPh2WI3Mvsd6+VSUqZp3gISQ0rBsT//cKh64kQ3PQcavFh zbBfoPbMidWy9WrRxV6ptpv/MXWbCkl3vxbcZQXabLveIGFxV8cRE1H1yuvmb8xVU1nAyJZvNOnC R798obO2RakQiDp48bsKtghuH7cnz+1Lf1zXOY3GVeC54VtB/n21E+kpI2gEzJyo8WO6QJ3Zo4cI OMh3YcYOXRfaC4nqyUXM4eLNUk470UODFGmGiBK19usjiDGPaYRVZPJA0+9bWB/E/T6qOuBVMh5Y y4wVWJmuxIqGmCGkUT2go6415nvGZ5/+LPwCRILCP5lQgXksnkqNboqyl5R2/ibIkyHHvA8txK6l /W23YEExVxGP7QTLBLCq0ykdxiFoFSkXd8+q30+t6IGNiDIw/zoeLItdEMC5kbzFR2JDpQR8xOBL +9UHnPud92YaVecZyZ+QeYl7d3wLqQ2Lqe+zZrS+qT6pKOnNNWrlJpr4e8ZqtXtK1sO87VYjPh2I f9m53jPsRbav04WC9wzWWxseXvVkd234HVdWzHosnYsr8QQct8XMMQwQ5mROi6mBJ9934aeROtas HHJla1yVWjPT3mbihyBu22xtx3UHD6qaEOvTC85y7TpZKIUAW98P+aur54BBV5bIXT+3cIeBoUKe TRbit4KotND7yMTaY4d6AXi5rF6s5BPdQxUpqf2DH9kkUA6xpOpRYLPoK5xv4gNpvtkQ/qKhigsP 5CgpMR5WSMMALRzpveS/PzVY4SsJnC1Nbzh5rMJx7XsushbLTzzizMz9Ai3rGdzXqh4TCb7mGsQn tnHq+exqWeL1nRFd6oPasgHSI10UvWpLV2Vwp3qIXamZCSc+QHvQrt7mwEQ79unwFCU/ryEwcOx8 14BSte4MyIurzA0sxRoALIdeu+JaPV7T4xl7nNYOF0NHqC21In08rOok02Pp4Q4vIWTF5ThdbbCR uRC7bNlCjPYBDIKB2KFGPRs9o0Q4t/LCjQWNpjIBAVzjRYHuAcoaBRy7Za/s4etbL06O3BC/ycAO xElMtynjAXlI7wZabUDn+R/DbiSRZCvOR8YK5nmyI44p1Sk9XLVO7SlpSZ2171IQ5s75R+WRjex5 2UMsAX1xi+ya55xz2bbSQP+AWjdnAT4OvqKmUH6qQDeh646wjYyWMB/MLQnm7RscIZp2BnNwQkCj 8PIXzU4vWB9auA4psZUy8vwBy/YrisRH5ecpx0GCT7V7FhLW4fmb3E7xvJBGq7zt98WuWk2tuSVQ 4ZkIby5IB4L9lN1WvDuE7EIaRL9BM1u1r37ThbpbY+3X0gQswpwfJ4oOh2f5rrlUeWn3iLZWrLwI Jw7DdcSmzADMww3tURX5BbEzE1NJbOg+CLcTOu4hKK6WGTp6WOCSCAwUoQxz6GaxFxlQqUBXKW5Q XPkaftyAUIIq2Fq6elK9yv/HFlr3hwQaOb4SgKkUH78pQPiMIG70X2nmb6ffjvb6nu17LyrkhCRT PikRoZVkTwOaFnBfYN+9+CGtUK5z54/9opBJK4lLE3WwipZ8fai+PLb74grohyaW1P/DFNSy50vw Yb6+zOi9PEZJJ8DgP1Diy9Jm/E84BLv65EzmUzOUMpuceCkBUkUZ1L+kFXztK/iX7LBTf6+nq9F0 RUpq0WGy+ZPUufLfIOTFspSkAdEIB8JcIla4Dx00KXr4mXWgjS5OaKg69H+1JtevcYDCD5r3oq6v cUwycNE2RDMOIQiCItaYsAq8gapxw2DccgDZbjXk0I2laLbQOez9Pi4vao94N/FsSbJD8OVExRbw +EQu9Ieu64H7fp3OjipQTZoduF/Gprzxh3vf98PgfTh7o4BVIsx+v3UzVhU5jc2yMZqojYMjmsrY Iv3c0EJyPE7zM8+kn7C0EhnkUOR/eVdYeJAXZl2Ytg9w1wVvEmCfcXp5acRd6OAT3TFb2wOQUahW C04hhUdx4SSWzh7eRI26IiAJrHsXXJdbbbsYzqMYqEVwaDrqDbzyYFlN+0LZ990HO3W0R1u6r7nr 2kTnI0tJfftKZCfTIChq/WRHbHHN0hI+W/koujXswORdTuAUaj0moFonLnIRnLGo3QyeGRcPmgzY xcD4mi7jQq+DBuYzNr3Nit9L4ALgrGJkN1UxXZM/ykJ6QAR5l29QpcM4k++wK7/q+IeLUsON8X5E EqFFc1Lb9CilVs1isl5KDCJLFYLM2XGvX2EZXO9CMijEy28rA78ftcaiZX6PbVZJ5zuyQ1CD4yDN JuZtmHWU0ngZEVgPwLday2bDj2eOa2UcxlXaRVpUS6GtGaLtayRLDo6Ry6WLi0WCBXgOR/9zq6Iq MIdjJQKV/3yNV49KXDIDnE+JcFL8z4I/etgzqSPBHgcSV/gq/z1G5D+jonw1AfC4uw/u5s8LTqFX aoXbEgdgE+hZI4K/CRtVBgjAys6E1JXfkiREVDvlc52X5Lo4cT4AK7poV3YEBbVUccErjrE7Zo/s 349o/ejZsfSD2kV2Qxa0URSr90pHTPstRnj6G0bJD4NFqZl9IriMDPlTokPGIY1DGHdj12lw9Eme GOEdCWm/AWqG9un+3KTDq9GPrBatTuwQ6frHNRBmsehgKajtTHDrvFanzoTZtVBBcKeL4ssrKeo3 mKYbrcZOCuqAfl4ZmfDdpAuUMqnDT3wsI/0dCBnRfg1bahW+pNChQ6cgqxrJMZtmfXwI97fJTJo+ TNagjA5dOMig0o+rVPSlGOqEreUtvl8lEX8ZTzeG7UIYO7+nfr/beY8xOfxy/Hoo18VoOtQIegiJ q7iW2v3dNmVtN+/MOKHnM8Rhj6L61L6Oj4vwSkdFAmvGUu5hyqQ584tsTZ3hrcCH0ufcpamuLjLk Ly0QSEk1J5gwh75HRD/YUQxyEzJsQVpTyTf8mpropOVmAJM6UqniFsvJfe0PnqJnA9/Yj2TfC39d yUgTiRH92wxPwedCet6V8AGj9T7MP2TMreeT7wxmQ/2wX2vKCaiwHE/IwMydbm42oXhA43KGaDib jBufQeLLdAiBAx82q7znW2i0uyEJUK2Hdt1Tp2D5hwxsFOKYZnV6vg2iFrOKUsLa9fmIfs5o77b0 gkAoS1lgYXw2wGjYIs6lgoqRsXse5dzBL5V2XRJoFQJg8LWGenzYHxewX4g48DPzGYFqfh2Ok5Tw iuMEyHAoHAsEM3IB4O8x6jX2qsBfhih+Spxy1KcooE5sJLMXkpAoAMA1rIRmQwYQqBd9hRg1RRiE v1cgZqSVny+6y5xfiWcuSq8uUhQduSRC4A/S/ObGtC84VfpfUGCWFacuXIqZJkbI1IB2A+SKBSqI LQhQ/A6YtVEnON+YL9hw4dEYxtdrPXubZnqF8+A8nWM6+S2mSoE3+VxgCQFbPueYmeg3d5AyW9Mq 369lNqd5NPNi2Z4HYhYiaRwAkGELRtucN7TL6S3j2BskHlDTq7rsRoWyId1aOg7ZJwP84Hph1K8T VRh4Oq7kFftRI2NE8GOIaUIg+pwAVhtkRcIT3nFlXhZcgrNwwWTdtxrHLd5gb8VWpHhOMFjdnHRu IiueZvHLpRxzeIu4ScJnOuCo4LHe8uQV/gZ6Hdr7KwqJE7ikZXpEuqQemZI9cxd4FHMHtOg4mZTU ZvHok+z8xUI02USf8gkXCgAH0VECuqVrfAlPyCw5SQPm6vH5rGXTVotY57PGRJy3CMQ3rQG0A0gE sr4rqEvPwlsskDo0pXADvT2LYkVJFO1UIdx7vzBNDopkIy+EwGYdVxnNKnb2a2pweyVjqKqOklvS 2SNtcI8I1sy3JuDsjlkR/v9g50Ad/vS0+tetVYpdFScjHGgmtxJMvrVD0Nee1b7zk0TjkoK+FxYU 6/B4fF0Jka95SQIu7X6aLiW4laiP5/wdmTav0rjAaI5yw5gpOZxu4frJEyaPyWVI3tKHzViIHjJQ Mxr7yKJhJtn3eWHv4Vtl+mSZKsKoqNAOpeYp5X5+SY/zrkze6pSTAXE6vjfN7ajALnN58AB0oBP7 5Q2C43OqSC1GVvNZhpDNmfa0ifHdQmwbhR8DXoPcFRroC8+/hoIvvuKDcoNYiOYCjrbHs+WuKFLY uRgD0j0Cqrt8T9N5xfQhUfRiDhiXFAOB3tVyHiKWkvwE3wgpXXpkZ/ybGWh8Rsep78LZNvVOKuDl 3P88jr7Ri2Rpk90slF310Y0uXwqZAhVpRjkRYANtxtwiJWfjkDXjdghhIr6iKDFLfeJ4R/+BP/yM idfF5Fuk3bpELNLAs4iIBngt1deVcArceXJ8cpmuE9LCENqHHUDGw4CS96fm4nvqzkQ4Cw83kHh0 gldAuirnMzamSX4cs8SwXqfwGgtbe6qA8NAZKdM6/ElD8uVyKZh9Exnn2YV6nSzywYcOHpkxXbyL JiS68PBqcVo1ti4E25trGYxnEhIRW3P6bXHF88trsgSU69rXbEppENMWuxZmGU9AU278nS09mhP2 7ZF+9MpQaRvwp+Uz/2I6tLuI5Y0WIL0Y7N0EILymxsMcf7LRhuAqGtV7fR2auNTNI7cP17J9g8x3 UcTv9IEKS4xdBnaQXL3N5TEgUawju2W2pLZOKJ0R34y68TDbalODDQJ6P0UJTUFkIyRHs0d+S5Ew Om7kJHOy1UBP7H5/r5/cwFL5wZLQNjViHWmAVrnPACeApyrHzQdXH3+DAfaGhy0DeP1pDEREcFWc v26xIcDcVnpnEJL1R+LrQq6rSQ4CyTUzDzxopZU9N4P4P+JMX/t92nJthtVUkekIbgx4y8pX3oNK YdW4uVB16RLCnITS57NwmuTkIL2gnorROaZvSIWN56GwKMHdeHdX2SvYB19Ny/5y4MB/y9cgUAo8 IciV28V60HFjfv0/H9rEZnw76m6Lso9Pd12wZKRmxLCZK4Izu8nN/FFXJ9aagDWTLErcPI34r+9H XeKiXSOagyOHnWP2PI1PgSbGgwK/Loh1475ER0cT262+ZEdDQ6ZYjwD0+ooGF818b0835fEZOqNl FJe/cV5tf0EugU+iHQNKsSDm4dIcPRP87ShFKWJICVu1TBuQmgFYfmiZCO0jBaqMrJ3tdho6ZHEq m587Q3AuBgNtaZm98xub4+CvacyrPEiMz9OzfQA7/kDQfI0XBKayS5OEcq1Ko7TGHEI3tDbSEP7x H55YnEt21S2Wsst43kMsNFLNRb8hk3okQTJNNp19QvvfviZXP7O2bK4HAxO+hq1w0VVXbvtyhUdh sbE43kH/trQkkeoBu5Sjc1UqWsTo2O6uQAD5Txvsj64eO+kk7nWcCK1SYld/UY8o7g== `protect end_protected
bsd-2-clause
14464a0f7dd85176911d748eb5dc38b2
0.933885
1.878721
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/instantiation/rule_601_test_input.vhd
1
491
architecture ARCH of ENTITY1 is begin INST_INST1 : INST1 generic map ( G_GEN_1 => 3, G_GEN_2 => 4, G_GEN_3 => 5 ) port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); -- Violations below INST1 : INST1 generic map ( G_GEN_1 => 3, G_GEN_2 => 4, G_GEN_3 => 5 ) port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); end architecture ARCH;
gpl-3.0
9249b066d96e91082db20ea7afa7e117
0.460285
2.789773
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/generic/rule_010_test_input.vhd
1
441
entity FIFO is generic ( G_WIDTH : integer := 256; G_DEPTH : integer := 32 -- Comment ); port ( I_PORT1 : in std_logic; I_PORT2 : out std_logic ); end entity FIFO; -- Violation below entity FIFO is GENERIC(g_size : integer := 10; g_width : integer := 256; g_depth : integer := 32); -- Comment should stay PORT ( i_port1 : in std_logic := '0'; i_port2 : out std_logic :='1'); end entity FIFO;
gpl-3.0
930479d4c70ada1da7fde18c36027748
0.575964
3.172662
false
false
false
false
niketancm/tsea26
lab2-3/rtl/adder_ctrl.vhd
1
1,543
library ieee; use ieee.std_logic_1164.all; entity adder_ctrl is port ( function_i : in std_logic_vector(2 downto 0); opa_sign_i : in std_logic; mx_opa_inv_o : out std_logic; mx_ci_o : out std_logic_vector(1 downto 0)); end adder_ctrl; architecture adder_ctrl_rtl of adder_ctrl is begin -- adder_ctrl_rtl adder_logic:process(function_i,opa_sign_i) begin --ADD instruction I1: if(function_i= "000") then mx_opa_inv_o <= '0'; mx_ci_o <= "00"; --ADDC instructionruction elsif(function_i = "001") then mx_opa_inv_o <= '0'; mx_ci_o <= "10"; --SUB instruction elsif(function_i= "010") then mx_opa_inv_o <= '1'; mx_ci_o <= "01"; --SUBC instruction elsif(function_i = "011") then mx_opa_inv_o <= '1'; mx_ci_o <= "10"; --CMP instruction elsif(function_i = "101") then mx_opa_inv_o <= '1'; mx_ci_o <= "01"; --ABS instruction elsif(function_i= "100") then if(opa_sign_i = '0') then mx_opa_inv_o <= '0'; mx_ci_o <= "00"; elsif(opa_sign_i= '1') then mx_opa_inv_o <= '1'; mx_ci_o <= "01"; end if; --MAX instruciton elsif(function_i= "110") then mx_opa_inv_o <= '1'; mx_ci_o <= "01"; --MIN instruciton elsif(function_i= "111") then mx_opa_inv_o <= '1'; mx_ci_o <= "01"; end if I1; end process adder_logic; end adder_ctrl_rtl;
gpl-2.0
25abc379bf61522b948a83e15934993f
0.506157
2.984526
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/styles/jcl/graphicsaccelerator/Debouncer.fixed.vhd
1
1,156
library IEEE; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_unsigned.all; entity DEBOUNCER is port ( CLK : in std_logic; BUTTON : in std_logic; DOUT : out std_logic ); end entity DEBOUNCER; architecture BEHAVIORAL of DEBOUNCER is signal counter, ncounter : std_logic_vector(23 downto 0) := x"000000"; signal buttonhistory, nbuttonhistory : std_logic_vector(1 downto 0) := "00"; signal nexthistory : std_logic := '0'; begin ncounter <= x"FFFFFF" when counter=x"FFFFFF" and BUTTON='1' else x"000000" when counter=x"000000" and BUTTON='0' else counter + 1 when BUTTON='1' else counter - 1; nexthistory <= '0' when counter=x"000000" else '1'; nbuttonhistory <= nexthistory & buttonhistory(1); DOUT <= '1' when buttonhistory="01" else '0'; process (CLK) is begin if (rising_edge(CLK)) then counter <= ncounter; buttonhistory <= nbuttonhistory; end if; end process; end architecture BEHAVIORAL;
gpl-3.0
ee2657ef0f5ffc9d5ef931611e212667
0.583045
3.765472
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/proc_common_v4_0/hdl/src/vhdl/family_support.vhd
2
328,971
-------------------------------------------------------------------------------- -- $Id: family_support.vhd,v 1.5.2.55 2010/12/16 15:10:57 ostlerf Exp $ -------------------------------------------------------------------------------- -- family_support.vhd - package -------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2005-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- -------------------------------------------------------------------------------- -- Filename: family_support.vhd -- -- Description: -- -- FAMILIES, PRIMITIVES and PRIMITIVE AVAILABILITY GUARDS -- -- This package allows to determine whether a given primitive -- or set of primitives is available in an FPGA family of interest. -- -- The key element is the function, 'supported', which is -- available in four variants (overloads). Here are examples -- of each: -- -- supported(virtex2, u_RAMB16_S2) -- -- supported("Virtex2", u_RAMB16_S2) -- -- supported(spartan3, (u_MUXCY, u_XORCY, u_FD)) -- -- supported("spartan3", (u_MUXCY, u_XORCY, u_FD)) -- -- The 'supported' function returns true if and only -- if all of the primitives being tested, as given in the -- second argument, are available in the FPGA family that -- is given in the first argument. -- -- The first argument can be either one of the FPGA family -- names from the enumeration type, 'families_type', or a -- (case insensitive) string giving the same information. -- The family name 'nofamily' is special and supports -- none of the primitives. -- -- The second argument is either a primitive or a list of -- primitives. The set of primitive names that can be -- tested is defined by the declaration of the -- enumeration type, 'primitives_type'. The names are -- the UNISIM-library names for the primitives, prefixed -- by "u_". (The prefix avoids introducing a name that -- conflicts with the component declaration for the primitive.) -- -- The array type, 'primitive_array_type' is the basis for -- forming lists of primitives. Typically, a fixed list -- of primitves is expressed as a VHDL aggregate, a -- comma separated list of primitives enclosed in -- parentheses. (See the last two examples, above.) -- -- The 'supported' function can be used as a guard -- condition for a piece of code that depends on primitives -- (primitive availability guard). Here is an example: -- -- -- GEN : if supported(C_FAMILY, (u_MUXCY, u_XORCY)) generate -- begin -- ... Here, an implementation that depends on -- ... MUXCY and XORCY. -- end generate; -- -- -- It can also be used in an assertion statement -- to give warnings about problems that can arise from -- attempting to implement into a family that does not -- support all of the required primitives: -- -- -- assert supported(C_FAMILY, <primtive list>) -- report "This module cannot be implemnted " & -- "into family, " & C_FAMILY & -- ", because one or more of the primitives, " & -- "<primitive_list>" & ", is not supported." -- severity error; -- -- -- A NOTE ON USAGE -- -- It is probably best to take an exception to the coding -- guidelines and make the names that are needed -- from this package visible to a VHDL compilation unit by -- -- library <libname>; -- use <libname>.family_support.all; -- -- rather than by calling out individual names in use clauses. -- (VHDL tools do not have a common interpretation at present -- on whether -- -- use <libname>.family_support.primitives_type" -- -- makes the enumeration literals visible.) -- -- ADDITIONAL FEATURES -- -- - A function, native_lut_size, is available to allow -- the caller to query the largest sized LUT available in a given -- FPGA family. -- -- - A function, equalIgnoringCase, is available to compare strings -- with case insensitivity. While this can be used to establish -- whether the target family is some particular family, such -- usage is discouraged and should be limited to legacy -- situations or the rare situations where primitive -- availability guards will not suffice. -- -------------------------------------------------------------------------------- -- Author: FLO -- History: -- FLO 2005Mar24 - First Version -- -- FLO 11/30/05 -- ^^^^^^ -- Virtex5 added. -- ~~~~~~ -- TK 03/17/06 Corrected a Spartan3e issue in myimage -- ~~~~~~ -- FLO 04/26/06 -- ^^^^^^ -- Added the native_lut_size function. -- ~~~~~~ -- FLO 08/10/06 -- ^^^^^^ -- Added support for families virtex, spartan2 and spartan2e. -- ~~~~~~ -- FLO 08/25/06 -- ^^^^^^ -- Enhanced the warning in function str2fam. Now when a string that is -- passed in the call as a parameter does not correspond to a supported fpga -- family, the string value of the passed string is mentioned in the warning -- and it is explicitly stated that the returned value is 'nofamily'. -- ~~~~~~ -- FLO 08/26/06 -- ^^^^^^ -- - Updated the virtex5 primitive set to a more recent list and -- removed primitives (TEMAC, PCIE, etc.) that are not present -- in all virtex5 family members. -- - Added function equalIgnoringCase and an admonition to use it -- as little as possible. -- - Made some improvements to descriptions inside comments. -- ~~~~~~ -- FLO 08/28/06 -- ^^^^^^ -- Added support for families spartan3a and spartan3an. These are initially -- taken to have the same primitives as spartan3e. -- ~~~~~~ -- FLO 10/28/06 -- ^^^^^^ -- Changed function str2fam so that it no longer depends on the VHDL -- attribute, 'VAL. This is an XST workaround. -- ~~~~~~ -- FLO 03/08/07 -- ^^^^^^ -- Updated spartan3a and sparan3an. -- Added spartan3adsp. -- ~~~~~~ -- FLO 08/31/07 -- ^^^^^^ -- A performance XST workaround was implemented to address slowness -- associated with primitive availability guards. The workaround changes -- the way that the fam_has_prim constant is initialized (aggregate -- rather than a system of function and procedure calls). -- ~~~~~~ -- FLO 04/11/08 -- ^^^^^^ -- Added these families: aspartan3e, aspartan3a, aspartan3an, aspartan3adsp -- ~~~~~~ -- FLO 04/14/08 -- ^^^^^^ -- Removed family: aspartan3an -- ~~~~~~ -- FLO 06/25/08 -- ^^^^^^ -- Added these families: qvirtex4, qrvirtex4 -- ~~~~~~ -- FLO 07/26/08 -- ^^^^^^ -- The BSCAN primitive for spartan3e is now BSCAN_SPARTAN3 instead -- of BSCAN_SPARTAN3E. -- ~~~~~~ -- FLO 09/02/06 -- ^^^^^^ -- Added an initial approximation of primitives for spartan6 and virtex6. -- ~~~~~~ -- FLO 09/04/28 -- ^^^^^^ -- -Removed primitive u_BSCAN_SPARTAN3A from spartan6. -- -Added the 5 and 6 LUTs to spartan6. -- ~~~~~~ -- FLO 02/09/10 (back to MM/DD/YY) -- ^^^^^^ -- -Removed primitive u_BSCAN_VIRTEX5 from virtex6. -- -Added families spartan6l, qspartan6, aspartan6 and virtex6l. -- ~~~~~~ -- FLO 04/26/10 (MM/DD/YY) -- ^^^^^^ -- -Added families qspartan6l, qvirtex5 and qvirtex6. -- ~~~~~~ -- FLO 06/21/10 (MM/DD/YY) -- ^^^^^^ -- -Added family qrvirtex5. -- ~~~~~~ -- -- DET 9/7/2010 For 12.4 -- ~~~~~~ -- -- Per CR573867 -- - Added the function get_root_family() as part of the derivative part -- support improvements. -- - Added the Virtex7 and Kintex7 device families -- ^^^^^^ -- ~~~~~~ -- FLO 10/28/10 (MM/DD/YY) -- ^^^^^^ -- -Added u_SRLC32E as supported for spartan6 (and its derivatives). (CR 575828) -- ~~~~~~ -- FLO 12/15/10 (MM/DD/YY) -- ^^^^^^ -- -Changed virtex6cx to be equal to virtex6 (instead of virtex5) -- -Move kintex7 and virtex7 to the primitives in the Rodin unisim.btl file -- -Added artix7 from the primitives in the Rodin unisim.btl file -- ~~~~~~ -- -- DET 3/2/2011 EDk 13.2 -- ~~~~~~ -- -- Per CR595477 -- - Added zynq support in the get_root_family function. -- ^^^^^^ -- -- DET 03/18/2011 -- ^^^^^^ -- Per CR602290 -- - Added u_RAMB16_S4_S36 for kintex7, virtex7, artix7 to grandfather axi_ethernetlite_v1_00_a. -- - This change was lost from 13.1 O.40d to 13.2 branch. -- - Copied the Virtex7 primitive info to zynq primitive entry (instead of the artix7 info) -- ~~~~~~ -- -- DET 4/4/2011 EDK 13.2 -- ~~~~~~ -- -- Per CR604652 -- - Added kintex7l and virtex7l -- ^^^^^^ -- -------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinational signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port: "*_i" -- device pins: "*_pin" -- ports:- Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> -------------------------------------------------------------------------------- package family_support is type families_type is ( nofamily , kintex8 , kintex7 , kintex7l , qkintex7 , qkintex7l , virtex8 , virtex7 , virtex7l , qvirtex7 , qvirtex7l , artix8 , artix7 , aartix7 , artix7l , qartix7 , qartix7l , zynq , azynq , qzynq ); type primitives_type is range 0 to 863; constant u_AND2: primitives_type := 0; constant u_AND2B1L: primitives_type := u_AND2 + 1; constant u_AND3: primitives_type := u_AND2B1L + 1; constant u_AND4: primitives_type := u_AND3 + 1; constant u_AUTOBUF: primitives_type := u_AND4 + 1; constant u_BSCAN_SPARTAN2: primitives_type := u_AUTOBUF + 1; constant u_BSCAN_SPARTAN3: primitives_type := u_BSCAN_SPARTAN2 + 1; constant u_BSCAN_SPARTAN3A: primitives_type := u_BSCAN_SPARTAN3 + 1; constant u_BSCAN_SPARTAN3E: primitives_type := u_BSCAN_SPARTAN3A + 1; constant u_BSCAN_SPARTAN6: primitives_type := u_BSCAN_SPARTAN3E + 1; constant u_BSCAN_VIRTEX: primitives_type := u_BSCAN_SPARTAN6 + 1; constant u_BSCAN_VIRTEX2: primitives_type := u_BSCAN_VIRTEX + 1; constant u_BSCAN_VIRTEX4: primitives_type := u_BSCAN_VIRTEX2 + 1; constant u_BSCAN_VIRTEX5: primitives_type := u_BSCAN_VIRTEX4 + 1; constant u_BSCAN_VIRTEX6: primitives_type := u_BSCAN_VIRTEX5 + 1; constant u_BUF: primitives_type := u_BSCAN_VIRTEX6 + 1; constant u_BUFCF: primitives_type := u_BUF + 1; constant u_BUFE: primitives_type := u_BUFCF + 1; constant u_BUFG: primitives_type := u_BUFE + 1; constant u_BUFGCE: primitives_type := u_BUFG + 1; constant u_BUFGCE_1: primitives_type := u_BUFGCE + 1; constant u_BUFGCTRL: primitives_type := u_BUFGCE_1 + 1; constant u_BUFGDLL: primitives_type := u_BUFGCTRL + 1; constant u_BUFGMUX: primitives_type := u_BUFGDLL + 1; constant u_BUFGMUX_1: primitives_type := u_BUFGMUX + 1; constant u_BUFGMUX_CTRL: primitives_type := u_BUFGMUX_1 + 1; constant u_BUFGMUX_VIRTEX4: primitives_type := u_BUFGMUX_CTRL + 1; constant u_BUFGP: primitives_type := u_BUFGMUX_VIRTEX4 + 1; constant u_BUFH: primitives_type := u_BUFGP + 1; constant u_BUFHCE: primitives_type := u_BUFH + 1; constant u_BUFIO: primitives_type := u_BUFHCE + 1; constant u_BUFIO2: primitives_type := u_BUFIO + 1; constant u_BUFIO2_2CLK: primitives_type := u_BUFIO2 + 1; constant u_BUFIO2FB: primitives_type := u_BUFIO2_2CLK + 1; constant u_BUFIO2FB_2CLK: primitives_type := u_BUFIO2FB + 1; constant u_BUFIODQS: primitives_type := u_BUFIO2FB_2CLK + 1; constant u_BUFPLL: primitives_type := u_BUFIODQS + 1; constant u_BUFPLL_MCB: primitives_type := u_BUFPLL + 1; constant u_BUFR: primitives_type := u_BUFPLL_MCB + 1; constant u_BUFT: primitives_type := u_BUFR + 1; constant u_CAPTURE_SPARTAN2: primitives_type := u_BUFT + 1; constant u_CAPTURE_SPARTAN3: primitives_type := u_CAPTURE_SPARTAN2 + 1; constant u_CAPTURE_SPARTAN3A: primitives_type := u_CAPTURE_SPARTAN3 + 1; constant u_CAPTURE_SPARTAN3E: primitives_type := u_CAPTURE_SPARTAN3A + 1; constant u_CAPTURE_VIRTEX: primitives_type := u_CAPTURE_SPARTAN3E + 1; constant u_CAPTURE_VIRTEX2: primitives_type := u_CAPTURE_VIRTEX + 1; constant u_CAPTURE_VIRTEX4: primitives_type := u_CAPTURE_VIRTEX2 + 1; constant u_CAPTURE_VIRTEX5: primitives_type := u_CAPTURE_VIRTEX4 + 1; constant u_CAPTURE_VIRTEX6: primitives_type := u_CAPTURE_VIRTEX5 + 1; constant u_CARRY4: primitives_type := u_CAPTURE_VIRTEX6 + 1; constant u_CFGLUT5: primitives_type := u_CARRY4 + 1; constant u_CLKDLL: primitives_type := u_CFGLUT5 + 1; constant u_CLKDLLE: primitives_type := u_CLKDLL + 1; constant u_CLKDLLHF: primitives_type := u_CLKDLLE + 1; constant u_CRC32: primitives_type := u_CLKDLLHF + 1; constant u_CRC64: primitives_type := u_CRC32 + 1; constant u_DCIRESET: primitives_type := u_CRC64 + 1; constant u_DCM: primitives_type := u_DCIRESET + 1; constant u_DCM_ADV: primitives_type := u_DCM + 1; constant u_DCM_BASE: primitives_type := u_DCM_ADV + 1; constant u_DCM_CLKGEN: primitives_type := u_DCM_BASE + 1; constant u_DCM_PS: primitives_type := u_DCM_CLKGEN + 1; constant u_DNA_PORT: primitives_type := u_DCM_PS + 1; constant u_DSP48: primitives_type := u_DNA_PORT + 1; constant u_DSP48A: primitives_type := u_DSP48 + 1; constant u_DSP48A1: primitives_type := u_DSP48A + 1; constant u_DSP48E: primitives_type := u_DSP48A1 + 1; constant u_DSP48E1: primitives_type := u_DSP48E + 1; constant u_DUMMY_INV: primitives_type := u_DSP48E1 + 1; constant u_DUMMY_NOR2: primitives_type := u_DUMMY_INV + 1; constant u_EFUSE_USR: primitives_type := u_DUMMY_NOR2 + 1; constant u_EMAC: primitives_type := u_EFUSE_USR + 1; constant u_FD: primitives_type := u_EMAC + 1; constant u_FD_1: primitives_type := u_FD + 1; constant u_FDC: primitives_type := u_FD_1 + 1; constant u_FDC_1: primitives_type := u_FDC + 1; constant u_FDCE: primitives_type := u_FDC_1 + 1; constant u_FDCE_1: primitives_type := u_FDCE + 1; constant u_FDCP: primitives_type := u_FDCE_1 + 1; constant u_FDCP_1: primitives_type := u_FDCP + 1; constant u_FDCPE: primitives_type := u_FDCP_1 + 1; constant u_FDCPE_1: primitives_type := u_FDCPE + 1; constant u_FDDRCPE: primitives_type := u_FDCPE_1 + 1; constant u_FDDRRSE: primitives_type := u_FDDRCPE + 1; constant u_FDE: primitives_type := u_FDDRRSE + 1; constant u_FDE_1: primitives_type := u_FDE + 1; constant u_FDP: primitives_type := u_FDE_1 + 1; constant u_FDP_1: primitives_type := u_FDP + 1; constant u_FDPE: primitives_type := u_FDP_1 + 1; constant u_FDPE_1: primitives_type := u_FDPE + 1; constant u_FDR: primitives_type := u_FDPE_1 + 1; constant u_FDR_1: primitives_type := u_FDR + 1; constant u_FDRE: primitives_type := u_FDR_1 + 1; constant u_FDRE_1: primitives_type := u_FDRE + 1; constant u_FDRS: primitives_type := u_FDRE_1 + 1; constant u_FDRS_1: primitives_type := u_FDRS + 1; constant u_FDRSE: primitives_type := u_FDRS_1 + 1; constant u_FDRSE_1: primitives_type := u_FDRSE + 1; constant u_FDS: primitives_type := u_FDRSE_1 + 1; constant u_FDS_1: primitives_type := u_FDS + 1; constant u_FDSE: primitives_type := u_FDS_1 + 1; constant u_FDSE_1: primitives_type := u_FDSE + 1; constant u_FIFO16: primitives_type := u_FDSE_1 + 1; constant u_FIFO18: primitives_type := u_FIFO16 + 1; constant u_FIFO18_36: primitives_type := u_FIFO18 + 1; constant u_FIFO18E1: primitives_type := u_FIFO18_36 + 1; constant u_FIFO36: primitives_type := u_FIFO18E1 + 1; constant u_FIFO36_72: primitives_type := u_FIFO36 + 1; constant u_FIFO36E1: primitives_type := u_FIFO36_72 + 1; constant u_FMAP: primitives_type := u_FIFO36E1 + 1; constant u_FRAME_ECC_VIRTEX4: primitives_type := u_FMAP + 1; constant u_FRAME_ECC_VIRTEX5: primitives_type := u_FRAME_ECC_VIRTEX4 + 1; constant u_FRAME_ECC_VIRTEX6: primitives_type := u_FRAME_ECC_VIRTEX5 + 1; constant u_GND: primitives_type := u_FRAME_ECC_VIRTEX6 + 1; constant u_GT10_10GE_4: primitives_type := u_GND + 1; constant u_GT10_10GE_8: primitives_type := u_GT10_10GE_4 + 1; constant u_GT10_10GFC_4: primitives_type := u_GT10_10GE_8 + 1; constant u_GT10_10GFC_8: primitives_type := u_GT10_10GFC_4 + 1; constant u_GT10_AURORA_1: primitives_type := u_GT10_10GFC_8 + 1; constant u_GT10_AURORA_2: primitives_type := u_GT10_AURORA_1 + 1; constant u_GT10_AURORA_4: primitives_type := u_GT10_AURORA_2 + 1; constant u_GT10_AURORAX_4: primitives_type := u_GT10_AURORA_4 + 1; constant u_GT10_AURORAX_8: primitives_type := u_GT10_AURORAX_4 + 1; constant u_GT10_CUSTOM: primitives_type := u_GT10_AURORAX_8 + 1; constant u_GT10_INFINIBAND_1: primitives_type := u_GT10_CUSTOM + 1; constant u_GT10_INFINIBAND_2: primitives_type := u_GT10_INFINIBAND_1 + 1; constant u_GT10_INFINIBAND_4: primitives_type := u_GT10_INFINIBAND_2 + 1; constant u_GT10_OC192_4: primitives_type := u_GT10_INFINIBAND_4 + 1; constant u_GT10_OC192_8: primitives_type := u_GT10_OC192_4 + 1; constant u_GT10_OC48_1: primitives_type := u_GT10_OC192_8 + 1; constant u_GT10_OC48_2: primitives_type := u_GT10_OC48_1 + 1; constant u_GT10_OC48_4: primitives_type := u_GT10_OC48_2 + 1; constant u_GT10_PCI_EXPRESS_1: primitives_type := u_GT10_OC48_4 + 1; constant u_GT10_PCI_EXPRESS_2: primitives_type := u_GT10_PCI_EXPRESS_1 + 1; constant u_GT10_PCI_EXPRESS_4: primitives_type := u_GT10_PCI_EXPRESS_2 + 1; constant u_GT10_XAUI_1: primitives_type := u_GT10_PCI_EXPRESS_4 + 1; constant u_GT10_XAUI_2: primitives_type := u_GT10_XAUI_1 + 1; constant u_GT10_XAUI_4: primitives_type := u_GT10_XAUI_2 + 1; constant u_GT11CLK: primitives_type := u_GT10_XAUI_4 + 1; constant u_GT11CLK_MGT: primitives_type := u_GT11CLK + 1; constant u_GT11_CUSTOM: primitives_type := u_GT11CLK_MGT + 1; constant u_GT_AURORA_1: primitives_type := u_GT11_CUSTOM + 1; constant u_GT_AURORA_2: primitives_type := u_GT_AURORA_1 + 1; constant u_GT_AURORA_4: primitives_type := u_GT_AURORA_2 + 1; constant u_GT_CUSTOM: primitives_type := u_GT_AURORA_4 + 1; constant u_GT_ETHERNET_1: primitives_type := u_GT_CUSTOM + 1; constant u_GT_ETHERNET_2: primitives_type := u_GT_ETHERNET_1 + 1; constant u_GT_ETHERNET_4: primitives_type := u_GT_ETHERNET_2 + 1; constant u_GT_FIBRE_CHAN_1: primitives_type := u_GT_ETHERNET_4 + 1; constant u_GT_FIBRE_CHAN_2: primitives_type := u_GT_FIBRE_CHAN_1 + 1; constant u_GT_FIBRE_CHAN_4: primitives_type := u_GT_FIBRE_CHAN_2 + 1; constant u_GT_INFINIBAND_1: primitives_type := u_GT_FIBRE_CHAN_4 + 1; constant u_GT_INFINIBAND_2: primitives_type := u_GT_INFINIBAND_1 + 1; constant u_GT_INFINIBAND_4: primitives_type := u_GT_INFINIBAND_2 + 1; constant u_GTPA1_DUAL: primitives_type := u_GT_INFINIBAND_4 + 1; constant u_GT_XAUI_1: primitives_type := u_GTPA1_DUAL + 1; constant u_GT_XAUI_2: primitives_type := u_GT_XAUI_1 + 1; constant u_GT_XAUI_4: primitives_type := u_GT_XAUI_2 + 1; constant u_GTXE1: primitives_type := u_GT_XAUI_4 + 1; constant u_IBUF: primitives_type := u_GTXE1 + 1; constant u_IBUF_AGP: primitives_type := u_IBUF + 1; constant u_IBUF_CTT: primitives_type := u_IBUF_AGP + 1; constant u_IBUF_DLY_ADJ: primitives_type := u_IBUF_CTT + 1; constant u_IBUFDS: primitives_type := u_IBUF_DLY_ADJ + 1; constant u_IBUFDS_DIFF_OUT: primitives_type := u_IBUFDS + 1; constant u_IBUFDS_DLY_ADJ: primitives_type := u_IBUFDS_DIFF_OUT + 1; constant u_IBUFDS_GTXE1: primitives_type := u_IBUFDS_DLY_ADJ + 1; constant u_IBUFG: primitives_type := u_IBUFDS_GTXE1 + 1; constant u_IBUFG_AGP: primitives_type := u_IBUFG + 1; constant u_IBUFG_CTT: primitives_type := u_IBUFG_AGP + 1; constant u_IBUFGDS: primitives_type := u_IBUFG_CTT + 1; constant u_IBUFGDS_DIFF_OUT: primitives_type := u_IBUFGDS + 1; constant u_IBUFG_GTL: primitives_type := u_IBUFGDS_DIFF_OUT + 1; constant u_IBUFG_GTLP: primitives_type := u_IBUFG_GTL + 1; constant u_IBUFG_HSTL_I: primitives_type := u_IBUFG_GTLP + 1; constant u_IBUFG_HSTL_III: primitives_type := u_IBUFG_HSTL_I + 1; constant u_IBUFG_HSTL_IV: primitives_type := u_IBUFG_HSTL_III + 1; constant u_IBUFG_LVCMOS18: primitives_type := u_IBUFG_HSTL_IV + 1; constant u_IBUFG_LVCMOS2: primitives_type := u_IBUFG_LVCMOS18 + 1; constant u_IBUFG_LVDS: primitives_type := u_IBUFG_LVCMOS2 + 1; constant u_IBUFG_LVPECL: primitives_type := u_IBUFG_LVDS + 1; constant u_IBUFG_PCI33_3: primitives_type := u_IBUFG_LVPECL + 1; constant u_IBUFG_PCI33_5: primitives_type := u_IBUFG_PCI33_3 + 1; constant u_IBUFG_PCI66_3: primitives_type := u_IBUFG_PCI33_5 + 1; constant u_IBUFG_PCIX66_3: primitives_type := u_IBUFG_PCI66_3 + 1; constant u_IBUFG_SSTL2_I: primitives_type := u_IBUFG_PCIX66_3 + 1; constant u_IBUFG_SSTL2_II: primitives_type := u_IBUFG_SSTL2_I + 1; constant u_IBUFG_SSTL3_I: primitives_type := u_IBUFG_SSTL2_II + 1; constant u_IBUFG_SSTL3_II: primitives_type := u_IBUFG_SSTL3_I + 1; constant u_IBUF_GTL: primitives_type := u_IBUFG_SSTL3_II + 1; constant u_IBUF_GTLP: primitives_type := u_IBUF_GTL + 1; constant u_IBUF_HSTL_I: primitives_type := u_IBUF_GTLP + 1; constant u_IBUF_HSTL_III: primitives_type := u_IBUF_HSTL_I + 1; constant u_IBUF_HSTL_IV: primitives_type := u_IBUF_HSTL_III + 1; constant u_IBUF_LVCMOS18: primitives_type := u_IBUF_HSTL_IV + 1; constant u_IBUF_LVCMOS2: primitives_type := u_IBUF_LVCMOS18 + 1; constant u_IBUF_LVDS: primitives_type := u_IBUF_LVCMOS2 + 1; constant u_IBUF_LVPECL: primitives_type := u_IBUF_LVDS + 1; constant u_IBUF_PCI33_3: primitives_type := u_IBUF_LVPECL + 1; constant u_IBUF_PCI33_5: primitives_type := u_IBUF_PCI33_3 + 1; constant u_IBUF_PCI66_3: primitives_type := u_IBUF_PCI33_5 + 1; constant u_IBUF_PCIX66_3: primitives_type := u_IBUF_PCI66_3 + 1; constant u_IBUF_SSTL2_I: primitives_type := u_IBUF_PCIX66_3 + 1; constant u_IBUF_SSTL2_II: primitives_type := u_IBUF_SSTL2_I + 1; constant u_IBUF_SSTL3_I: primitives_type := u_IBUF_SSTL2_II + 1; constant u_IBUF_SSTL3_II: primitives_type := u_IBUF_SSTL3_I + 1; constant u_ICAP_SPARTAN3A: primitives_type := u_IBUF_SSTL3_II + 1; constant u_ICAP_SPARTAN6: primitives_type := u_ICAP_SPARTAN3A + 1; constant u_ICAP_VIRTEX2: primitives_type := u_ICAP_SPARTAN6 + 1; constant u_ICAP_VIRTEX4: primitives_type := u_ICAP_VIRTEX2 + 1; constant u_ICAP_VIRTEX5: primitives_type := u_ICAP_VIRTEX4 + 1; constant u_ICAP_VIRTEX6: primitives_type := u_ICAP_VIRTEX5 + 1; constant u_IDDR: primitives_type := u_ICAP_VIRTEX6 + 1; constant u_IDDR2: primitives_type := u_IDDR + 1; constant u_IDDR_2CLK: primitives_type := u_IDDR2 + 1; constant u_IDELAY: primitives_type := u_IDDR_2CLK + 1; constant u_IDELAYCTRL: primitives_type := u_IDELAY + 1; constant u_IFDDRCPE: primitives_type := u_IDELAYCTRL + 1; constant u_IFDDRRSE: primitives_type := u_IFDDRCPE + 1; constant u_INV: primitives_type := u_IFDDRRSE + 1; constant u_IOBUF: primitives_type := u_INV + 1; constant u_IOBUF_AGP: primitives_type := u_IOBUF + 1; constant u_IOBUF_CTT: primitives_type := u_IOBUF_AGP + 1; constant u_IOBUFDS: primitives_type := u_IOBUF_CTT + 1; constant u_IOBUFDS_DIFF_OUT: primitives_type := u_IOBUFDS + 1; constant u_IOBUF_F_12: primitives_type := u_IOBUFDS_DIFF_OUT + 1; constant u_IOBUF_F_16: primitives_type := u_IOBUF_F_12 + 1; constant u_IOBUF_F_2: primitives_type := u_IOBUF_F_16 + 1; constant u_IOBUF_F_24: primitives_type := u_IOBUF_F_2 + 1; constant u_IOBUF_F_4: primitives_type := u_IOBUF_F_24 + 1; constant u_IOBUF_F_6: primitives_type := u_IOBUF_F_4 + 1; constant u_IOBUF_F_8: primitives_type := u_IOBUF_F_6 + 1; constant u_IOBUF_GTL: primitives_type := u_IOBUF_F_8 + 1; constant u_IOBUF_GTLP: primitives_type := u_IOBUF_GTL + 1; constant u_IOBUF_HSTL_I: primitives_type := u_IOBUF_GTLP + 1; constant u_IOBUF_HSTL_III: primitives_type := u_IOBUF_HSTL_I + 1; constant u_IOBUF_HSTL_IV: primitives_type := u_IOBUF_HSTL_III + 1; constant u_IOBUF_LVCMOS18: primitives_type := u_IOBUF_HSTL_IV + 1; constant u_IOBUF_LVCMOS2: primitives_type := u_IOBUF_LVCMOS18 + 1; constant u_IOBUF_LVDS: primitives_type := u_IOBUF_LVCMOS2 + 1; constant u_IOBUF_LVPECL: primitives_type := u_IOBUF_LVDS + 1; constant u_IOBUF_PCI33_3: primitives_type := u_IOBUF_LVPECL + 1; constant u_IOBUF_PCI33_5: primitives_type := u_IOBUF_PCI33_3 + 1; constant u_IOBUF_PCI66_3: primitives_type := u_IOBUF_PCI33_5 + 1; constant u_IOBUF_PCIX66_3: primitives_type := u_IOBUF_PCI66_3 + 1; constant u_IOBUF_S_12: primitives_type := u_IOBUF_PCIX66_3 + 1; constant u_IOBUF_S_16: primitives_type := u_IOBUF_S_12 + 1; constant u_IOBUF_S_2: primitives_type := u_IOBUF_S_16 + 1; constant u_IOBUF_S_24: primitives_type := u_IOBUF_S_2 + 1; constant u_IOBUF_S_4: primitives_type := u_IOBUF_S_24 + 1; constant u_IOBUF_S_6: primitives_type := u_IOBUF_S_4 + 1; constant u_IOBUF_S_8: primitives_type := u_IOBUF_S_6 + 1; constant u_IOBUF_SSTL2_I: primitives_type := u_IOBUF_S_8 + 1; constant u_IOBUF_SSTL2_II: primitives_type := u_IOBUF_SSTL2_I + 1; constant u_IOBUF_SSTL3_I: primitives_type := u_IOBUF_SSTL2_II + 1; constant u_IOBUF_SSTL3_II: primitives_type := u_IOBUF_SSTL3_I + 1; constant u_IODELAY: primitives_type := u_IOBUF_SSTL3_II + 1; constant u_IODELAY2: primitives_type := u_IODELAY + 1; constant u_IODELAYE1: primitives_type := u_IODELAY2 + 1; constant u_IODRP2: primitives_type := u_IODELAYE1 + 1; constant u_IODRP2_MCB: primitives_type := u_IODRP2 + 1; constant u_ISERDES: primitives_type := u_IODRP2_MCB + 1; constant u_ISERDES2: primitives_type := u_ISERDES + 1; constant u_ISERDESE1: primitives_type := u_ISERDES2 + 1; constant u_ISERDES_NODELAY: primitives_type := u_ISERDESE1 + 1; constant u_JTAGPPC: primitives_type := u_ISERDES_NODELAY + 1; constant u_JTAG_SIM_SPARTAN6: primitives_type := u_JTAGPPC + 1; constant u_JTAG_SIM_VIRTEX6: primitives_type := u_JTAG_SIM_SPARTAN6 + 1; constant u_KEEPER: primitives_type := u_JTAG_SIM_VIRTEX6 + 1; constant u_KEY_CLEAR: primitives_type := u_KEEPER + 1; constant u_LD: primitives_type := u_KEY_CLEAR + 1; constant u_LD_1: primitives_type := u_LD + 1; constant u_LDC: primitives_type := u_LD_1 + 1; constant u_LDC_1: primitives_type := u_LDC + 1; constant u_LDCE: primitives_type := u_LDC_1 + 1; constant u_LDCE_1: primitives_type := u_LDCE + 1; constant u_LDCP: primitives_type := u_LDCE_1 + 1; constant u_LDCP_1: primitives_type := u_LDCP + 1; constant u_LDCPE: primitives_type := u_LDCP_1 + 1; constant u_LDCPE_1: primitives_type := u_LDCPE + 1; constant u_LDE: primitives_type := u_LDCPE_1 + 1; constant u_LDE_1: primitives_type := u_LDE + 1; constant u_LDP: primitives_type := u_LDE_1 + 1; constant u_LDP_1: primitives_type := u_LDP + 1; constant u_LDPE: primitives_type := u_LDP_1 + 1; constant u_LDPE_1: primitives_type := u_LDPE + 1; constant u_LUT1: primitives_type := u_LDPE_1 + 1; constant u_LUT1_D: primitives_type := u_LUT1 + 1; constant u_LUT1_L: primitives_type := u_LUT1_D + 1; constant u_LUT2: primitives_type := u_LUT1_L + 1; constant u_LUT2_D: primitives_type := u_LUT2 + 1; constant u_LUT2_L: primitives_type := u_LUT2_D + 1; constant u_LUT3: primitives_type := u_LUT2_L + 1; constant u_LUT3_D: primitives_type := u_LUT3 + 1; constant u_LUT3_L: primitives_type := u_LUT3_D + 1; constant u_LUT4: primitives_type := u_LUT3_L + 1; constant u_LUT4_D: primitives_type := u_LUT4 + 1; constant u_LUT4_L: primitives_type := u_LUT4_D + 1; constant u_LUT5: primitives_type := u_LUT4_L + 1; constant u_LUT5_D: primitives_type := u_LUT5 + 1; constant u_LUT5_L: primitives_type := u_LUT5_D + 1; constant u_LUT6: primitives_type := u_LUT5_L + 1; constant u_LUT6_D: primitives_type := u_LUT6 + 1; constant u_LUT6_L: primitives_type := u_LUT6_D + 1; constant u_MCB: primitives_type := u_LUT6_L + 1; constant u_MMCM_ADV: primitives_type := u_MCB + 1; constant u_MMCM_BASE: primitives_type := u_MMCM_ADV + 1; constant u_MULT18X18: primitives_type := u_MMCM_BASE + 1; constant u_MULT18X18S: primitives_type := u_MULT18X18 + 1; constant u_MULT18X18SIO: primitives_type := u_MULT18X18S + 1; constant u_MULT_AND: primitives_type := u_MULT18X18SIO + 1; constant u_MUXCY: primitives_type := u_MULT_AND + 1; constant u_MUXCY_D: primitives_type := u_MUXCY + 1; constant u_MUXCY_L: primitives_type := u_MUXCY_D + 1; constant u_MUXF5: primitives_type := u_MUXCY_L + 1; constant u_MUXF5_D: primitives_type := u_MUXF5 + 1; constant u_MUXF5_L: primitives_type := u_MUXF5_D + 1; constant u_MUXF6: primitives_type := u_MUXF5_L + 1; constant u_MUXF6_D: primitives_type := u_MUXF6 + 1; constant u_MUXF6_L: primitives_type := u_MUXF6_D + 1; constant u_MUXF7: primitives_type := u_MUXF6_L + 1; constant u_MUXF7_D: primitives_type := u_MUXF7 + 1; constant u_MUXF7_L: primitives_type := u_MUXF7_D + 1; constant u_MUXF8: primitives_type := u_MUXF7_L + 1; constant u_MUXF8_D: primitives_type := u_MUXF8 + 1; constant u_MUXF8_L: primitives_type := u_MUXF8_D + 1; constant u_NAND2: primitives_type := u_MUXF8_L + 1; constant u_NAND3: primitives_type := u_NAND2 + 1; constant u_NAND4: primitives_type := u_NAND3 + 1; constant u_NOR2: primitives_type := u_NAND4 + 1; constant u_NOR3: primitives_type := u_NOR2 + 1; constant u_NOR4: primitives_type := u_NOR3 + 1; constant u_OBUF: primitives_type := u_NOR4 + 1; constant u_OBUF_AGP: primitives_type := u_OBUF + 1; constant u_OBUF_CTT: primitives_type := u_OBUF_AGP + 1; constant u_OBUFDS: primitives_type := u_OBUF_CTT + 1; constant u_OBUF_F_12: primitives_type := u_OBUFDS + 1; constant u_OBUF_F_16: primitives_type := u_OBUF_F_12 + 1; constant u_OBUF_F_2: primitives_type := u_OBUF_F_16 + 1; constant u_OBUF_F_24: primitives_type := u_OBUF_F_2 + 1; constant u_OBUF_F_4: primitives_type := u_OBUF_F_24 + 1; constant u_OBUF_F_6: primitives_type := u_OBUF_F_4 + 1; constant u_OBUF_F_8: primitives_type := u_OBUF_F_6 + 1; constant u_OBUF_GTL: primitives_type := u_OBUF_F_8 + 1; constant u_OBUF_GTLP: primitives_type := u_OBUF_GTL + 1; constant u_OBUF_HSTL_I: primitives_type := u_OBUF_GTLP + 1; constant u_OBUF_HSTL_III: primitives_type := u_OBUF_HSTL_I + 1; constant u_OBUF_HSTL_IV: primitives_type := u_OBUF_HSTL_III + 1; constant u_OBUF_LVCMOS18: primitives_type := u_OBUF_HSTL_IV + 1; constant u_OBUF_LVCMOS2: primitives_type := u_OBUF_LVCMOS18 + 1; constant u_OBUF_LVDS: primitives_type := u_OBUF_LVCMOS2 + 1; constant u_OBUF_LVPECL: primitives_type := u_OBUF_LVDS + 1; constant u_OBUF_PCI33_3: primitives_type := u_OBUF_LVPECL + 1; constant u_OBUF_PCI33_5: primitives_type := u_OBUF_PCI33_3 + 1; constant u_OBUF_PCI66_3: primitives_type := u_OBUF_PCI33_5 + 1; constant u_OBUF_PCIX66_3: primitives_type := u_OBUF_PCI66_3 + 1; constant u_OBUF_S_12: primitives_type := u_OBUF_PCIX66_3 + 1; constant u_OBUF_S_16: primitives_type := u_OBUF_S_12 + 1; constant u_OBUF_S_2: primitives_type := u_OBUF_S_16 + 1; constant u_OBUF_S_24: primitives_type := u_OBUF_S_2 + 1; constant u_OBUF_S_4: primitives_type := u_OBUF_S_24 + 1; constant u_OBUF_S_6: primitives_type := u_OBUF_S_4 + 1; constant u_OBUF_S_8: primitives_type := u_OBUF_S_6 + 1; constant u_OBUF_SSTL2_I: primitives_type := u_OBUF_S_8 + 1; constant u_OBUF_SSTL2_II: primitives_type := u_OBUF_SSTL2_I + 1; constant u_OBUF_SSTL3_I: primitives_type := u_OBUF_SSTL2_II + 1; constant u_OBUF_SSTL3_II: primitives_type := u_OBUF_SSTL3_I + 1; constant u_OBUFT: primitives_type := u_OBUF_SSTL3_II + 1; constant u_OBUFT_AGP: primitives_type := u_OBUFT + 1; constant u_OBUFT_CTT: primitives_type := u_OBUFT_AGP + 1; constant u_OBUFTDS: primitives_type := u_OBUFT_CTT + 1; constant u_OBUFT_F_12: primitives_type := u_OBUFTDS + 1; constant u_OBUFT_F_16: primitives_type := u_OBUFT_F_12 + 1; constant u_OBUFT_F_2: primitives_type := u_OBUFT_F_16 + 1; constant u_OBUFT_F_24: primitives_type := u_OBUFT_F_2 + 1; constant u_OBUFT_F_4: primitives_type := u_OBUFT_F_24 + 1; constant u_OBUFT_F_6: primitives_type := u_OBUFT_F_4 + 1; constant u_OBUFT_F_8: primitives_type := u_OBUFT_F_6 + 1; constant u_OBUFT_GTL: primitives_type := u_OBUFT_F_8 + 1; constant u_OBUFT_GTLP: primitives_type := u_OBUFT_GTL + 1; constant u_OBUFT_HSTL_I: primitives_type := u_OBUFT_GTLP + 1; constant u_OBUFT_HSTL_III: primitives_type := u_OBUFT_HSTL_I + 1; constant u_OBUFT_HSTL_IV: primitives_type := u_OBUFT_HSTL_III + 1; constant u_OBUFT_LVCMOS18: primitives_type := u_OBUFT_HSTL_IV + 1; constant u_OBUFT_LVCMOS2: primitives_type := u_OBUFT_LVCMOS18 + 1; constant u_OBUFT_LVDS: primitives_type := u_OBUFT_LVCMOS2 + 1; constant u_OBUFT_LVPECL: primitives_type := u_OBUFT_LVDS + 1; constant u_OBUFT_PCI33_3: primitives_type := u_OBUFT_LVPECL + 1; constant u_OBUFT_PCI33_5: primitives_type := u_OBUFT_PCI33_3 + 1; constant u_OBUFT_PCI66_3: primitives_type := u_OBUFT_PCI33_5 + 1; constant u_OBUFT_PCIX66_3: primitives_type := u_OBUFT_PCI66_3 + 1; constant u_OBUFT_S_12: primitives_type := u_OBUFT_PCIX66_3 + 1; constant u_OBUFT_S_16: primitives_type := u_OBUFT_S_12 + 1; constant u_OBUFT_S_2: primitives_type := u_OBUFT_S_16 + 1; constant u_OBUFT_S_24: primitives_type := u_OBUFT_S_2 + 1; constant u_OBUFT_S_4: primitives_type := u_OBUFT_S_24 + 1; constant u_OBUFT_S_6: primitives_type := u_OBUFT_S_4 + 1; constant u_OBUFT_S_8: primitives_type := u_OBUFT_S_6 + 1; constant u_OBUFT_SSTL2_I: primitives_type := u_OBUFT_S_8 + 1; constant u_OBUFT_SSTL2_II: primitives_type := u_OBUFT_SSTL2_I + 1; constant u_OBUFT_SSTL3_I: primitives_type := u_OBUFT_SSTL2_II + 1; constant u_OBUFT_SSTL3_II: primitives_type := u_OBUFT_SSTL3_I + 1; constant u_OCT_CALIBRATE: primitives_type := u_OBUFT_SSTL3_II + 1; constant u_ODDR: primitives_type := u_OCT_CALIBRATE + 1; constant u_ODDR2: primitives_type := u_ODDR + 1; constant u_OFDDRCPE: primitives_type := u_ODDR2 + 1; constant u_OFDDRRSE: primitives_type := u_OFDDRCPE + 1; constant u_OFDDRTCPE: primitives_type := u_OFDDRRSE + 1; constant u_OFDDRTRSE: primitives_type := u_OFDDRTCPE + 1; constant u_OR2: primitives_type := u_OFDDRTRSE + 1; constant u_OR2L: primitives_type := u_OR2 + 1; constant u_OR3: primitives_type := u_OR2L + 1; constant u_OR4: primitives_type := u_OR3 + 1; constant u_ORCY: primitives_type := u_OR4 + 1; constant u_OSERDES: primitives_type := u_ORCY + 1; constant u_OSERDES2: primitives_type := u_OSERDES + 1; constant u_OSERDESE1: primitives_type := u_OSERDES2 + 1; constant u_PCIE_2_0: primitives_type := u_OSERDESE1 + 1; constant u_PCIE_A1: primitives_type := u_PCIE_2_0 + 1; constant u_PLL_ADV: primitives_type := u_PCIE_A1 + 1; constant u_PLL_BASE: primitives_type := u_PLL_ADV + 1; constant u_PMCD: primitives_type := u_PLL_BASE + 1; constant u_POST_CRC_INTERNAL: primitives_type := u_PMCD + 1; constant u_PPC405: primitives_type := u_POST_CRC_INTERNAL + 1; constant u_PPC405_ADV: primitives_type := u_PPC405 + 1; constant u_PPR_FRAME: primitives_type := u_PPC405_ADV + 1; constant u_PULLDOWN: primitives_type := u_PPR_FRAME + 1; constant u_PULLUP: primitives_type := u_PULLDOWN + 1; constant u_RAM128X1D: primitives_type := u_PULLUP + 1; constant u_RAM128X1S: primitives_type := u_RAM128X1D + 1; constant u_RAM128X1S_1: primitives_type := u_RAM128X1S + 1; constant u_RAM16X1D: primitives_type := u_RAM128X1S_1 + 1; constant u_RAM16X1D_1: primitives_type := u_RAM16X1D + 1; constant u_RAM16X1S: primitives_type := u_RAM16X1D_1 + 1; constant u_RAM16X1S_1: primitives_type := u_RAM16X1S + 1; constant u_RAM16X2S: primitives_type := u_RAM16X1S_1 + 1; constant u_RAM16X4S: primitives_type := u_RAM16X2S + 1; constant u_RAM16X8S: primitives_type := u_RAM16X4S + 1; constant u_RAM256X1S: primitives_type := u_RAM16X8S + 1; constant u_RAM32M: primitives_type := u_RAM256X1S + 1; constant u_RAM32X1D: primitives_type := u_RAM32M + 1; constant u_RAM32X1D_1: primitives_type := u_RAM32X1D + 1; constant u_RAM32X1S: primitives_type := u_RAM32X1D_1 + 1; constant u_RAM32X1S_1: primitives_type := u_RAM32X1S + 1; constant u_RAM32X2S: primitives_type := u_RAM32X1S_1 + 1; constant u_RAM32X4S: primitives_type := u_RAM32X2S + 1; constant u_RAM32X8S: primitives_type := u_RAM32X4S + 1; constant u_RAM64M: primitives_type := u_RAM32X8S + 1; constant u_RAM64X1D: primitives_type := u_RAM64M + 1; constant u_RAM64X1D_1: primitives_type := u_RAM64X1D + 1; constant u_RAM64X1S: primitives_type := u_RAM64X1D_1 + 1; constant u_RAM64X1S_1: primitives_type := u_RAM64X1S + 1; constant u_RAM64X2S: primitives_type := u_RAM64X1S_1 + 1; constant u_RAMB16: primitives_type := u_RAM64X2S + 1; constant u_RAMB16BWE: primitives_type := u_RAMB16 + 1; constant u_RAMB16BWER: primitives_type := u_RAMB16BWE + 1; constant u_RAMB16BWE_S18: primitives_type := u_RAMB16BWER + 1; constant u_RAMB16BWE_S18_S18: primitives_type := u_RAMB16BWE_S18 + 1; constant u_RAMB16BWE_S18_S9: primitives_type := u_RAMB16BWE_S18_S18 + 1; constant u_RAMB16BWE_S36: primitives_type := u_RAMB16BWE_S18_S9 + 1; constant u_RAMB16BWE_S36_S18: primitives_type := u_RAMB16BWE_S36 + 1; constant u_RAMB16BWE_S36_S36: primitives_type := u_RAMB16BWE_S36_S18 + 1; constant u_RAMB16BWE_S36_S9: primitives_type := u_RAMB16BWE_S36_S36 + 1; constant u_RAMB16_S1: primitives_type := u_RAMB16BWE_S36_S9 + 1; constant u_RAMB16_S18: primitives_type := u_RAMB16_S1 + 1; constant u_RAMB16_S18_S18: primitives_type := u_RAMB16_S18 + 1; constant u_RAMB16_S18_S36: primitives_type := u_RAMB16_S18_S18 + 1; constant u_RAMB16_S1_S1: primitives_type := u_RAMB16_S18_S36 + 1; constant u_RAMB16_S1_S18: primitives_type := u_RAMB16_S1_S1 + 1; constant u_RAMB16_S1_S2: primitives_type := u_RAMB16_S1_S18 + 1; constant u_RAMB16_S1_S36: primitives_type := u_RAMB16_S1_S2 + 1; constant u_RAMB16_S1_S4: primitives_type := u_RAMB16_S1_S36 + 1; constant u_RAMB16_S1_S9: primitives_type := u_RAMB16_S1_S4 + 1; constant u_RAMB16_S2: primitives_type := u_RAMB16_S1_S9 + 1; constant u_RAMB16_S2_S18: primitives_type := u_RAMB16_S2 + 1; constant u_RAMB16_S2_S2: primitives_type := u_RAMB16_S2_S18 + 1; constant u_RAMB16_S2_S36: primitives_type := u_RAMB16_S2_S2 + 1; constant u_RAMB16_S2_S4: primitives_type := u_RAMB16_S2_S36 + 1; constant u_RAMB16_S2_S9: primitives_type := u_RAMB16_S2_S4 + 1; constant u_RAMB16_S36: primitives_type := u_RAMB16_S2_S9 + 1; constant u_RAMB16_S36_S36: primitives_type := u_RAMB16_S36 + 1; constant u_RAMB16_S4: primitives_type := u_RAMB16_S36_S36 + 1; constant u_RAMB16_S4_S18: primitives_type := u_RAMB16_S4 + 1; constant u_RAMB16_S4_S36: primitives_type := u_RAMB16_S4_S18 + 1; constant u_RAMB16_S4_S4: primitives_type := u_RAMB16_S4_S36 + 1; constant u_RAMB16_S4_S9: primitives_type := u_RAMB16_S4_S4 + 1; constant u_RAMB16_S9: primitives_type := u_RAMB16_S4_S9 + 1; constant u_RAMB16_S9_S18: primitives_type := u_RAMB16_S9 + 1; constant u_RAMB16_S9_S36: primitives_type := u_RAMB16_S9_S18 + 1; constant u_RAMB16_S9_S9: primitives_type := u_RAMB16_S9_S36 + 1; constant u_RAMB18: primitives_type := u_RAMB16_S9_S9 + 1; constant u_RAMB18E1: primitives_type := u_RAMB18 + 1; constant u_RAMB18SDP: primitives_type := u_RAMB18E1 + 1; constant u_RAMB32_S64_ECC: primitives_type := u_RAMB18SDP + 1; constant u_RAMB36: primitives_type := u_RAMB32_S64_ECC + 1; constant u_RAMB36E1: primitives_type := u_RAMB36 + 1; constant u_RAMB36_EXP: primitives_type := u_RAMB36E1 + 1; constant u_RAMB36SDP: primitives_type := u_RAMB36_EXP + 1; constant u_RAMB36SDP_EXP: primitives_type := u_RAMB36SDP + 1; constant u_RAMB4_S1: primitives_type := u_RAMB36SDP_EXP + 1; constant u_RAMB4_S16: primitives_type := u_RAMB4_S1 + 1; constant u_RAMB4_S16_S16: primitives_type := u_RAMB4_S16 + 1; constant u_RAMB4_S1_S1: primitives_type := u_RAMB4_S16_S16 + 1; constant u_RAMB4_S1_S16: primitives_type := u_RAMB4_S1_S1 + 1; constant u_RAMB4_S1_S2: primitives_type := u_RAMB4_S1_S16 + 1; constant u_RAMB4_S1_S4: primitives_type := u_RAMB4_S1_S2 + 1; constant u_RAMB4_S1_S8: primitives_type := u_RAMB4_S1_S4 + 1; constant u_RAMB4_S2: primitives_type := u_RAMB4_S1_S8 + 1; constant u_RAMB4_S2_S16: primitives_type := u_RAMB4_S2 + 1; constant u_RAMB4_S2_S2: primitives_type := u_RAMB4_S2_S16 + 1; constant u_RAMB4_S2_S4: primitives_type := u_RAMB4_S2_S2 + 1; constant u_RAMB4_S2_S8: primitives_type := u_RAMB4_S2_S4 + 1; constant u_RAMB4_S4: primitives_type := u_RAMB4_S2_S8 + 1; constant u_RAMB4_S4_S16: primitives_type := u_RAMB4_S4 + 1; constant u_RAMB4_S4_S4: primitives_type := u_RAMB4_S4_S16 + 1; constant u_RAMB4_S4_S8: primitives_type := u_RAMB4_S4_S4 + 1; constant u_RAMB4_S8: primitives_type := u_RAMB4_S4_S8 + 1; constant u_RAMB4_S8_S16: primitives_type := u_RAMB4_S8 + 1; constant u_RAMB4_S8_S8: primitives_type := u_RAMB4_S8_S16 + 1; constant u_RAMB8BWER: primitives_type := u_RAMB4_S8_S8 + 1; constant u_ROM128X1: primitives_type := u_RAMB8BWER + 1; constant u_ROM16X1: primitives_type := u_ROM128X1 + 1; constant u_ROM256X1: primitives_type := u_ROM16X1 + 1; constant u_ROM32X1: primitives_type := u_ROM256X1 + 1; constant u_ROM64X1: primitives_type := u_ROM32X1 + 1; constant u_SLAVE_SPI: primitives_type := u_ROM64X1 + 1; constant u_SPI_ACCESS: primitives_type := u_SLAVE_SPI + 1; constant u_SRL16: primitives_type := u_SPI_ACCESS + 1; constant u_SRL16_1: primitives_type := u_SRL16 + 1; constant u_SRL16E: primitives_type := u_SRL16_1 + 1; constant u_SRL16E_1: primitives_type := u_SRL16E + 1; constant u_SRLC16: primitives_type := u_SRL16E_1 + 1; constant u_SRLC16_1: primitives_type := u_SRLC16 + 1; constant u_SRLC16E: primitives_type := u_SRLC16_1 + 1; constant u_SRLC16E_1: primitives_type := u_SRLC16E + 1; constant u_SRLC32E: primitives_type := u_SRLC16E_1 + 1; constant u_STARTBUF_SPARTAN2: primitives_type := u_SRLC32E + 1; constant u_STARTBUF_SPARTAN3: primitives_type := u_STARTBUF_SPARTAN2 + 1; constant u_STARTBUF_SPARTAN3E: primitives_type := u_STARTBUF_SPARTAN3 + 1; constant u_STARTBUF_VIRTEX: primitives_type := u_STARTBUF_SPARTAN3E + 1; constant u_STARTBUF_VIRTEX2: primitives_type := u_STARTBUF_VIRTEX + 1; constant u_STARTBUF_VIRTEX4: primitives_type := u_STARTBUF_VIRTEX2 + 1; constant u_STARTUP_SPARTAN2: primitives_type := u_STARTBUF_VIRTEX4 + 1; constant u_STARTUP_SPARTAN3: primitives_type := u_STARTUP_SPARTAN2 + 1; constant u_STARTUP_SPARTAN3A: primitives_type := u_STARTUP_SPARTAN3 + 1; constant u_STARTUP_SPARTAN3E: primitives_type := u_STARTUP_SPARTAN3A + 1; constant u_STARTUP_SPARTAN6: primitives_type := u_STARTUP_SPARTAN3E + 1; constant u_STARTUP_VIRTEX: primitives_type := u_STARTUP_SPARTAN6 + 1; constant u_STARTUP_VIRTEX2: primitives_type := u_STARTUP_VIRTEX + 1; constant u_STARTUP_VIRTEX4: primitives_type := u_STARTUP_VIRTEX2 + 1; constant u_STARTUP_VIRTEX5: primitives_type := u_STARTUP_VIRTEX4 + 1; constant u_STARTUP_VIRTEX6: primitives_type := u_STARTUP_VIRTEX5 + 1; constant u_SUSPEND_SYNC: primitives_type := u_STARTUP_VIRTEX6 + 1; constant u_SYSMON: primitives_type := u_SUSPEND_SYNC + 1; constant u_TEMAC_SINGLE: primitives_type := u_SYSMON + 1; constant u_TOC: primitives_type := u_TEMAC_SINGLE + 1; constant u_TOCBUF: primitives_type := u_TOC + 1; constant u_USR_ACCESS_VIRTEX4: primitives_type := u_TOCBUF + 1; constant u_USR_ACCESS_VIRTEX5: primitives_type := u_USR_ACCESS_VIRTEX4 + 1; constant u_USR_ACCESS_VIRTEX6: primitives_type := u_USR_ACCESS_VIRTEX5 + 1; constant u_VCC: primitives_type := u_USR_ACCESS_VIRTEX6 + 1; constant u_XNOR2: primitives_type := u_VCC + 1; constant u_XNOR3: primitives_type := u_XNOR2 + 1; constant u_XNOR4: primitives_type := u_XNOR3 + 1; constant u_XOR2: primitives_type := u_XNOR4 + 1; constant u_XOR3: primitives_type := u_XOR2 + 1; constant u_XOR4: primitives_type := u_XOR3 + 1; constant u_XORCY: primitives_type := u_XOR4 + 1; constant u_XORCY_D: primitives_type := u_XORCY + 1; constant u_XORCY_L: primitives_type := u_XORCY_D + 1; -- Primitives added for artix7, kintex6, virtex7, and zynq constant u_AND2B1: primitives_type := u_XORCY_L + 1; constant u_AND2B2: primitives_type := u_AND2B1 + 1; constant u_AND3B1: primitives_type := u_AND2B2 + 1; constant u_AND3B2: primitives_type := u_AND3B1 + 1; constant u_AND3B3: primitives_type := u_AND3B2 + 1; constant u_AND4B1: primitives_type := u_AND3B3 + 1; constant u_AND4B2: primitives_type := u_AND4B1 + 1; constant u_AND4B3: primitives_type := u_AND4B2 + 1; constant u_AND4B4: primitives_type := u_AND4B3 + 1; constant u_AND5: primitives_type := u_AND4B4 + 1; constant u_AND5B1: primitives_type := u_AND5 + 1; constant u_AND5B2: primitives_type := u_AND5B1 + 1; constant u_AND5B3: primitives_type := u_AND5B2 + 1; constant u_AND5B4: primitives_type := u_AND5B3 + 1; constant u_AND5B5: primitives_type := u_AND5B4 + 1; constant u_BSCANE2: primitives_type := u_AND5B5 + 1; constant u_BUFMR: primitives_type := u_BSCANE2 + 1; constant u_BUFMRCE: primitives_type := u_BUFMR + 1; constant u_CAPTUREE2: primitives_type := u_BUFMRCE + 1; constant u_CFG_IO_ACCESS: primitives_type := u_CAPTUREE2 + 1; constant u_FRAME_ECCE2: primitives_type := u_CFG_IO_ACCESS + 1; constant u_GTXE2_CHANNEL: primitives_type := u_FRAME_ECCE2 + 1; constant u_GTXE2_COMMON: primitives_type := u_GTXE2_CHANNEL + 1; constant u_IBUF_DCIEN: primitives_type := u_GTXE2_COMMON + 1; constant u_IBUFDS_BLVDS_25: primitives_type := u_IBUF_DCIEN + 1; constant u_IBUFDS_DCIEN: primitives_type := u_IBUFDS_BLVDS_25 + 1; constant u_IBUFDS_DIFF_OUT_DCIEN: primitives_type := u_IBUFDS_DCIEN + 1; constant u_IBUFDS_GTE2: primitives_type := u_IBUFDS_DIFF_OUT_DCIEN + 1; constant u_IBUFDS_LVDS_25: primitives_type := u_IBUFDS_GTE2 + 1; constant u_IBUFGDS_BLVDS_25: primitives_type := u_IBUFDS_LVDS_25 + 1; constant u_IBUFGDS_LVDS_25: primitives_type := u_IBUFGDS_BLVDS_25 + 1; constant u_IBUFG_HSTL_I_18: primitives_type := u_IBUFGDS_LVDS_25 + 1; constant u_IBUFG_HSTL_I_DCI: primitives_type := u_IBUFG_HSTL_I_18 + 1; constant u_IBUFG_HSTL_I_DCI_18: primitives_type := u_IBUFG_HSTL_I_DCI + 1; constant u_IBUFG_HSTL_II: primitives_type := u_IBUFG_HSTL_I_DCI_18 + 1; constant u_IBUFG_HSTL_II_18: primitives_type := u_IBUFG_HSTL_II + 1; constant u_IBUFG_HSTL_II_DCI: primitives_type := u_IBUFG_HSTL_II_18 + 1; constant u_IBUFG_HSTL_II_DCI_18: primitives_type := u_IBUFG_HSTL_II_DCI + 1; constant u_IBUFG_HSTL_III_18: primitives_type := u_IBUFG_HSTL_II_DCI_18 + 1; constant u_IBUFG_HSTL_III_DCI: primitives_type := u_IBUFG_HSTL_III_18 + 1; constant u_IBUFG_HSTL_III_DCI_18: primitives_type := u_IBUFG_HSTL_III_DCI + 1; constant u_IBUFG_LVCMOS12: primitives_type := u_IBUFG_HSTL_III_DCI_18 + 1; constant u_IBUFG_LVCMOS15: primitives_type := u_IBUFG_LVCMOS12 + 1; constant u_IBUFG_LVCMOS25: primitives_type := u_IBUFG_LVCMOS15 + 1; constant u_IBUFG_LVCMOS33: primitives_type := u_IBUFG_LVCMOS25 + 1; constant u_IBUFG_LVDCI_15: primitives_type := u_IBUFG_LVCMOS33 + 1; constant u_IBUFG_LVDCI_18: primitives_type := u_IBUFG_LVDCI_15 + 1; constant u_IBUFG_LVDCI_DV2_15: primitives_type := u_IBUFG_LVDCI_18 + 1; constant u_IBUFG_LVDCI_DV2_18: primitives_type := u_IBUFG_LVDCI_DV2_15 + 1; constant u_IBUFG_LVTTL: primitives_type := u_IBUFG_LVDCI_DV2_18 + 1; constant u_IBUFG_SSTL18_I: primitives_type := u_IBUFG_LVTTL + 1; constant u_IBUFG_SSTL18_I_DCI: primitives_type := u_IBUFG_SSTL18_I + 1; constant u_IBUFG_SSTL18_II: primitives_type := u_IBUFG_SSTL18_I_DCI + 1; constant u_IBUFG_SSTL18_II_DCI: primitives_type := u_IBUFG_SSTL18_II + 1; constant u_IBUF_HSTL_I_18: primitives_type := u_IBUFG_SSTL18_II_DCI + 1; constant u_IBUF_HSTL_I_DCI: primitives_type := u_IBUF_HSTL_I_18 + 1; constant u_IBUF_HSTL_I_DCI_18: primitives_type := u_IBUF_HSTL_I_DCI + 1; constant u_IBUF_HSTL_II: primitives_type := u_IBUF_HSTL_I_DCI_18 + 1; constant u_IBUF_HSTL_II_18: primitives_type := u_IBUF_HSTL_II + 1; constant u_IBUF_HSTL_II_DCI: primitives_type := u_IBUF_HSTL_II_18 + 1; constant u_IBUF_HSTL_II_DCI_18: primitives_type := u_IBUF_HSTL_II_DCI + 1; constant u_IBUF_HSTL_III_18: primitives_type := u_IBUF_HSTL_II_DCI_18 + 1; constant u_IBUF_HSTL_III_DCI: primitives_type := u_IBUF_HSTL_III_18 + 1; constant u_IBUF_HSTL_III_DCI_18: primitives_type := u_IBUF_HSTL_III_DCI + 1; constant u_IBUF_LVCMOS12: primitives_type := u_IBUF_HSTL_III_DCI_18 + 1; constant u_IBUF_LVCMOS15: primitives_type := u_IBUF_LVCMOS12 + 1; constant u_IBUF_LVCMOS25: primitives_type := u_IBUF_LVCMOS15 + 1; constant u_IBUF_LVCMOS33: primitives_type := u_IBUF_LVCMOS25 + 1; constant u_IBUF_LVDCI_15: primitives_type := u_IBUF_LVCMOS33 + 1; constant u_IBUF_LVDCI_18: primitives_type := u_IBUF_LVDCI_15 + 1; constant u_IBUF_LVDCI_DV2_15: primitives_type := u_IBUF_LVDCI_18 + 1; constant u_IBUF_LVDCI_DV2_18: primitives_type := u_IBUF_LVDCI_DV2_15 + 1; constant u_IBUF_LVTTL: primitives_type := u_IBUF_LVDCI_DV2_18 + 1; constant u_IBUF_SSTL18_I: primitives_type := u_IBUF_LVTTL + 1; constant u_IBUF_SSTL18_I_DCI: primitives_type := u_IBUF_SSTL18_I + 1; constant u_IBUF_SSTL18_II: primitives_type := u_IBUF_SSTL18_I_DCI + 1; constant u_IBUF_SSTL18_II_DCI: primitives_type := u_IBUF_SSTL18_II + 1; constant u_ICAPE2: primitives_type := u_IBUF_SSTL18_II_DCI + 1; constant u_IDELAYE2: primitives_type := u_ICAPE2 + 1; constant u_IN_FIFO: primitives_type := u_IDELAYE2 + 1; constant u_IOBUFDS_BLVDS_25: primitives_type := u_IN_FIFO + 1; constant u_IOBUFDS_DIFF_OUT_DCIEN: primitives_type := u_IOBUFDS_BLVDS_25 + 1; constant u_IOBUF_HSTL_I_18: primitives_type := u_IOBUFDS_DIFF_OUT_DCIEN + 1; constant u_IOBUF_HSTL_II: primitives_type := u_IOBUF_HSTL_I_18 + 1; constant u_IOBUF_HSTL_II_18: primitives_type := u_IOBUF_HSTL_II + 1; constant u_IOBUF_HSTL_II_DCI: primitives_type := u_IOBUF_HSTL_II_18 + 1; constant u_IOBUF_HSTL_II_DCI_18: primitives_type := u_IOBUF_HSTL_II_DCI + 1; constant u_IOBUF_HSTL_III_18: primitives_type := u_IOBUF_HSTL_II_DCI_18 + 1; constant u_IOBUF_LVCMOS12: primitives_type := u_IOBUF_HSTL_III_18 + 1; constant u_IOBUF_LVCMOS15: primitives_type := u_IOBUF_LVCMOS12 + 1; constant u_IOBUF_LVCMOS25: primitives_type := u_IOBUF_LVCMOS15 + 1; constant u_IOBUF_LVCMOS33: primitives_type := u_IOBUF_LVCMOS25 + 1; constant u_IOBUF_LVDCI_15: primitives_type := u_IOBUF_LVCMOS33 + 1; constant u_IOBUF_LVDCI_18: primitives_type := u_IOBUF_LVDCI_15 + 1; constant u_IOBUF_LVDCI_DV2_15: primitives_type := u_IOBUF_LVDCI_18 + 1; constant u_IOBUF_LVDCI_DV2_18: primitives_type := u_IOBUF_LVDCI_DV2_15 + 1; constant u_IOBUF_LVTTL: primitives_type := u_IOBUF_LVDCI_DV2_18 + 1; constant u_IOBUF_SSTL18_I: primitives_type := u_IOBUF_LVTTL + 1; constant u_IOBUF_SSTL18_II: primitives_type := u_IOBUF_SSTL18_I + 1; constant u_IOBUF_SSTL18_II_DCI: primitives_type := u_IOBUF_SSTL18_II + 1; constant u_ISERDESE2: primitives_type := u_IOBUF_SSTL18_II_DCI + 1; constant u_JTAG_SIME2: primitives_type := u_ISERDESE2 + 1; constant u_LUT6_2: primitives_type := u_JTAG_SIME2 + 1; constant u_MMCME2_ADV: primitives_type := u_LUT6_2 + 1; constant u_MMCME2_BASE: primitives_type := u_MMCME2_ADV + 1; constant u_NAND2B1: primitives_type := u_MMCME2_BASE + 1; constant u_NAND2B2: primitives_type := u_NAND2B1 + 1; constant u_NAND3B1: primitives_type := u_NAND2B2 + 1; constant u_NAND3B2: primitives_type := u_NAND3B1 + 1; constant u_NAND3B3: primitives_type := u_NAND3B2 + 1; constant u_NAND4B1: primitives_type := u_NAND3B3 + 1; constant u_NAND4B2: primitives_type := u_NAND4B1 + 1; constant u_NAND4B3: primitives_type := u_NAND4B2 + 1; constant u_NAND4B4: primitives_type := u_NAND4B3 + 1; constant u_NAND5: primitives_type := u_NAND4B4 + 1; constant u_NAND5B1: primitives_type := u_NAND5 + 1; constant u_NAND5B2: primitives_type := u_NAND5B1 + 1; constant u_NAND5B3: primitives_type := u_NAND5B2 + 1; constant u_NAND5B4: primitives_type := u_NAND5B3 + 1; constant u_NAND5B5: primitives_type := u_NAND5B4 + 1; constant u_NOR2B1: primitives_type := u_NAND5B5 + 1; constant u_NOR2B2: primitives_type := u_NOR2B1 + 1; constant u_NOR3B1: primitives_type := u_NOR2B2 + 1; constant u_NOR3B2: primitives_type := u_NOR3B1 + 1; constant u_NOR3B3: primitives_type := u_NOR3B2 + 1; constant u_NOR4B1: primitives_type := u_NOR3B3 + 1; constant u_NOR4B2: primitives_type := u_NOR4B1 + 1; constant u_NOR4B3: primitives_type := u_NOR4B2 + 1; constant u_NOR4B4: primitives_type := u_NOR4B3 + 1; constant u_NOR5: primitives_type := u_NOR4B4 + 1; constant u_NOR5B1: primitives_type := u_NOR5 + 1; constant u_NOR5B2: primitives_type := u_NOR5B1 + 1; constant u_NOR5B3: primitives_type := u_NOR5B2 + 1; constant u_NOR5B4: primitives_type := u_NOR5B3 + 1; constant u_NOR5B5: primitives_type := u_NOR5B4 + 1; constant u_OBUFDS_BLVDS_25: primitives_type := u_NOR5B5 + 1; constant u_OBUFDS_DUAL_BUF: primitives_type := u_OBUFDS_BLVDS_25 + 1; constant u_OBUFDS_LVDS_25: primitives_type := u_OBUFDS_DUAL_BUF + 1; constant u_OBUF_HSTL_I_18: primitives_type := u_OBUFDS_LVDS_25 + 1; constant u_OBUF_HSTL_I_DCI: primitives_type := u_OBUF_HSTL_I_18 + 1; constant u_OBUF_HSTL_I_DCI_18: primitives_type := u_OBUF_HSTL_I_DCI + 1; constant u_OBUF_HSTL_II: primitives_type := u_OBUF_HSTL_I_DCI_18 + 1; constant u_OBUF_HSTL_II_18: primitives_type := u_OBUF_HSTL_II + 1; constant u_OBUF_HSTL_II_DCI: primitives_type := u_OBUF_HSTL_II_18 + 1; constant u_OBUF_HSTL_II_DCI_18: primitives_type := u_OBUF_HSTL_II_DCI + 1; constant u_OBUF_HSTL_III_18: primitives_type := u_OBUF_HSTL_II_DCI_18 + 1; constant u_OBUF_HSTL_III_DCI: primitives_type := u_OBUF_HSTL_III_18 + 1; constant u_OBUF_HSTL_III_DCI_18: primitives_type := u_OBUF_HSTL_III_DCI + 1; constant u_OBUF_LVCMOS12: primitives_type := u_OBUF_HSTL_III_DCI_18 + 1; constant u_OBUF_LVCMOS15: primitives_type := u_OBUF_LVCMOS12 + 1; constant u_OBUF_LVCMOS25: primitives_type := u_OBUF_LVCMOS15 + 1; constant u_OBUF_LVCMOS33: primitives_type := u_OBUF_LVCMOS25 + 1; constant u_OBUF_LVDCI_15: primitives_type := u_OBUF_LVCMOS33 + 1; constant u_OBUF_LVDCI_18: primitives_type := u_OBUF_LVDCI_15 + 1; constant u_OBUF_LVDCI_DV2_15: primitives_type := u_OBUF_LVDCI_18 + 1; constant u_OBUF_LVDCI_DV2_18: primitives_type := u_OBUF_LVDCI_DV2_15 + 1; constant u_OBUF_LVTTL: primitives_type := u_OBUF_LVDCI_DV2_18 + 1; constant u_OBUF_SSTL18_I: primitives_type := u_OBUF_LVTTL + 1; constant u_OBUF_SSTL18_I_DCI: primitives_type := u_OBUF_SSTL18_I + 1; constant u_OBUF_SSTL18_II: primitives_type := u_OBUF_SSTL18_I_DCI + 1; constant u_OBUF_SSTL18_II_DCI: primitives_type := u_OBUF_SSTL18_II + 1; constant u_OBUFT_DCIEN: primitives_type := u_OBUF_SSTL18_II_DCI + 1; constant u_OBUFTDS_BLVDS_25: primitives_type := u_OBUFT_DCIEN + 1; constant u_OBUFTDS_DCIEN: primitives_type := u_OBUFTDS_BLVDS_25 + 1; constant u_OBUFTDS_DCIEN_DUAL_BUF: primitives_type := u_OBUFTDS_DCIEN + 1; constant u_OBUFTDS_DUAL_BUF: primitives_type := u_OBUFTDS_DCIEN_DUAL_BUF + 1; constant u_OBUFTDS_LVDS_25: primitives_type := u_OBUFTDS_DUAL_BUF + 1; constant u_OBUFT_HSTL_I_18: primitives_type := u_OBUFTDS_LVDS_25 + 1; constant u_OBUFT_HSTL_I_DCI: primitives_type := u_OBUFT_HSTL_I_18 + 1; constant u_OBUFT_HSTL_I_DCI_18: primitives_type := u_OBUFT_HSTL_I_DCI + 1; constant u_OBUFT_HSTL_II: primitives_type := u_OBUFT_HSTL_I_DCI_18 + 1; constant u_OBUFT_HSTL_II_18: primitives_type := u_OBUFT_HSTL_II + 1; constant u_OBUFT_HSTL_II_DCI: primitives_type := u_OBUFT_HSTL_II_18 + 1; constant u_OBUFT_HSTL_II_DCI_18: primitives_type := u_OBUFT_HSTL_II_DCI + 1; constant u_OBUFT_HSTL_III_18: primitives_type := u_OBUFT_HSTL_II_DCI_18 + 1; constant u_OBUFT_HSTL_III_DCI: primitives_type := u_OBUFT_HSTL_III_18 + 1; constant u_OBUFT_HSTL_III_DCI_18: primitives_type := u_OBUFT_HSTL_III_DCI + 1; constant u_OBUFT_LVCMOS12: primitives_type := u_OBUFT_HSTL_III_DCI_18 + 1; constant u_OBUFT_LVCMOS15: primitives_type := u_OBUFT_LVCMOS12 + 1; constant u_OBUFT_LVCMOS25: primitives_type := u_OBUFT_LVCMOS15 + 1; constant u_OBUFT_LVCMOS33: primitives_type := u_OBUFT_LVCMOS25 + 1; constant u_OBUFT_LVDCI_15: primitives_type := u_OBUFT_LVCMOS33 + 1; constant u_OBUFT_LVDCI_18: primitives_type := u_OBUFT_LVDCI_15 + 1; constant u_OBUFT_LVDCI_DV2_15: primitives_type := u_OBUFT_LVDCI_18 + 1; constant u_OBUFT_LVDCI_DV2_18: primitives_type := u_OBUFT_LVDCI_DV2_15 + 1; constant u_OBUFT_LVTTL: primitives_type := u_OBUFT_LVDCI_DV2_18 + 1; constant u_OBUFT_SSTL18_I: primitives_type := u_OBUFT_LVTTL + 1; constant u_OBUFT_SSTL18_I_DCI: primitives_type := u_OBUFT_SSTL18_I + 1; constant u_OBUFT_SSTL18_II: primitives_type := u_OBUFT_SSTL18_I_DCI + 1; constant u_OBUFT_SSTL18_II_DCI: primitives_type := u_OBUFT_SSTL18_II + 1; constant u_ODELAYE2: primitives_type := u_OBUFT_SSTL18_II_DCI + 1; constant u_OR2B1: primitives_type := u_ODELAYE2 + 1; constant u_OR2B2: primitives_type := u_OR2B1 + 1; constant u_OR3B1: primitives_type := u_OR2B2 + 1; constant u_OR3B2: primitives_type := u_OR3B1 + 1; constant u_OR3B3: primitives_type := u_OR3B2 + 1; constant u_OR4B1: primitives_type := u_OR3B3 + 1; constant u_OR4B2: primitives_type := u_OR4B1 + 1; constant u_OR4B3: primitives_type := u_OR4B2 + 1; constant u_OR4B4: primitives_type := u_OR4B3 + 1; constant u_OR5: primitives_type := u_OR4B4 + 1; constant u_OR5B1: primitives_type := u_OR5 + 1; constant u_OR5B2: primitives_type := u_OR5B1 + 1; constant u_OR5B3: primitives_type := u_OR5B2 + 1; constant u_OR5B4: primitives_type := u_OR5B3 + 1; constant u_OR5B5: primitives_type := u_OR5B4 + 1; constant u_OSERDESE2: primitives_type := u_OR5B5 + 1; constant u_OUT_FIFO: primitives_type := u_OSERDESE2 + 1; constant u_PCIE_2_1: primitives_type := u_OUT_FIFO + 1; constant u_PHASER_IN: primitives_type := u_PCIE_2_1 + 1; constant u_PHASER_IN_PHY: primitives_type := u_PHASER_IN + 1; constant u_PHASER_OUT: primitives_type := u_PHASER_IN_PHY + 1; constant u_PHASER_OUT_PHY: primitives_type := u_PHASER_OUT + 1; constant u_PHASER_REF: primitives_type := u_PHASER_OUT_PHY + 1; constant u_PHY_CONTROL: primitives_type := u_PHASER_REF + 1; constant u_PLLE2_ADV: primitives_type := u_PHY_CONTROL + 1; constant u_PLLE2_BASE: primitives_type := u_PLLE2_ADV + 1; constant u_PSS: primitives_type := u_PLLE2_BASE + 1; constant u_RAMD32: primitives_type := u_PSS + 1; constant u_RAMD64E: primitives_type := u_RAMD32 + 1; constant u_RAMS32: primitives_type := u_RAMD64E + 1; constant u_RAMS64E: primitives_type := u_RAMS32 + 1; constant u_SIM_CONFIGE2: primitives_type := u_RAMS64E + 1; constant u_STARTUPE2: primitives_type := u_SIM_CONFIGE2 + 1; constant u_USR_ACCESSE2: primitives_type := u_STARTUPE2 + 1; constant u_XADC: primitives_type := u_USR_ACCESSE2 + 1; constant u_XNOR5: primitives_type := u_XADC + 1; constant u_XOR5: primitives_type := u_XNOR5 + 1; constant u_ZHOLD_DELAY: primitives_type := u_XOR5 + 1; -- Primitives added for OLYMPUS support constant u_BUFGCE_DIV : primitives_type := u_ZHOLD_DELAY +1; constant u_BUFCE_ROW : primitives_type := u_BUFGCE_DIV +1; constant u_BUFCE_LEAF : primitives_type := u_BUFCE_ROW +1; constant u_MMCME3_ADV : primitives_type := u_BUFCE_LEAF +1; constant u_MMCME3_BASE : primitives_type := u_MMCME3_ADV +1; constant u_DNA_PORTE3 : primitives_type := u_MMCME3_BASE +1; constant u_FRAME_ECCE3 : primitives_type := u_DNA_PORTE3 +1; constant u_ICAPE3 : primitives_type := u_FRAME_ECCE3 +1; constant u_JTAG_SIME3 : primitives_type := u_ICAPE3 +1; constant u_MCAP : primitives_type := u_JTAG_SIME3 +1; constant u_SIM_CONFIGE3 : primitives_type := u_MCAP +1; constant u_SYSMONE1 : primitives_type := u_SIM_CONFIGE3 +1; constant u_CARRY8 : primitives_type := u_SYSMONE1 +1; constant u_DSP48E2 : primitives_type := u_CARRY8 +1; constant u_DSP_A_B_DATA : primitives_type := u_DSP48E2 +1; constant u_DSP_ALU : primitives_type := u_DSP_A_B_DATA +1; constant u_DSP_C_DATA : primitives_type := u_DSP_ALU +1; constant u_DSP_M_DATA : primitives_type := u_DSP_C_DATA +1; constant u_DSP_MULTIPLIER : primitives_type := u_DSP_M_DATA +1; constant u_DSP_OUTPUT : primitives_type := u_DSP_MULTIPLIER +1; constant u_DSP_PREADD : primitives_type := u_DSP_OUTPUT +1; constant u_DSP_PREADD_DATA : primitives_type := u_DSP_PREADD +1; constant u_FIFO18E2 : primitives_type := u_DSP_PREADD_DATA +1; constant u_FIFO36E2 : primitives_type := u_FIFO18E2 +1; constant u_RAMB18E2 : primitives_type := u_FIFO36E2 +1; constant u_RAMB36E2 : primitives_type := u_RAMB18E2 +1; constant u_RAM256X1D : primitives_type := u_RAMB36E2 +1; constant u_RAM512X1S : primitives_type := u_RAM256X1D +1; constant u_RAM32M16 : primitives_type := u_RAM512X1S +1; constant u_RAM64M8 : primitives_type := u_RAM32M16 +1; constant u_SYNC_UNIT : primitives_type := u_RAM64M8 +1; constant u_BUFG_GT : primitives_type := u_SYNC_UNIT +1; constant u_GTHE3_CHANNEL : primitives_type := u_BUFG_GT +1; constant u_GTHE3_COMMON : primitives_type := u_GTHE3_CHANNEL +1; constant u_GTPE3_CHANNEL : primitives_type := u_GTHE3_COMMON +1; constant u_GTPE3_COMMON : primitives_type := u_GTPE3_CHANNEL +1; constant u_GTY : primitives_type := u_GTPE3_COMMON +1; constant u_GTZE2_OCTAL : primitives_type := u_GTY +1; constant u_IBUFDS_GTE3 : primitives_type := u_GTZE2_OCTAL +1; constant u_OBUFDS_GTE3 : primitives_type := u_IBUFDS_GTE3 +1; constant u_PCIE_3_1 : primitives_type := u_OBUFDS_GTE3 +1; constant u_IDELAYE3 : primitives_type := u_PCIE_3_1 +1; constant u_ISERDESE3 : primitives_type := u_IDELAYE3 +1; constant u_ODELAYE3 : primitives_type := u_ISERDESE3 +1; constant u_OSERDESE3 : primitives_type := u_ODELAYE3 +1; constant u_TXPLL : primitives_type := u_OSERDESE3 +1; constant u_BITSLICE_CONTROL : primitives_type := u_TXPLL +1; constant u_RX_BITSLICE : primitives_type := u_BITSLICE_CONTROL +1; constant u_TX_BITSLICE : primitives_type := u_RX_BITSLICE +1; constant u_IBUFCTRL : primitives_type := u_TX_BITSLICE +1; constant u_DIFFINBUF : primitives_type := u_IBUFCTRL +1; constant u_ADDMACC_MACRO : primitives_type := u_DIFFINBUF +1; constant u_ADDSUB_MACRO : primitives_type := u_ADDMACC_MACRO +1; constant u_BRAM_SDP_MACRO : primitives_type := u_ADDSUB_MACRO +1; constant u_BRAM_SINGLE_MACRO : primitives_type := u_BRAM_SDP_MACRO +1; constant u_BRAM_TDP_MACRO : primitives_type := u_BRAM_SINGLE_MACRO +1; constant u_COUNTER_LOAD_MACRO : primitives_type := u_BRAM_TDP_MACRO +1; constant u_COUNTER_TC_MACRO : primitives_type := u_COUNTER_LOAD_MACRO +1; constant u_EQ_COMPARE_MACRO : primitives_type := u_COUNTER_TC_MACRO +1; constant u_FIFO_DUALCLOCK_MACRO : primitives_type := u_EQ_COMPARE_MACRO +1; constant u_FIFO_SYNC_MACRO : primitives_type := u_FIFO_DUALCLOCK_MACRO +1; constant u_MACC_MACRO : primitives_type := u_FIFO_SYNC_MACRO +1; constant u_MULT_MACRO : primitives_type := u_MACC_MACRO +1; constant u_PLLE3_ADV : primitives_type := u_MULT_MACRO +1; constant u_PLLE3_BASE : primitives_type := u_PLLE3_ADV +1; type primitive_array_type is array (natural range <>) of primitives_type; ---------------------------------------------------------------------------- -- Returns true if primitive is available in family. -- -- Examples: -- -- supported(virtex2, u_RAMB16_S2) returns true because the RAMB16_S2 -- primitive is available in the -- virtex2 family. -- -- supported(spartan3, u_RAM4B_S4) returns false because the RAMB4_S4 -- primitive is not available in the -- spartan3 family. ---------------------------------------------------------------------------- function supported( family : families_type; primitive : primitives_type ) return boolean; ---------------------------------------------------------------------------- -- This is an overload of function 'supported' (see above). It allows a list -- of primitives to be tested. -- -- Returns true if all of primitives in the list are available in family. -- -- Example: supported(spartan3, (u_MUXCY, u_XORCY, u_FD)) -- is -- equivalent to: supported(spartan3, u_MUXCY) and -- supported(spartan3, u_XORCY) and -- supported(spartan3, u_FD); ---------------------------------------------------------------------------- function supported( family : families_type; primitives : primitive_array_type ) return boolean; ---------------------------------------------------------------------------- -- Below, are overloads of function 'supported' that allow the family -- parameter to be passed as a string. These correspond to the above two -- functions otherwise. ---------------------------------------------------------------------------- function supported( fam_as_str : string; primitive : primitives_type ) return boolean; function supported( fam_as_str : string; primitives : primitive_array_type ) return boolean; ---------------------------------------------------------------------------- -- Conversions from/to STRING to/from families_type. -- These are convenience functions that are not normally needed when -- using the 'supported' functions. ---------------------------------------------------------------------------- function str2fam( fam_as_string : string ) return families_type; function fam2str( fam : families_type ) return string; ---------------------------------------------------------------------------- -- Function: native_lut_size -- -- Returns the largest LUT size available in FPGA family, fam. -- If no LUT is available in fam, then returns zero by default, unless -- the call specifies a no_lut_return_val, in which case this value -- is returned. -- -- The function is available in two overload versions, one for each -- way of passing the fam argument. ---------------------------------------------------------------------------- function native_lut_size( fam : families_type; no_lut_return_val : natural := 0 ) return natural; function native_lut_size( fam_as_string : string; no_lut_return_val : natural := 0 ) return natural; ---------------------------------------------------------------------------- -- Function: equalIgnoringCase -- -- Compare one string against another for equality with case insensitivity. -- Can be used to test see if a family, C_FAMILY, is equal to some -- family. However such usage is discouraged. Use instead availability -- primitive guards based on the function, 'supported', wherever possible. ---------------------------------------------------------------------------- function equalIgnoringCase( str1, str2 : string ) return boolean; ---------------------------------------------------------------------------- -- Function: get_root_family -- -- This function takes in the string for the desired FPGA family type and -- returns the root FPGA family type. This is used for derivative part -- aliasing to the root family. ---------------------------------------------------------------------------- function get_root_family( family_in : string ) return string; end package family_support; package body family_support is type prim_status_type is ( n -- no , y -- yes , u -- unknown, not used. However, we use -- an enumeration to allow for -- possible future enhancement. ); type fam_prim_status is array (primitives_type) of prim_status_type; type fam_has_prim_type is array (families_type) of fam_prim_status; -- Performance workaround (XST procedure and function handling). -- The fam_has_prim constant is initialized by an aggregate rather than by the -- following function. A version of this file with this function not -- commented was employed in building the aggregate. So, what is below still -- defines the family-primitive matirix. --# ---------------------------------------------------------------------------- --# -- This function is used to populate the matrix of family/primitive values. --# ---------------------------------------------------------------------------- --# ---( --# function prim_population return fam_has_prim_type is --# variable pp : fam_has_prim_type := (others => (others => n)); --# --# procedure set_to( stat : prim_status_type --# ; fam : families_type --# ; prim_list : primitive_array_type --# ) is --# begin --# for i in prim_list'range loop --# pp(fam)(prim_list(i)) := stat; --# end loop; --# end set_to; --# --# begin --# set_to(y, virtex, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGDLL --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_VIRTEX --# , u_CLKDLL --# , u_CLKDLLHF --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFG --# , u_IBUFG_AGP --# , u_IBUFG_CTT --# , u_IBUFG_GTL --# , u_IBUFG_GTLP --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_IV --# , u_IBUFG_LVCMOS2 --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI33_5 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_SSTL2_I --# , u_IBUFG_SSTL2_II --# , u_IBUFG_SSTL3_I --# , u_IBUFG_SSTL3_II --# , u_IBUF_AGP --# , u_IBUF_CTT --# , u_IBUF_GTL --# , u_IBUF_GTLP --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_IV --# , u_IBUF_LVCMOS2 --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI33_5 --# , u_IBUF_PCI66_3 --# , u_IBUF_SSTL2_I --# , u_IBUF_SSTL2_II --# , u_IBUF_SSTL3_I --# , u_IBUF_SSTL3_II --# , u_INV --# , u_IOBUF --# , u_IOBUF_AGP --# , u_IOBUF_CTT --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_GTL --# , u_IOBUF_GTLP --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_IV --# , u_IOBUF_LVCMOS2 --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI33_5 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_SSTL2_I --# , u_IOBUF_SSTL2_II --# , u_IOBUF_SSTL3_I --# , u_IOBUF_SSTL3_II --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFT --# , u_OBUFT_AGP --# , u_OBUFT_CTT --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_GTL --# , u_OBUFT_GTLP --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_IV --# , u_OBUFT_LVCMOS2 --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI33_5 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_SSTL2_I --# , u_OBUFT_SSTL2_II --# , u_OBUFT_SSTL3_I --# , u_OBUFT_SSTL3_II --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUF_AGP --# , u_OBUF_CTT --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_GTL --# , u_OBUF_GTLP --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_IV --# , u_OBUF_LVCMOS2 --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI33_5 --# , u_OBUF_PCI66_3 --# , u_OBUF_SSTL2_I --# , u_OBUF_SSTL2_II --# , u_OBUF_SSTL3_I --# , u_OBUF_SSTL3_II --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAMB4_S1 --# , u_RAMB4_S16 --# , u_RAMB4_S16_S16 --# , u_RAMB4_S1_S1 --# , u_RAMB4_S1_S16 --# , u_RAMB4_S1_S2 --# , u_RAMB4_S1_S4 --# , u_RAMB4_S1_S8 --# , u_RAMB4_S2 --# , u_RAMB4_S2_S16 --# , u_RAMB4_S2_S2 --# , u_RAMB4_S2_S4 --# , u_RAMB4_S2_S8 --# , u_RAMB4_S4 --# , u_RAMB4_S4_S16 --# , u_RAMB4_S4_S4 --# , u_RAMB4_S4_S8 --# , u_RAMB4_S8 --# , u_RAMB4_S8_S16 --# , u_RAMB4_S8_S8 --# , u_ROM16X1 --# , u_ROM32X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_STARTBUF_VIRTEX --# , u_STARTUP_VIRTEX --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# set_to(y, spartan2, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_SPARTAN2 --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGDLL --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_SPARTAN2 --# , u_CLKDLL --# , u_CLKDLLHF --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFG --# , u_IBUFG_AGP --# , u_IBUFG_CTT --# , u_IBUFG_GTL --# , u_IBUFG_GTLP --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_IV --# , u_IBUFG_LVCMOS2 --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI33_5 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_SSTL2_I --# , u_IBUFG_SSTL2_II --# , u_IBUFG_SSTL3_I --# , u_IBUFG_SSTL3_II --# , u_IBUF_AGP --# , u_IBUF_CTT --# , u_IBUF_GTL --# , u_IBUF_GTLP --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_IV --# , u_IBUF_LVCMOS2 --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI33_5 --# , u_IBUF_PCI66_3 --# , u_IBUF_SSTL2_I --# , u_IBUF_SSTL2_II --# , u_IBUF_SSTL3_I --# , u_IBUF_SSTL3_II --# , u_INV --# , u_IOBUF --# , u_IOBUF_AGP --# , u_IOBUF_CTT --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_GTL --# , u_IOBUF_GTLP --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_IV --# , u_IOBUF_LVCMOS2 --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI33_5 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_SSTL2_I --# , u_IOBUF_SSTL2_II --# , u_IOBUF_SSTL3_I --# , u_IOBUF_SSTL3_II --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFT --# , u_OBUFT_AGP --# , u_OBUFT_CTT --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_GTL --# , u_OBUFT_GTLP --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_IV --# , u_OBUFT_LVCMOS2 --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI33_5 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_SSTL2_I --# , u_OBUFT_SSTL2_II --# , u_OBUFT_SSTL3_I --# , u_OBUFT_SSTL3_II --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUF_AGP --# , u_OBUF_CTT --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_GTL --# , u_OBUF_GTLP --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_IV --# , u_OBUF_LVCMOS2 --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI33_5 --# , u_OBUF_PCI66_3 --# , u_OBUF_SSTL2_I --# , u_OBUF_SSTL2_II --# , u_OBUF_SSTL3_I --# , u_OBUF_SSTL3_II --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAMB4_S1 --# , u_RAMB4_S16 --# , u_RAMB4_S16_S16 --# , u_RAMB4_S1_S1 --# , u_RAMB4_S1_S16 --# , u_RAMB4_S1_S2 --# , u_RAMB4_S1_S4 --# , u_RAMB4_S1_S8 --# , u_RAMB4_S2 --# , u_RAMB4_S2_S16 --# , u_RAMB4_S2_S2 --# , u_RAMB4_S2_S4 --# , u_RAMB4_S2_S8 --# , u_RAMB4_S4 --# , u_RAMB4_S4_S16 --# , u_RAMB4_S4_S4 --# , u_RAMB4_S4_S8 --# , u_RAMB4_S8 --# , u_RAMB4_S8_S16 --# , u_RAMB4_S8_S8 --# , u_ROM16X1 --# , u_ROM32X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_STARTBUF_SPARTAN2 --# , u_STARTUP_SPARTAN2 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# set_to(y, spartan2e, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_SPARTAN2 --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGDLL --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_SPARTAN2 --# , u_CLKDLL --# , u_CLKDLLE --# , u_CLKDLLHF --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFG --# , u_IBUFG_AGP --# , u_IBUFG_CTT --# , u_IBUFG_GTL --# , u_IBUFG_GTLP --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_IV --# , u_IBUFG_LVCMOS18 --# , u_IBUFG_LVCMOS2 --# , u_IBUFG_LVDS --# , u_IBUFG_LVPECL --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_PCIX66_3 --# , u_IBUFG_SSTL2_I --# , u_IBUFG_SSTL2_II --# , u_IBUFG_SSTL3_I --# , u_IBUFG_SSTL3_II --# , u_IBUF_AGP --# , u_IBUF_CTT --# , u_IBUF_GTL --# , u_IBUF_GTLP --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_IV --# , u_IBUF_LVCMOS18 --# , u_IBUF_LVCMOS2 --# , u_IBUF_LVDS --# , u_IBUF_LVPECL --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI66_3 --# , u_IBUF_PCIX66_3 --# , u_IBUF_SSTL2_I --# , u_IBUF_SSTL2_II --# , u_IBUF_SSTL3_I --# , u_IBUF_SSTL3_II --# , u_INV --# , u_IOBUF --# , u_IOBUF_AGP --# , u_IOBUF_CTT --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_GTL --# , u_IOBUF_GTLP --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_IV --# , u_IOBUF_LVCMOS18 --# , u_IOBUF_LVCMOS2 --# , u_IOBUF_LVDS --# , u_IOBUF_LVPECL --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_PCIX66_3 --# , u_IOBUF_SSTL2_I --# , u_IOBUF_SSTL2_II --# , u_IOBUF_SSTL3_I --# , u_IOBUF_SSTL3_II --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFT --# , u_OBUFT_AGP --# , u_OBUFT_CTT --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_GTL --# , u_OBUFT_GTLP --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_IV --# , u_OBUFT_LVCMOS18 --# , u_OBUFT_LVCMOS2 --# , u_OBUFT_LVDS --# , u_OBUFT_LVPECL --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_PCIX66_3 --# , u_OBUFT_SSTL2_I --# , u_OBUFT_SSTL2_II --# , u_OBUFT_SSTL3_I --# , u_OBUFT_SSTL3_II --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUF_AGP --# , u_OBUF_CTT --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_GTL --# , u_OBUF_GTLP --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_IV --# , u_OBUF_LVCMOS18 --# , u_OBUF_LVCMOS2 --# , u_OBUF_LVDS --# , u_OBUF_LVPECL --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI66_3 --# , u_OBUF_PCIX66_3 --# , u_OBUF_SSTL2_I --# , u_OBUF_SSTL2_II --# , u_OBUF_SSTL3_I --# , u_OBUF_SSTL3_II --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAMB4_S1 --# , u_RAMB4_S16 --# , u_RAMB4_S16_S16 --# , u_RAMB4_S1_S1 --# , u_RAMB4_S1_S16 --# , u_RAMB4_S1_S2 --# , u_RAMB4_S1_S4 --# , u_RAMB4_S1_S8 --# , u_RAMB4_S2 --# , u_RAMB4_S2_S16 --# , u_RAMB4_S2_S2 --# , u_RAMB4_S2_S4 --# , u_RAMB4_S2_S8 --# , u_RAMB4_S4 --# , u_RAMB4_S4_S16 --# , u_RAMB4_S4_S4 --# , u_RAMB4_S4_S8 --# , u_RAMB4_S8 --# , u_RAMB4_S8_S16 --# , u_RAMB4_S8_S8 --# , u_ROM16X1 --# , u_ROM32X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_STARTBUF_SPARTAN2 --# , u_STARTUP_SPARTAN2 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# set_to(y, virtexe, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGDLL --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_VIRTEX --# , u_CLKDLL --# , u_CLKDLLE --# , u_CLKDLLHF --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFG --# , u_INV --# , u_IOBUF --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFT --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAMB4_S1 --# , u_RAMB4_S16 --# , u_RAMB4_S16_S16 --# , u_RAMB4_S1_S1 --# , u_RAMB4_S1_S16 --# , u_RAMB4_S1_S2 --# , u_RAMB4_S1_S4 --# , u_RAMB4_S1_S8 --# , u_RAMB4_S2 --# , u_RAMB4_S2_S16 --# , u_RAMB4_S2_S2 --# , u_RAMB4_S2_S4 --# , u_RAMB4_S2_S8 --# , u_RAMB4_S4 --# , u_RAMB4_S4_S16 --# , u_RAMB4_S4_S4 --# , u_RAMB4_S4_S8 --# , u_RAMB4_S8 --# , u_RAMB4_S8_S16 --# , u_RAMB4_S8_S8 --# , u_ROM16X1 --# , u_ROM32X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_STARTBUF_VIRTEX --# , u_STARTUP_VIRTEX --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# set_to(y, virtex2, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX2 --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_VIRTEX2 --# , u_CLKDLL --# , u_CLKDLLE --# , u_CLKDLLHF --# , u_DCM --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX2 --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_VIRTEX2 --# , u_STARTUP_VIRTEX2 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(qvirtex2) := pp(virtex2); --# -- --# pp(qrvirtex2) := pp(virtex2); --# -- --# set_to(y, virtex2p, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX2 --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_VIRTEX2 --# , u_CLKDLL --# , u_CLKDLLE --# , u_CLKDLLHF --# , u_DCM --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_GT10_10GE_4 --# , u_GT10_10GE_8 --# , u_GT10_10GFC_4 --# , u_GT10_10GFC_8 --# , u_GT10_AURORAX_4 --# , u_GT10_AURORAX_8 --# , u_GT10_AURORA_1 --# , u_GT10_AURORA_2 --# , u_GT10_AURORA_4 --# , u_GT10_CUSTOM --# , u_GT10_INFINIBAND_1 --# , u_GT10_INFINIBAND_2 --# , u_GT10_INFINIBAND_4 --# , u_GT10_OC192_4 --# , u_GT10_OC192_8 --# , u_GT10_OC48_1 --# , u_GT10_OC48_2 --# , u_GT10_OC48_4 --# , u_GT10_PCI_EXPRESS_1 --# , u_GT10_PCI_EXPRESS_2 --# , u_GT10_PCI_EXPRESS_4 --# , u_GT10_XAUI_1 --# , u_GT10_XAUI_2 --# , u_GT10_XAUI_4 --# , u_GT_AURORA_1 --# , u_GT_AURORA_2 --# , u_GT_AURORA_4 --# , u_GT_CUSTOM --# , u_GT_ETHERNET_1 --# , u_GT_ETHERNET_2 --# , u_GT_ETHERNET_4 --# , u_GT_FIBRE_CHAN_1 --# , u_GT_FIBRE_CHAN_2 --# , u_GT_FIBRE_CHAN_4 --# , u_GT_INFINIBAND_1 --# , u_GT_INFINIBAND_2 --# , u_GT_INFINIBAND_4 --# , u_GT_XAUI_1 --# , u_GT_XAUI_2 --# , u_GT_XAUI_4 --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX2 --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_JTAGPPC --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_PPC405 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_VIRTEX2 --# , u_STARTUP_VIRTEX2 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# set_to(y, spartan3, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_SPARTAN3 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_CAPTURE_SPARTAN3 --# , u_DCM --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_SPARTAN3 --# , u_STARTUP_SPARTAN3 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(aspartan3) := pp(spartan3); --# -- --# set_to(y, spartan3e, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_SPARTAN3 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_CAPTURE_SPARTAN3E --# , u_DCM --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_IDDR2 --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT18X18SIO --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_ODDR2 --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_SPARTAN3E --# , u_STARTUP_SPARTAN3E --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(aspartan3e) := pp(spartan3e); --# -- --# set_to(y, virtex4fx, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX4 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGMUX_VIRTEX4 --# , u_BUFGP --# , u_BUFGP --# , u_BUFIO --# , u_BUFR --# , u_CAPTURE_VIRTEX4 --# , u_DCIRESET --# , u_DCM --# , u_DCM_ADV --# , u_DCM_BASE --# , u_DCM_PS --# , u_DSP48 --# , u_EMAC --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FIFO16 --# , u_FMAP --# , u_FRAME_ECC_VIRTEX4 --# , u_GND --# , u_GT11CLK --# , u_GT11CLK_MGT --# , u_GT11_CUSTOM --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX4 --# , u_IDDR --# , u_IDELAY --# , u_IDELAYCTRL --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_ISERDES --# , u_JTAGPPC --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_ODDR --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_OSERDES --# , u_PMCD --# , u_PPC405 --# , u_PPC405_ADV --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_RAMB32_S64_ECC --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_VIRTEX4 --# , u_STARTUP_VIRTEX4 --# , u_TOC --# , u_TOCBUF --# , u_USR_ACCESS_VIRTEX4 --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(virtex4sx) := pp(virtex4fx); --# -- --# pp(virtex4lx) := pp(virtex4fx); --# set_to(n, virtex4lx, (u_EMAC, --# u_GT11CLK, u_GT11CLK_MGT, u_GT11_CUSTOM, --# u_JTAGPPC, u_PPC405, u_PPC405_ADV --# ) ); --# -- --# pp(virtex4) := pp(virtex4lx); -- virtex4 is defined as the largest set --# -- of primitives that EVERY virtex4 --# -- device supports, i.e.. a design that uses --# -- the virtex4 subset of primitives --# -- is compatible with any variant of --# -- the virtex4 family. --# -- --# pp(qvirtex4) := pp(virtex4); --# -- --# pp(qrvirtex4) := pp(virtex4); --# -- --# set_to(y, virtex5, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX5 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGMUX_CTRL --# , u_BUFGP --# , u_BUFIO --# , u_BUFR --# , u_CAPTURE_VIRTEX5 --# , u_CARRY4 --# , u_CFGLUT5 --# , u_CRC32 --# , u_CRC64 --# , u_DCIRESET --# , u_DCM --# , u_DCM_ADV --# , u_DCM_BASE --# , u_DCM_PS --# , u_DSP48 --# , u_DSP48E --# , u_EMAC --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FIFO16 --# , u_FIFO18 --# , u_FIFO18_36 --# , u_FIFO36 --# , u_FIFO36_72 --# , u_FMAP --# , u_FRAME_ECC_VIRTEX5 --# , u_GND --# , u_GT11CLK --# , u_GT11CLK_MGT --# , u_GT11_CUSTOM --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX5 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IODELAY --# , u_ISERDES --# , u_ISERDES_NODELAY --# , u_KEEPER --# , u_KEY_CLEAR --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_D --# , u_LUT6_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_ODDR --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_OSERDES --# , u_PLL_ADV --# , u_PLL_BASE --# , u_PMCD --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_RAMB18 --# , u_RAMB18SDP --# , u_RAMB32_S64_ECC --# , u_RAMB36 --# , u_RAMB36SDP --# , u_RAMB36SDP_EXP --# , u_RAMB36_EXP --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_SRLC32E --# , u_STARTUP_VIRTEX5 --# , u_SYSMON --# , u_TOC --# , u_TOCBUF --# , u_USR_ACCESS_VIRTEX5 --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(spartan3a) := pp(spartan3e); -- Populate spartan3a by taking --# -- differences from spartan3e. --# set_to(n, spartan3a, ( --# u_BSCAN_SPARTAN3 --# , u_CAPTURE_SPARTAN3E --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_STARTBUF_SPARTAN3E --# , u_STARTUP_SPARTAN3E --# ) ); --# set_to(y, spartan3a, ( --# u_BSCAN_SPARTAN3A --# , u_CAPTURE_SPARTAN3A --# , u_DCM_PS --# , u_DNA_PORT --# , u_IBUF_DLY_ADJ --# , u_IBUFDS_DLY_ADJ --# , u_ICAP_SPARTAN3A --# , u_RAMB16BWE --# , u_RAMB16BWE_S18 --# , u_RAMB16BWE_S18_S18 --# , u_RAMB16BWE_S18_S9 --# , u_RAMB16BWE_S36 --# , u_RAMB16BWE_S36_S18 --# , u_RAMB16BWE_S36_S36 --# , u_RAMB16BWE_S36_S9 --# , u_SPI_ACCESS --# , u_STARTUP_SPARTAN3A --# ) ); --# --# -- --# pp(aspartan3a) := pp(spartan3a); --# -- --# pp(spartan3an) := pp(spartan3a); --# -- --# pp(spartan3adsp) := pp(spartan3a); --# set_to(y, spartan3adsp, ( --# u_DSP48A --# , u_RAMB16BWER --# ) ); --# -- --# pp(aspartan3adsp) := pp(spartan3adsp); --# -- --# set_to(y, spartan6, ( --# u_AND2 --# , u_AND2B1L --# , u_AND3 --# , u_AND4 --# , u_AUTOBUF --# , u_BSCAN_SPARTAN6 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFH --# , u_BUFIO2 --# , u_BUFIO2_2CLK --# , u_BUFIO2FB --# , u_BUFIO2FB_2CLK --# , u_BUFPLL --# , u_BUFPLL_MCB --# , u_CAPTURE_SPARTAN3A --# , u_DCM --# , u_DCM_CLKGEN --# , u_DCM_PS --# , u_DNA_PORT --# , u_DSP48A1 --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FMAP --# , u_GND --# , u_GTPA1_DUAL --# , u_IBUF --# , u_IBUF_DLY_ADJ --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_DLY_ADJ --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_SPARTAN3A --# , u_ICAP_SPARTAN6 --# , u_IDDR2 --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IODELAY2 --# , u_IODRP2 --# , u_IODRP2_MCB --# , u_ISERDES2 --# , u_JTAG_SIM_SPARTAN6 --# , u_KEEPER --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_D --# , u_LUT6_L --# , u_MCB --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT18X18SIO --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_OCT_CALIBRATE --# , u_ODDR2 --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR2L --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_OSERDES2 --# , u_PCIE_A1 --# , u_PLL_ADV --# , u_POST_CRC_INTERNAL --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAMB16BWE --# , u_RAMB16BWE_S18 --# , u_RAMB16BWE_S18_S18 --# , u_RAMB16BWE_S18_S9 --# , u_RAMB16BWE_S36 --# , u_RAMB16BWE_S36_S18 --# , u_RAMB16BWE_S36_S36 --# , u_RAMB16BWE_S36_S9 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_RAMB8BWER --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SLAVE_SPI --# , u_SPI_ACCESS --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUP_SPARTAN3A --# , u_STARTUP_SPARTAN6 --# , u_SUSPEND_SYNC --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) ); --# -- --# -- --# set_to(y, virtex6, ( --# u_AND2 --# , u_AND2B1L --# , u_AND3 --# , u_AND4 --# , u_AUTOBUF --# , u_BSCAN_VIRTEX6 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGMUX_CTRL --# , u_BUFGP --# , u_BUFH --# , u_BUFHCE --# , u_BUFIO --# , u_BUFIODQS --# , u_BUFR --# , u_CAPTURE_VIRTEX5 --# , u_CAPTURE_VIRTEX6 --# , u_CARRY4 --# , u_CFGLUT5 --# , u_CRC32 --# , u_CRC64 --# , u_DCIRESET --# , u_DCIRESET --# , u_DCM --# , u_DCM_ADV --# , u_DCM_BASE --# , u_DCM_PS --# , u_DSP48 --# , u_DSP48E --# , u_DSP48E1 --# , u_EFUSE_USR --# , u_EMAC --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FIFO16 --# , u_FIFO18 --# , u_FIFO18_36 --# , u_FIFO18E1 --# , u_FIFO36 --# , u_FIFO36_72 --# , u_FIFO36E1 --# , u_FMAP --# , u_FRAME_ECC_VIRTEX5 --# , u_FRAME_ECC_VIRTEX6 --# , u_GND --# , u_GT11CLK --# , u_GT11CLK_MGT --# , u_GT11_CUSTOM --# , u_GTXE1 --# , u_IBUF --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_GTXE1 --# , u_IBUFG --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX5 --# , u_ICAP_VIRTEX6 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUF --# , u_IOBUFDS --# , u_IOBUFDS --# , u_IOBUFDS_DIFF_OUT --# , u_IODELAY --# , u_IODELAYE1 --# , u_ISERDES --# , u_ISERDESE1 --# , u_ISERDES_NODELAY --# , u_JTAG_SIM_VIRTEX6 --# , u_KEEPER --# , u_KEY_CLEAR --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_D --# , u_LUT6_L --# , u_MMCM_ADV --# , u_MMCM_BASE --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_ODDR --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR2L --# , u_OR3 --# , u_OR4 --# , u_OSERDES --# , u_OSERDESE1 --# , u_PCIE_2_0 --# , u_PLL_ADV --# , u_PLL_BASE --# , u_PMCD --# , u_PPR_FRAME --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_RAMB18 --# , u_RAMB18E1 --# , u_RAMB18SDP --# , u_RAMB32_S64_ECC --# , u_RAMB36 --# , u_RAMB36E1 --# , u_RAMB36_EXP --# , u_RAMB36SDP --# , u_RAMB36SDP_EXP --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUP_VIRTEX5 --# , u_STARTUP_VIRTEX6 --# , u_SYSMON --# , u_SYSMON --# , u_TEMAC_SINGLE --# , u_TOC --# , u_TOCBUF --# , u_USR_ACCESS_VIRTEX5 --# , u_USR_ACCESS_VIRTEX6 --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) ); --# -- --# pp(spartan6l) := pp(spartan6); --# -- --# pp(qspartan6) := pp(spartan6); --# -- --# pp(aspartan6) := pp(spartan6); --# -- --# pp(virtex6l) := pp(virtex6); --# -- --# pp(qspartan6l) := pp(spartan6); --# -- --# pp(qvirtex5) := pp(virtex5); --# -- --# pp(qvirtex6) := pp(virtex6); --# -- --# pp(qrvirtex5) := pp(virtex5); --# -- --# pp(virtex5tx) := pp(virtex5); --# -- --# pp(virtex5fx) := pp(virtex5); --# -- --# pp(virtex6cx) := pp(virtex6); --# -- --# set_to(y, kintex7, ( --# u_AND2 --# , u_AND2B1 --# , u_AND2B1L --# , u_AND2B2 --# , u_AND3 --# , u_AND3B1 --# , u_AND3B2 --# , u_AND3B3 --# , u_AND4 --# , u_AND4B1 --# , u_AND4B2 --# , u_AND4B3 --# , u_AND4B4 --# , u_AND5 --# , u_AND5B1 --# , u_AND5B2 --# , u_AND5B3 --# , u_AND5B4 --# , u_AND5B5 --# , u_AUTOBUF --# , u_BSCANE2 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFH --# , u_BUFHCE --# , u_BUFIO --# , u_BUFMR --# , u_BUFMRCE --# , u_BUFR --# , u_BUFT --# , u_CAPTUREE2 --# , u_CARRY4 --# , u_CFGLUT5 --# , u_DCIRESET --# , u_DNA_PORT --# , u_DSP48E1 --# , u_EFUSE_USR --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FIFO18E1 --# , u_FIFO36E1 --# , u_FMAP --# , u_FRAME_ECCE2 --# , u_GND --# , u_GTXE2_CHANNEL --# , u_GTXE2_COMMON --# , u_IBUF --# , u_IBUF_DCIEN --# , u_IBUFDS --# , u_IBUFDS_BLVDS_25 --# , u_IBUFDS_DCIEN --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_DIFF_OUT_DCIEN --# , u_IBUFDS_GTE2 --# , u_IBUFDS_LVDS_25 --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_BLVDS_25 --# , u_IBUFGDS_DIFF_OUT --# , u_IBUFGDS_LVDS_25 --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_I_18 --# , u_IBUFG_HSTL_I_DCI --# , u_IBUFG_HSTL_I_DCI_18 --# , u_IBUFG_HSTL_II --# , u_IBUFG_HSTL_II_18 --# , u_IBUFG_HSTL_II_DCI --# , u_IBUFG_HSTL_II_DCI_18 --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_III_18 --# , u_IBUFG_HSTL_III_DCI --# , u_IBUFG_HSTL_III_DCI_18 --# , u_IBUFG_LVCMOS12 --# , u_IBUFG_LVCMOS15 --# , u_IBUFG_LVCMOS18 --# , u_IBUFG_LVCMOS25 --# , u_IBUFG_LVCMOS33 --# , u_IBUFG_LVDCI_15 --# , u_IBUFG_LVDCI_18 --# , u_IBUFG_LVDCI_DV2_15 --# , u_IBUFG_LVDCI_DV2_18 --# , u_IBUFG_LVDS --# , u_IBUFG_LVPECL --# , u_IBUFG_LVTTL --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_PCIX66_3 --# , u_IBUFG_SSTL18_I --# , u_IBUFG_SSTL18_I_DCI --# , u_IBUFG_SSTL18_II --# , u_IBUFG_SSTL18_II_DCI --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_I_18 --# , u_IBUF_HSTL_I_DCI --# , u_IBUF_HSTL_I_DCI_18 --# , u_IBUF_HSTL_II --# , u_IBUF_HSTL_II_18 --# , u_IBUF_HSTL_II_DCI --# , u_IBUF_HSTL_II_DCI_18 --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_III_18 --# , u_IBUF_HSTL_III_DCI --# , u_IBUF_HSTL_III_DCI_18 --# , u_IBUF_LVCMOS12 --# , u_IBUF_LVCMOS15 --# , u_IBUF_LVCMOS18 --# , u_IBUF_LVCMOS25 --# , u_IBUF_LVCMOS33 --# , u_IBUF_LVDCI_15 --# , u_IBUF_LVDCI_18 --# , u_IBUF_LVDCI_DV2_15 --# , u_IBUF_LVDCI_DV2_18 --# , u_IBUF_LVDS --# , u_IBUF_LVPECL --# , u_IBUF_LVTTL --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI66_3 --# , u_IBUF_PCIX66_3 --# , u_IBUF_SSTL18_I --# , u_IBUF_SSTL18_I_DCI --# , u_IBUF_SSTL18_II --# , u_IBUF_SSTL18_II_DCI --# , u_ICAPE2 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IDELAYE2 --# , u_IN_FIFO --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IOBUFDS_BLVDS_25 --# , u_IOBUFDS_DIFF_OUT --# , u_IOBUFDS_DIFF_OUT_DCIEN --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_I_18 --# , u_IOBUF_HSTL_II --# , u_IOBUF_HSTL_II_18 --# , u_IOBUF_HSTL_II_DCI --# , u_IOBUF_HSTL_II_DCI_18 --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_III_18 --# , u_IOBUF_LVCMOS12 --# , u_IOBUF_LVCMOS15 --# , u_IOBUF_LVCMOS18 --# , u_IOBUF_LVCMOS25 --# , u_IOBUF_LVCMOS33 --# , u_IOBUF_LVDCI_15 --# , u_IOBUF_LVDCI_18 --# , u_IOBUF_LVDCI_DV2_15 --# , u_IOBUF_LVDCI_DV2_18 --# , u_IOBUF_LVDS --# , u_IOBUF_LVPECL --# , u_IOBUF_LVTTL --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_PCIX66_3 --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_IOBUF_SSTL18_I --# , u_IOBUF_SSTL18_II --# , u_IOBUF_SSTL18_II_DCI --# , u_IODELAY --# , u_IODELAYE1 --# , u_ISERDESE2 --# , u_JTAG_SIME2 --# , u_KEEPER --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_2 --# , u_LUT6_D --# , u_LUT6_L --# , u_MMCME2_ADV --# , u_MMCME2_BASE --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND2B1 --# , u_NAND2B2 --# , u_NAND3 --# , u_NAND3B1 --# , u_NAND3B2 --# , u_NAND3B3 --# , u_NAND4 --# , u_NAND4B1 --# , u_NAND4B2 --# , u_NAND4B3 --# , u_NAND4B4 --# , u_NAND5 --# , u_NAND5B1 --# , u_NAND5B2 --# , u_NAND5B3 --# , u_NAND5B4 --# , u_NAND5B5 --# , u_NOR2 --# , u_NOR2B1 --# , u_NOR2B2 --# , u_NOR3 --# , u_NOR3B1 --# , u_NOR3B2 --# , u_NOR3B3 --# , u_NOR4 --# , u_NOR4B1 --# , u_NOR4B2 --# , u_NOR4B3 --# , u_NOR4B4 --# , u_NOR5 --# , u_NOR5B1 --# , u_NOR5B2 --# , u_NOR5B3 --# , u_NOR5B4 --# , u_NOR5B5 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFDS_BLVDS_25 --# , u_OBUFDS_DUAL_BUF --# , u_OBUFDS_LVDS_25 --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_I_18 --# , u_OBUF_HSTL_I_DCI --# , u_OBUF_HSTL_I_DCI_18 --# , u_OBUF_HSTL_II --# , u_OBUF_HSTL_II_18 --# , u_OBUF_HSTL_II_DCI --# , u_OBUF_HSTL_II_DCI_18 --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_III_18 --# , u_OBUF_HSTL_III_DCI --# , u_OBUF_HSTL_III_DCI_18 --# , u_OBUF_LVCMOS12 --# , u_OBUF_LVCMOS15 --# , u_OBUF_LVCMOS18 --# , u_OBUF_LVCMOS25 --# , u_OBUF_LVCMOS33 --# , u_OBUF_LVDCI_15 --# , u_OBUF_LVDCI_18 --# , u_OBUF_LVDCI_DV2_15 --# , u_OBUF_LVDCI_DV2_18 --# , u_OBUF_LVDS --# , u_OBUF_LVPECL --# , u_OBUF_LVTTL --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI66_3 --# , u_OBUF_PCIX66_3 --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OBUF_SSTL18_I --# , u_OBUF_SSTL18_I_DCI --# , u_OBUF_SSTL18_II --# , u_OBUF_SSTL18_II_DCI --# , u_OBUFT --# , u_OBUFT_DCIEN --# , u_OBUFTDS --# , u_OBUFTDS_BLVDS_25 --# , u_OBUFTDS_DCIEN --# , u_OBUFTDS_DCIEN_DUAL_BUF --# , u_OBUFTDS_DUAL_BUF --# , u_OBUFTDS_LVDS_25 --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_I_18 --# , u_OBUFT_HSTL_I_DCI --# , u_OBUFT_HSTL_I_DCI_18 --# , u_OBUFT_HSTL_II --# , u_OBUFT_HSTL_II_18 --# , u_OBUFT_HSTL_II_DCI --# , u_OBUFT_HSTL_II_DCI_18 --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_III_18 --# , u_OBUFT_HSTL_III_DCI --# , u_OBUFT_HSTL_III_DCI_18 --# , u_OBUFT_LVCMOS12 --# , u_OBUFT_LVCMOS15 --# , u_OBUFT_LVCMOS18 --# , u_OBUFT_LVCMOS25 --# , u_OBUFT_LVCMOS33 --# , u_OBUFT_LVDCI_15 --# , u_OBUFT_LVDCI_18 --# , u_OBUFT_LVDCI_DV2_15 --# , u_OBUFT_LVDCI_DV2_18 --# , u_OBUFT_LVDS --# , u_OBUFT_LVPECL --# , u_OBUFT_LVTTL --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_PCIX66_3 --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUFT_SSTL18_I --# , u_OBUFT_SSTL18_I_DCI --# , u_OBUFT_SSTL18_II --# , u_OBUFT_SSTL18_II_DCI --# , u_ODDR --# , u_ODELAYE2 --# , u_OR2 --# , u_OR2B1 --# , u_OR2B2 --# , u_OR2L --# , u_OR3 --# , u_OR3B1 --# , u_OR3B2 --# , u_OR3B3 --# , u_OR4 --# , u_OR4B1 --# , u_OR4B2 --# , u_OR4B3 --# , u_OR4B4 --# , u_OR5 --# , u_OR5B1 --# , u_OR5B2 --# , u_OR5B3 --# , u_OR5B4 --# , u_OR5B5 --# , u_OSERDESE2 --# , u_OUT_FIFO --# , u_PCIE_2_1 --# , u_PHASER_IN --# , u_PHASER_IN_PHY --# , u_PHASER_OUT --# , u_PHASER_OUT_PHY --# , u_PHASER_REF --# , u_PHY_CONTROL --# , u_PLLE2_ADV --# , u_PLLE2_BASE --# , u_PSS --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S4_S36 --# , u_RAMB18E1 --# , u_RAMB36E1 --# , u_RAMD32 --# , u_RAMD64E --# , u_RAMS32 --# , u_RAMS64E --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SIM_CONFIGE2 --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUPE2 --# , u_USR_ACCESSE2 --# , u_VCC --# , u_XADC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XNOR5 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XOR5 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# , u_ZHOLD_DELAY --# ) ); --# -- --# set_to(y, virtex7, ( --# u_AND2 --# , u_AND2B1 --# , u_AND2B1L --# , u_AND2B2 --# , u_AND3 --# , u_AND3B1 --# , u_AND3B2 --# , u_AND3B3 --# , u_AND4 --# , u_AND4B1 --# , u_AND4B2 --# , u_AND4B3 --# , u_AND4B4 --# , u_AND5 --# , u_AND5B1 --# , u_AND5B2 --# , u_AND5B3 --# , u_AND5B4 --# , u_AND5B5 --# , u_AUTOBUF --# , u_BSCANE2 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFH --# , u_BUFHCE --# , u_BUFIO --# , u_BUFMR --# , u_BUFMRCE --# , u_BUFR --# , u_BUFT --# , u_CAPTUREE2 --# , u_CARRY4 --# , u_CFG_IO_ACCESS --# , u_CFGLUT5 --# , u_DCIRESET --# , u_DNA_PORT --# , u_DSP48E1 --# , u_EFUSE_USR --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FIFO18E1 --# , u_FIFO36E1 --# , u_FMAP --# , u_FRAME_ECCE2 --# , u_GND --# , u_GTXE2_CHANNEL --# , u_GTXE2_COMMON --# , u_IBUF --# , u_IBUF_DCIEN --# , u_IBUFDS --# , u_IBUFDS_BLVDS_25 --# , u_IBUFDS_DCIEN --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_DIFF_OUT_DCIEN --# , u_IBUFDS_GTE2 --# , u_IBUFDS_LVDS_25 --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_BLVDS_25 --# , u_IBUFGDS_DIFF_OUT --# , u_IBUFGDS_LVDS_25 --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_I_18 --# , u_IBUFG_HSTL_I_DCI --# , u_IBUFG_HSTL_I_DCI_18 --# , u_IBUFG_HSTL_II --# , u_IBUFG_HSTL_II_18 --# , u_IBUFG_HSTL_II_DCI --# , u_IBUFG_HSTL_II_DCI_18 --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_III_18 --# , u_IBUFG_HSTL_III_DCI --# , u_IBUFG_HSTL_III_DCI_18 --# , u_IBUFG_LVCMOS12 --# , u_IBUFG_LVCMOS15 --# , u_IBUFG_LVCMOS18 --# , u_IBUFG_LVCMOS25 --# , u_IBUFG_LVCMOS33 --# , u_IBUFG_LVDCI_15 --# , u_IBUFG_LVDCI_18 --# , u_IBUFG_LVDCI_DV2_15 --# , u_IBUFG_LVDCI_DV2_18 --# , u_IBUFG_LVDS --# , u_IBUFG_LVPECL --# , u_IBUFG_LVTTL --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_PCIX66_3 --# , u_IBUFG_SSTL18_I --# , u_IBUFG_SSTL18_I_DCI --# , u_IBUFG_SSTL18_II --# , u_IBUFG_SSTL18_II_DCI --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_I_18 --# , u_IBUF_HSTL_I_DCI --# , u_IBUF_HSTL_I_DCI_18 --# , u_IBUF_HSTL_II --# , u_IBUF_HSTL_II_18 --# , u_IBUF_HSTL_II_DCI --# , u_IBUF_HSTL_II_DCI_18 --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_III_18 --# , u_IBUF_HSTL_III_DCI --# , u_IBUF_HSTL_III_DCI_18 --# , u_IBUF_LVCMOS12 --# , u_IBUF_LVCMOS15 --# , u_IBUF_LVCMOS18 --# , u_IBUF_LVCMOS25 --# , u_IBUF_LVCMOS33 --# , u_IBUF_LVDCI_15 --# , u_IBUF_LVDCI_18 --# , u_IBUF_LVDCI_DV2_15 --# , u_IBUF_LVDCI_DV2_18 --# , u_IBUF_LVDS --# , u_IBUF_LVPECL --# , u_IBUF_LVTTL --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI66_3 --# , u_IBUF_PCIX66_3 --# , u_IBUF_SSTL18_I --# , u_IBUF_SSTL18_I_DCI --# , u_IBUF_SSTL18_II --# , u_IBUF_SSTL18_II_DCI --# , u_ICAPE2 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IDELAYE2 --# , u_IN_FIFO --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IOBUFDS_BLVDS_25 --# , u_IOBUFDS_DIFF_OUT --# , u_IOBUFDS_DIFF_OUT_DCIEN --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_I_18 --# , u_IOBUF_HSTL_II --# , u_IOBUF_HSTL_II_18 --# , u_IOBUF_HSTL_II_DCI --# , u_IOBUF_HSTL_II_DCI_18 --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_III_18 --# , u_IOBUF_LVCMOS12 --# , u_IOBUF_LVCMOS15 --# , u_IOBUF_LVCMOS18 --# , u_IOBUF_LVCMOS25 --# , u_IOBUF_LVCMOS33 --# , u_IOBUF_LVDCI_15 --# , u_IOBUF_LVDCI_18 --# , u_IOBUF_LVDCI_DV2_15 --# , u_IOBUF_LVDCI_DV2_18 --# , u_IOBUF_LVDS --# , u_IOBUF_LVPECL --# , u_IOBUF_LVTTL --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_PCIX66_3 --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_IOBUF_SSTL18_I --# , u_IOBUF_SSTL18_II --# , u_IOBUF_SSTL18_II_DCI --# , u_IODELAY --# , u_IODELAYE1 --# , u_ISERDESE2 --# , u_JTAG_SIME2 --# , u_KEEPER --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_2 --# , u_LUT6_D --# , u_LUT6_L --# , u_MMCME2_ADV --# , u_MMCME2_BASE --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND2B1 --# , u_NAND2B2 --# , u_NAND3 --# , u_NAND3B1 --# , u_NAND3B2 --# , u_NAND3B3 --# , u_NAND4 --# , u_NAND4B1 --# , u_NAND4B2 --# , u_NAND4B3 --# , u_NAND4B4 --# , u_NAND5 --# , u_NAND5B1 --# , u_NAND5B2 --# , u_NAND5B3 --# , u_NAND5B4 --# , u_NAND5B5 --# , u_NOR2 --# , u_NOR2B1 --# , u_NOR2B2 --# , u_NOR3 --# , u_NOR3B1 --# , u_NOR3B2 --# , u_NOR3B3 --# , u_NOR4 --# , u_NOR4B1 --# , u_NOR4B2 --# , u_NOR4B3 --# , u_NOR4B4 --# , u_NOR5 --# , u_NOR5B1 --# , u_NOR5B2 --# , u_NOR5B3 --# , u_NOR5B4 --# , u_NOR5B5 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFDS_BLVDS_25 --# , u_OBUFDS_DUAL_BUF --# , u_OBUFDS_LVDS_25 --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_I_18 --# , u_OBUF_HSTL_I_DCI --# , u_OBUF_HSTL_I_DCI_18 --# , u_OBUF_HSTL_II --# , u_OBUF_HSTL_II_18 --# , u_OBUF_HSTL_II_DCI --# , u_OBUF_HSTL_II_DCI_18 --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_III_18 --# , u_OBUF_HSTL_III_DCI --# , u_OBUF_HSTL_III_DCI_18 --# , u_OBUF_LVCMOS12 --# , u_OBUF_LVCMOS15 --# , u_OBUF_LVCMOS18 --# , u_OBUF_LVCMOS25 --# , u_OBUF_LVCMOS33 --# , u_OBUF_LVDCI_15 --# , u_OBUF_LVDCI_18 --# , u_OBUF_LVDCI_DV2_15 --# , u_OBUF_LVDCI_DV2_18 --# , u_OBUF_LVDS --# , u_OBUF_LVPECL --# , u_OBUF_LVTTL --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI66_3 --# , u_OBUF_PCIX66_3 --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OBUF_SSTL18_I --# , u_OBUF_SSTL18_I_DCI --# , u_OBUF_SSTL18_II --# , u_OBUF_SSTL18_II_DCI --# , u_OBUFT --# , u_OBUFT_DCIEN --# , u_OBUFTDS --# , u_OBUFTDS_BLVDS_25 --# , u_OBUFTDS_DCIEN --# , u_OBUFTDS_DCIEN_DUAL_BUF --# , u_OBUFTDS_DUAL_BUF --# , u_OBUFTDS_LVDS_25 --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_I_18 --# , u_OBUFT_HSTL_I_DCI --# , u_OBUFT_HSTL_I_DCI_18 --# , u_OBUFT_HSTL_II --# , u_OBUFT_HSTL_II_18 --# , u_OBUFT_HSTL_II_DCI --# , u_OBUFT_HSTL_II_DCI_18 --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_III_18 --# , u_OBUFT_HSTL_III_DCI --# , u_OBUFT_HSTL_III_DCI_18 --# , u_OBUFT_LVCMOS12 --# , u_OBUFT_LVCMOS15 --# , u_OBUFT_LVCMOS18 --# , u_OBUFT_LVCMOS25 --# , u_OBUFT_LVCMOS33 --# , u_OBUFT_LVDCI_15 --# , u_OBUFT_LVDCI_18 --# , u_OBUFT_LVDCI_DV2_15 --# , u_OBUFT_LVDCI_DV2_18 --# , u_OBUFT_LVDS --# , u_OBUFT_LVPECL --# , u_OBUFT_LVTTL --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_PCIX66_3 --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUFT_SSTL18_I --# , u_OBUFT_SSTL18_I_DCI --# , u_OBUFT_SSTL18_II --# , u_OBUFT_SSTL18_II_DCI --# , u_ODDR --# , u_ODELAYE2 --# , u_OR2 --# , u_OR2B1 --# , u_OR2B2 --# , u_OR2L --# , u_OR3 --# , u_OR3B1 --# , u_OR3B2 --# , u_OR3B3 --# , u_OR4 --# , u_OR4B1 --# , u_OR4B2 --# , u_OR4B3 --# , u_OR4B4 --# , u_OR5 --# , u_OR5B1 --# , u_OR5B2 --# , u_OR5B3 --# , u_OR5B4 --# , u_OR5B5 --# , u_OSERDESE2 --# , u_OUT_FIFO --# , u_PCIE_2_1 --# , u_PHASER_IN --# , u_PHASER_IN_PHY --# , u_PHASER_OUT --# , u_PHASER_OUT_PHY --# , u_PHASER_REF --# , u_PHY_CONTROL --# , u_PLLE2_ADV --# , u_PLLE2_BASE --# , u_PSS --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S4_S36 --# , u_RAMB36E1 --# , u_RAMB36E1 --# , u_RAMD32 --# , u_RAMD64E --# , u_RAMS32 --# , u_RAMS64E --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SIM_CONFIGE2 --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUPE2 --# , u_USR_ACCESSE2 --# , u_VCC --# , u_XADC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XNOR5 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XOR5 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# , u_ZHOLD_DELAY --# ) ); --# -- --# set_to(y, artix7, ( --# u_AND2 --# , u_AND2B1 --# , u_AND2B1L --# , u_AND2B2 --# , u_AND3 --# , u_AND3B1 --# , u_AND3B2 --# , u_AND3B3 --# , u_AND4 --# , u_AND4B1 --# , u_AND4B2 --# , u_AND4B3 --# , u_AND4B4 --# , u_AND5 --# , u_AND5B1 --# , u_AND5B2 --# , u_AND5B3 --# , u_AND5B4 --# , u_AND5B5 --# , u_AUTOBUF --# , u_BSCANE2 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFH --# , u_BUFHCE --# , u_BUFIO --# , u_BUFMR --# , u_BUFMRCE --# , u_BUFR --# , u_BUFT --# , u_CAPTUREE2 --# , u_CARRY4 --# , u_CFGLUT5 --# , u_DCIRESET --# , u_DNA_PORT --# , u_DSP48E1 --# , u_EFUSE_USR --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FIFO18E1 --# , u_FIFO36E1 --# , u_FMAP --# , u_FRAME_ECCE2 --# , u_GND --# , u_IBUF --# , u_IBUF_DCIEN --# , u_IBUFDS --# , u_IBUFDS_DCIEN --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_DIFF_OUT_DCIEN --# , u_IBUFDS_GTE2 --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_IBUFG_LVDS --# , u_IBUFG_LVPECL --# , u_IBUFG_PCIX66_3 --# , u_IBUF_LVDS --# , u_IBUF_LVPECL --# , u_IBUF_PCIX66_3 --# , u_ICAPE2 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IDELAYE2 --# , u_IN_FIFO --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IOBUFDS_DIFF_OUT --# , u_IOBUFDS_DIFF_OUT_DCIEN --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_LVDS --# , u_IOBUF_LVPECL --# , u_IOBUF_PCIX66_3 --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_IODELAY --# , u_IODELAYE1 --# , u_ISERDESE2 --# , u_JTAG_SIME2 --# , u_KEEPER --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_2 --# , u_LUT6_D --# , u_LUT6_L --# , u_MMCME2_ADV --# , u_MMCME2_BASE --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND2B1 --# , u_NAND2B2 --# , u_NAND3 --# , u_NAND3B1 --# , u_NAND3B2 --# , u_NAND3B3 --# , u_NAND4 --# , u_NAND4B1 --# , u_NAND4B2 --# , u_NAND4B3 --# , u_NAND4B4 --# , u_NAND5 --# , u_NAND5B1 --# , u_NAND5B2 --# , u_NAND5B3 --# , u_NAND5B4 --# , u_NAND5B5 --# , u_NOR2 --# , u_NOR2B1 --# , u_NOR2B2 --# , u_NOR3 --# , u_NOR3B1 --# , u_NOR3B2 --# , u_NOR3B3 --# , u_NOR4 --# , u_NOR4B1 --# , u_NOR4B2 --# , u_NOR4B3 --# , u_NOR4B4 --# , u_NOR5 --# , u_NOR5B1 --# , u_NOR5B2 --# , u_NOR5B3 --# , u_NOR5B4 --# , u_NOR5B5 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFDS_DUAL_BUF --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_LVDS --# , u_OBUF_LVPECL --# , u_OBUF_PCIX66_3 --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OBUFT --# , u_OBUFT_DCIEN --# , u_OBUFTDS --# , u_OBUFTDS_DCIEN --# , u_OBUFTDS_DCIEN_DUAL_BUF --# , u_OBUFTDS_DUAL_BUF --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_LVDS --# , u_OBUFT_LVPECL --# , u_OBUFT_PCIX66_3 --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_ODDR --# , u_ODELAYE2 --# , u_OR2 --# , u_OR2B1 --# , u_OR2B2 --# , u_OR2L --# , u_OR3 --# , u_OR3B1 --# , u_OR3B2 --# , u_OR3B3 --# , u_OR4 --# , u_OR4B1 --# , u_OR4B2 --# , u_OR4B3 --# , u_OR4B4 --# , u_OR5 --# , u_OR5B1 --# , u_OR5B2 --# , u_OR5B3 --# , u_OR5B4 --# , u_OR5B5 --# , u_OSERDESE2 --# , u_OUT_FIFO --# , u_PCIE_2_1 --# , u_PHASER_IN --# , u_PHASER_IN_PHY --# , u_PHASER_OUT --# , u_PHASER_OUT_PHY --# , u_PHASER_REF --# , u_PHY_CONTROL --# , u_PLLE2_ADV --# , u_PLLE2_BASE --# , u_PSS --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S4_S36 --# , u_RAMB18E1 --# , u_RAMB36E1 --# , u_RAMD32 --# , u_RAMD64E --# , u_RAMS32 --# , u_RAMS64E --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SIM_CONFIGE2 --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUPE2 --# , u_USR_ACCESSE2 --# , u_VCC --# , u_XADC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XNOR5 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XOR5 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# , u_ZHOLD_DELAY --# ) ); --# -- --# return pp; --# end prim_population; --# ---) --# --#constant fam_has_prim : fam_has_prim_type := prim_population; constant fam_has_prim : fam_has_prim_type := ( nofamily => ( n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), kintex7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), kintex7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qkintex7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qkintex7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), virtex7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), virtex7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qvirtex7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qvirtex7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), artix7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), aartix7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), artix7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qartix7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qartix7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), zynq => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), azynq => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qzynq => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), virtex8 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, y, y, y, y, y, y, n, n, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y), kintex8 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, y, y, y, y, y, y, n, n, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y), artix8 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, y, y, y, y, y, y, n, n, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y) ); function supported( family : families_type; primitive : primitives_type ) return boolean is begin return fam_has_prim(family)(primitive) = y; end supported; function supported( family : families_type; primitives : primitive_array_type ) return boolean is begin for i in primitives'range loop if fam_has_prim(family)(primitives(i)) /= y then return false; end if; end loop; return true; end supported; ---------------------------------------------------------------------------- -- This function is used as alternative to the 'IMAGE attribute, which -- is not correctly interpretted by some vhdl tools. ---------------------------------------------------------------------------- function myimage (fam_type : families_type) return string is variable temp : families_type :=fam_type; begin case temp is when nofamily => return "nofamily" ; when virtex8 => return "virtex8" ; when virtex7 => return "virtex7" ; when virtex7l => return "virtex7l" ; when qvirtex7 => return "qvirtex7" ; when qvirtex7l => return "qvirtex7l" ; when kintex8 => return "kintex8" ; when kintex7 => return "kintex7" ; when kintex7l => return "kintex7l" ; when qkintex7 => return "qkintex7" ; when qkintex7l => return "qkintex7l" ; when artix8 => return "artix8" ; when artix7 => return "artix7" ; when aartix7 => return "aartix7" ; when artix7l => return "artix7l" ; when qartix7 => return "qartix7" ; when qartix7l => return "qartix7l" ; when zynq => return "zynq" ; when azynq => return "azynq" ; when qzynq => return "qzynq" ; end case; end myimage; ---------------------------------------------------------------------------- -- Function: get_root_family -- -- This function takes in the string for the desired FPGA family type and -- returns the root FPGA family type string. This is used for derivative part -- aliasing to the root family. This is primarily for fifo_generator and -- blk_mem_gen calls that need the root family passed to the call. ---------------------------------------------------------------------------- function get_root_family(family_in : string) return string is begin -- Virtex7 Root family if (equalIgnoringCase(family_in, "virtex7" )) Then return "virtex7" ; Elsif (equalIgnoringCase(family_in, "virtex7l" )) Then return "virtex7" ; Elsif (equalIgnoringCase(family_in, "qvirtex7" )) Then return "virtex7" ; Elsif (equalIgnoringCase(family_in, "qvirtex7l" )) Then return "virtex7" ; -- Kintex7 Root family Elsif (equalIgnoringCase(family_in, "kintex7" )) Then return "kintex7" ; Elsif (equalIgnoringCase(family_in, "kintex7l" )) Then return "kintex7" ; Elsif (equalIgnoringCase(family_in, "qkintex7" )) Then return "kintex7" ; Elsif (equalIgnoringCase(family_in, "qkintex7l" )) Then return "kintex7" ; -- artix7 Root family Elsif (equalIgnoringCase(family_in, "artix7" )) Then return "artix7" ; Elsif (equalIgnoringCase(family_in, "aartix7" )) Then return "artix7" ; Elsif (equalIgnoringCase(family_in, "artix7l" )) Then return "artix7" ; Elsif (equalIgnoringCase(family_in, "qartix7" )) Then return "artix7" ; Elsif (equalIgnoringCase(family_in, "qartix7l" )) Then return "artix7" ; -- zynq Root family Elsif (equalIgnoringCase(family_in, "zynq" )) Then return "zynq" ; Elsif (equalIgnoringCase(family_in, "azynq" )) Then return "zynq" ; Elsif (equalIgnoringCase(family_in, "qzynq" )) Then return "zynq" ; -- Kintex8 Root family Elsif (equalIgnoringCase(family_in, "kintex8" )) Then return "kintex8" ; -- Virtex8 Root family Elsif (equalIgnoringCase(family_in, "virtex8" )) Then return "virtex8" ; -- artix8 Root family Elsif (equalIgnoringCase(family_in, "artix8" )) Then return "artix8" ; -- No Match to supported families and derivatives Else return "nofamily"; End if; end get_root_family; function toLowerCaseChar( char : character ) return character is begin -- If char is not an upper case letter then return char if char < 'A' OR char > 'Z' then return char; end if; -- Otherwise map char to its corresponding lower case character and -- return that case char is when 'A' => return 'a'; when 'B' => return 'b'; when 'C' => return 'c'; when 'D' => return 'd'; when 'E' => return 'e'; when 'F' => return 'f'; when 'G' => return 'g'; when 'H' => return 'h'; when 'I' => return 'i'; when 'J' => return 'j'; when 'K' => return 'k'; when 'L' => return 'l'; when 'M' => return 'm'; when 'N' => return 'n'; when 'O' => return 'o'; when 'P' => return 'p'; when 'Q' => return 'q'; when 'R' => return 'r'; when 'S' => return 's'; when 'T' => return 't'; when 'U' => return 'u'; when 'V' => return 'v'; when 'W' => return 'w'; when 'X' => return 'x'; when 'Y' => return 'y'; when 'Z' => return 'z'; when others => return char; end case; end toLowerCaseChar; ---------------------------------------------------------------------------- -- Function: equalIgnoringCase -- -- Compare one string against another for equality with case insensitivity. -- Can be used to test see if a family, C_FAMILY, is equal to some -- family. However such usage is discouraged. Use instead availability -- primitive guards based on the function, 'supported', wherever possible. ---------------------------------------------------------------------------- function equalIgnoringCase( str1, str2 : string ) return boolean is constant LEN1 : integer := str1'length; constant LEN2 : integer := str2'length; variable equal : boolean := TRUE; begin if not (LEN1 = LEN2) then equal := FALSE; else for i in str1'range loop if not (toLowerCaseChar(str1(i)) = toLowerCaseChar(str2(i))) then equal := FALSE; end if; end loop; end if; return equal; end equalIgnoringCase; ---------------------------------------------------------------------------- -- Conversions from/to STRING to/from families_type. -- These are convenience functions that are not normally needed when -- using the 'supported' functions. ---------------------------------------------------------------------------- function str2fam( fam_as_string : string ) return families_type is -- variable fas : string(1 to fam_as_string'length) := fam_as_string; variable fam : families_type; -- begin -- Search for and return the corresponding family. for fam in families_type'low to families_type'high loop if equalIgnoringCase(fas, myimage(fam)) then return fam; end if; end loop; -- If there is no matching family, report a warning and return nofamily. assert false report "Package family_support: Function str2fam called" & " with string parameter, " & fam_as_string & ", that does not correspond" & " to a supported family. Returning nofamily." severity warning; return nofamily; end str2fam; function fam2str( fam : families_type) return string is begin --return families_type'IMAGE(fam); return myimage(fam); end fam2str; function supported( fam_as_str : string; primitive : primitives_type ) return boolean is begin return supported(str2fam(fam_as_str), primitive); end supported; function supported( fam_as_str : string; primitives : primitive_array_type ) return boolean is begin return supported(str2fam(fam_as_str), primitives); end supported; ---------------------------------------------------------------------------- -- Function: native_lut_size, two overloads. ---------------------------------------------------------------------------- function native_lut_size( fam : families_type; no_lut_return_val : natural := 0 ) return natural is begin if supported(fam, u_LUT6) then return 6; elsif supported(fam, u_LUT5) then return 5; elsif supported(fam, u_LUT4) then return 4; elsif supported(fam, u_LUT3) then return 3; elsif supported(fam, u_LUT2) then return 2; elsif supported(fam, u_LUT1) then return 1; else return no_lut_return_val; end if; end; function native_lut_size( fam_as_string : string; no_lut_return_val : natural := 0 ) return natural is begin return native_lut_size( fam => str2fam(fam_as_string), no_lut_return_val => no_lut_return_val ); end; end package body family_support;
bsd-2-clause
f999149cf1e67d3810519042e0347684
0.320035
3.090516
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/generate/rule_006_test_input.fixed.vhd
1
695
architecture RTL of FIFO is begin FOR_LABEL : for i in 0 to 7 generate signal a : std_logic; begin end; end generate; IF_LABEL : if a = '1' generate signal a : std_logic; begin end; end generate; CASE_LABEL : case data generate when a = b => signal a : std_logic; begin end; end generate; -- Violations below FOR_LABEL : for i in 0 to 7 generate signal a : std_logic; begin end; end generate; IF_LABEL : if a = '1' generate signal a : std_logic; begin end; end generate; CASE_LABEL : case data generate when a = b => signal a : std_logic; begin end; end generate; end;
gpl-3.0
d1b6bb569b3fb869cee97344a6d4d72d
0.578417
3.527919
false
false
false
false
rjarzmik/mips_processor
Caches/memory_eviction_internal.vhd
1
2,528
------------------------------------------------------------------------------- -- Title : Tags memory with arrays implementation -- Project : MIPS processor implementation, compatible MIPS-1 ------------------------------------------------------------------------------- -- File : memory_eviction_internal.vhd -- Author : Robert Jarzmik (Intel) <[email protected]> -- Company : -- Created : 2016-12-15 -- Last update: 2016-12-29 -- Platform : -- Standard : VHDL'93/02 ------------------------------------------------------------------------------- -- Description: ------------------------------------------------------------------------------- -- Copyright (c) 2016 ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2016-12-15 1.0 rjarzmik Created ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.cache_defs.alloc_entry_t; ------------------------------------------------------------------------------- entity memory_eviction_internal is generic ( ADDR_WIDTH : integer := 7; DEBUG : boolean := false ); port ( clock : in std_logic; raddr : in std_logic_vector (ADDR_WIDTH - 1 downto 0); waddr : in std_logic_vector (ADDR_WIDTH - 1 downto 0); data : in alloc_entry_t; rren : in std_logic; wren : in std_logic; q : out alloc_entry_t := (others => '0') ); end entity memory_eviction_internal; architecture infer of memory_eviction_internal is type mem_block_t is array(0 to 2**ADDR_WIDTH - 1) of alloc_entry_t; constant MEMORY_RESET : mem_block_t := (others => (others => '0')); signal memory : mem_block_t := MEMORY_RESET; signal raddr_reg : std_logic_vector (ADDR_WIDTH - 1 downto 0) := (others => '0'); begin -- architecture str process(clock, memory, raddr_reg) begin if rising_edge(clock) then if rren = '1' then raddr_reg <= raddr; end if; if wren = '1' then memory(to_integer(unsigned(waddr))) <= data; -- pragma translate_off if DEBUG then report "Evictmem: [" & to_hstring(waddr) & "] <= " & to_hstring(data); end if; -- pragma translate_on end if; end if; q <= memory(to_integer(unsigned(raddr_reg))); end process; end architecture infer;
gpl-3.0
6d4694d736fc7891f1803960a5f26ae2
0.465981
4.157895
false
false
false
false
NicoLedwith/Dr.AluOpysel
RAT_MCU/FLAGS.vhd
1
2,691
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 12:14:49 11/02/2015 -- Design Name: -- Module Name: FLAGS - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity FLAGS is Port ( FLG_C_SET : in STD_LOGIC; FLG_C_CLR : in STD_LOGIC; FLG_C_LD : in STD_LOGIC; FLG_Z_LD : in STD_LOGIC; FLG_LD_SEL : in STD_LOGIC; FLG_SHAD_LD : in STD_LOGIC; C : in STD_LOGIC; Z : in STD_LOGIC; CLK : in STD_LOGIC; C_FLAG : out STD_LOGIC; Z_FLAG : out STD_LOGIC); end FLAGS; architecture Behavioral of FLAGS is component FlagReg Port ( D : in STD_LOGIC; --flag input LD : in STD_LOGIC; --load Q with the D value SET : in STD_LOGIC; --set the flag to '1' CLR : in STD_LOGIC; --clear the flag to '0' CLK : in STD_LOGIC; --system clock Q : out STD_LOGIC); --flag output end component; component SingleMux port ( TO_FLAG : out std_logic; FROM_ALU : in std_logic; FROM_SHAD_FLG : in std_logic; SEL : in std_logic); end component; signal SHAD_z_Z_MUX, SHAD_C_C_MUX, Z_Mux_flg, C_Mux_flg, Z_flg_Shad_Flg, C_flg_Shad_Flg: std_logic; begin C_FLAG <= C_flg_shad_flg; Z_FLAG <= Z_flg_shad_flg; C_FLG: FlagReg port map ( D => c_mux_flg, LD => FLG_C_LD, SET => FLG_C_SET, CLR => FLG_C_CLR, CLK => CLK, Q => C_flg_shad_flg); Z_FLG: FlagReg port map ( D => z_mux_flg, LD => FLG_Z_LD, SET => '0', CLR => '0', CLK => CLK, Q => Z_flg_shad_flg); SHAD_Z: FlagReg port map ( D => z_flg_shad_flg, LD => flg_shad_ld, SET => '0', CLR => '0', CLK => CLK, Q => shad_z_z_mux); SHAD_C: FlagReg port map ( D => c_flg_shad_flg, LD => flg_shad_ld, SET => '0', CLR => '0', CLK => CLK, Q => shad_c_c_mux); Z_MUX: SingleMux port map ( TO_FLAG => z_mux_flg, FROM_ALU => z, FROM_SHAD_FLG => shad_z_z_mux, SEL => flg_ld_sel); C_MUX: SingleMux port map ( TO_FLAG => c_mux_flg, FROM_ALU => c, FROM_SHAD_FLG => shad_c_c_mux, SEL => flg_ld_sel); end Behavioral;
mit
297a169d94c08c67311ef4101d2fba25
0.464511
2.826681
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_bram_ctrl_0_bram_0/daala_zynq_axi_bram_ctrl_0_bram_0/simulation/daala_zynq_axi_bram_ctrl_0_bram_0_tb.vhd
1
4,603
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v8_0 Core - Top File for the Example Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- Filename: daala_zynq_axi_bram_ctrl_0_bram_0_tb.vhd -- Description: -- Testbench Top -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY daala_zynq_axi_bram_ctrl_0_bram_0_tb IS END ENTITY; ARCHITECTURE daala_zynq_axi_bram_ctrl_0_bram_0_tb_ARCH OF daala_zynq_axi_bram_ctrl_0_bram_0_tb IS SIGNAL STATUS : STD_LOGIC_VECTOR(6 DOWNTO 0); SIGNAL CLK : STD_LOGIC := '1'; SIGNAL CLKB : STD_LOGIC := '1'; SIGNAL RESET : STD_LOGIC; BEGIN CLK_GEN: PROCESS BEGIN CLK <= NOT CLK; WAIT FOR 100 NS; CLK <= NOT CLK; WAIT FOR 100 NS; END PROCESS; CLKB_GEN: PROCESS BEGIN CLKB <= NOT CLKB; WAIT FOR 200 NS; CLKB <= NOT CLKB; WAIT FOR 200 NS; END PROCESS; RST_GEN: PROCESS BEGIN RESET <= '1'; WAIT FOR 1000 NS; RESET <= '0'; WAIT; END PROCESS; --STOP_SIM: PROCESS BEGIN -- WAIT FOR 200 US; -- STOP SIMULATION AFTER 1 MS -- ASSERT FALSE -- REPORT "END SIMULATION TIME REACHED" -- SEVERITY FAILURE; --END PROCESS; -- PROCESS BEGIN WAIT UNTIL STATUS(6)='1'; IF( STATUS(5 downto 0)/="0") THEN ASSERT false REPORT "Simulation Failed" SEVERITY FAILURE; ELSE ASSERT false REPORT "Test Completed Successfully" SEVERITY FAILURE; END IF; END PROCESS; daala_zynq_axi_bram_ctrl_0_bram_0_synth_inst:ENTITY work.daala_zynq_axi_bram_ctrl_0_bram_0_synth GENERIC MAP ( C_ROM_SYNTH => 0 ) PORT MAP( CLK_IN => CLK, CLKB_IN => CLKB, RESET_IN => RESET, STATUS => STATUS ); END ARCHITECTURE;
bsd-2-clause
59b71b950a810a6f89013e70bc00a5be
0.618075
4.358902
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/styles/code_examples/alignments.vhd
1
1,222
package body my_pkg is procedure some_proc ( a : integer; b : integer ) is constant some_const : integer_vector := some_proc( arg1, arg2, arg3, arg4, arg5, arg6, arg7 ) ; variable a, b, c, d, e, f, g : integer; constant some_const : integer_vector := some_proc( arg1, arg2, arg3, arg4, arg5, arg6, arg7 ) ; begin some_var := other_proc(a, b, c d, e, f, g, h i, j, k, l, m ); some_other_var := other_other_proc(z); end procedure some_proc; end package body my_pkg; architecture arch of ent is begin proc_label : process is begin var1 := 1; sig1 <= 2 & 3 & 4; sig2 <= 5; sig3 <= 6; end process proc_label; PROC2_LABEL : process is begin if rising_edge(some_clk) then a <= b; end if; end process PROC_LABEL; end architecture arch;
gpl-3.0
f75e0b8991883b2edb37a939d0d60d11
0.4018
4.114478
false
false
false
false
wklimann/PCM3168
CLK_GEN/CLK_GEN.vhd
1
2,812
--------------------------------------------------------------------------------- -- Engineer: Klimann Wendelin -- -- Create Date: 07:25:11 11/Okt/2013 -- Design Name: clk_gen -- -- Description: -- -- This module is a simple clock divider which generates the BIT_CLK and the LR_CLK -- signals for the I2S interfaces. -- -- It's coded as a generic VHDL entity, so developer can choose the proper signal -- width (8/16/24/32 bit) -> x BIT_CLK cycles per one LR_CLK cycle -- -- Input takes: -- -CLK - system clock -- -Reset - system reset -- -- Output provides: -- -BIT_CLK - bit clock output -- -LR_CLK - left/right selection -> 0 = left and 1 = right. -- -- -------------------------------------------------------------------------------- -- -- -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity clk_gen is -- width: How many bits (from MSB) are gathered from the serial I2S input generic( width : integer := 24; clk_divider : integer := 4 -- a multiple of 2 ); port( -- Input ports CLK : in std_logic; --System clock -- Control ports RESET : in std_logic; --Asynchronous Reset (Active Low) -- Output ports BIT_CLK : out std_logic; --Bit Clock LR_CLK : out std_logic --Left/Right Clock ); end clk_gen; architecture rtl of clk_gen is --signals signal s_counter_bit : integer range 0 to clk_divider; signal s_counter_lr : integer range 0 to width; signal s_bit_clk : std_logic; signal s_lr_clk : std_logic; begin -------------------------------------------------------------------------------- -- generates the BIT_CLK clock -------------------------------------------------------------------------------- p_bit_clk: process(RESET, CLK) variable v_lr_clk_enable : std_logic; begin if(RESET = '0') then BIT_CLK <= '0'; LR_CLK <= '0'; s_counter_bit <= 0 ; s_counter_lr <= 0 ; s_bit_clk <= '0'; s_lr_clk <= '1'; v_lr_clk_enable := '0'; elsif(CLK'event and CLK = '1') then if(s_counter_bit < (clk_divider-1)/2) then s_counter_bit <= s_counter_bit + 1; else s_bit_clk <= not s_bit_clk; s_counter_bit <= 0; if(s_bit_clk = '1') then v_lr_clk_enable := '1'; end if; end if; if(v_lr_clk_enable = '1') then if(s_counter_lr = 0) then s_lr_clk <= not s_lr_clk; s_counter_lr <= s_counter_lr + 1; elsif(s_counter_lr = width-1) then s_counter_lr <= 0; else s_counter_lr <= s_counter_lr + 1; end if; v_lr_clk_enable := '0'; end if; end if; -- reset / rising_edge BIT_CLK <= s_bit_clk; LR_CLK <= s_lr_clk; end process p_bit_clk; end rtl;
gpl-2.0
c9eac0c1d3d654ebfe9f52bd54e7e227
0.491465
3.195455
false
false
false
false
cwilkens/ecen4024-microphone-array
microphone-array/microphone-array.srcs/sources_1/ip/cascaded_integrator_comb/cic_compiler_v4_0/hdl/delay_bit.vhd
1
9,480
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block WRKnPFlYY3kwR4T65wbBD0cHJQrB40Yac7fnNZpG0YHdpZXSdMOQXvUfsO9sxR6+c+az7Z18r3FE 9jWmmGxRtA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block SONMUtiHf5jmt7DsCdzeMIMOjavSuEXbhcj+m04PrBDuzRt+emoy4HW0/t2Kt62TPYLC9UVa4C2d mADuKpqCJx37DfZzURUuqTnuA3t5nMn2HkHgq1ZNaYzTulv2iXqcv9cY3ukVQTyQBLYPizYe2v5G TJ4jglfh8uRzInRp9Ms= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block sT7esuM2v5jPIsiIqBnsKRUNN8cNMlWkaxvA02+mNmkQGcIj8kQtTbbPrtoOQaQ9NKwQ3y2MSuPv I9o5J3aPP5Kl2YjDnksFvr4cs2X07iXfFXkW/K0DqZ4V2HhkUDqs84A0lMOVY0x6m1TN5zZbXoAm GKbu4y/1jXYzT1bxtkJvMshRBx/jGgvePg+GX/dmkQfQvVtnDjf1UNvbwkIonmPtT24ptIvcm81T i5hf0KSoJSXmINXvHDz7A7ILRQSAinaMI9rx1Vy0HrR2xR9HKU/sgoxzePGIv9+NJZ4j7k22GExL BG0ocgZ+N286q0wlJDX6Rdo7dwLuAZO1THoPmQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 3Ss0DPaVtxlVO6gKfpMb4nZJHeYlf4HzMSrcIHj106omPGV3zCZbyzuqF4klVfJAg6MWo35jyIMp J3FO3U90i6ZNqNdRxtUNY8RTGObODLIZ2O/S2p1/IbI8JWvhQ/LF0MRQsKyv9P/sLg28w7RB5TcP PQAOtQ3ixGnJhpYghY8= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block F8pGy1PVcuRS4ru7aOsMgCHpvRrqrJMkkUR43+ZmVlx5AYjWzzbmYRwWx8mfJ65ZncoqKaWtKdY1 /ZonQgcv1g8JThGIokS/QEMUURSuq2FuKUAtwOZhqyhjsfK7u6t4tSiThV9Kb1r5tmzKJRNd5tA0 9qZvJHGvtPp/OeO5jg8BVBgB5LvsTPI67nAfyIy0XqwRhQm96Zn2/uDCq5Sr72ZQOgojJW7nETTj 6pWT8sZmEDQ77rLczw2/vfbsof7fDAF98cqI7JvwGoH/g23z+9k+YTnnI8hO47cdGZaTgop0k7lK QpX3BSUWuRAyGiOuAacb1MKUo6/OkpI+qY30VQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5280) `protect data_block kBn6hXJE/9myJSjUD+BkIUsBqrxyltPm+p6qMxubzQ3CqcIoEquqe/fLn2erWnHe6SptHpgPWs1c iMNQlzw+cSCGa/7ddTrxFK6g7rKx3fr8aca/a/HrpQ12Z5fP9lTPv0UMVc4RAWWKiGg1a5Fg8iRt w5L7dR98GALGfgVoVFP0IvNw+DnqsOXp4vkQiT0oHkm5BC8u2/AwQc2mwvUPbaRrUEjnpN4wyy8r wwhnHD2TOY2wwW1I7AkZUzzKkTP42Kr3MOFiBytS7b5RO5ECH1wETuywW7T3VMHSkSFHGtPQxL1g T8TITql0PROEdrGXbRpBIPKFKuAS+bNdNvjEapgDlUNNwoP97As8wVYE0fbQ0H2hxbxb8JWTay2H JfYg18bqN3vYMfQOi/DGEc/5Ss0s14vPQRSil2g/sZ7Ro0X2/+9rFI6lXyo6JUocwGZdSTknAJVa ywFKhen6f+USqfLoN0NoMIjbnbnFdY+cWHHCwbdo3jkG/7dmvMNMnbIkCS3sfTw1wfGpj3ySiRTM sO/iDTLMqN0+FJ5+Jx+lura5Zl8rC38PSMRpRCRcqpuFo0QxRTNXFjYFfherUwWBT+KusCoh9git ZgBC8QejNX/OUGXYauOGAywMQ+2qWV0bGfEUFK2fZnBRLhuPu2ZCQOQlHUHm4IJ3prffbVHyZ07M /VFLoQZCHuHFuSjRL9TNdFsk0BKsmT9zZmMh+mHRlvOQ3/taybiSMurimAwv09PQcyQAMsq2imt9 2vvrRMcQ8AlglyE6H897jc8HEFHOWtUxDi5LJgeESOLL0HOMdfOBz9pkOsHsHVx4iyPBsc3wgtvj rQoDi8kO3uzHx9GP6HNYuYl9gMmERkoJQiZEbxWGkHsA/d5oDW5xuizr6LRyE9kmMC86+cIKoNJe 2yJsijf+s/Kuf/qEZWeTMd+dhnmRU1UuVZovXawT/+pv+WOnG50oSy4P11QBbp11jMMJ9Ppzf2MZ bKb3zj2r1Q58uUpetQmvturvXCQOYg9M0Pv3VhAXehtLSg5n/d42x+kOelnwl7qAdZkmGWAtHVRn xAgjy+L7TMRX6ERC6QXSO0BskgaNorMdkVsA0O0ri+8OooQpgVSKfJVkufzVhvnjGo5FtY0MUi/2 Ph3KkDwUSRzp5jZ9xXgMAdegTe1ohIwxvLLR+ziiQiui7sj9U5tp+DHILYoIfjg5GYlyMccorIZL V4jujwGechAEmspDHWg/8BYRxDwZVTle+Ww/8f8I2dw1YSII/g3ND1NHdG5GRlahl1lJd/NEfdI0 3HWPjvOaJRx3iWl8mFSYehonbnY8Zb3XdvZ9NdvFTGagFNbd+XpngvKUTo9G4yr9cnGz70ufDE+5 KHrICxLkSO1RV+udTImHOt3ZVKMGa/33TVBM8fZsgPN46HiRxn4vH9qFI75VwiuIJTQ/mvJMn7RP sbs7zNyrTGbZlZP4lsPNGhZxFljILWDXsXf/taucQ1u8EFpagSMhSrDamnskPqsgR+EBcv6nAlLd uXbLqLs8lruIgMnJyjmCEyQEB1ARhwmi4p3cbBIEifqNzu5jLmZ0Ac3zU1mrTwqF8zNkoUw58WBO zP/asu5yTIhxVYwo51rKwDBNzCXazIThG1T3QArdZTuTlZrWY791ZtfIZyPaWqUhpeLqHq/IZG4Z fE29NJp27RYJReMCOmaYygnsXTPClcHTgJTZPAfha5TZ72ntI78zdBVmqJdMuPqq+V4o5gNupFGZ oq8zpsaURHxAlkYNvyTVX67BIxneCmcNLfZ3pVkY/qoW9XyguC5oG9LyHID9oEgpfyvB2U3flEZm YchTz/14DNIbOExOv8PyUwJ0df/TYJnxhefnVQaFvPV2Hwhi2yiI7P+h5ZI7rhylJCeWqsuIN1AL 13zTGsb2UxnF15rpPTfegPe36+ZzFuVpazIl6U4o565kPAvbuudEdRhgsi44PItPmLUimGVkYlMF L+RewvQKNXKt6eSAVzH6q6pYxRO0a99hKqnJYYbYJ92OKmbpkN3v+Xyr35DUoTryh6wZe/EnctiZ o2qJvLpBOz5si6qnacmNveSANIUtsudqKBI7Xdkj7k3Pe9XtfwHGcjf82ZlrRTb1TMzzWdykRuPD 6EJJV/jthxnL3ggQiHurbV+A0CUi6vCQtgoHJPK+Mgqh8h7JKCwvybKQCGy1NiG87cJyrjwgaSke Z/oUG1AKVoGQ+sXcojmdeA4XoTc8lQ3z+BgVFyqASi228fBHa8/Gj/mYp/4GMc/z59TnCpxZ6nuU 6qYfkafQUVwKVpcOV0d2E7eYacoQBaDrKWcx0q/f5E8J0YA/35XjZ1Gpfq4frzo6l8WkH99fQLMW wiuFFVzHWGhvDYy2S32nSB/G2fGen0m0NfoBZ3eNm21dOa+qHTKP9LG7MrawE2F5Xa0hLp6AbAdV JgDrueuW3jHLUT0OAT/v3S4VNZReV/kbju1jm3UpA4zkygSNDdj/7GQ2btCEVYdGtYopFqvJfaWl 7qs1J8P5WagUeKmRMkgLI/p/AilhV/Zs5FGNUMGCLF0BfT3hkhjlIUfGUHzYQgwSJMqBHpI5W4lt 3zrj7TqPpk0flCDi7kDN+l7Vqu6yZbkSSXlmZ9WI97K5S0NodDouKrDP5u81Hgp9jo53N9XxxS37 d2DPRCFkzIEfxmNYjuoLRyLH6wMOG+WA+8U07WGEoY/KTFG+uMyANIn3iFr8nVhe4G+wuDN7BCMI 21rZ/qPNplx0AqdXFV7F2SagWhmdvzEbP+wQ9afWq1RbDxIUgD5Fvu8HjeNoeEejjsd6b7ud2wOW 2bHOPlt3Zbr+o6wb94DQ0i6wcp5MEcpbAtP5PZVXGIGbsn7z8wst7c8YTKOsOtvCqzikxayRSbwB CHf98DxHA14POaCznMlj2uhG7nkDxOnt+YMthueMCHfE4YsA+ziJmNuwQfqNTiV+Q9O5oDW4kynu FmdtPSOWvrBxYyjqDiITRpCONL8Cz/kpFXAKvolTlUIvM8UxzR1NsNHV/TLfrCKN2z5SY9eE8K+f VCK9KhiZ4/PxgX5UIZxZ11sCjbP2ugNLZL1DeYTe0BalOPRF9Wl5S6QvnobBAPKhWEN0RpeCbtD/ +J5sLQv5UVyqUpzTVp8hM4lSwckx/c66btOZ8nKR5mqrkgEs0GhKxNc17mOgwTL1Ud+rr+0rAZ+K xciRY4B3aHzBqrJQQQPnmTlc7pgQBc32o1FObVGJdKrYUwVAfu7rjpTYxbB0veWL0aJkfTck0tsR rQz8kfi5fxYr/KyhZFJkVwXL3uSFqJOpOiu+FJl0EwjaU9C/t0cbojertHV985l5W2hL4eWeeXsl f37FbQ9/jqHmvf33z0AZxLNgAh/dUPmfPkf099qFNw3NyyVZv7xz6szwZh7BVSiqmXhvN8y2eWub aGcSRILc7MW5uKzAwEL9xmWJF4zMxZGQVS9Fr5V7Zv6nA8FEILC2TXaTa+16WX9Ar3DeOS/yUrzl +87erQzyr0nbBtOfSqkIt8XqbKbABmyoFgSxFXKNEqozyR4a3hF+JQ3Rl9p5v1/yupNW/Fhld4VA WbYBiy3IlZfcJDUdqfK1LSa1YhAD962TT/V9hXOOb7B/HldHC+DrjockiPRHVS1ENSqgSVTcjQeR AlcQOtH5m8HCzPZTa6L109Sdr/ThXpn7E+VepAyhnt01dI/q7+mmQnirNxlbEb7T1YH1Oa7rssEL 02BT4eQpNiJ2tghSi87NYfI42RFcKamQN2OSEXRl1YY4vnE5BPdOgsLOCJoPE8zjFNuUKhJDjN+F YlEDb8QvsF+XZa28LP6Kctf+dKbZVSXklEJzSdXFvT0VVMUEIf3v1R2MaN7LJpanx7Right0wRP6 PQwisfbxMHyyhrK05Nq3FRs7LViNhBllCDrdF8JId2ZwKKqTe7Nm633BVZXXOVsOkC1prFHR9EUg wUs0TrAGakt4XzwjwMjP5ynJZxeyYiwGsEfomvIClAXcjDohulSt9Jzjd8GE8fvDB2bZLjFbv/U4 d1E+9VumLRQVNhWOedeVG8zXWp81pzQjnETXJxuY4IiHVMitjPMoxOuTIaSnR3T0FuZ0LybDvo0A c2NjgHTbvpNZyhBY9MV4V8ktWoRN6hh+ft6g+amd+5V7jaT/LNb6XP6QemVH7s1L/a/J4HUReWPi XYNNm+wUC3G54xHjWts4C2wAy4/5ju6cStZoN4nvQZu/BjjIe2GwQ2xp3SM4cRhbgLYoGV4rwrbl 5enQWq6XJb2VdUE7e2Pd0CHyq7fLntSiiHMsLHWdKpWzMLC0bBTANjak4bs2W48SP5egzBY1o6bt 9tVQ/+My/8v4mp3Q8fiXVBTKb7wbY5Lfe6ud1Wt70KDvVmFP0qXwYRnHYtmYh64kBiQqxGrP9hN1 HELCW3R5Q632HPvRYS1tV03I1YQ2nhJeQpPA7Mv5RCbuQoJPwAKpwzjQR66STwQKtKpdGCmDQnkZ ZwM9tlKsfJmsviDoG2NFd3q4bZgHQqEH6POt8vGhmgmmnmvc2m/kIhRakJla95OzRaYFrE+33gvo TwYtrgHT50fTR5JaEpNf2EYPqRfldj2VrqDO8mW22XtB1hxOpuxBfUGOQ6t//02J3yCCkik/xNt0 a5aBP1CttE/cBZmqpSzNBkcSCjVeFt277MZASPEd6X0SdWPUNf3w2DLR8qs8bfOTWA1EHK01nGMO sLt34QnTC63AF/OBGfSLwML9/AD6u7GXL9/Ci2fyDeJe3q8uKDr1SPOs3Q+c5zaN6M2Lu95B1vge Uc9VcIAx0FT/OMYPi2bOOaURNlqOgP2qAssc2jL+8XCwoEbqggLPAgkbHRle4j00Jya6DcGo0XAT xl+IzBO0IoFjhr82kpd5iIpMRZdKduDAo/wTwMJf5415aZ85zLJEACFB4rB8eU3fiYDi5J0WvOV6 r+ZF0MoBud6XTvv576TRuDSSkVpBYDcHW2QLtGFa1AmT3RvwPRHP0ntBR8suY19MWNPbqcypdL6E 3KN0c/JIYJZpvec2HedEDlwfusCZCHLOiHt9FGijgiOmZ2mKm3aKMPMaOBccbarVOAIhq6wPufBs +pYRlqKIkzcRtU1DYzbp7F/HbqdBtfnZDOLU/0n/asRbUQVNC9GmaTZF5fvuX72KQjP5YvZbBUwG s7kS8La/1pIKFeahH4OYKKGe0cg21eOCLRh3qUv/f3D/lfrR5tk6joeSlAWAjQ5bj5hy0CHicg2H oe5nwyi9oTry+tGgG/2Em1GAnnFs8knMzgWL35jT1Ao6qY8SVXOIPnrYF18EdkzwEPgY5/b3HBTa UaS8id6kyIZZ3RaoMz9SRD4VGLKEyV6SlX/SEFcpBhAWdxSjFXtHsSCslF/UBEdRGw/6VeJtomUV Hq6gOB2KXUifaWIpWwjkV7Gxe1lCjpYBlDUgJ35NSw9idLZfbVvc4pEDtOnWPhG4gatkNCr0Ilg8 iVdmzlg54iRdu+SOiQGjbiNDkCAujKRIjNTlrs7qgA3VWjlohVMgw4PPWx7BBF2mhE4vyeBdjELT giaIpNr0Bb9gQTDNM6yFnUBAsrISbdG6JA+B7K+61v/Wsf0e3AwRRbFhOsDNiPQOywl6Ih4Vxhdh ZFTPWjvc/Uu1JPVMowh/uZEr9BBc1JhupVfCPj2XNRB4CgJHxk/7m0fa7BAZmNuF9KQYDs8u3xVN B6g6NYIyqQVwW5IqEg/+4KUslgyE0LNUeN+FwL93L/TBwh/cerbdeKX0YdgniYbEtrF7sDRiqNrt Wv/SrGIjIQA4BsVw2l70KG5QpMrCNT8orqoFLlZ1y3GOBTSIXYNCqjnr5oVlvyXwwgwkv00ayQgU X6VgioMeNPnEFQVfDyhxauRqnmJ2V/4rCorWEChfX/NyWonDf7WfpphQ+V/DDVZiOFDJ4/5rlDYX fv8wKJ0O0yLMOxncui4nWRpLl8XvJoVdXx4HAJBD6kPGUWrzAaXJLpGP1vFPxC+0rZB2W0V3zgNf iU9iwi2i3DNzMSZTwbIFHkBc4QRQBdZzMeuCN+O/S/adnLNDYtSfKNmnIeebQ4YI9Zj73kn0+q2Z g2hQJE+sukYz915oScpSwQKR+cM6i9WHy0K+iwLqVjS3qHv/tS31wbkZpHvqPZvKMhw8HDF8Z7ov 4VLHA/BUaGx4qk0g/L9mnfodlt7NiI8zxmGpzLAe06SxgRNAPfO0C0t0HTC7jtCxSBgjpERmIY+x N51JtvpP4ZwOyHz2dY5VlzVYR/3FpcJPio+AXNKq7ypfYCoEbAnpPZmon828PPCrPmKn6slnqTTO 6cecUzRKNn8k1l6qlesIZkWK1F7O+YNhfv/0DeC1RdS7GY5QXtTVujiVRuzKaovKwuMLY/6ZPGz3 GR/aCxAXzjk1MkXYnfYLIi+p5spkEBfYIdRJpW5FQWzT0Mqa+1sk9QGM/cJNWeKJs0W9KWatTLzn +LuXhiGHB+N0BoHQQ/8CuW12/URIytwnCqLI95LTXKj2pO2sxGglNXAwA2Z9chkxqlsIHcRPUPmA K4A22EIEDUzNGoZAIEhogDuK545DFmS8PlAHOenPbkpgUHOhJ1WtNDOwoq8K3HbvpPQNxXn8eGgc TcURSyDi1CHFjQLYnCzIaI6oNXDZL7p8HL2Fy79+rIFs3SCuZO4Vm9/FIMZM83hH1NHR27jWeypy kKw2uk2xD/5EdjK5rCXsUG3IrOv2we1sAmHgiHyzqF6PmvWGRkTlOfwiHD3wmWQsSQhdtT7r9szv 7+LJhDn28QHeVwV8dDLtkWlV9Zj6nRJRrRJXK/9nZEOHWnwieiacecuhMfG22mGPWIIZ2dAnmGXY 6Xbc89oNIiiN683z6z+xgM5ipAkopxFXP6u+l4MwunbtMLBLnDo2nX8SLfjHp44H/5iQ1IWGszwm F5+FUVpe3nUHoSzhyfhKjZx3PGA5fpOcm51YOrGigo1P34Jyxg+yPFg84ouguvK6oE2xKJjLlloN FgqRMkaPKvQQp6xI0PtatL/e/Ez4/dJJMap34fkn/OTH1niB `protect end_protected
mit
14b6f9eb70d11a4ad467c932af0785b1
0.922468
1.914378
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/variable_assignment/rule_003_test_input.fixed.vhd
1
639
architecture RTL of FIFO is begin process begin SIMPLE_LABEL : x := z; a := b; CONDITIONAL_LABEL : x := z when b = 0 else y; x := z when b = 0 else y; SELECTED_LABEL : with some_expression select a := b when z = 1; with some_expression select a := b when z = 1; end process; end architecture; -- Violations below architecture RTL of FIFO is begin process begin a := b; a := b; x := z when b = 0 else y; x := z when b = 0 else y; with some_expression select a := b when z = 1; with some_expression select a := b when z = 1; end process; end architecture;
gpl-3.0
75caf76f43690801a4f0c229e197eb76
0.583725
3.398936
false
false
false
false
jeremiah-c-leary/vhdl-style-guide
vsg/tests/variable_assignment/rule_400_test_input.vhd
1
1,812
architecture rtl of fifo is begin process begin my_signal := '1' when input = "00" else my_signal2 or my_sig3 when input = "01" else my_sig4 and my_sig5 when input = "10" else '0'; my_signal := '1' when input = "0000" else my_signal2 or my_sig3 when input = "0100" and input = "1100" else my_sig4 when input = "0010" else '0'; my_signal := '1' when input(1 downto 0) = "00" and func1(func2(G_VALUE1), to_integer(cons1(37 downto 0))) = 256 else '0' when input(3 downto 0) = "0010" else 'Z'; my_signal := '1' when input(1 downto 0) = "00" and func1(func2(G_VALUE1), to_integer(cons1(37 downto 0))) = 256 else '0' when input(3 downto 0) = "0010" else 'Z'; my_signal := '1' when a = "0000" and func1(345) or b = "1000" and func2(567) and c = "00" else sig1 when a = "1000" and func2(560) and b = "0010" else '0'; my_signal := '1' when input(1 downto 0) = "00" and func1(func2(G_VALUE1), to_integer(cons1(37 downto 0))) = 256 else my_signal when input(3 downto 0) = "0010" else 'Z'; -- Testing no code after assignment my_signal := '1' when input(1 downto 0) = "00" and func1(func2(G_VALUE1), to_integer(cons1(37 downto 0))) = 256 else my_signal when input(3 downto 0) = "0010" else 'Z'; my_signal := (others => '0') when input(1 downto 0) = "00" and func1(func2(G_VALUE1), to_integer(cons1(37 downto 0))) = 256 else my_signal when input(3 downto 0) = "0010" else 'Z'; end process; end architecture rtl;
gpl-3.0
831ba908e8501ba989ffadc71470fe28
0.510486
3.330882
false
false
false
false
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/blk_mem_gen_v8_0/blk_mem_gen_ecc_decoder.vhd
2
24,873
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block ghVMkFjIL0GYAeVXGsR/AYSi3dpIyHIi42C3Jf2+mwEBTZoMB5e9bevdUQbbYk20mGqXOhBsrI6h QayAk7iMBQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block OCspzzjYW534uS9OCWGrHD+n3dRgJAgnjpADV6kGDIRUR/+mSj8PCMerUAhJ1eX/70UQwM1ZudBE 3jKTmN177sUSh+gAAaQ5V7kopAV+rtOvh0sSsQGacQWrtGdgFNelYAyUEAO3A0zPYHJ81lVfE8jl ZriMBxYgxKds8qliuzg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 0ITT8JLaL8Vq3ik268Ct/CcW2/YtXurKDkfmg1S7vdm2u1AeBGfbzuS3TcmbWjptJ5HdfxgfBiC1 4cwp7+Zzlfky9me8IzG5TJJ2EX51Cta5s4abwMSO/SD64O3ZvO+detjN8L6eS6CdaZCW2G4GLo27 JPPhpeuMEvyTP4bZbkHntUAQ3zYf0nwoon+XdAH5+L0gdpTbdh/ITUXpLl3h6hgHCyvKz54+UwaD KOLg/iThr3HtyJTr88/QlrsjvSgrMcAgG5Mzjg5Q8mxkGlGT591b9w9YZIIFRtzXMYgyrpFFbqnO flQzPiPcbG6/FBsRmRYHXeev/H5yU0LixY8pyw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 2sCeaBJ5JM6FKKLqzDivhHRt0We8iHvt6RC0kFpJYh0bHNA2j7la/9d+7Pw7P3HfXpqw/Y3ZUSWt JzNwgUkFamnGBaIdKQg4afVoA6ranqFCgRjr6u9jmqtJd8EfegVjSq0isr4g5z3xipnbma/BmWFV i2kXV1Vd1Q1j97dnQK4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block lQRUwycj6hQt53m6Nkq6y4c8bVve74lboF14wS1DnXEz1cPzMqVYPCoAVfkL3bCJR+TEHQ8niKn8 VBK+GSDAZ7oCjpbXciZHfNHnWJPAAa0NR6ppJSUICz7s3YWjCGuA/M1tAhMwdzsIf6IKMo9E+wuv tRU+ErOrDUTcXCs8O/Hcclnj2uyt8aCvA06tOvMFWbuObHD5rtOzzwGBgsoN2EGOAgVmB17d4Z/4 CBI6Hke60CjAKreq8Jy9blG2wdyvfe9Pj5Q/R2Ur+lGaynEhEEmAR+4xdlSs6lC8xh+kkbeFK2QI RBRwVR5+5Qy9mZ4LYze/v1+45RvrCwuUTVbmeA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16672) `protect data_block cYhVE887W12FpgjXaJ55TQOAtJa8fNkR16snu3dLxV5784rD7Hy3spj/069Mx7JznlyF9WKhsKyZ B6mxOfaR7BjcUHywfPBTjk+7yhEfmIZp4LttZTb6GU4pjujJ9KIcbE7j5gWHn76qVba3Xby9JUBM S7uq31EcK2Hle9lhNPAs4UWm/LvPVva3KiivAy1nM4z17TWDGwTRH5Kboce3P6JG0peq9qs29o0E Dx3vkM+v7SUF9wtFfbpo7wB6NS7c2A2tWk6MDEqT7JrRunuFm0ki8c33RC554sfhOVuyv19ZpF6r uKBVRt0agIy1OtyAjgdHxOKY14wLVJqyAPHMnQGeW2bhoMrjuo/EPKPftCG5KueZPlZcZGj0fBTt Sf5sRA11zlasFvvTnYPACkTar4jpf/lmQVZSpE53mNxw3KxD++KwUuvCwVi+Bi/O/04Ddexscewv +unRHb4pn69QQL6T+qrlKcLXQaUUvwoj9uF/gy8XhMtQZxhX3Eo9IcSZjLQmZQkfb1efOPl8LdkB hqjTP+7QmIKyFkbYdlj9BFNZp1Ki1r9QUO0YqK1R/I5ke+6N777Qv6jcPb2RNtXqTot/7nXB9QYH 9dU7lD12U7H5YtEjrn/VD2bO+PD9sXn7PafCjax9wZpj3mDoZlbe5Qp85EKwKoELlWjVQ1n4UQyD AoTJzOz39S70GtkNWhGOF5qMFvFVwUaHSbwTzC2ydaoLQ6/3X9QPUS5yD2BfqfIknVdVnVT1ahWc M45hqW9KL9qKZwc450b8y3FCeiqzrTZGWzv8E/dDjLp74QMY1fOii0gkw6ANA7LDOMlYRlNg/t7r 0jaOKOF3LxjZgAE4IK5qHFIlYgldkaU7gr8AWkxUYGa5T+VFvfehilprUki5AKJhSgLPORZSkz7V EGBmauDs1tFreqAJTYR8JVK3neW4vfBiZTckd76iB2/7SVWgtMGKMwt3MMk8rckFeM3qbxO2pUZQ TxUG6L0UUiX/4LbQXyXUqpoUwV51Fm/5Dru9Y3Gv81d83rpLPm+qSuSn6cDyAjGSOnfGcskNdRWa Ckd0IBcr4PKm0hHhc1DujJIuaHevVayMN6+Caj3eFsP8Sjcsln+x8yciuqMsuN7riA8X6ijfAYWE zJfpsgA7KfeuFk2x1kUvBUgz2xusUN4l4KwXfUVr50B+g4AQ+A9KMTKI+1ebMBZwx6rUEBD0NoOt 2bXoSpOYEMZU3+f7OzobiDARAIX1c63Qort68rU29OD95P32c5oI1qqq/MZ67KDN+cWtBj/KFP16 TDIwEp3krheU5/1Fg6oBFZ2HTtusCR9w1vukE8y16kPbUxYJJxR/CIQiFXduzLCEDBkr/mYOnYCv CTi5w7v2YESsGdQ1cBCpR4iVkyQy54zNiFYVnDqWjUS320LeDWDNvW/QB9+rsPNU0rCvRFZHiWzA iKZz0a+mqrO+atbEfGC+CUdukJmsQUes3eXOzacfV4JmbfvsEcxO6Y/jE7xqKgcX16NaN9SW52tZ gEBXj/wLNTTlmWCbHEeKJ3i6LG3+rjCEMrHgM1g29Q+bJBr5m/qEpb1DAxNYJ2azJlBVwK+LzfZR BqdWv4K6W5Gqs0eOra6d+48WibQJZ7XmCi8PDyYbP4LqIjUV20uo3a+knV4cACbCVjrhSk4E9nAM rXj/deNCMCJmnFTfwKbuWPzu11068XlwNZd6opdLGNaYeT5pXAl4PRvFegV+W/ReWOBaHTPIl08f 1kCm0SUN/aNdSQ5fd0PpryNFON9w/KdWWXOfdWFzwSUtokBwVyTsxaxyrZ84nbILt7BJWiprT6tN AGVqz9bNQFineTxVCf7wNykb0T/h6y1oRAGYzhEv+Tl1OF5LQ65qreAtSFbtdeOrypptfxRVgTXA 4pOYuZucQEm2eCVc4jMRp80FbBQ3+AsHbHw/5+BJ6htSXMACbJftfq0THWJMHsW5OAM99JhqZZzr UeEHluF180rKTgxOVXzKRC9HGn+tURDp6be4XqNaNJTcrzGIpzTraAWrb0RjEM/isJJuHF7RrpGC Ywrq25/sc6QMiJ3jQyHFsGJeQ9C9QSFuwzYg4V9vs2u2SEu+iFvEdvSd9A0ArKRT/ZANuKGnuOtH m/V9T6PLKcQJIQpvJyq17XIyOP4TCe74XwUhJVRvkeZNro6Km0jW0WNkkdhDmoyu0XHKynYkI3IB IsDkEla4FEb9/ZB0LIWL+txeioJmwqdguX3/4iwu4aJINiVD+6ep6Oo2XW/lBpFNiR0BUzAnaa+D A5k9JPIRbmGdJCtSsthfOtSl0UpiUop4dHFi69KuILBnmV9bRYSAof+tldsoHLeLyqrC8MUTJVRx 8tlsHGsyYBnvYssdbElUO8H3+G7fI/Pu2hOqRg8pkDaF5sJcYS8mfBrqqVh5EtGFqDISqBcL/VEG a7voc/di2jh/jzAou5JuNdEkn7wWAn3Fw7L0vVKlVUBDvL4Wnd9636I8JRD/QoFryi0WSz27jRzw Qxhjr8EoafR2jZP0NzqdWuF4KVU6NptcolqSxx2XqXd0zdxERpZsCLXCK69iL0hup3KdGnfRPl+V 7ojgEnu7eefgGViS6wjDw/Zyp/SBlhSlazVNQzGJBIfNshgJI6DZmTa83t5XDDZPpxtt+ii8LwW7 oiam5cutv6X1Ea/+hpTm8EQWwddwQQ56Le+l4FNyfzmL3hIFFPmyhrerkYwqFPM72DdqVuLoMrTk VHxd87YjQOnxwOVokXvXe1Op3/3mTYy3sj7F7+FLtw6hBfvdxBtdd/Lj5VfV565XgV/lMmZnmjHG ri1rpcT/im2H6NYIaZpNLYq7hjWqJhmO6Mcm0CO8EHX4eKXfajuPSak4QA4TzkPAt9mTAr1yy7jE OuZYmSrMgTm+kfx0lmCYVKQXzP0sgRF95JdmvA+Giat+4W8LnII+edrDehe4EH4yL12eazDRmJju bNQ3qRzUK5CJ67A8SX1Ugpl1DVue7ELjo5pMbW9vjW3kYvI0+/PRG3/gINYLzoE8zimq9CuAR3vE PGRgTqDkeHhLT0ji9EN6DI/piMW3Z+xYILykE8fJx6A4pcbAm0fsyOq/Dkk57tl3Y6HmNxTl8rKW bOQr1TauFc86N57NhokFDooilCvf9sQxAniRI3ibNXltWaktvAmxBLuBosyfwDVQMTgOf5rwtZ0r WOZk3rUIMbIh70y+nJezIdjmu3NZB1sl9vqVcr/MUtP9b/twNfJmyg6hA6hu1LVBjaeFUBiNowoP SsMUwaBVSuSmndejjrKN+77vcIgO885ulfON3aVYcntOttDFforGyEauKBSuJSsM0wQ4dzqI0JVi 68BarjABzXMQRY5MRYmizXl0A4iRY0QAaxw/YQ3+xJ8nVpTQ/7Dtqlw3SGYmrrk5HZsyB3sBr70Z zo26ul3VIqsqaJXrHrdwBZUilH1j3KmjS7SHgJ5ToqDDWK7czP1WhRRYUKAMsE9bnggfFknY3Btm p2iGpYsVhqFpN7VJ5a0n/vrcs0KfffYP9yCPtyR+ii871wodiTlgGCtN9ZZh7vIwHQ9Cbj54YzHL 1wY1Lfd/8F3OmZH2wbphJHiZ2Ib0X3C6tH5iHFgdssPNmPYAeFJjC4xYJ63tpNMa7K6y5rtvP/qy EvU+fe1WvbJMUTxTi0ddZAZkbWxqfG6cNuLbKq3Zy5dSn8SjPwBYC6dJLbvtMCAaxbrwbtd2b4Oj GeZqDiVEbqVLiVVs9u6ek29JnTq/yGHthXxNhl89Uw9KVIx1QtEVf/tzRgj0pdMuLBYA869gVts8 WpmYqUwxP0745HciutF+pQwXJLq6sApYsT6PqwhPdMrOdPLFpGMsw82fdhWk/7pKqeYJKf+B0NG4 5ABBxEpiyksC81yt74OsjO31OnduGFfIE3o412/bVJeeK6nCc9Ff3EYnqvauwWMZ5tGljrNar6Ov SKZj4Qb1wEguNPhUXuotb+ECTnm+5ayMaHrMMZ/yCk+8VL0lJW8ZViFXR0y/llxcpH9e5+IlsU8W v3udV58f/SCknbuUuBAJxPgNJeEyTvRnvXqedS+zDivLMBysf0EejwzavUuURRC7OwCG/K6l6NJo 09O7+Ly0f+YsAqu3tKx5WOfaj8Qf0yH8DI1j6wM7vTIUaEeoTl3OoUjSI0VejCwvzhf6b0LL6sje +b8QbVgxSO1wKVMq2EQG5iI7JgQeggQiBbyFqrpC4bk0j4k0/qN5PbodxZQrCx4OwPva5zOdfb+z qsGy8jYo+Vqg7X4PnJwMCmGzB4MrPetzTnNhHrABMM0AITz3XIsHt4DRF7fs9zzP6C/JDqWHunr/ 4PBvOnl2ydNuz001XMHkM4WPi0q2/SVqsZyGSHiMG8gkn7DXZ6Vvg9lAP17pEsO1AwfXrLedmlJG lFO841FJ13q4PySh3V4aVmDHLT1RWWUl91Q8nkmHTR8SzS0jVUh3LI4ELUdDDHx7hFzPUYpdQP7U DlNzF4K03gayknzzuFradhY/V2rJ6pDBP0NgodatXmYqxkMkzLXnyAt81cz8mASZ1Qg9Ytd0sRQF ssUm5QwUPzdnMAkbbKElQkaj0hjqSmIgPsFgomAzhQ2BeNfAAhWvTtl6Z5lo2tSYLOrrmTGYuPz/ Iw4SQs/LUcCjmxg/a0fDdJ1ZxZ2rT20+nSyLISz9fNwJsNCE/d6PaFLA3xlbieruJsjLlAVnd91k zHpaR4+kzQzxMM+oryPFiTNcfMClDHFCKReoq2M8QYLSvN5rwj1z1FnDOtX6wv2/Pas4itvTY6EP f2q7W5+FoUY0hzYuY2FSPk8VSBSZVmz6RuUfZyFyS3BXKut8M8S3sNHd4lv/t/pUvK4s3Z9taoDW B9UZyMsdXi2g32u2iCZYy7+432MEHSfRFmlNGzrzy9MOqK3/zkZFtaTp/3+lMkgFZRglDxnYkr8c 1//vt6PeJvdcZBg84aNu8YGtLU+mWuht7y/bkSixavyTsjrG9vq6R4wht55F7QmLQIOW11+kdTUe cNvftmiweDE0vW+QFW0v45HcLgtN5T0wk1Dtwx0gHIVkPd0KhbCD5gmfaipkFPSM+kKgT3Edp4+G r8dQHlvnM2JwREFYAbFYr/x9udcETJ0SAc26ElYmMHoyCCWT8Pwo03zFNDo21s88QoC+UO00d608 JJZGwNlAUrTjAPpbH0MR7BwLedxIfAZI3uTMX9Az+FNWer9v6526AEt6TgrkVu9pMGhEEBefL7HG +D9F/CT7loKlisLa0WqdV2J3lycFOO4coNHNZK2DYMr+YsM4HH7EX//hwVU7mm+0X2OSe3Fk+uga 77cN/JbPCgelQC4ugwlxKog0pJ6tvyDTwx/vIBn8yM+oSW7bcdgVL3qHF+EqOu7S+GJBk/CyZ4Ca MFCoihmD5AfwqZ+E3oQQs0Q5ObXXJ6kduRW10IMqMWZ9WRvKGvgLflH2lT1sm0AghMR3V2oUCy4K bqqDrLtAn0KKxKycADae7AIgYF8CN2b7nrUB71vvM83Dt11DmN19iAPkqW7X63fotlsxLzND/5AJ ysYSk+LOCgkWv6jku01F5N8ktOOlugKGy8W34DkvbE6bsd/y/LI3b9Fb08AQBj3TF4gm9OXnObwH SDCXjrfotnEJcSXzoiz4MBBJ8w5qbqxzgbFf7aGoSAkBCOxisshSgCswQsbKKPiFbhqHniSmONXM QFh2iM6T4sq9HirgjgEJe2Tf007o1cysYXlwhCUYXRgp77KBNvS6D3TMYnruXVmE+5QXbxGLNLDz yQQ/QU4o9Xsh1+LwyrwlO9v7Sldu+yfp9FCrn1hysU9D7/MUmUba60N1TtUyhw1uN+38vPrwJ8TP 2sIc4lkFWhiz+mB2AomURyZz2WqBOtvSZm5JK/CYc31LOI4KRroMYxN9gKg8TueOjFTtUjygj4hS mY6JppMrn9pphj0XdBqxID9EPWo1Wc/k6J+qUE9/dIV4EFDMU8nd3SgfhIZQIYmCihKadMeeEFJa RSUK41IW0xMcsnP1gSupsi4RmEIkfJMiCradYZWDKLuqwYlFVNPb5jTNbTeeoJ245EgZxIGkMYjk DyApZ9hnk36Gdy76IxwT1+SQPyJtjmJn1orLcSuQmm3vw8MZr6QFGxIru0w1yLgkyXvn21Nk7FnH exyWgA2FF3mC6gNjuhauKF7aW4OjqYki1dY8ky3byPD6C5yuldLWZPxtovqdCE16Bv3urGntzoZF aWiggI7sDkYvXskWP5PmPvgoyegHKvBOElDXEhhwl9vP0kEgk3/7bjPqQDZtJzU132YTuOXugW5U eD0x3GspJs49p/H+FLrZBH1MbLQIXKEkYjA02ws7SdWDD3ewg9vzaS2LCtX6Nnu3MNVqc9NoaTmF 8wNw95yq8taP6pa4hvUFZsr8laZW+mNcuNh+jmxdH95OgKC1cDnbfr+7xOi/2BAn0N2HE3M5EXpG DzJ6IXPAVNqy6JC6DRpKQXLa7TujkSK7PyNj8z00NRWtkujJ6AIy+LB9GK+jZnjxsNshw+a7dff0 BxVB1IXes815RZlNcYZOim9P+YNc4x+J8lLIDLEPyN1yGQ2/CWCIT4IWCrR1dfVCEq3mCXKGD/lp ZI+j2DPgn6R0v55GeBN2r7haTiJmtwSnKKcKE9Qgs0MpSyC9D6Z2ISSRFsx6te9lKUn8NC0YBTSg OPQzACxJ4baKsdHJ9UI5VCJ6k2CrPyyuuanBNUSGBTv75/YT3Pdur3qGyap3xErm3U/ItEUy97y2 gBPEYO20xUP4Zxh/pZVroMNJUB1kLU+QK4p1AgzPZxT91v4I1qGupvPZoSzaHFojM+fiVDBeDQXQ BiS0S5PrDmwL8NjBrmqL/KFkT9epQU3536OLzqxV4DKJ9+FLZkCExLyKkN5P68uEPuXQo3kcqMfp 6NlFxZi0cxi3aZ6EYNgHUvaGfHK4d8dAF3n/fZZBc3Uu75xPACPAO14Hog9wCC8kkGELjSDIJVMO oEY12hbO+I9y1Qq4JWok6v6DHIjrBEFORw3XpSJgMZcgZrUHZW0yep7j6Ix26bDi2Qu+HVfHkvGe sjhp5mpIKKjwPMaTZY2Lh41494H8OdCQa5h4+UP6e5AJPA9wty+Y66YXIP/S+3H/HopzfJwgxdhp 1tBlUf13h+sHf5JULizaeWN1anJephTJg7kyVdkYnjdb77En4TZhdkmMc0p79f/ZaVWhc6BIOl3B Irp9qnFVORtr2Anld58Y8Vk2OdLuIfbzlJxa1+g2vrXesKaa5BXFxfbDkaXY5yHLg7tWyfUsr8Gb OkNnvrgewyeKrJfxmobQhp55K14IyRMhGiSHM6RYju753Q6fFyg5ExW4yGdnuvOkLXWyQqUr+P40 9ul7oUzqpAjy5JnVdVwcikvd8QMUqAzy7qZjOm1DFofDkWfWdyqmX6lyrCj8k1lsSG3N/SsORcBn jj1nX/agrIKGsRDZJ7mW0hbiDyqV10g7TX/4SYcwSN1/SAQSUDXYeA/YdX8nqtyJbBc4e5ejemaG L0SAs7scpdX0VZtUP2zw0itGp+AUFXSb9bqN3N559It24ieUp/4KCaJNzMmy76v6sjv0oshr3Q9m +LzTj6+ggspeKyD+h3DHQkeeEE1X40v+ky43HhdqQYbqVBUOXlVtUEl8l1wisSXrXrnsoAQ9ZUCO MBUCgsmklQ8mCms/QlGfU3betCRmL2WUx2jiOg5/++EGXpMBmT/B/BVxrEh19MoH4NElnb9yPwix G9cqWLf2VdzDA0Z8pyZNnSt9Y46j+H8OX7G4AqXcIUp5c9vae9r2BKCtaQu6tcSMpBRqe2eCKzTr yRJdtgw192zYWf53baGVSSV2OMzCn3AdGr7VWVdLtNjeoJexggld7SjnyIGy/ltZhfjvp1VhVgcI 1tOYHW71T/pOf08zqLJRnzzGDFZDSORCEDC2CDYtkwjqx1wlP5fL7VJBvXRJmcIDMPbrVOpmvHI/ pFjVil36fMQ1EzNjkkgGl3g1kSwqed9ZtRayzEAonrgDgnhWhSd2/iWj6WLsTPrESw0bSE+xswb3 R3vHLfTY24FKP5dxdOJnu0BYGbwE7sDyIBrUD94q25g5B+tAG4G6arUPhlxwul73ebTAoxI38i+y nmy8PR4vcLHE234ZibQWkXPQrTiueIZC2RlNB6oPHJu7oIZiT89nJi8Pje7XcGJGInwU+3TB4147 q/uow+1sVcNx6taUA/g03pv0o50/jkbGePJEJqMUDEkNxj1uwEzbdgfwg7fbIq2POBYdKy5LLl6L SAbfPPv1rH0c4KUJjpooKW6eu6DSXa4gFoOQWBS/Fb5ZkqroU0tjcKl+/6o7jg8ub9yNghE79Zup LP2CJnQkKB0QDcjsn+7k58z15i49NsD2L7YLezDw2jmEfrDtAh9UmzFu7F8ltHw2baH33z71NScR YC2ESrqrO7wbwAQIHuX0MRhUKrO45L1j+sYCYzXoOaBaUjtIJo3QKay3UrjmSvpOI+x4DhwbOT7W AhnCSBSR5BWJDnDs1opDGKupowtGXD/JVbGjw1rSfXLODkjZqw7TsWkAxmIZ2A1rY7TrC10JkdMP zym8hH12Mnfze8MqPMnMpy8TElW2X1W2Ils1+rlbg7Yavaa+NwZsfG4fh7kwXMfZYSpmXW6LfQ62 eqJJS2cpCsW6oHWeRLIGxfnq8Wl8Opf6gf+IuX+PNkPYOjW1pQAEjV9KmxwM8C6mEak5eg3eZleE BtTbBalMyP9qQYT1KZ9i1cP1Ie7tb1jte/2ZJgwaHs28BeCbsAc87rwh2o9mU3F3ix4UHin1JD4h 49nZ/OiqOPiL+5rTNkJkG2tsIIXHaUSSxNKly+pSdUpr3k0duXibbtj/VhkpGf6ZcLnTEeK5436j tBcQgdA2XO3y4UvmrkbUVD8uOmH+D0DJO1d7PugXMIoXHzkIPLlQRHVo/CL0ou0Hn3vpqepazdxq yddnQwDEWpvPtJZ5edTFn27Qx9/WP9BB2i0RtmXKin8nsh8iAQ/2LHB99lk98Qrw4KdyvV+70pa/ y3S0PilEw0LdPaP9NjEqWp6oqqHMoxbQeGaAyUOSpm6DiqSO8/vAnfAxOZ2mTJlKDd+yDRJx90F8 j7yFHP2vxZJwULDqhQ+Qb96DL5/HH+NWtEEWfhz6qyquP5NTPOFC7wyUS/wYrQgheNWd5TYpmJ3M RpImgA2Ito8pSqrFQyWftDF3GBSS70sCLCAHaFxGZmVff3PeiVhKuGTVdxOcleP5Ik618oE8kx2y h5d6gj6nAE36+yyxKLyYnsVuhRapDZR1mFBCVJidjnUDCm2Kz8a11aBe/kJ65AkmBUtJVR3HokZt +rTrtC6nfq8CqNVmKXw90DLvv5LS0o9nqhciPfTj6KYCl08JH93gmD100u7VkoaNH5VCzd/yN0+Z 4IglROdoOMNJP/vskqFV61J5YSzExe5jLT89XVBdnTX0Cz1rbmQ9rmDd6XEddJk8SDu1AI102Sjw QZyORI548CkKRdmuVvihemN9EtPRWLQGINbjR5O5L3Uwsb3cBjPq+VMF87aMjYVnZ+Ua7bB8DhhO pJD4gOb59cuHzLnwqWSPkEnBhAEk9aUcLaD+7aVpbvIcL+p2Gn61eHmkMD4Hq4usjtNsC/F0HPUi 0YnmDZMNRQEKWFdjv3sJKEK1BXgUVq1c2TCx7qm+vQga7FOpjgSZGK/JSkIecQPSwj7d8qI7dZfq voXEz1ho/66FJiIg6lbOXKUmcU6ftjT9zIzlhDueA3G32AeX5l1swhRUlYULJAajcgdNDfW+Ndmv fgf+x4U/189/RHhMjb9XE760YUFYIvY+GXyjFyj6pgsbAR/Ptl+hZZt9dezOuAqIXBO5pA+5vFLY ZtZCNMEozNLRbKMIlPUW46dfpKOsjQhNukahSVHEsSy1ilCyp+oeqL9k0d3nAVrtWqbVWTAJ00S1 a3u2lt1x1pm9VlB5uqyfIJHtOVsbcYwKpVv122g6Tnq74mOIYKS6X7R3WHjQphvyDozZTssYdYmT xkO1aeAOuLwvVcqsMJw72PMSzckl6VgnUDvBZa2NG7lBX5CdxU7btjtaHavZY++2vYt0r4Am1PDe NnDJt+hV7KeXLkA58Duposvm2wHDe0K5ryWGNt53/6/MRng7T6FAZktu8Q63JNRbF9T8RRJq0OrH SRH8cr0LzaeA9LC9tIZ6ajkVwmTcWrVTmMGvC9+hvX/8on9sfDn+BsHpC2ebgQmQ/o+1G17roVK2 LjPHtyNueRxBCn2iX2Zb7v+YG2BVccEmvou6aBJyyQXXNAdpnG/Kif1Uo4Yr1y+2YLeY4s/Vu/Zk FvZaK1xgHOiIYx6y9wC+wqn/Sq1L3i1QQWMBl24g8/d2hgjYCef70G2adyi3Mg/8pk4D54ONFvb2 hb5stXwS5f9yiFD8nUvp4GBdps0fznnK/+8EtiNzc/eoX3kc6ScRwDoXdtGIIthweK9330I0FG/u jssNGKDqzM0W9yQvtBV2oBXQb9E4+0EArv7i35eNLXeUOCH+Fbsow7QiCl8kIKHHzdD38a4x8lF6 HUx/UtHMNxdnnzT9xC/RuaqyaDlAzFQh9+L5yQVNGi0E7/WlaR8prf1hmV1b1Byu3y/K8JgU1a20 OyTZaKWIllxeytUMorFz3zjEESITjPG6wRPTUNunhKkZNQfkbI5sW8qYE/JGXi3zKWia9NTjrWFX EaU10fxVt+iAM3lyTVePcO1BTAs6wwmKlNkuTOpKT6WoKUEi+THMg2igepKFqXVqrApEgOGocF+M bpDto1gJVeJ202J5G4zzSWBEgvR5vmWKVZ4tLwUqweasY02aR7VOl92rEaEEfUxUDlRfCE+v85Bt vMgUpywWUmRvFD9LK01ScKUa1PnZYRya2St0KSNuuF2OQqtWFq2nUj8fH77aYRi7d+hVvfLnZegj VsQNVCCfhUJEUlfsbAMgXCcG9qF0jEsDBaReKp0qeQLNY0C+EDXdEHRdTA8gKTtL0AJlv6MQY7yl 56CQew3Mk1sdCZWpuBtzw0oisleU2pWP7B/bq0QLMiCq51qNNEX+h10xew57aqholPP6xznEp3Ig VmZx0yCWM0dKEXS7B8CsgsN1ufWoeCAwrnCyBEYR1EZ4UFiF5djI89Jqyy3xz2wLhLa/sO18qYlA R3MpY75NL5WYsWXugkCcXfjS5aT3bX3WlN5RNZ4l0UUxhZrOH5dTFy4BhyVi/kWtGtoJTR9D5K8z LS74xd0fBY2NTxhb0J4xvZX0DaWCDB+MZNvgYuBEh887JF8tfWoFbZnZLZpQBYWQnG8mVh02Wb55 ymYsjx9kuYGAOgQIMQUEcq2yt8jYnSH7agjiP9Oc22NqBj0D7fZE6RI3RjqjIc8uBEpLFxx/9Y88 oGfxY9XYm+8H1UkE4FVzhgQWdFuuUQRvQ02y1W743QswoyuQ/jzG8oiI9RxvOLTEmAEAIDff8mPG +zegDrH1JI7kuMko7j2hlEvADrsLomSMa5WjPCoyjEZdtuPEW2YQ+8/zr2MShHLMj6rfBXi4LVj9 fUzrXHvWs2GAJW6TwydY3Ab3Y+zGn7sPV3fXkvH4YtRVyH6yFnYln6pVBoJBL8RyrBQwx2t+13xZ ilN/W6Ho834lBqUgy0efck8UbHxf7tsCr1s+vni6jnLnNqU4k6KNbXK0VBEfI39FY9vS+xCN7nDl HbF8g7y6A7XM6mmmKbG2jo/pDHiDj43fYQYsnITHhTpNHm72QNBi21tOCh1Ij6fPitXDE01H9Wys ZHXHZrNe18OD2ou6GfLnz4TQkLxHoJxZ/bD693H+KR9oQyr8jZqB9t27eAvAi9ySEhh4uTlnzilV g32GgdCS7VJyYaNqynBqAj5IGaGuF5FYN0TsLS/3VgmniV8vVi+nbpR+VnCa+mHTse70r/0n/rWU UZPxS5YmhjrIQbrQZN1yxRI4nEGW34Kk/nO3ErOG4YGnD4CDdle+mQIg28iTIwJqaE1DoAi/VDNc p5dt1kGRuzRL2MQeg3bqL3SOruUrcl+tEjDUQmmPjOC0+iGV2tPh1PkdiuyfLwdCPyryA47/sRUN ml0VShGIj7uy6z3XsGGamQxXxLAJDsrc+kHGFZY/a7P3ENd9kVs/aLO1kjCMMLV6Yh5MHebP0Gmw VrTWtzwM8waUZHWSdpjp9vMI3I0AZ8LEurczlKarY0gUCProB9eJzy8yGmcoaPXvGiraU9OzB6MK fMlQacYjIzGR7HPlZXRCeXzCn+xN0Qg8SXNy5t4xdmgbDRCf2KIWd3C+Y+wFk+MnmVYiV8FABaLU hBU7tqhmvvZmw5WXrQ/zenoMW+AGEXORbWpaKZ05WHyPzjcUWxxcZd0329xYjSjfeC2HkJ0nuIMR rGYJr/5KBVCcXFLEqUcIjHcK3VTDpyC5zRQRErfcv9fHNalXMP94CUM9ZSBfPYCulJbgCvqIDMvB sjO3mEZEzJ7NPJ3SzDlw/RUdjkq8uYNS6vzmijafY/IUbTlF0Gc+gtPe+jFjg5OfKMTd7hQ6CNE7 FmtW6XDyTUvmJBTIA6dMSPpsSl1PRZzT065FrXwhQuiPFYLKJspuVm8RvUKY6XPDYWvXSGtCM6uM mUFfgNwxm9uU7YJovdqQjoLc/I/7WnUaa1WtmK3pkRmLGSUvkCySH8rcW5H8AOrmN1tBclV5OssS VWExksC18fIs0aESllo/gcpwz/t9cawPGNTmteqtSRUrmTpM3mmiYXGTd1ZwExuGA+v7WDoEVsBT Zh+kv5ucNDJSooQzHab6b8Vznwq9mWKB67nOlEohxXnTmGNOwanSU7UZsPABag4CfUri1wWkRQvP 5DUtVMtHj4FcbFptwxmbe+5dXimZ7gR+6km+rXwq5r/pQ8gY/SxtEzJeMFFsVuMnWdojBYS6pOL/ 25zohZrl9q2siywS2ljKc+V24QbQ0kzGELrk4I+HlNZw+15NUULaH47jq268BFpXIzRD2zWWRKf/ ocaE9rRJI561J27qj964icmBk6YCH2vyWy5IV1G5fMrEdNsAlbeZrBYkBfm/f5nBSFO4fuwNqFD8 iRtWdySicTzqciP126KqYPgL5YEjWttZAPVVrymr2NJo4uWmDAlN6jEfr0B5kPfeg+9tMmLlInon Ff4nKJ4uOvs2udXLvUxPMD5WY5HpxcHNkJopfTiPMckEQ28fTbkv89TSlROARk4rdbNZhbbgU6tN AROecJ1fSiqdphegbXi7E0KNOQPEvJqPLxcOwA3GeV6NXDsBs2LBY9Cg8r5FYmOyy91JlteK+8Lf H9nOTQhJApbmkJuuwLPxN22K/AsTREEpgWqhQ7D7shAalF9hvgmgVyufsav98sB+6nHDrvZ52GHn +Dhy5Y2klB6GovXIlTMcD3llh0q/P15Lq6OV/CSuT0yc4pYo0Oepr0erswyfpQh7dqcqx1GsIdLw 93e9dap8aNYqAHMW7VwdXCPjybrorP2qpVwokRET+hFj4jfW3c0d82ro4cq1Ad8EkkaUvf4CMUn0 uO0c+bEJIr+xyqoEN5K72djDvTv/iuDNA0Hji5aJoN9Po27C87/w/lpv1E7I84UsLxMhsSwwKGGh ItzsL3tqCwRehhm+S95khvgeO8ieJfN5hnRXXN9xeiPveXSTf6gjicbglQIAmNrgTh4ooQlgpin6 G8ECX7gHRJdPAWE2bCh+VRUPzxvB02jMhGQgAH3nPHBtDe1XQwKAMV5Bn2FNblNLqji7K+ZdLENH 4D2bFaGNvz6srm8mMH9MLVRH9Gj0kSCqHym/PqY4Ekp6KRTIlR6IjOivNPoy2w8FSGppW6FFvZud nLWSX/n/aAM3mYOert7H2TKZLPIsiGe0rlIcjJH3eyJoaZGyo9bK0wf3inoRvES8Nq4gzfojCIF3 hNUAvllhAIh06yKnCl5r6n70zjuwqCtxK5d4bZhiB+ZZOyPr6qRGO3x2VxvANC0FIwX92a3odciy LSMAEc1sE2WYbK3VrLG1fDm5X2e/EQPIyseCr9VE0QCaKbzsTKObph9fP6rPB2S7CcqVcCxx4NdK gWii7dhlVaKAU1GMKnrzP6sqp7jraRsR43Q5Ca9so4x7a/qB0j8J3Os1I2E5xqG1T3Ad8SIoOr0f GBEjquFuCc7fAHT/yK0sZBSLmMT1fVa1vsTrzyA08iBRIzzMihGhrtvtFt8DQpa56WgnrVPnA+az 24EmEdtSQZn1yT6JyIO9Nvr1jm2y0nP9SX1igKPQy22IeAuIaDhBTl9eh1OdX5kKDh0ptiK77M2s bfUa4MeLEQ1MwXKns2bESGV5zBgxqu2M2Tq/KE7YB3nKjVpGVm+s9yTHxw+bpUr9+ng6l0RUbua4 wRKqoHioBrLdoID1swfNCNV3yaesmLSnzlVD2i9UKDPTrR/eiSbsWD94NvO7WJVULdrEqySqPxnh 7vleY63VrqTFQ1SK5lCOjwt4crpIlMDjpRcpThvAbYrgcA41eN+2B4Jl2kAvqddwOM56lSgYgwEG 79aGIaFM04Jp24HkBxD4onpbfIlHJqKXSNpThl15/+Q4jWN0UARa6vry2RjJEBQZo/GKt7QTMSb8 LsdbT7d4vh7YtmepebWcKG0aKSHbNtcN31SuLrmDx2ZtdhAaVCpWd/D2ZhFZYf8YWE1pCK1t5vNk 1kH+b0Jop4H5qxYGVUyB6q312a+nDD4t5/jhdm9eH8yzlYdUkRqtLuV4GEixYh6DWqIWCClU7v8a t90xCZIQntvf70wPsITRdWacO+25eytapHT5FQTHU/+ud7drPKI/RRzmkDYDFs1ycSwPJj1kM6X9 WbAITQNW90kE1DMa8LveuYpj/++FMA6KDB9zvOoccqJxram9Kee9++wBPgOAqzmcvhe4kthiS03C eBY0k/+IJhw/yy0mKPbNSb0VFDF572dfKboHCnBQ6LL0GnxBl9bHRbDxOzyJmmC+zRpMLGUf2O49 i1EQEM6U9vWjMdtsKoWwBYBlpucHVWuLxCGfhkjmlCf68ixFiuizMHTUxRwPrxQvtMBTInCxkCpg FiOqx29O8VOevflDiuPXfkXrySDdIn9SQZYOM0kgSG/yvWbB4WlgQXPlKw9seEt3DKhy9iO+MNof rx7Lt2bqfKxey/RF6kMLxSgUdUYO4AzD77VM7MoXf5TPOZ9x0HGdV09w4opiy79jP6UBGMMYoTW2 L8OVnBdoj/Mk6AWJXFybd1eS5hRNgrpJvFRIM4PYndKYcum/rSrGodZgQadomIGqSKvtOStJSeGd 6nrBhX/UC1kQbS/riuofFOga0dD+6UsMBN3eUKFLraT60tB40VRM6d/1vDNdO85ztpaQeENl2U7H 70ui4rCY1P+wbArOeXfk9awshmSHSEHF5VUd+eWgaGHi5E6qlgQTsH1xLSKW+I5xfqd2lHqKv4wP Z41vRjliA+kdOJSEHsE2cpK+RrzzogSVAtwUyIjckgQ25QRRM2m2MV9kzKg5AzJaCka5aveyiEE1 nwpEkCTygEFBxPbnaPaYEDrjGQ9sEICi3qve4rBQd16aNnZDIxI9AoQWela1IV4iVFxpzK/IKwpT 2Q8wmkaPak5pk+bumkk6WCBnYhyMtEa2goTOB8G28oOm9KWz1O+w7MaegUXhNK1pBhXX+jnd6iti SuxuLesqh9Md16DHm9WfPBz6sC9tYUeH6hIIcRUvuvYL8RUxUtLfFgF2XG70U6cHN2y/BDotaEbp 4Wy4P8G/0FvlM9HlPZiOQAhZ2O2nzJ+fs+1IzP2FmZNoyB7/mU+LlBITYIuKqx4Qy1+U+A1C7AZB 2+N342V/Zqd3rLBu4xPGR1gGNEKex2Zuy3Op06gAdLrp1LPATLW5vDtjVrhCSt1jc5FlAmY5sRky jlZ9X72j9chBf/M3pNjKm0peAqxuutW1bTb5B6qsWJkdaXvTv3BQRJQVdqvf+9e5QN+gkUdSPOXK 3rK9JCdZOj0EmNSzvhK4i6I+8p7MeHNv/sDeVm4tWIVn1c3uelo2O2Kb4HIUe7wcOBjtJxMoHHdj +gdYuZ/LA8CN9FFuR8hcsDMVRAzf1cTxYjy8uVyNxguWQPA3Mi0Z+tyr2QXvo00c0cYhE1gpOHAo c7remQ4hRPmnjorqB8gNnlQpZKu+mUfw9EJtrMxkxZpiuQkn6QsNyeRSp3J17exYc9L4L4I2EDeO A63xfeSOBXnPe/vNAJ5QGuVxeYHF5F0Jf6AVxbhsvLtHDFGY0J9VuvSwtsFfw0I2q4aJZApjU26X Gx11jX5iiujXyuTnxicd5HolUrUJDO+9uJSnFsmMok/kyPHVzHOMF9wgUVhcsN7o0iQJlBwVCbz4 cvwBg6aAyOG9fRfbg02u3UoitWurXUoq9ZID37bGC5qfWFUaqoYnSkMiOblg8WwgxGLUrU2L6PPd puRGK5fZU8ICAN12D3g+ZFcx71yHlzgSFjAq0K2TQeDnpcC7P6uXFHBJSmr9MoysVyV9GFYtZDXx 8PqdqmA8UlCGqffl5fSsJGsjU8ZucgnR9smHmljIhw92xrb64UuVylcsxIcM1QTPTXepXYIlM/2X KtTn6BLXSzN0kNZR//PT8MYHLa2rmEQgzsLJ/nEBv3ySX1YCXsPgrnc2NLmOY8Yzrpcf/8hVkv8K szIit6BhP6FEJihboMnWOPJuvhHr7PEdfao4IgrpXTeCJzpN/VMmnH3ZUO7v3WNGtax8Zcls1wVV /haPc16JDzNUpwXkWNlOuaQZOULaunFMG3ObwdrxPqJDZTVDqS5vIZK3UpWDgmwN6ruWinjsXpsj kPQ0XIlMAAbSpllWQQwkmaocjZWezL6ZuHRx2v4htJVqygm9ABXzjO2/2LRymr5iKVo1Yn38PfFw XIbkAXAx2FXs6Ct3nO4xtpqB7HOpIQSC5CLI0juLpK+Xkz9KPhea3uhCnq/mi14atVwRTbI18Ad8 /vBhrgyzvjDlWCk2CF2Bg2vy7oU9w34WJZhLf0Y2pNNI+g9CwliLkD7cV02nhfPBXhYvcxPCEn8/ 2MQo8+P7sB/KZxSla36djnf0F1nZUeolytwRNFoHOqr8Tc/trbf/pI2VJixQqPrB333e6eITnRtv w0GDBaL98WovaO1/G9zJ0URjqDLnEpnohZJkjnIWX2IMyf+4yqoEXkWE+xz+Nw7/AjbMouuyxnMd MwzxAoG1ecA6iSB9CWTyW0gIw6IjYe3TWoZQiu89/FchDfrJefVJTQadrycYK6j4TjhvJ+gsjkV4 MAoXEkL/uoCeBtDCVi9Mz1F/b8FMNMniemc2ZTvJ64zhvoM8LQNoIwqIOXzmQz5dg4tDMjHvxK56 wUForej4B48w+FGJTFI5gE2JCBntAJKbVWMb+w+N9w9Zec0o7ildsVeJkmdwsZoe7nWL/LFeQsHb 8swPym8r6TZcc1uoK8qKXqOKcBvYZCauROmA8zcpIJofXhrcBdJryD/tvino5d3aooyglfTK1Y+S Vwx3W6beGuLYDFzY9SjSGi66hrMYVKy12EGu9HzegckXAJ8qFPgT2PuUKZDqTTdnWxYLeZiP4O3c 5JORU/IbFpoV/RMWHXEXTKeWKe991jBSgmnBbnK2LbYj1msOUDihoJ4WYEjToOdSAfT/x0mWi9vD rH6a7gn2nRCEZ5KKGX8+gCMq2KwnzAwM6Jtqq1uxHy2zmLps3IMGU8aO25KVxWbYa3296Z8whS8Q SJ3GqHvVo84+K/oNjExZl566KdZ6Ghl0jHFPtuhhVcyeowwHpPpTxFwfthlGmCT8wghjEuTL53AO mUT870UMlW55bw8df2IU7j4ZboHe3lBrjjEVSE/UsaZo1+3dTJLk0oX/ATxr8JYsaTMHjLZDdMV6 zW5Xp9z1S7RrGOY2SySDoHc7zXawn+h0q+2gcQzG5F57A+h1GjD2iwzwFJyWeNpoq9PAdhl/Lzad ITNou4QT+m6YJa5FbjWUWmVawex1/pdxzmwnGrPI2hrOxJNjCsaBNGYgf1OQqSBUaitX61/6MwwI RJf88E/eniyZIMiyNFgi59tI7hRIUW45CuSRydmBJvroom/vxFJoXRyyAtzVq0LYzgBZHEUSdkIu jBZTWMBlhXYE/Dek0LSy10z1r/ealHIjvOazcifQvbQl07nibhfk1HZBsxLAWZW2nw88eKQL1174 hE2sTagC8E67CMSjXXK2eQfVNvOgObLt2PCs97O4wRt6BuP2CnZLWJxvPfWfE9pWW75JE9ZSbypk pPkKdTKxt2LZFyj+wAehKZLyKX6cB53hOy+fQazXSZBf0uCmEmFqPfNQxukNzBztjlbLKtlnMzB6 /odw6UA/kYBaB/UXjFmzOs/y+K5jHbh/YPOyL+d4w4cdmrFmLm8JLkQf5bZmBJOutXVSnJOsPIKB wTMn2RwBIqyq2a9skx4dS89Dse70FGQ/M0CD9ZEpNBUqRgtyykXGGMDQ9zgt7Rd+rdMuVGz1Nk8y zyqFDzoekPYuBvppw6ji7FRHS1VTpX4bMZy5MDS8HZy9fyn7sDNQ81QujhSzX5vIKFDxQkS+Zfu8 BLJwbwokm9ng3PygfCSNShE1hhn6WkIMTe6/NvXZ4NivNgw4d2gUDnhTfmx5rzZPo2GNvmixlC+M e6qS+HgyBTBq+W2lKg0pypd+PYiFSJYAC0SpcJ64vpttcjmalR5OPRQcdgm2MCEekFcwWKA+EAfW jncjX/IiN65GWJCV/KZtm0N0JHrsObkDddm0mhLI6ZBuBStPN1uH8R6GnlwYbutQGct8PJrnyB0J GHhgLNQ+iDEiR4tSJipNodWTPInI2Uc0npRO/ti2VZWr7uir3uTgmPxZjuHfo4Oekc6ddHbhDYYD Ywskofj8w3FVCtTtfJGPujFSKj+zJrU+W52XdtjP6bKMwo8TODdWntODemIMorFQ0dsD7ozGFiQk IW3zXQVG0ZnGeDnoejR3oSZGnZGbqt2T+6K3HQOXGLqQofSO62pI6+7JzFQjB/ol78G1ZVe1ToO2 hflMT25JB3Qvx2iqVCndwdNSs334+1k8Sm9ejbPdxi1lpp0eEsp9uZo4szyX8HzNZKB6SRCQXDlC oZYoVanATlJuk2f8okIksh64VsBIVld1XfIA+wS1Lrb6EyIBbb8oNzVEdOvCgOB6wsGwm2A+L9ya PUmtdVwgRdYRZL8hjI6LByZwClYKwJNjmUsFB0UV4Hx4capp6Ij7RVFCWNvyQWRdxaUQc3O9ygyQ 816MfnYSjg4dZbx4sV7NAJspJCwXY7uD3GPw29fZIVG8U5/GAvnDe35n3jTi0XQZLACU/f7Eh77l wT0V8s0hMal8YnAuphPuawA7CHC8FWCUS3bcYrHPBNiMbjwuGUZeG6gOVUhTJ9+D+2l55BET1DNA zaCiyhRZ42Bxs2jxSPL57rqJEZ9d5lNKjIHaknpqX/T4AVtgKrZl5qdk61LYYFBdfrPGrhCoUhRs lBD5p9x8UkkXsUfdTKFFEvNvLSbZewG6fft2XC5QDklCoXcCDrYi4JdBvkY3Eum4SJyOj5aDdezd VsX+//5/XSll/4RdjWgCU3Tv/R0iM1leIqMhtWyQxKiyPIngdOxh0jcY1QDbQKYznSpJFIFjCEtZ 088z78/IyOTR8jLkAI4xkb8YjF3U+vBW+FHkn7S5unQlQllRSbR0hGjWCjFWzZfGCq4xWBagYhmW NCMkIQSxeZtCjGyYw2EwY9Oj86hReWpY6HOTN6gJaWm9XToPm3PD2tjY3MsXaxVQgDFDkuhC7nfW cBLJ0GigDaW8I14lfduFxOLmpi5/JKnrRMEKbq5VN2ASancSTSzas4RrodSpDyAWVuGCvSi+yizR UtS6xzMcwmauYAYNxj16avdLnpR6CCGAzWX4iWbxEuGrTLlqJZZNx4b1WVtXCSgEKWrBbWohgOou rmXpLBCiEEUuKbiP5L12OdjW837B2JmJ0mas60asDMgeD5UcYTnP1lA/h2RxHe1EtsSWijbVH9rg tZ/H0StfZSdIkTd7YnyI+6xYdZLPwoENXYJszkG7S8UmkbbcDCZEsoa1MeCvHpKTiqnetOiWAIxf gQjkdjF1kmGUJ6gEFwG9Ub/3JfSXYg8m5pLh9c5PAFUHs/zY0492N53oalCGdP/8AttEaoynXF9b iTTi6izO0psJSVEMPoXku+qf3L+KDMuh1Yjk/CLKBUERqMCmh//+Ccm2iZpZkMXwAOsrv1SZnJ0H IjDtsYi0EoTqbsBtYaAUGShDUWsMNoQAKIy2thEDY94JeNgKFpe7QXV030XwipPLOoIzPMjCVvro KTGkrTjMyYsRhv46F+8gNEf1rePxE1cVWbAoWhPaXxt6W4fYGIjBf7TePoOxgf3EBS9kbH1vzJ6U WITeGSmfIXkOyWmnwDtRWcqO2A0wbQU0FK8l292gIiKe5sJK2RNKOHuTNEYYtcPEtEE3uFwug9i3 mGVXB6VQrqNeLaPDopOgK/kqro7ICFYl+J8x7ROWHn7h46WKF9XiuKe/EmCySpr8WnfoadRuEnP5 pJUBHvR9R19lUHzSSQDQvvnRH8yIZ18zS8iuidENVy2tMS1GM1k19kpgYfyoj6nHVy0KjSINfZXb U90oMfkw8VHYhH/pi5mWEpP0gTYDkvI+wMMoflUVD08a0tCXrH6qp3cKlnQh7DSlxhv8y3xXgEHY LOmTIqDNphcd/VXnn9bS6uhMNcD2Rzq7NKj2K27CkIBoSXx0sPn/Ipo6N89qO+YEOMXQyYYzSiDn pCZv1RsUCCt/Rpv7/dCuM8HUderBN3vN8GKJZxyW8V/5vnmRKVsrMJGofJwX6oQliCcj8nUDMCiD +HrESX0e5Urgsp5CTxpzyuNVwqfIDWBvmMjzErYczaShGe3NSvbxPkB5kEo9dNAfrWPVTaRg4wRV CrrTCTiGsv2IHYqjmtWYSM/tBKf1kTjSTTevmo4iWnWdEu3QxjtCOnCC1/oKAXZYew5RCxfpvrpU f3mOMXA6PhU/jeFBil0CdRk2wOVr47TwbbfGsBqqtUKjI308jPeC7vLbbcK7hUrnFn1o+glK4EZ1 kVaMJYgt0l5yzdjoDhayyscQbSwqq075fl2uKL8fGhMFV55cIUHzWZ1tejqxxMJgaBygHk5rP/0r 4vGRqe3HJTOOt4UxryEC/5MSKW0fLft0ObZ+ENUSAu7GbaBZeDfR6UiPbdexuYFuyT3z4CF8kylJ pa/ovUMegj0ppkH3J1qA4/F2M8NzUQc//tTEyHeK+Ipvp3pq8iX8Y90TpcUtfAmDNyLFagpxs/oM KdVLnU5jA8elOTqluu8bwG7N8/NxzCH+BMbmFGm6EsTfzIofuEftJyhJ4x4hERPRjyD/mhorKw+p pbtN3N60xV4Qma6zWtr+EQTN8wPD8+IhA5HiqiQIXdKKivmHTbUx3ff2c6XGOsQzK3jRHwr4y+Eg nBS4aXYrcL1/FUKX+KsUOx0NPTQXSY0feCmzhRnnHDV12xXOFBxptbfaCcKED1AfcmBNT0OEk3Kz ptnSCiDD03eT0W3w0TouC6d89NMfkhHbMwJ/gJd3pooxvC2mc2CbT+X0ebjJuabQZt9s01aBt5Ob vUo1Er7jPyokdT71W4GBfPswl/NMKnh5TN99wgDL3GlR28ZEc6NWsOt44n4vwRcThQSqt5I81dpD Bb1umHuvLy8rmYgoTl2i3ExrnYDClnAgl/42SGruIr8HSyIX7kZ2AtdQGJqlCugnj/NXSMhruOAL BCvv7NkEl4AAZ6oqNx6wZo/U9lLt+vba1k5HwKK+7jHYQFU5t+/GMDA/YQCbCpS0a+3KmtH+o0J1 B6xQMTnGg2y36mozDx6a8qWHBu67WRq0NmpmU/RaJJKm8HEx2QR7Rfn0DTpbgbYK0wjpnmPPFSw4 iSSP05kgOeWGFlhBatngCeZ8UuAUb4aReTEEwUjNbTvzwdhG/TYWQc7C5Ivy7/M2V5iMmZJomH1r Ahwt7pW00hQyxfYvxdK5zZhJH9rPj6RAUNH1flVWTadzBOJi3dQaaMALRxWEcOVf5/vzRTmWzaQc IJ+ZVrn/a4PRX1l94FrYDXpraCu++6M1cj3sJYILhmYzVgNlEbxsdkr8y1WwQudzFffT7gQyk/gG G5blXVtLkrBxKihPPKlCHbVaUPWn6lZp5pYprYsnnGLPGTgeVeHAH2MZ4VPm0+1GuULm7p1q5t5I ocihMyqYKoZrZ6xOP85l8ON7UbL9WZgEKQJH6g== `protect end_protected
bsd-2-clause
6792dc11ce4d78444574b0f37b4236bc
0.944277
1.8434
false
false
false
false