repo_name
stringlengths 6
79
| path
stringlengths 6
236
| copies
int64 1
472
| size
int64 137
1.04M
| content
stringlengths 137
1.04M
| license
stringclasses 15
values | hash
stringlengths 32
32
| alpha_frac
float64 0.25
0.96
| ratio
float64 1.51
17.5
| autogenerated
bool 1
class | config_or_test
bool 2
classes | has_no_keywords
bool 1
class | has_few_assignments
bool 1
class |
---|---|---|---|---|---|---|---|---|---|---|---|---|
rjarzmik/mips_processor | ProgramCounter/PC_Register.vhd | 1 | 8,484 | -------------------------------------------------------------------------------
-- Title : Program Counter
-- Project :
-------------------------------------------------------------------------------
-- File : PC_Register.vhd
-- Author : Robert Jarzmik <[email protected]>
-- Company :
-- Created : 2016-11-13
-- Last update: 2016-12-11
-- Platform :
-- Standard : VHDL'93/02
-------------------------------------------------------------------------------
-- Description: The MIPS processor program counter
-------------------------------------------------------------------------------
-- Copyright (c) 2016
-------------------------------------------------------------------------------
-- Revisions :
-- Date Version Author Description
-- 2016-11-13 1.0 rj Created
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.cpu_defs.all;
use work.instruction_defs.all;
use work.instruction_record.instr_record;
use work.instruction_prediction.prediction_t;
-------------------------------------------------------------------------------
entity PC_Register is
generic (
ADDR_WIDTH : integer := 32;
STEP : integer := 4
);
port (
clk : in std_logic;
rst : in std_logic;
stall_pc : in std_logic;
jump_pc : in std_logic;
-- jump_target: should appear on o_pc
jump_target : in std_logic_vector(ADDR_WIDTH - 1 downto 0);
i_commited_instr_tag : in instr_tag_t;
o_current_pc : out std_logic_vector(ADDR_WIDTH - 1 downto 0);
o_current_pc_instr_tag : out instr_tag_t;
o_next_pc : out std_logic_vector(ADDR_WIDTH - 1 downto 0);
o_next_pc_instr_tag : out instr_tag_t;
o_mispredicted : out std_logic;
-- Debug
o_dbg_prediction : out prediction_t
);
end entity PC_Register;
-------------------------------------------------------------------------------
architecture rtl of PC_Register is
component PC_Adder is
generic (
ADDR_WIDTH : integer;
STEP : integer);
port (
current_pc : in std_logic_vector(ADDR_WIDTH - 1 downto 0);
next_pc : out std_logic_vector(ADDR_WIDTH - 1 downto 0));
end component PC_Adder;
procedure update_next_instr_tag(last_used_tag : in instr_tag_t;
signal itag : out instr_tag_t) is
begin
itag <= last_used_tag;
end procedure update_next_instr_tag;
-----------------------------------------------------------------------------
-- Internal signal declarations
-----------------------------------------------------------------------------
signal pc : std_logic_vector(ADDR_WIDTH - 1 downto 0);
signal pc_instr_tag : instr_tag_t;
signal pc_next : std_logic_vector(ADDR_WIDTH - 1 downto 0);
signal pc_next_instr_tag : instr_tag_t;
signal pc_next_next : std_logic_vector(ADDR_WIDTH - 1 downto 0);
--- Instruction tracker
signal instr_tag : instr_tag_t;
signal itrack_req_pc : std_logic;
signal itrack_req_pc_next : std_logic;
signal commited_instr_record : instr_record;
signal commited_instr_tag : instr_tag_t;
--- Instruction misprediction tracker
signal mispredicted : std_logic;
signal mispredict_correct_pc : std_logic_vector(ADDR_WIDTH - 1 downto 0);
signal mispredict_wrongly_taken_branch : boolean;
signal mispredict_wrongly_not_taken_branch : boolean;
signal mispredict_wrongly_taken_jump : boolean;
signal mispredict_wrongly_not_taken_jump : boolean;
signal mispredict_wrongly_pc_disrupt : boolean;
signal mispredict_wrongly_predicted_is_branch : boolean;
signal mispredict_wrongly_predicted_is_jump : boolean;
signal mispredict_wrongly_predicted_is_stepped : boolean;
--- Jump internal signals
begin -- architecture rtl
-----------------------------------------------------------------------------
-- Component instantiations
-----------------------------------------------------------------------------
itracker : entity work.Instruction_Tracker
generic map (
ADDR_WIDTH => ADDR_WIDTH)
port map (
clk => clk,
rst => rst,
i_record_pc1_req => itrack_req_pc,
i_record_pc2_req => itrack_req_pc_next,
i_pc1 => pc,
i_pc2 => pc_next,
i_pc1_instr_tag => pc_instr_tag,
i_pc2_instr_tag => pc_next_instr_tag,
i_pc1_predict_next_pc => pc_next,
i_pc2_predict_next_pc => pc_next_next,
i_commited_instr_tag => i_commited_instr_tag,
i_jump_target => jump_target,
o_commited_instr_record => commited_instr_record,
o_commited_instr_tag => commited_instr_tag,
i_btb_instr_tag => INSTR_TAG_NONE
);
mispredictor : entity work.Instruction_Misprediction
generic map (
ADDR_WIDTH => ADDR_WIDTH,
STEP => STEP)
port map (
clk => clk,
rst => rst,
i_commited_instr_record => commited_instr_record,
i_commited_instr_tag => commited_instr_tag,
i_commited_jump_target => jump_target,
o_mispredict => mispredicted,
o_mispredict_correct_pc => mispredict_correct_pc,
o_wrongly_taken_branch => mispredict_wrongly_taken_branch,
o_wrongly_not_taken_branch => mispredict_wrongly_not_taken_branch,
o_wrongly_taken_jump => mispredict_wrongly_taken_jump,
o_wrongly_not_taken_jump => mispredict_wrongly_not_taken_jump,
o_wrongly_pc_disrupt => mispredict_wrongly_pc_disrupt,
o_wrongly_predicted_is_branch => mispredict_wrongly_predicted_is_branch,
o_wrongly_predicted_is_jump => mispredict_wrongly_predicted_is_jump,
o_wrongly_predicted_is_stepped => mispredict_wrongly_predicted_is_stepped
);
predictor : entity work.PC_Predictor
generic map (
ADDR_WIDTH => ADDR_WIDTH,
STEP => STEP)
port map (
clk => clk,
rst => rst,
stall_req => stall_pc,
o_itrack_req_pc1 => itrack_req_pc,
o_itrack_req_pc2 => itrack_req_pc_next,
-- o_itrack_pc1 => o_itrack_pc1,
-- o_itrack_pc2 => o_itrack_pc2,
-- o_itrack_pc1_instr_tag => pc_instr_tag,
-- o_itrack_pc2_instr_tag => pc_next_instr_tag,
i_commited_instr_record => commited_instr_record,
i_commited_instr_tag => commited_instr_tag,
i_commited_jump_target => jump_target,
i_mispredict => mispredicted,
i_mispredict_correct_pc => mispredict_correct_pc,
i_wrongly_taken_branch => mispredict_wrongly_taken_branch,
i_wrongly_not_taken_branch => mispredict_wrongly_not_taken_branch,
i_wrongly_taken_jump => mispredict_wrongly_taken_jump,
i_wrongly_not_taken_jump => mispredict_wrongly_not_taken_jump,
i_wrongly_predicted_is_branch => mispredict_wrongly_predicted_is_branch,
i_wrongly_predicted_is_jump => mispredict_wrongly_predicted_is_jump,
i_wrongly_predicted_is_stepped => mispredict_wrongly_predicted_is_stepped,
o_pc => pc,
o_pc_instr_tag => pc_instr_tag,
o_next_pc => pc_next,
o_next_pc_instr_tag => pc_next_instr_tag,
o_next_next_pc => pc_next_next,
o_dbg_prediction => o_dbg_prediction);
--- Outputs
o_current_pc <= pc;
o_current_pc_instr_tag <= pc_instr_tag;
o_next_pc <= pc_next;
o_next_pc_instr_tag <= pc_next_instr_tag;
o_mispredicted <= mispredicted;
--- Misprediction
--- When fetch mispredicted, signal to kill the pipeline
--- This is now handled by the misprediction entity
end architecture rtl;
-------------------------------------------------------------------------------
| gpl-3.0 | 29e202f6f489abf5e2344a48a4f3cb60 | 0.50224 | 4.003775 | false | false | false | false |
cwilkens/ecen4024-microphone-array | microphone-array/microphone-array.srcs/sources_1/ip/cascaded_integrator_comb/cic_compiler_v4_0/hdl/scaler.vhd | 1 | 9,395 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
CwurRLB2HnOLO6IfjK5Fuo10ZWQrlAx/cZAH1yY/rPjxTwIbdneW6rwQyjOAt/cKEUoI6RwiEsR+
NWu1c5pHwA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
EXo7yqSa1pl1oTPgQyeHaAZ93EBwV+I3YYm5Cvl/jRI/asCe4BfGOHwuhucRrP08SikXrpwvWZsN
XS5pYRFpfPDmFWG2k7XFCDA0ttUJeGQa/sTjJ1njfL1MZcXsutGWvgbghcVQ56ClOF+9nBikxI53
A7NtT/XvgAjC9TMKBqQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
XEMEoVjcIigcn42qpylYv7Tfj3ofFbIxYjXQL7psZA/3PoFYy7qsby0uzfDbgvAy/d/CKd2JUnoR
+ij7tCKzfI9STDac16ojVBQD26WQ8LKFBdVry++iMddvpiIHrtarL7WsnI9Ux/SDiASzshMg1G88
szHtaPvCTdo0891x8W2+eVZBNVeLoqrg9Y7xp5IIvw8jiq5HJjGFe47qk1+zYvFaMp662wTzxlnD
aFZr5WKfOyQ+4cl1OyfTBMArcnWI4LNeeKPqrMnDEbla5qQUYY9LVn+Kf3BL/F8gmWWx3daPi00n
X2HGglqgQxHVnOpoonEqPQDnYPEASeknHHzNPQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
t01wvMcyMkbKX4vnNDhIae7AIm0WUQlCfSN+iaZ+RqMlPMPZzTafUxnpBepPBqWa4qFQmx5Vwk5H
XaAdirULU+817Xe8Fsfqd0T9AmNYI1/Pe6lU90rVjpIqEYyaYC9abC4b9O8fgXNfwS1yNgNxrjCH
1NQGlYCNqgNf90HH4x8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
f93ajVfM4D35y0LxDMdL6DwdISMsIU13xFT6iGUEKgX3vHTnIEXBUviJK6rKWF3U//fTydpK8WbO
ZerKuj3Raq2DL6h9a9PYRQblY9wIXR9RJ3JWjrDOAVTrAm3aKl4vR/WRQTFDOQpsInx0wToawuaf
6yFsY8JGWkHooi97EyqiMp/i5AmGuF4ZMrGTT6WR02pLFt8jEr4SERoYHEdHvW64HVMcKvD3BbUl
LZ8XYdXndJm04yrJRrDLn6IAQgxYBkLHM10C2dKNd7GTU5RTD5dsY7FZbPJj8VH/l9YcndGA3ejY
DJYUfajGt0KHF4OP3If8ItT6GfO7utKKpwRzcw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5216)
`protect data_block
jZsYDo2sfLNwGDwSdfxhGJUf3H0ebVS4zntq5XB0DbHxUyPbmPdXq6Qcn84jO+i+RMiUekZotWfp
qzRcHsksnRiFKuiTkHOLzhCD1GsTtXiTp90xgEbiv7hCz2n9IOoNBYWDkSCgVYN2aSUDY6u0xM4g
mjOjd5vqAuy3qAO9u4puflbnuiDx9cU6f5xQKzlT+CqOARlOUPaBu/JITAO2FVaVfSGJGlesDjva
DfkmgVwBPHPkdrgjteNTAnQ5JcA0f0HDRBN1lkf6HwvZ2uW2D6epKZDYIKVjb9mIk1yjEE1KNqc4
1UlUaupRz1W258TKv6zHhg65ja6GRQ9aVJdxOgkdHN1BTcsZV4WfgdHgQMVMgN/wMW9aoh1D/P74
y52ugvYAxiX5HABLvOmiHvFQr7NVL28ppIf45enAH8xTMEvlD8ebvBpO3tome4gjwdc139lKXfnk
qQ4b8ucgZsAApTEUv0OcDuA6jOrcGuIc3mJHrAmZdaqS2VSyKnhvGd1SBFxve6iKp55HatQ+Fipu
qqiFQKrOy9M5rZLoetgoihV8CS1GpyumHGTp7dx7Ef4eLqHdvaeOY/H211O+faA7XzulKo7BEjzP
8qRMXeaTbqbLXAxj4d71YGVX5HpFpAo2TKWeDeHeaEXF/bp20LOc2aa73mJJ1/WNayuwKsvUpjK6
JpDH51gy7oejTUxG/v6fyrl1cRAmXMrAVkqffsWRcyTwJSLfcRbLJmUzeQ5heTHoVARk3mYhUXlU
Zpd/KyipLqFj7sL4+GYkF0VlNPzJ/T/RF2vVcMc+gMfbHhB1SBWjObYB6RWG68YWaGw8hzJgE7vS
1j+WmnKzcAYH3oCt1chrnS02lpDVzgrbKq5kGDBDLsyYKh0dx1wm81FSE+QzDHQKVqPvTdqt8F49
i3sHRO/2VnOy2vdACpbBRM1ppShLnkbm+iNjQAY/G33ILo4Ab/e+3hMero8K6OaL4ACsDUwrWMHM
CsJSPN1bIbkBrFbVhjUNUITu01Dd+DHJFHjUlnzD7swR+gNoFbUc7cepcPq8fJbcfP1+kO837x/L
vSHyv+qHPFe5TP93Mc4LkoXSEYH/AV3SUHEeFlxCYHySwqOpaHpx3IwO7j8YY6ZwW5aPXWps4PyR
bPMCi3cbOEaosmXQim0CR1bfoRlSutwmAfo5FWwvINExBcMWcN9acOJHIaijUDiVfQbrNy0+R6cL
UWqWCsSwQPRAK7LmPM7bwSVKGwEQy2IlXe0BN4vNfQhOPHgeYI8mVhSTO3+XcjiVprBxcgCrc0Te
QbphGQGslGCNaR8xjL9ceGI/HnxaBohee/1uS9tlIw/V3N5pvn7r2D//IpPPIDvz5rYXVjEfE7T7
9LcBbWtNe3KMDqc0oofbZrFShz0kgqlQ75JbNbiz6qkHjvFldo1DQP6APHc5b2xqE3RNYsF6wLlc
j22353j3RrQsIXg1o1fqSvZ3j5mjkjoyR7hTL2Ra2HgvhBdWsdSCQaSYq6Q8YvwcIQnqP3Kl7p4Q
c5cMVQywqH2bawnyQOp4opWXe2aWCgsCwTJBbkvjYtqhEjiQIHPJj6CFthA2Vh+jRoAPahqMhZCK
9OzvVP1CXvhf7hrTLW0tojNcPSp/mKUlGjVfSuH9l3a8s57NNKmbNqzunasjRZz/rg3j5W7mxPvl
++cxRo1dHHJ8nXXFLsmkiUSXHrJ+Incxd+yQKOq2FKQ+gTRNZVR6bAF2IX5YsHt2oqouI46aZo5F
Kw8uxc1GWeIPSwU/qrPwJpjuaaCkNPR21DMTeL0oV0jeZrh3PN7OG12q0F465tOkhEmn5useqHfV
CGu4GQwktLRqIGVUqZ7N8deVtaRQnNBr3zITQu3MwmOnKJYDFEQ3bWn+ti54Bwcdb7NHsTeUYDqs
oAHpCugFS0fO3qKPTDQl8zI5uJkBDaVnuIQ97vpl3bjWGijvTanYLyBvKFT51jqDzLuHhFZ2ii1/
b94vMVb3ge97bFWIyuH3qmygRoHPw0/IrTLxwxkLjx3ehpQtaj/jLTdkTO4OV2CPC4ED404kG93v
GMtcyoU5EyumJkHTO9LFQ0RH0LJgWudPDq17ABVHuWkhmdI2k7GIuTMHC+EQzoLjpszV9lJYQ7gF
q/7ZXv+o1u+Z8ye8tJAVz8U5trK25SMba/W9ZMk29IUEhllPsf5M2ZZ87bOn2337UwWP5KtZMW5J
Q7ENaNFdn8oJ/1AW6CU3yTUl5uSVKqlFmGu+QpyKK776//j3X4UsdMo8rOPGibsWOlgqHY8grIjC
WDPlQMUq/8QJBgSTFaU4xvmvPpAg896UbWzVqbg0l8heHB/JXW2H3U2RzZtVu41AyuFIBxluu7Wd
Vb5bEVNJKgRW5FaKNzXBv0BSOSFP0nwKLrbJVRMxMj/SSUAbXUfZjOu28w3lu9ioXJsZ2gEVTfrJ
l9wiX9MynZwr07aMpF7TZ56rBxUCywKzOu32Z6eA3GQH2gsdBcDuyEIHCWIiy3GVP+x+EJ3k+1zY
zMc6tlK/D6nQ3MV3EL4gyGsto3U5m0iWjq5YBFvyK6CPCY9OgNXqxPSMOrXfn5wcatFcrIWBWsLN
8U8MxmQoyzwRppNfK4QMox4+xE5oEcLdb+QfpRLzIIl025kvRKfmhKxDO3QcfKbo0i7ngdCjBihm
9DtvOrNQRfo/ZNWd9eW7giJJRkq/4m3A5+P742Eys71EDcwfPmMr1JMB91Ae1ZE/QoE+eWOZe01M
3zW8Dx2ySU+DWBOF6Qs2Y7EHXC7Ny1FC19oDOS1bE6UWpD0t8Gl7KhIE3hesiJAuXubkrmTDPIa9
lYD6yA13U/3VUxyYQW4S5SNVUTK6MKvBToxBV0qqioPGBSfMvJ7jwls/c543Rk0ziALsPHm7l3EN
tar5C4EN+4tenOvg+6bxF3MawcG6Vla2bFveKNNKlvtl1FdSsgmcMpIMKpIuvgVEQP2vCMVjIalD
DHMqbeSFY0iuyFqHDjr9BRuZ8pBlulL+c9r74KUwyjeg4Mvg/6sK59PRtTZB1mrn/0Kbgs9Kxq1C
a6z/oXDkkUHHQz+WaqO/juo86uLgjHfduXFp2UZgRuK7jkloI/D/QiZmJRGf9DNnL+qVVRJM3P24
2r7phQSaYoxibaTYYk6hbPig+dOXIhtqQBIRXY1sY8phgEbsMUwzGM3aAtWsExp65TqN8YI1//lk
fWgAC+0h61ZmlqyV9MStzV8SLLFYXEvGwkfzEGO4kheNiLgR64lIXHZvKZbql6ggpPhf9+SE9IVs
QaYLtjk07RDLAmWe28TE31v45YYtjgnYbGxi64fzAfIOh0F/GnRKtfQ92w/4vONE5xxjmG7yqJaU
M4oWtJTu+C+huZBD9tE5Nk9vUhILZRQbz25ljWM6dJXrI8qxVNUugjyMKnNPR1/hNq+1L8Eey/Pb
JXE51QtpIxB0AtF7HpmRI5BPCDZkNGJ9f35I95bS+FyClyHSX4rgDXzveqsaj29oiQ1ILZKq61QV
LtbJpJ2fsoGhwj6HNIB4n8RyQGUrhP9nHehRXE6VCDKJZmzO2H+evmWWlK5uozFCtP/z6Gt4lT80
WoRsNS7mA03CLo5o5wfy8L2hnok4e+S6JhKDnXoySZEo/fOQAj8UN4+8yzb/fRIrp1J+FeItPUv6
pQOYmwgOAvIJVpLW6TXMidH36sy9zuBfd8aQ/GcB9PCpOYap3ll+qcr+tMudR6FY7q2SzzociCQh
EEkJqljN/TpLDC6qyaP2KdmtPMNBgmIJkvhf26BcvlCcxKyOq+VZ4A8lX4RfwDjZCT5aJ/v6ZnCG
0ZkB7xo7N8AIdhlAEox4d4lnKryz76shGw102hbVk8RjXbM2CgS7pViKOcup2vS2y4ZFVdn4JYbB
4CoqXEZ4FiiMcAFb8ZrAHAsm9LpVvcRmgR/Ft7ZaUvDA39W4SMS9uUbtQoclSX+MnfdFPQrTCWq4
GKXdeCrmK4YmbRPTP53WVBzE+UYnaAbNDpCCK61LdnNU4RuRmlfnFX7H26qlm+xSN99sSXLDn9pN
OrJEp+XdndhB2iiTzDklyVaAeqC2BIX1FGyXni4AppsvnBSSQbbjCR/eLQ58UOSwKjtYlfUa9Qe0
PqfwaHFHG18djB3GtAoHl4isyLmHl/l3JyPV5xRgMRUPFSLOQ+rvCl+R9Uw+a56vEMPqBqKJXQvX
H9On/BcMJgDJO9i+hD4Dp3I+3sQa9H4NkhbK3zI9q2Evb6/pTdDE0GAkEczBdNW0Xp29moQUhXZ+
8w+X6czAS5xtocMO3VCn2e8V+WfooIZ8flDBmsq12NctWAz9Tfdj+YBcmxYWWiM8AIh4fA7+3rtQ
5xhpGa9wXnqkj88t+o4a6tVZahDh7u6o82ps9yEW6GtPV/B9X8PzrhBQAdvG1uMJEzN7WDWIq5q7
un7JDtu8Jm4adB76xME5WT2dOx/qs3kHsfA8X5yHF6JkHeFzqp85YN6jnppuh2SLeWLad+PLYmTA
x9fqqDIM5QXdVdlyeACYKEFFWYDOPGb7BVnDkaHLLzum8FD6d8QPNZSG1UeLp22SFDrMQuU70LbE
ILMyAnQGyv7EaYJforkofaKYBkrXO2Tyqxr8tt2lzRb0OWVz+DM/wYzhBtk7JGmotvYskmqpcwQZ
00a5e5cXL8KKQ0LDvlU1VsaD5C606jfw0mqtt+b2WxQO/DBA4nTnu3PFek50aplDidxr+wPYdffs
STOF8yoh4S3nDvOCJBMokSSplga3Z3fHTLqzilB6/ry6Sj/Bg6RrdsIQzcKdluTxfM+uiPVudi2n
8Fk8/w6M9Bt6QA+ng3h9go3enrVl0DE4lu2ulSof9lb4VSHBZ4PD1tnri7RMa2Do59gspAA8F2tZ
ZqGsFBiu8C3eLgMzWZr3ZMo/w9XPs85WnBaz/l6u1FQWD+/FPQBm5u0X6TiMUiQl+8J+6fSUFIDL
zdGKj6+LcNnRz1SzuxWHxuZ466iD1VLS8wbV2Fl/WM2oNntTWJSW2Z2v0IYlAGSwRqsjS8zfeeSM
TQp5HXbDF8c2kj6qhzi42LR/Xmm2C58MxFLE6j8XyqbDW2rkPgdmvMIi+bGY+LxGz4iEoA18dVYr
wlrJFkFCeTz8cjNisG8EZ6bjPpeDrDHU0OdTXnHnPywwVgBQ+T69U1aL5mN50rx0O6LiTXpg8RVI
cS6zT+CMluYKRjckqq3cB44EoRqY51rOWbrzg4XcoIZasuaVa79zrQNEV/6FmUNav4tkAy09/t2V
R/62ynm7XeMjKrc1ezvR2KywWXXFfGH6/8Hu2R7Jxg92uOxsF9w8I1tnxAEZ4BZNjE307LbqUw3P
27SWlm7xNOPSNYfTyaiOYdwHkBBXqQzs7OAYwgFNEg/up0RvcsGCWIC4cds9zOiw9Q4fLEkcxQjE
Wp3uKrdQb4UbUaiJjld8WT7HJ+1KR1nZGdjI1liIgcXSRzJ7MfwXwkL7woyNxlA7T8acyuElpUhQ
OtJLPj8FuRso/jZfD3fPKsm1hOf9Rg69Jiyf36FMfZyFK75iCBEqpS00wclTtnIVC5rDDnf5f7rY
M7+pLYLpTQT3z9qY8iFs058424/2E25s5U+fyUnIFhnXL6/QAUz4DlB7KrYVZ1QDZUczgKPXxp9I
ifLQKNNxYTxIDXkWzyJZYUnOD7m3Q7qKj4rQpc8co2o/ywXTi2KO1hCG5WqyBAo0WjAqdXq5ceid
ZxqsFPQBjw7zhtzsAdo9wCLojrJla+FNwfLt0ujO0YRkKYir0TXY5cv7sUrJmslfAvJG3CMu/0jt
MrJX2bj2MLiGyGtASp0ixy4xHiAqEMvgFghuS1vqkmq9yNvowS4PMv+qOiR7nJsZHklbezTJ3eC1
WljlWWBX6pHgoh+rFvX2wFWeDOHNWpWZ3RFTY32Jg0IZyptARYq5UTfAVMDSzUcHxU/KieXGz9Z6
us6iGOSfkSFTNlHchyZ6vBMH0NwJmCPOeWMoKWlaWK4RMh9j3o5YtNVIAy5q6KKD2fc0F80G2BBN
50GuwQrwJy6AAN+IhVfx9A4vRcArnu8Ur//qXjlswtgQlV85+qaHHV8BlZr0pP3vXE1CgNXNzOfS
UVMyu/lxFoOqaNK89VgPwk3BqOPA8yVP8FW4W7U36lHtJU/XfUswM4KtJSzOfnBIvKiQgAjrzTGz
y3nwThT7hrFeCJCou+y7zAB7IiEvf18Paz5a2i8HksCnrR2D/s8dn1jsC2eypNVGQqmo9TO2bYFN
xeqNzn8nu7gf+oV+a7taoiYEL4raIJglSBVKP4xRIL7caJGo1d62CRi3Y77x8uZcTTkqs91YB1mi
DRibe1izkNqU7CGA2CPr8BBtS7+aVGERBJwrSMRPXoOGk1VLyJdPbncEJJh7ULbOhL+JJbeS3prk
D+x5CvCrPFsBzrJa6E6/EjNoUADuxCwHWcBIaL8GQxMCBMTfT6SgU4Es8/1xbdWjMhyqZjJtnzBd
Se3z8XmXai0qXoHBVHE8SJNzTaJYQ9BRQCQwb02XawPlw1YruKm+/yxq3++o3/i4FEQutd/2rg3d
m2Q47wNFhEDdrmfzgCpUYTVrAS+dANqIsisg4QNAKZ9lpiOz7ReEBbXQmso+LZLurbENC5IJoS9e
VLTKeOiD8Uy3cpumXXVYfawsx1yHoxTAJ7dDItDuLeBJkXQlths3Mlk9rXg2qKgV45Vh047NtvYs
TQLWbBhdPCn/KmsymrU6dn6hnhXrihk7EdpXzieKVsfePXUq3rwO21dr8w2Urw0JNfEtV49UjEQM
fEQ08sDvHCcdp84GNHOUqB+iIHaSjaQxwJmynUVOIpfV2SM9QCEqg7aRQroZImolULf4ferhcxce
4A2KX61bmDvdcAfeVwkeztmdIZGEhtWN6YYeUmxaj7N1AZuuMjuSo82JHPXxY+zCqlcjcf2Mvx0M
HLENtjcOnDS3roIXPGJtqbXnq6ND+SQyGpGedds=
`protect end_protected
| mit | 896a0bb48cb741fc11828bcd0318767b | 0.924534 | 1.911107 | false | false | false | false |
zcold/fft.vhdl | src/agu.vhdl | 1 | 2,467 | -- The MIT License (MIT)
-- Copyright (c) 2014 Shuo Li
-- Permission is hereby granted, free of charge, to any person obtaining a copy
-- of this software and associated documentation files (the "Software"), to deal
-- in the Software without restriction, including without limitation the rights
-- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
-- copies of the Software, and to permit persons to whom the Software is
-- furnished to do so, subject to the following conditions:
-- The above copyright notice and this permission notice shall be included in all
-- copies or substantial portions of the Software.
-- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
-- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
-- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
-- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
-- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
-- OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE
-- SOFTWARE.
--------------------------
-- address generation unit
-- for N-point FFT
--------------------------
library ieee;
use ieee.std_logic_1164.all;
library ieee_proposed;
use ieee_proposed.fixed_float_types.all;
use ieee_proposed.fixed_pkg.all;
entity agu is
generic ( address_width : integer := 8 );
port (
count_in : in std_logic_vector(address_width - 2 downto 0);
current_stage : in integer range 0 to address_width - 1;
address_out_0 : out std_logic_vector(address_width - 1 downto 0);
address_out_1 : out std_logic_vector(address_width - 1 downto 0)
);
end agu;
-- Function Implementation 0
architecture FIMP_0 of agu is
begin
process(count_in, current_stage)
begin
if current_stage = 0 then
address_out_0 <= count_in & '0';
address_out_1 <= count_in & '1';
elsif current_stage = address_width - 1 then
address_out_0 <= '0' & count_in;
address_out_1 <= '1' & count_in;
else
for i in 1 to address_width - 2 loop
if current_stage = i then
address_out_0 <= count_in(address_width-2 downto i) & '0' & count_in(i - 1 downto 0);
address_out_1 <= count_in(address_width-2 downto i) & '0' & count_in(i - 1 downto 0);
end if;
end loop;
end if;
end process;
end FIMP_0; | mit | e27a1389cf09f7977849ea4dcc8ff4db | 0.66518 | 3.86072 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/wait/rule_001_test_input.fixed.vhd | 1 | 764 |
entity ENTITY1 is
generic (
wait_generic : std_logic := '0'
);
port (
wait_port : std_logic := '1'
);
end entity ENTITY1;
architecture ARCH of ENTITY1 is
signal wait_for_something : std_logic;
component ENTITY2 is
generic (
wait_generic : std_logic := '0'
);
port (
wait_port : std_logic := '1'
);
end component ENTITY2;
begin
PROC1 : process (wait_for_something) is
-- wait <-- this should not be classified as a wait
variable wait_for_other_thing : std_logic;
begin
wait for 10ns;
wait on a,b;
wait until a = '0';
end process PROC1;
U_ENTITY2 : ENTITY2
generic map (
wait_generic => '0'
)
port map (
wait_port => '1'
);
end architecture ARCH;
| gpl-3.0 | c30b6c09a22fd26946b65de4a9b045ce | 0.585079 | 3.410714 | false | false | false | false |
tdaede/daala_zynq | daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/blk_mem_gen_v8_0/blk_mem_axi_read_fsm.vhd | 2 | 83,879 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
iQhNoP8QJ1+kTr3K1ulFnGXGJShy5Jff0AwqOXh+IFE5P69Uc53qVphYu8R52BLkC7tEaLSbbNWk
YC1DFRJLXA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
QJGPslOZ4HGlcIUvdMcabcmjWYtY06vuMx1zfz9OpVbYgdasGwK6H4xSN59LFRI6qJyNoznQWgYO
DL7eXZ4TuI5mAw0176hgjDTCgN2Ia0eTGF0Sl7jqVGmeZs2MramdL0pnQrxW0TCcY2DnzWIU58ur
Oc0G3Q/a1VYyFtXGry0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
U3kitcmcD1cUojLRHAQSoNQir/nzHHrSZ2ONNLcQ5d/XF7uI8St/l4g646YZhE0PwD6TRNd1w1fl
RfpD0cELDyvb3Wj/MxobRgDyH7CMgdX402Q2Urf5xhmwq+OVjV4MGf0wZXo+stSs8CXicOPqBEkU
/YuRTep0Hju7WtwT3+uKbIZRyIRA1Vk/wmPK8UFzNbGFfMlcd5zF8yxIFQqlrXW0MU2wZaVPnYT1
LURH/oLcGqBNfssnwqgZvsvLD0dWFA0OKDExhGjnDQZhxjO7cxk7u6NkBv6cMuA7+VbyaoX3jbsF
ad6euhoCmFJfeMQ8aYjwZWorT8osWFzS3sMrZw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
gp94y45P5qlkd4FNgUCfw30qEi7KhxpQlkcG6WN0qDS4BWSKePenFr4cPG8I/43fIPS0MZnG0vAQ
F9p2z4F0tp8yWRRZ+PwTT8ASQfEYXf8L92bx6Svol9sZRFGHhvJDzOeUBqT9I/SJt2LKdszemTmG
+yTQv1F3ve2JERd4sMI=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
PgP2cQog1+8yNsUwxS/UnNLSmW7LcV9lfZyOw1zAqnjpCYcxtPWuA7DaCeJN/qFK9MHFmIMJiA/R
NY+U4tyeTdjl2mTqZR4VX11LTGKSehX48rrehB0tv+LKkYv/Aj9aLKWVOo5p/EdGkEYSXuwf0m5V
0d+VYCC+jToXhmfiPStDoK6RsFnC4rL7WtmD7RHlNSDxhXIOjLJeGFzCekXnt/OaCzo2wZpiCeLL
Auy1zvoJlPqUqzMm/dP57ymuI72a4UsiCbwKUAZGr9YPFD3Go8pgJ0OeWEmey66Nxx6E9+34UQeh
IrkyG3nd2nlVF7ADZZJVIbjiImxoAVV9P447Rg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 60352)
`protect data_block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==
`protect end_protected
| bsd-2-clause | e74998301b8baaa987a8178a9ec0d4ab | 0.951788 | 1.818081 | false | false | false | false |
Logistic1994/CPU | test_alu.vhd | 1 | 3,700 | --------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 14:31:50 06/04/2015
-- Design Name:
-- Module Name: F:/WorkSpace/workspace_ise/Exp/CPU/test_alu.vhd
-- Project Name: CPU
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: module_ALU
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY test_alu IS
END test_alu;
ARCHITECTURE behavior OF test_alu IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT module_ALU
PORT(
clk_ALU : IN std_logic;
nreset : IN std_logic;
M_A : IN std_logic;
M_B : IN std_logic;
M_F : IN std_logic;
nALU_EN : IN std_logic;
nPSW_EN : IN std_logic;
C0 : IN std_logic;
S : IN std_logic_vector(4 downto 0);
F_in : IN std_logic_vector(1 downto 0);
datai : IN std_logic_vector(7 downto 0);
datao : OUT std_logic_vector(7 downto 0);
do : OUT std_logic;
AC : OUT std_logic;
CY : OUT std_logic;
ZN : OUT std_logic;
OV : OUT std_logic
);
END COMPONENT;
--Inputs
signal clk_ALU : std_logic := '0';
signal nreset : std_logic := '0';
signal M_A : std_logic := '0';
signal M_B : std_logic := '0';
signal M_F : std_logic := '0';
signal nALU_EN : std_logic := '1';
signal nPSW_EN : std_logic := '1';
signal C0 : std_logic := '0';
signal S : std_logic_vector(4 downto 0) := (others => '0');
signal F_in : std_logic_vector(1 downto 0) := (others => '0');
signal datai : std_logic_vector(7 downto 0) := (others => '0');
--Outputs
signal datao : std_logic_vector(7 downto 0);
signal do : std_logic;
signal AC : std_logic;
signal CY : std_logic;
signal ZN : std_logic;
signal OV : std_logic;
-- Clock period definitions
constant clk_ALU_period : time := 10 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: module_ALU PORT MAP (
clk_ALU => clk_ALU,
nreset => nreset,
M_A => M_A,
M_B => M_B,
M_F => M_F,
nALU_EN => nALU_EN,
nPSW_EN => nPSW_EN,
C0 => C0,
S => S,
F_in => F_in,
datai => datai,
datao => datao,
do => do,
AC => AC,
CY => CY,
ZN => ZN,
OV => OV
);
-- Clock process definitions
clk_ALU_process :process
begin
clk_ALU <= '0';
wait for clk_ALU_period/2;
clk_ALU <= '1';
wait for clk_ALU_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
wait for 100 ns;
nreset <= '1';
-- insert stimulus here
M_A <= '1';
datai <= X"68";
wait for 100ns;
M_A <= '0';
M_B <= '1';
datai <= X"34";
wait for 100ns;
M_B <= '0';
nALU_EN <= '0';
S <= "00000";
wait;
end process;
END;
| gpl-2.0 | c6d6969ab6aeba268b5dc36b4ad90048 | 0.534054 | 3.403864 | false | true | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/concurrent/rule_003_test_input.fixed_align_left_yes_align_paren_no__smart_tabs.vhd | 1 | 553 |
architecture RTL of ENT is
begin
-- These should pass the check
O_FOO <= (1 => q_foo(63 downto 32),
0 => q_foo(31 downto 0));
n_foo <= resize(unsigned(I_FOO) +
unsigned(I_BAR), q_foo'length);
-- These should fail the check
O_FOO <= (1 => q_foo(63 downto 32),
0 => q_foo(31 downto 0));
n_foo <= resize(unsigned(I_FOO) +
unsigned(I_BAR), q_foo'length);
O_FOO <=
(
1 => func1(std_logic_vector(G_GEN1), G_GEN2),
2 => func2(func3(G_GEN3), G_GEN3),
3 => func4(G_GEN4)
);
end architecture RTL;
| gpl-3.0 | faeb711e5f8b858cbfc697f88ca811fd | 0.562387 | 2.751244 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/concurrent/rule_002_test_input.fixed.vhd | 1 | 353 |
architecture RTL of FIFO is
begin
-- These are passing
a <= b;
a <= when c = '0' else '1';
with z select
a <= b when z = "000",
c when z = "001";
a <=
b;
-- Violation below
a <= b;
a <= when c = '0' else '1';
with z select
a <= b when z = "000",
c when z = "001";
end architecture RTL;
| gpl-3.0 | 5387c157a97fa1573d375c590dcb3bee | 0.467422 | 3.18018 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/after/rule_001_test_input.fixed.vhd | 1 | 2,595 |
architecture ARCH of ENTITY is
begin
CLK_PROC : process (reset, clk) is
begin
if (reset = '1') then
a <= '0';
b <= '1';
c <= '0';
d <= '1';
elsif (clk'event and clk = '1') then
a <= b after 1 ns;
b <= c after 1 ns;
c <= d after 1 ns;
d <= e after 1 ns;
end if;
end process CLK_PROC;
-- This process checks for a clock process without a reset
CLK_PROC : process (reset, clk) is
begin
if (falling_edge(clk)) then
a <= b after 1 ns;
b <= c after 1 ns;
c <= d after 1 ns;
d <= e after 1 ns;
end if;
end process CLK_PROC;
-- This process checks for a clock process without a reset
CLK_PROC : process (reset, clk) is
begin
if (rising_edge(clk)) then
a <= b after 1 ns;
b <= c after 1 ns;
c <= d after 1 ns;
d <= e after 1 ns;
end if;
end process CLK_PROC;
-- This process checks for a clock process without a reset
CLK_PROC : process (reset, clk) is
begin
if (clk'event and clk = '1') then
a <= b after 1 ns;
b <= c after 1 ns;
c <= d after 1 ns;
d <= e after 1 ns;
end if;
end process CLK_PROC;
-- This checks detection of after outside clock processes
a <= b after 10 ns;
-- Violations below -----------------------------------
-- This process checks for missing after statements
CLK_PROC : process (reset, clk) is
begin
if (reset = '1') then
a <= '0';
b <= '1';
c <= '0';
d <= '1';
elsif (clk'event and clk = '1') then
a <= b after 1 ns;
b <= c after 1 ns;
c <= d after 1 ns;
d <= e after 1 ns;
end if;
end process CLK_PROC;
-- This process checks for a clock process without a reset
CLK_PROC : process (reset, clk) is
begin
if (falling_edge(clk)) then
a <= b after 1 ns;
b <= c after 1 ns;
c <= d after 1 ns;
d <= e after 1 ns;
end if;
end process CLK_PROC;
-- This process checks for a clock process without a reset
CLK_PROC : process (reset, clk) is
begin
if (rising_edge(clk)) then
a <= b after 1 ns;
b <= c after 1 ns;
c <= d after 1 ns;
d <= e after 1 ns;
end if;
end process CLK_PROC;
-- This process checks for a clock process without a reset
CLK_PROC : process (reset, clk) is
begin
if (clk'event and clk = '1') then
a <= b after 1 ns;
b <= c after 1 ns;
c <= d after 1 ns;
d <= e after 1 ns;
end if;
end process CLK_PROC;
end architecture ARCH;
| gpl-3.0 | 40985d3d2b6d7a68c27e096470a5a0b3 | 0.530636 | 3.525815 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/generate/rule_016_test_input.fixed.vhd | 1 | 416 |
architecture RTL of FIFO is
begin
CASE_LABEL : case data generate
when 0 =>
a <= z;
when 1 =>
a <= c;
when 2 =>
a <= b;
when others =>
null;
end generate;
-- Violations below
CASE_LABEL : case data generate
when 0 =>
a <= z;
when 1 =>
a <= c;
when 2 =>
a <= b;
when others =>
null;
end generate;
end;
| gpl-3.0 | 0a9c82b55efd85aab3326b5434f9e9b2 | 0.451923 | 3.747748 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/generic/rule_010_test_input.fixed.vhd | 1 | 442 |
entity FIFO is
generic (
G_WIDTH : integer := 256;
G_DEPTH : integer := 32 -- Comment
);
port (
I_PORT1 : in std_logic;
I_PORT2 : out std_logic
);
end entity FIFO;
-- Violation below
entity FIFO is
GENERIC(g_size : integer := 10;
g_width : integer := 256;
g_depth : integer := 32 -- Comment should stay
);
PORT (
i_port1 : in std_logic := '0';
i_port2 : out std_logic :='1');
end entity FIFO;
| gpl-3.0 | 627a77318f26953098d657045971850f | 0.574661 | 3.157143 | false | false | false | false |
tdaede/daala_zynq | daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_bram_ctrl_0_0/axi_bram_ctrl_v3_0/hdl/vhdl/axi_lite_if.vhd | 1 | 11,619 | -------------------------------------------------------------------------------
-- axi_lite_if.vhd
-------------------------------------------------------------------------------
--
--
-- (c) Copyright [2010 - 2011] Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--
-------------------------------------------------------------------------------
-- Filename: axi_lite_if.vhd
--
-- Description: Derived AXI-Lite interface module.
--
-- VHDL-Standard: VHDL'93
--
-------------------------------------------------------------------------------
-- Structure:
-- axi_bram_ctrl.vhd (v1_03_a)
-- |
-- |-- full_axi.vhd
-- | -- sng_port_arb.vhd
-- | -- lite_ecc_reg.vhd
-- | -- axi_lite_if.vhd
-- | -- wr_chnl.vhd
-- | -- wrap_brst.vhd
-- | -- ua_narrow.vhd
-- | -- checkbit_handler.vhd
-- | -- xor18.vhd
-- | -- parity.vhd
-- | -- checkbit_handler_64.vhd
-- | -- (same helper components as checkbit_handler)
-- | -- parity.vhd
-- | -- correct_one_bit.vhd
-- | -- correct_one_bit_64.vhd
-- |
-- | -- rd_chnl.vhd
-- | -- wrap_brst.vhd
-- | -- ua_narrow.vhd
-- | -- checkbit_handler.vhd
-- | -- xor18.vhd
-- | -- parity.vhd
-- | -- checkbit_handler_64.vhd
-- | -- (same helper components as checkbit_handler)
-- | -- parity.vhd
-- | -- correct_one_bit.vhd
-- | -- correct_one_bit_64.vhd
-- |
-- |-- axi_lite.vhd
-- | -- lite_ecc_reg.vhd
-- | -- axi_lite_if.vhd
-- | -- checkbit_handler.vhd
-- | -- xor18.vhd
-- | -- parity.vhd
-- | -- checkbit_handler_64.vhd
-- | -- (same helper components as checkbit_handler)
-- | -- correct_one_bit.vhd
-- | -- correct_one_bit_64.vhd
--
--
--
-------------------------------------------------------------------------------
--
-- History:
--
-- ^^^^^^
-- JLJ 2/1/2011 v1.03a
-- ~~~~~~
-- Migrate to v1.03a.
-- Plus minor code cleanup.
-- ^^^^^^
--
--
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
entity axi_lite_if is
generic (
-- AXI4-Lite slave generics
-- C_S_AXI_BASEADDR : std_logic_vector := X"FFFF_FFFF";
-- C_S_AXI_HIGHADDR : std_logic_vector := X"0000_0000";
C_S_AXI_ADDR_WIDTH : integer := 32;
C_S_AXI_DATA_WIDTH : integer := 32;
C_REGADDR_WIDTH : integer := 4; -- Address bits including register offset.
C_DWIDTH : integer := 32); -- Width of data bus.
port (
LMB_Clk : in std_logic;
LMB_Rst : in std_logic;
-- AXI4-Lite SLAVE SINGLE INTERFACE
S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
S_AXI_AWVALID : in std_logic;
S_AXI_AWREADY : out std_logic;
S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
S_AXI_WSTRB : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0);
S_AXI_WVALID : in std_logic;
S_AXI_WREADY : out std_logic;
S_AXI_BRESP : out std_logic_vector(1 downto 0);
S_AXI_BVALID : out std_logic;
S_AXI_BREADY : in std_logic;
S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
S_AXI_ARVALID : in std_logic;
S_AXI_ARREADY : out std_logic;
S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
S_AXI_RRESP : out std_logic_vector(1 downto 0);
S_AXI_RVALID : out std_logic;
S_AXI_RREADY : in std_logic;
-- lmb_bram_if_cntlr signals
RegWr : out std_logic;
RegWrData : out std_logic_vector(0 to C_DWIDTH - 1);
RegAddr : out std_logic_vector(0 to C_REGADDR_WIDTH-1);
RegRdData : in std_logic_vector(0 to C_DWIDTH - 1));
end entity axi_lite_if;
library unisim;
use unisim.vcomponents.all;
architecture IMP of axi_lite_if is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of IMP : architecture is "yes";
-----------------------------------------------------------------------------
-- Signal declaration
-----------------------------------------------------------------------------
signal new_write_access : std_logic;
signal new_read_access : std_logic;
signal ongoing_write : std_logic;
signal ongoing_read : std_logic;
signal S_AXI_RVALID_i : std_logic;
signal RegRdData_i : std_logic_vector(C_DWIDTH - 1 downto 0);
begin -- architecture IMP
-----------------------------------------------------------------------------
-- Handling the AXI4-Lite bus interface (AR/AW/W)
-----------------------------------------------------------------------------
-- Detect new transaction.
-- Only allow one access at a time
new_write_access <= not (ongoing_read or ongoing_write) and S_AXI_AWVALID and S_AXI_WVALID;
new_read_access <= not (ongoing_read or ongoing_write) and S_AXI_ARVALID and not new_write_access;
-- Acknowledge new transaction.
S_AXI_AWREADY <= new_write_access;
S_AXI_WREADY <= new_write_access;
S_AXI_ARREADY <= new_read_access;
-- Store register address and write data
Reg: process (LMB_Clk) is
begin
if LMB_Clk'event and LMB_Clk = '1' then
if LMB_Rst = '1' then
RegAddr <= (others => '0');
RegWrData <= (others => '0');
elsif new_write_access = '1' then
RegAddr <= S_AXI_AWADDR(C_REGADDR_WIDTH-1+2 downto 2);
RegWrData <= S_AXI_WDATA(C_DWIDTH-1 downto 0);
elsif new_read_access = '1' then
RegAddr <= S_AXI_ARADDR(C_REGADDR_WIDTH-1+2 downto 2);
end if;
end if;
end process Reg;
-- Handle write access.
WriteAccess: process (LMB_Clk) is
begin
if LMB_Clk'event and LMB_Clk = '1' then
if LMB_Rst = '1' then
ongoing_write <= '0';
elsif new_write_access = '1' then
ongoing_write <= '1';
elsif ongoing_write = '1' and S_AXI_BREADY = '1' then
ongoing_write <= '0';
end if;
RegWr <= new_write_access;
end if;
end process WriteAccess;
S_AXI_BVALID <= ongoing_write;
S_AXI_BRESP <= (others => '0');
-- Handle read access
ReadAccess: process (LMB_Clk) is
begin
if LMB_Clk'event and LMB_Clk = '1' then
if LMB_Rst = '1' then
ongoing_read <= '0';
S_AXI_RVALID_i <= '0';
elsif new_read_access = '1' then
ongoing_read <= '1';
S_AXI_RVALID_i <= '0';
elsif ongoing_read = '1' then
if S_AXI_RREADY = '1' and S_AXI_RVALID_i = '1' then
ongoing_read <= '0';
S_AXI_RVALID_i <= '0';
else
S_AXI_RVALID_i <= '1'; -- Asserted one cycle after ongoing_read to match S_AXI_RDDATA
end if;
end if;
end if;
end process ReadAccess;
S_AXI_RVALID <= S_AXI_RVALID_i;
S_AXI_RRESP <= (others => '0');
Not_All_Bits_Are_Used: if (C_DWIDTH < C_S_AXI_DATA_WIDTH) generate
begin
S_AXI_RDATA(C_S_AXI_DATA_WIDTH-1 downto C_S_AXI_DATA_WIDTH - C_DWIDTH) <= (others=>'0');
end generate Not_All_Bits_Are_Used;
RegRdData_i <= RegRdData; -- Swap to - downto
S_AXI_RDATA_DFF : for I in C_DWIDTH - 1 downto 0 generate
begin
S_AXI_RDATA_FDRE : FDRE
port map (
Q => S_AXI_RDATA(I),
C => LMB_Clk,
CE => ongoing_read,
D => RegRdData_i(I),
R => LMB_Rst);
end generate S_AXI_RDATA_DFF;
end architecture IMP;
| bsd-2-clause | 430c4a50ef2557566e65290e9bc2ed3c | 0.498322 | 4.00379 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/port_map/rule_001_test_input.fixed_upper.vhd | 1 | 643 |
architecture ARCH of ENTITY1 is
begin
U_INST1 : INST1
generic map (
G_GEN_1 => 3,
G_GEN_2 => 4,
G_GEN_3 => 5
)
PORT MAP (
PORT_1 => w_port_1,
PORT_2 => w_port_2,
PORT_3 => w_port_3
);
-- Violations below
U_INST1 : INST1
PORT MAP (
PORT_1 => w_port_1,
PORT_2 => w_port_2,
PORT_3 => w_port_3
);
U_INST1 : INST1
PORT MAP (
PORT_1 => w_port_1,
PORT_2 => w_port_2,
PORT_3 => w_port_3
);
U_INST1 : INST1
PORT MAP (
PORT_1 => w_port_1,
PORT_2 => w_port_2,
PORT_3 => w_port_3
);
end architecture ARCH;
| gpl-3.0 | 75fab03eb3930f2dc35fa139397b1030 | 0.463453 | 2.701681 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/entity/rule_017_test_input.fixed_combined_generic.vhd | 1 | 610 |
entity fifo is
generic (
gen_dec1 : integer := 0; -- Comment
gen_dec2 : integer := 1; -- Comment
gen_dec3 : integer := 2 -- Comment
);
port (
sig1 : std_logic; -- Comment
sig2 : std_logic; -- Comment
sig3 : std_logic -- Comment
);
end entity fifo;
-- Failures below
entity fifo is
generic (
gen_dec1 : integer := 0; -- Comment
gen_dec2 : integer := 1; -- Comment
gen_dec3 : integer := 2 -- Comment
);
port (
sig1 : std_logic; -- Comment
sig2 : std_logic; -- Comment
sig3 : std_logic -- Comment
);
end entity fifo;
| gpl-3.0 | 01fffb17c349b01f0f61c34705a6b941 | 0.542623 | 3.315217 | false | false | false | false |
Yarr/Yarr-fw | rtl/spartan6/ddr3-core/ip_cores/ddr3_ctrl_spec_bank3_64b_32b/user_design/sim/afifo.vhd | 20 | 9,200 | --*****************************************************************************
-- (c) Copyright 2009 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--*****************************************************************************
-- ____ ____
-- / /\/ /
-- /___/ \ / Vendor: Xilinx
-- \ \ \/ Version: %version
-- \ \ Application: MIG
-- / / Filename: afifo.vhd
-- /___/ /\ Date Last Modified: $Date: 2011/06/02 07:16:34 $
-- \ \ / \ Date Created: Jul 03 2009
-- \___\/\___\
--
-- Device: Spartan6
-- Design Name: DDR/DDR2/DDR3/LPDDR
-- Purpose: A generic synchronous fifo.
-- Reference:
-- Revision History: 2009/01/09 corrected signal "buf_avail" and "almost_full" equation.
--*****************************************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
ENTITY afifo IS
GENERIC (
TCQ : TIME := 100 ps;
DSIZE : INTEGER := 32;
FIFO_DEPTH : INTEGER := 16;
ASIZE : INTEGER := 4;
SYNC : INTEGER := 1
);
PORT (
wr_clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
wr_en : IN STD_LOGIC;
wr_data : IN STD_LOGIC_VECTOR(DSIZE - 1 DOWNTO 0);
rd_en : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
rd_data : OUT STD_LOGIC_VECTOR(DSIZE - 1 DOWNTO 0);
full : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
almost_full : OUT STD_LOGIC
);
END afifo;
ARCHITECTURE trans OF afifo IS
TYPE mem_array IS ARRAY (0 TO FIFO_DEPTH ) OF STD_LOGIC_VECTOR(DSIZE - 1 DOWNTO 0);
SIGNAL mem : mem_array;
SIGNAL rd_gray_nxt : STD_LOGIC_VECTOR(ASIZE DOWNTO 0);
SIGNAL rd_gray : STD_LOGIC_VECTOR(ASIZE DOWNTO 0);
SIGNAL rd_capture_ptr : STD_LOGIC_VECTOR(ASIZE DOWNTO 0);
SIGNAL pre_rd_capture_gray_ptr : STD_LOGIC_VECTOR(ASIZE DOWNTO 0);
SIGNAL rd_capture_gray_ptr : STD_LOGIC_VECTOR(ASIZE DOWNTO 0);
SIGNAL wr_gray : STD_LOGIC_VECTOR(ASIZE DOWNTO 0);
SIGNAL wr_gray_nxt : STD_LOGIC_VECTOR(ASIZE DOWNTO 0);
SIGNAL wr_capture_ptr : STD_LOGIC_VECTOR(ASIZE DOWNTO 0);
SIGNAL pre_wr_capture_gray_ptr : STD_LOGIC_VECTOR(ASIZE DOWNTO 0);
SIGNAL wr_capture_gray_ptr : STD_LOGIC_VECTOR(ASIZE DOWNTO 0);
SIGNAL buf_avail : STD_LOGIC_VECTOR(ASIZE DOWNTO 0);
SIGNAL buf_filled : STD_LOGIC_VECTOR(ASIZE DOWNTO 0);
SIGNAL wr_addr : STD_LOGIC_VECTOR(ASIZE - 1 DOWNTO 0);
SIGNAL rd_addr : STD_LOGIC_VECTOR(ASIZE - 1 DOWNTO 0);
SIGNAL wr_ptr : STD_LOGIC_VECTOR(ASIZE DOWNTO 0);
SIGNAL rd_ptr : STD_LOGIC_VECTOR(ASIZE DOWNTO 0);
SIGNAL i : INTEGER;
SIGNAL j : INTEGER;
SIGNAL k : INTEGER;
SIGNAL rd_strobe : STD_LOGIC;
SIGNAL n : INTEGER;
SIGNAL rd_ptr_tmp : STD_LOGIC_VECTOR(ASIZE DOWNTO 0);
SIGNAL wbin : STD_LOGIC_VECTOR(ASIZE DOWNTO 0);
SIGNAL wgraynext : STD_LOGIC_VECTOR(ASIZE DOWNTO 0);
SIGNAL wbinnext : STD_LOGIC_VECTOR(ASIZE DOWNTO 0);
SIGNAL ZERO : STD_LOGIC_VECTOR(ASIZE DOWNTO 0);
SIGNAL ONE : STD_LOGIC_VECTOR(ASIZE DOWNTO 0);
-- Declare intermediate signals for referenced outputs
SIGNAL full_xhdl1 : STD_LOGIC;
SIGNAL almost_full_int : STD_LOGIC;
SIGNAL empty_xhdl0 : STD_LOGIC;
BEGIN
-- Drive referenced outputs
ZERO <= std_logic_vector(to_unsigned(0,(ASIZE+1)));
ONE <= std_logic_vector(to_unsigned(1,(ASIZE+1)));
full <= full_xhdl1;
empty <= empty_xhdl0;
xhdl3 : IF (SYNC = 1) GENERATE
PROCESS (rd_ptr)
BEGIN
rd_capture_ptr <= rd_ptr;
END PROCESS;
END GENERATE;
xhdl4 : IF (SYNC = 1) GENERATE
PROCESS (wr_ptr)
BEGIN
wr_capture_ptr <= wr_ptr;
END PROCESS;
END GENERATE;
wr_addr <= wr_ptr(ASIZE-1 DOWNTO 0);
rd_data <= mem(conv_integer(rd_addr));
PROCESS (wr_clk)
BEGIN
IF (wr_clk'EVENT AND wr_clk = '1') THEN
IF ((wr_en AND NOT(full_xhdl1)) = '1') THEN
mem(to_integer(unsigned(wr_addr))) <= wr_data;
END IF;
END IF;
END PROCESS;
rd_addr <= rd_ptr(ASIZE - 1 DOWNTO 0);
rd_strobe <= rd_en AND NOT(empty_xhdl0);
PROCESS (rd_ptr)
BEGIN
rd_gray_nxt(ASIZE) <= rd_ptr(ASIZE);
FOR n IN 0 TO ASIZE - 1 LOOP
rd_gray_nxt(n) <= rd_ptr(n) XOR rd_ptr(n + 1);
END LOOP;
END PROCESS;
PROCESS (rd_clk)
BEGIN
IF (rd_clk'EVENT AND rd_clk = '1') THEN
IF (rst = '1') THEN
rd_ptr <= (others=> '0');
rd_gray <= (others=> '0');
ELSE
IF (rd_strobe = '1') THEN
rd_ptr <= rd_ptr + 1;
END IF;
rd_ptr_tmp <= rd_ptr;
rd_gray <= rd_gray_nxt;
END IF;
END IF;
END PROCESS;
buf_filled <= wr_capture_ptr - rd_ptr;
PROCESS (rd_clk)
BEGIN
IF (rd_clk'EVENT AND rd_clk = '1') THEN
IF (rst = '1') THEN
empty_xhdl0 <= '1';
ELSIF ((buf_filled = ZERO) OR (buf_filled = ONE AND rd_strobe = '1')) THEN
empty_xhdl0 <= '1';
ELSE
empty_xhdl0 <= '0';
END IF;
END IF;
END PROCESS;
PROCESS (rd_clk)
BEGIN
IF (rd_clk'EVENT AND rd_clk = '1') THEN
IF (rst = '1') THEN
wr_ptr <= (others => '0');
wr_gray <= (others => '0');
ELSE
IF (wr_en = '1') THEN
wr_ptr <= wr_ptr + 1;
END IF;
wr_gray <= wr_gray_nxt;
END IF;
END IF;
END PROCESS;
PROCESS (wr_ptr)
BEGIN
wr_gray_nxt(ASIZE) <= wr_ptr(ASIZE);
FOR n IN 0 TO ASIZE - 1 LOOP
wr_gray_nxt(n) <= wr_ptr(n) XOR wr_ptr(n + 1);
END LOOP;
END PROCESS;
buf_avail <= rd_capture_ptr + FIFO_DEPTH - wr_ptr;
PROCESS (wr_clk)
BEGIN
IF (wr_clk'EVENT AND wr_clk = '1') THEN
IF (rst = '1') THEN
full_xhdl1 <= '0';
ELSIF ((buf_avail = ZERO) OR (buf_avail = ONE AND wr_en = '1')) THEN
full_xhdl1 <= '1';
ELSE
full_xhdl1 <= '0';
END IF;
END IF;
END PROCESS;
almost_full <= almost_full_int;
PROCESS (wr_clk)
BEGIN
IF (wr_clk'EVENT AND wr_clk = '1') THEN
IF (rst = '1') THEN
almost_full_int <= '0';
ELSIF (buf_avail <= 3 AND wr_en = '1') THEN --FIFO_DEPTH
almost_full_int <= '1';
ELSE
almost_full_int <= '0';
END IF;
END IF;
END PROCESS;
END trans;
| gpl-3.0 | 9c402ac6552a5c4f36a0168e10aab1a8 | 0.551957 | 3.844547 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/generic/rule_003_test_input.fixed.vhd | 2 | 454 |
entity FIFO is
generic (
G_WIDTH : integer := 256;
G_DEPTH : integer := 32
);
end entity FIFO;
-- Violation below
entity FIFO is
generic (
G_WIDTH : integer := 256;
G_DEPTH : integer := 32
);
end entity FIFO;
entity FIFO is
generic (
G_WIDTH : integer := 256;
G_DEPTH : integer := 32
);
end entity FIFO;
entity FIFO is
generic (
G_WIDTH : integer := 256;
G_DEPTH : integer := 32
);
end entity FIFO;
| gpl-3.0 | b432866744cfa6b6650108fa8f88e793 | 0.585903 | 3.413534 | false | false | false | false |
tdaede/daala_zynq | daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/fifo_generator_v11_0/ramfifo/wr_status_flags_ss.vhd | 2 | 23,791 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
PR6e6K3JiZ+hXEK6G2um70QB1qSOYsfkQwz2R2bpKzp/K9oWLVtBqXZQWxrC3SFN+mYJjyLtRTJy
Ldfwjq1Wrg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
WIccKCteKu9ADq7p/B7sZ4ExfwccPSQoexkgmm4mR2TNVTswJUOPCiG0gHdYRJJCJbm7AX8lkBlP
T91aI97LgBc54mtPR32+57KAhmySX8lWu1WqdS3B26vzYopCkiDhNYR3bDTmynTL41Cbn37UsdjZ
b6KVIPKPIFJBB6g7rW0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
RVWPhkiwIbhxX5a/4PVYdjJmSM3lFGeUN54OJgXkNUajknaHu0J+JgGJvBS97TSc4f+Xi7xulQdF
SSUyO0fHCoPeBAPPIVUcMXooTeDnL9W5ToLggkmuluTm1g4lI267CNBkB3XhCMpr8wN2CPzjNuuq
f4aNaWNjiaeaxNGnlJ/ptEdTdD84jynxNx8c6MEEpYrLF2W2LMOQX3nF4GEnq8qcslweKW/HJryi
wL9VKDzUhjezUbazX41YBQ9P3hatXbPs4HXKh0NaP31SLTIYDlYdudTDfl5EhNb686VxHEV2JM4O
mmptVUV00LlkC/bLGUtHltoXRMEYfNnpxAo5Aw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
W6YGRXibdmHS/rR8Z9hDTo84v5atcxhkHk9GI5IxkhYzf+VpUUwslp2rHTwlVRRZFefA0vr89MPO
7osqjhdWTMnJc4/D8io9y5EqrAhU3+sdiKmyoQEpbSXN10tmi59E4rx8pIVmFwxXRAiC9E8KlVpO
LcBBaKRs6g7RfM1Lrbo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
lNupKXVlb5had5pfDkU/hg9ZiOC4ak4ElLoMEPupWgD7ewodh/u7ucSpUUrLOUCy+TsSkrRy77/l
qWVlJMmIqOkbPPppnSMOFu0KaCTrRScxO8EwRbk5fxHi7Tr2Nyptc2y2MTTTIh2oLms3ZB2iFpzY
3Jgbsrc0zCtujv9jPQnHg7o0vbOAGU3ZdWexh6iLq994nTHNBcevltVW643jtEeaXan+kugpHsrE
cMF3Oc59gVxS9g0X+sseXMqtHE5Y7L11Je3S/TsNxll48rbuedFuoWmuCcIVKPsDyI0YMbswoCsJ
+LcIP3ikhNQP9+fy9gMOnAnikz030kP/t78vDw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 15872)
`protect data_block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`protect end_protected
| bsd-2-clause | f96fd933e158096d8ec6c96903733983 | 0.942962 | 1.842122 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/instantiation/rule_033_test_input.fixed_add.vhd | 1 | 589 |
architecture ARCH of ENTITY1 is
begin
U_INST1 : component INST1
generic map (
G_GEN_1 => 3,
G_GEN_2 => 4,
G_GEN_3 => 5
)
port map (
PORT_1 => w_port_1,
PORT_2 => w_port_2,
PORT_3 => w_port_3
);
U_ENTITY_INST : entity FIFO(rtl);
-- Violations below
U_INST1 : component INST1
port map (
PORT_1 => w_port_1,
PORT_2 => w_port_2,
PORT_3 => w_port_3
);
U_INST1:component INST
port map (
PORT_1 => w_port_1,
PORT_2 => w_port_2,
PORT_3 => w_port_3
);
end architecture ARCH;
| gpl-3.0 | 184ea9db83f952ca79e0ae55401cc0dc | 0.504244 | 2.859223 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/if_statement/rule_005_test_input.fixed.vhd | 1 | 485 |
architecture RTL of FIFO is
begin
process
begin
if (a = '1') then
b <= '0';
elsif (b = '0') then
c <= '1';
end if;
-- Violations below
if (a = '1') then
b <= '0';
elsif (b = '0') then
c <= '1';
end if;
if (a = '1') then
b <= '0';
elsif (b = '0') then
c <= '1';
end if;
if (a = '1') then
b <= '0';
elsif b = '0' then
c <= '1';
end if;
end process;
end architecture RTL;
| gpl-3.0 | 583384f4ddfad80f953a7fad23ebb1c0 | 0.402062 | 2.957317 | false | false | false | false |
rjarzmik/mips_processor | ProgramCounter/PC_Predictor.vhd | 1 | 12,201 | -------------------------------------------------------------------------------
-- Title : Predicts the next program counters values to be used
-- Project : Source files in two directories, custom library name, VHDL'87
-------------------------------------------------------------------------------
-- File : PC_Predictor.vhd
-- Author : Robert Jarzmik <[email protected]>
-- Company :
-- Created : 2016-12-10
-- Last update: 2017-01-03
-- Platform :
-- Standard : VHDL'93/02
-------------------------------------------------------------------------------
-- Description:
-------------------------------------------------------------------------------
-- Copyright (c) 2016
-------------------------------------------------------------------------------
-- Revisions :
-- Date Version Author Description
-- 2016-12-10 1.0 rj Created
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.cpu_defs.all;
use work.instruction_defs.all;
use work.instruction_record.all;
use work.instruction_prediction.all;
-------------------------------------------------------------------------------
entity PC_Predictor is
generic (
ADDR_WIDTH : integer;
STEP : integer
);
port (
clk : in std_logic;
rst : in std_logic;
stall_req : in std_logic;
-- Instruction tracker
--- Query to record new itag entries
o_itrack_req_pc1 : out std_logic;
o_itrack_req_pc2 : out std_logic;
o_itrack_pc1 : out std_logic_vector(ADDR_WIDTH - 1 downto 0);
o_itrack_pc2 : out std_logic_vector(ADDR_WIDTH - 1 downto 0);
o_itrack_pc1_instr_tag : out instr_tag_t;
o_itrack_pc2_instr_tag : out instr_tag_t;
--- Currently commited instruction
i_commited_instr_record : in instr_record;
i_commited_instr_tag : in instr_tag_t;
i_commited_jump_target : in std_logic_vector(ADDR_WIDTH - 1 downto 0);
-- Misprediction inputs
i_mispredict : in std_logic;
i_mispredict_correct_pc : in std_logic_vector(ADDR_WIDTH - 1 downto 0);
i_wrongly_taken_branch : in boolean;
i_wrongly_not_taken_branch : in boolean;
i_wrongly_taken_jump : in boolean;
i_wrongly_not_taken_jump : in boolean;
i_wrongly_predicted_is_branch : in boolean;
i_wrongly_predicted_is_jump : in boolean;
i_wrongly_predicted_is_stepped : in boolean;
-- Output predictions
o_pc : out std_logic_vector(ADDR_WIDTH - 1 downto 0);
o_pc_instr_tag : out instr_tag_t;
o_next_pc : out std_logic_vector(ADDR_WIDTH - 1 downto 0);
o_next_pc_instr_tag : out instr_tag_t;
o_next_next_pc : out std_logic_vector(ADDR_WIDTH - 1 downto 0);
-- Debug signals
o_dbg_prediction : out prediction_t
);
end entity PC_Predictor;
-------------------------------------------------------------------------------
architecture rtl of PC_Predictor is
subtype addr_t is std_logic_vector(ADDR_WIDTH - 1 downto 0);
-- Prediction cache
procedure update_prediction(i_address : in addr_t;
signal predictions : inout predictions_t;
prediction : in prediction_t) is
begin
for i in predictions'range loop
if predictions(i).valid and predictions(i).pc = i_address then
predictions(i) <= prediction;
end if;
end loop;
end procedure update_prediction;
function create_prediction(i_pc : addr_t;
i_next_pc : addr_t;
itag : instr_tag_t) return prediction_t is
variable o : prediction_t;
begin
o.valid := true;
o.pc := i_pc;
o.next_pc := i_next_pc;
o.is_ja_jr := itag.is_ja or itag.is_jr;
o.is_branch := itag.is_branch;
if itag.is_ja or itag.is_jr then
o.take_branch := 3;
elsif itag.is_branch then
if itag.is_branch_taken then
o.take_branch := 2;
else
o.take_branch := 1;
end if;
end if;
return o;
end function create_prediction;
function guess_next_pc(pc : addr_t;
predictions : predictions_t) return addr_t is
variable o : addr_t;
variable prediction : prediction_t;
begin
if is_prediction_hit(pc, predictions) then
prediction := get_prediction(pc, predictions);
o := prediction.next_pc;
else
o := std_logic_vector(unsigned(pc) + STEP);
end if;
return o;
end;
function guess_next_itag(pc : addr_t; itag : instr_tag_t;
predictions : predictions_t) return instr_tag_t is
variable o : instr_tag_t;
variable prediction : prediction_t;
begin
-- No real prediction yet, just a stepped PC
o.valid := itag.valid;
o.tag := itag.tag;
if is_prediction_hit(pc, predictions) then
prediction := get_prediction(pc, predictions);
o.is_branch := prediction.is_branch;
o.is_ja := prediction.is_ja_jr;
o.is_jr := prediction.is_ja_jr;
o.is_branch_taken := prediction.take_branch >= 2;
else
o.is_branch := false;
o.is_ja := false;
o.is_jr := false;
o.is_branch_taken := false;
end if;
return o;
end function guess_next_itag;
-----------------------------------------------------------------------------
-- Internal signal declarations
-----------------------------------------------------------------------------
signal alloc_itag : instr_tag_t;
-- Stall logic
signal stall : std_logic;
signal jump_while_stalling : boolean;
-- Forecasts
signal pc : addr_t;
signal pc_next : addr_t;
signal pc_itag : instr_tag_t;
signal pc_next_itag : instr_tag_t;
signal pc_next_next : addr_t;
-- Prediction data
signal predictions : predictions_t;
-- Aliaseses
alias irecord : instr_record is i_commited_instr_record;
alias itag : instr_tag_t is i_commited_instr_tag;
begin -- architecture rtl
-----------------------------------------------------------------------------
-- Component instantiations
-----------------------------------------------------------------------------
itag_allocator : process(clk, rst, stall) is
begin
if rst = '1' then
alloc_itag <= get_next_instr_tag(INSTR_TAG_FIRST_VALID, 2);
elsif rising_edge(clk) then
if i_mispredict = '1' then
alloc_itag <= get_next_instr_tag(alloc_itag, 2);
elsif stall = '0' then
alloc_itag <= get_next_instr_tag(alloc_itag, 1);
end if;
end if;
end process itag_allocator;
-- Instruction Tracker
--- Queries to create entries in itracker
--- Based on itags generated by itag_allocator
itrack_recorder : process(clk, rst, stall) is
begin
if rst = '1' then
o_itrack_req_pc1 <= '1';
o_itrack_req_pc2 <= '1';
elsif rising_edge(clk) then
if i_mispredict = '1' then
o_itrack_req_pc1 <= '1';
o_itrack_req_pc2 <= '1';
elsif stall = '1' then
o_itrack_req_pc1 <= '0';
o_itrack_req_pc2 <= '0';
else
o_itrack_req_pc1 <= '0';
o_itrack_req_pc2 <= '1';
end if;
end if;
end process itrack_recorder;
o_itrack_pc1 <= pc;
o_itrack_pc2 <= pc_next;
o_itrack_pc1_instr_tag <= pc_itag;
o_itrack_pc2_instr_tag <= pc_next_itag;
-- Program counter prediction
pc_predictor : process(clk, rst, stall) is
begin
if rst = '1' then
pc <= std_logic_vector(to_signed(0, ADDR_WIDTH));
pc_next <= std_logic_vector(to_signed(4, ADDR_WIDTH));
pc_itag <= INSTR_TAG_FIRST_VALID;
pc_next_itag <= get_next_instr_tag(INSTR_TAG_FIRST_VALID, 1);
jump_while_stalling <= false;
elsif rising_edge(clk) then
if i_mispredict = '1' then
-- Mispredict, break PC flow
--- So far, don't use any recorded branch history, use next 2 PCs
pc <= i_mispredict_correct_pc;
pc_next <= guess_next_pc(i_mispredict_correct_pc, predictions);
pc_itag <= alloc_itag;
pc_next_itag <= guess_next_itag(guess_next_pc(i_mispredict_correct_pc, predictions),
get_next_instr_tag(alloc_itag, 1), predictions);
if stall_req = '1' then
jump_while_stalling <= true;
else
jump_while_stalling <= false;
end if;
elsif stall = '1' then
else
-- No mispredict, normal stepped path
--- Shift pc_next* into pc*, and guess a new pc_next*
jump_while_stalling <= false;
pc <= pc_next;
pc_itag <= pc_next_itag;
pc_next <= guess_next_pc(pc_next, predictions);
pc_next_itag <= guess_next_itag(guess_next_pc(pc_next, predictions),
alloc_itag, predictions);
pc_next_next <= guess_next_pc(guess_next_pc(pc_next, predictions), predictions);
end if;
end if;
end process pc_predictor;
o_pc <= pc;
o_next_pc <= pc_next;
o_pc_instr_tag <= pc_itag;
o_next_pc_instr_tag <= pc_next_itag;
o_next_next_pc <= pc_next_next;
-- Stall logic
stall <=
'1' when stall_req = '1' or jump_while_stalling else '0';
predictor_updater : process(clk, rst, stall)
variable exists : prediction_t;
variable alloc_prediction_idx : natural range 0 to NB_PREDICTIONS - 1;
variable prediction : prediction_t;
variable hit : boolean;
begin
if rst = '1' then
alloc_prediction_idx := 0;
elsif itag.valid and rising_edge(clk) then
hit := is_prediction_hit(irecord.pc, predictions);
if hit then
-- Update a prediction
prediction := get_prediction(irecord.pc, predictions);
if i_wrongly_predicted_is_branch or i_wrongly_predicted_is_jump then
-- Remove a prediction entry
prediction.valid := false;
elsif itag.is_ja or itag.is_jr then
-- Replace a prediction entry
prediction := create_prediction(irecord.pc, i_commited_jump_target, itag);
elsif itag.is_branch and irecord.predict_next_pc = i_commited_jump_target then
-- Update a branch prediction
if itag.is_branch_taken then
if prediction.take_branch < 3 then
prediction.take_branch := prediction.take_branch + 1;
end if;
else
if prediction.take_branch > 0 then
prediction.take_branch := prediction.take_branch - 1;
end if;
end if;
elsif itag.is_branch_taken and irecord.predict_next_pc /= i_commited_jump_target then
-- Shouldn't be a pc disrupt: remove a prediction entry
prediction.valid := false;
elsif i_wrongly_not_taken_branch or i_wrongly_not_taken_jump then
-- Replace a prediction
prediction := create_prediction(irecord.pc, i_commited_jump_target, itag);
end if;
update_prediction(irecord.pc, predictions, prediction);
o_dbg_prediction <= prediction;
elsif i_wrongly_predicted_is_stepped then
-- Add a new prediction
predictions(alloc_prediction_idx) <= create_prediction(irecord.pc, i_commited_jump_target, itag);
o_dbg_prediction <= create_prediction(irecord.pc, i_commited_jump_target, itag);
alloc_prediction_idx := (alloc_prediction_idx + 1) mod NB_PREDICTIONS;
end if;
end if;
end process predictor_updater;
end architecture rtl;
-------------------------------------------------------------------------------
| gpl-3.0 | 2f0008ea6d503fc24256d5e1f2b4f98b | 0.525613 | 3.798568 | false | false | false | false |
wklimann/PCM3168 | PCM3168/PCM3168.vhd | 1 | 4,741 | ---------------------------------------------------------------------------------
-- Engineer: Klimann Wendelin
--
-- Create Date: 07:25:11 11/Okt/2013
-- Design Name: pcm3168
--
-- Description:
--
-- This module provides a bridge between an I2S serial device (audio ADC, S/PDIF
-- Decoded data) and a parallel device (microcontroller, IP block).
--
-- It's coded as a generic VHDL entity, so developer can choose the proper signal
-- width (8/16/24/32 bit)
--
-- Input takes:
-- -I2S Data
-- -I2S Bit Clock
-- -I2S LR Clock (Left/Right channel indication)
--
-- Output provides:
-- -DATA_L / DATA_R parallel inputs
-- -DATA_RDY_L / DATA_RDY_R output ready signals.
--
--
-- The data from the parallel inputs is shifted to the I2S data output
--
--------------------------------------------------------------------------------
-- I2S Waveform summary
--
-- BIT_CK __ __ __ __ __ __ __ __ __
-- | 1|__| 2|_| 3|__| 4|__| 5|__... ... |32|__| 1|__| 2|__| 3| ...
--
-- LR_CK ... ... ___________________
-- ____________L_Channel_Data______________| R Channel Data ...
--
-- DATA x< 00 ><D24><D22><D21><D20> ... ... < 00 ><D24><D23> ...
--
--
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
entity pcm3168 is
generic(
-- width: How many bits (from MSB) are gathered from the serial I2S input
width : integer := 24;
-- clk_divider: divides the system clock and has to be a multiple of 2
clk_divider : integer := 4
);
port(
-- I2S ports
DIN_1 : in std_logic; --Data Input
DOUT_1 : out std_logic; --Date Output
LR_CLK : out std_logic; --Left/Right indicator clock
BIT_CLK : out std_logic; --Bit clock
-- Control ports
CLK : in std_logic; --System Clock
RESET : in std_logic --Asynchronous Reset (Active Low)
);
end pcm3168;
architecture structural of pcm3168 is
--signals
signal s_data_l : std_logic_vector(width-1 downto 0);
signal s_data_r : std_logic_vector(width-1 downto 0);
signal s_lr_clk : std_logic;
signal s_bit_clk : std_logic;
component i2s_in is
generic(
width : integer
);
port(
LR_CLK : in std_logic; --Left/Right indicator clock
BIT_CLK : in std_logic; --Bit clock
DIN : in std_logic; --Data Input
RESET : in std_logic; --Asynchronous Reset (Active Low)
DATA_L : out std_logic_vector(width-1 downto 0);
DATA_R : out std_logic_vector(width-1 downto 0);
DATA_RDY_L : out std_logic; --Falling edge means data is ready
DATA_RDY_R : out std_logic --Falling edge means data is ready
);
end component i2s_in;
component i2s_out is
generic(
width : integer
);
port(
LR_CLK : in std_logic; --Left/Right indicator clock
BIT_CLK : in std_logic; --Bit clock
DOUT : out std_logic; --Data Output
RESET : in std_logic; --Asynchronous Reset (Active Low)
DATA_L : in std_logic_vector(0 to width-1);
DATA_R : in std_logic_vector(0 to width-1);
DATA_RDY_L : out std_logic; --Falling edge means data is ready
DATA_RDY_R : out std_logic --Falling edge means data is ready
);
end component i2s_out;
component clk_gen is
generic(
width : integer;
clk_divider : integer
);
port(
CLK : in std_logic; --System clock
RESET : in std_logic; --Asynchronous Reset (Active Low)
BIT_CLK : out std_logic; --Bit Clock
LR_CLK : out std_logic --Left/Right Clock
);
end component clk_gen;
begin
BIT_CLK <= s_bit_clk;
LR_CLK <= s_lr_clk;
CLK_96k: clk_gen
generic map(
width => width,
clk_divider => clk_divider
)
port map(
CLK => CLK,
RESET => RESET,
BIT_CLK => s_bit_clk,
LR_CLK => s_lr_clk
);
I2S_IN_1: i2s_in
generic map(
width => width
)
port map(
RESET => RESET,
DIN => DIN_1,
BIT_CLK => s_bit_clk,
LR_CLK => s_lr_clk,
DATA_L => s_data_l,
DATA_R => s_data_r,
DATA_RDY_L => open,
DATA_RDY_R => open
);
I2S_OUT_1: i2s_out
generic map(
width => width
)
port map(
RESET => RESET,
DOUT => DOUT_1,
BIT_CLK => s_bit_clk,
LR_CLK => s_lr_clk,
DATA_L => s_data_l,
DATA_R => s_data_r,
DATA_RDY_L => open,
DATA_RDY_R => open
);
end architecture structural;
| gpl-2.0 | 1d2f029c751106b2684e599c408e4ea6 | 0.505168 | 3.112935 | false | false | false | false |
zcold/fft.vhdl | src/twiddle_factor.vhdl | 1 | 45,425 | -- The MIT License (MIT)
-- Copyright (c) 2014 Shuo Li
-- Permission is hereby granted, free of charge, to any person obtaining a copy
-- of this software and associated documentation files (the "Software"), to deal
-- in the Software without restriction, including without limitation the rights
-- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
-- copies of the Software, and to permit persons to whom the Software is
-- furnished to do so, subject to the following conditions:
-- The above copyright notice and this permission notice shall be included in all
-- copies or substantial portions of the Software.
-- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
-- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
-- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
-- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
-- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
-- OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE
-- SOFTWARE.
---------------------
-- twiddle factor for
-- 256-point FFT
---------------------
-- Description
-- This is an automatically generated twiddle factor file for 256 points FFT
library ieee;
use ieee.std_logic_1164.all;
library ieee_proposed;
use ieee_proposed.fixed_float_types.all;
use ieee_proposed.fixed_pkg.all;
entity twiddle_factor is
generic (
-- data width of the real and imaginary part
data_width : integer := 16
);
port (
-- twiddle factor output
wk_out_re : out std_logic_vector (256 / 2 * data_width - 1 downto 0);
wk_out_im : out std_logic_vector (256 / 2 * data_width - 1 downto 0)
);
end twiddle_factor;
-- Function Implementation 0
architecture FIMP_0 of twiddle_factor is
begin
-- twiddle factor values
wk_out_re( (0 + 1) * data_width - 1
downto
0 * data_width
) <= to_slv(to_sfixed(1.0, 0, 1- data_width));
wk_out_im( (0 + 1) * data_width - 1
downto
0 * data_width
) <= to_slv(to_sfixed(0.0, 0, 1- data_width));
wk_out_re( (1 + 1) * data_width - 1
downto
1 * data_width
) <= to_slv(to_sfixed(0.999698818696, 0, 1- data_width));
wk_out_im( (1 + 1) * data_width - 1
downto
1 * data_width
) <= to_slv(to_sfixed(-0.0245412285229, 0, 1- data_width));
wk_out_re( (2 + 1) * data_width - 1
downto
2 * data_width
) <= to_slv(to_sfixed(0.998795456205, 0, 1- data_width));
wk_out_im( (2 + 1) * data_width - 1
downto
2 * data_width
) <= to_slv(to_sfixed(-0.0490676743274, 0, 1- data_width));
wk_out_re( (3 + 1) * data_width - 1
downto
3 * data_width
) <= to_slv(to_sfixed(0.997290456679, 0, 1- data_width));
wk_out_im( (3 + 1) * data_width - 1
downto
3 * data_width
) <= to_slv(to_sfixed(-0.0735645635997, 0, 1- data_width));
wk_out_re( (4 + 1) * data_width - 1
downto
4 * data_width
) <= to_slv(to_sfixed(0.995184726672, 0, 1- data_width));
wk_out_im( (4 + 1) * data_width - 1
downto
4 * data_width
) <= to_slv(to_sfixed(-0.0980171403296, 0, 1- data_width));
wk_out_re( (5 + 1) * data_width - 1
downto
5 * data_width
) <= to_slv(to_sfixed(0.992479534599, 0, 1- data_width));
wk_out_im( (5 + 1) * data_width - 1
downto
5 * data_width
) <= to_slv(to_sfixed(-0.122410675199, 0, 1- data_width));
wk_out_re( (6 + 1) * data_width - 1
downto
6 * data_width
) <= to_slv(to_sfixed(0.989176509965, 0, 1- data_width));
wk_out_im( (6 + 1) * data_width - 1
downto
6 * data_width
) <= to_slv(to_sfixed(-0.146730474455, 0, 1- data_width));
wk_out_re( (7 + 1) * data_width - 1
downto
7 * data_width
) <= to_slv(to_sfixed(0.985277642389, 0, 1- data_width));
wk_out_im( (7 + 1) * data_width - 1
downto
7 * data_width
) <= to_slv(to_sfixed(-0.17096188876, 0, 1- data_width));
wk_out_re( (8 + 1) * data_width - 1
downto
8 * data_width
) <= to_slv(to_sfixed(0.980785280403, 0, 1- data_width));
wk_out_im( (8 + 1) * data_width - 1
downto
8 * data_width
) <= to_slv(to_sfixed(-0.195090322016, 0, 1- data_width));
wk_out_re( (9 + 1) * data_width - 1
downto
9 * data_width
) <= to_slv(to_sfixed(0.975702130039, 0, 1- data_width));
wk_out_im( (9 + 1) * data_width - 1
downto
9 * data_width
) <= to_slv(to_sfixed(-0.219101240157, 0, 1- data_width));
wk_out_re( (10 + 1) * data_width - 1
downto
10 * data_width
) <= to_slv(to_sfixed(0.970031253195, 0, 1- data_width));
wk_out_im( (10 + 1) * data_width - 1
downto
10 * data_width
) <= to_slv(to_sfixed(-0.242980179903, 0, 1- data_width));
wk_out_re( (11 + 1) * data_width - 1
downto
11 * data_width
) <= to_slv(to_sfixed(0.963776065795, 0, 1- data_width));
wk_out_im( (11 + 1) * data_width - 1
downto
11 * data_width
) <= to_slv(to_sfixed(-0.266712757475, 0, 1- data_width));
wk_out_re( (12 + 1) * data_width - 1
downto
12 * data_width
) <= to_slv(to_sfixed(0.956940335732, 0, 1- data_width));
wk_out_im( (12 + 1) * data_width - 1
downto
12 * data_width
) <= to_slv(to_sfixed(-0.290284677254, 0, 1- data_width));
wk_out_re( (13 + 1) * data_width - 1
downto
13 * data_width
) <= to_slv(to_sfixed(0.949528180593, 0, 1- data_width));
wk_out_im( (13 + 1) * data_width - 1
downto
13 * data_width
) <= to_slv(to_sfixed(-0.313681740399, 0, 1- data_width));
wk_out_re( (14 + 1) * data_width - 1
downto
14 * data_width
) <= to_slv(to_sfixed(0.941544065183, 0, 1- data_width));
wk_out_im( (14 + 1) * data_width - 1
downto
14 * data_width
) <= to_slv(to_sfixed(-0.336889853392, 0, 1- data_width));
wk_out_re( (15 + 1) * data_width - 1
downto
15 * data_width
) <= to_slv(to_sfixed(0.932992798835, 0, 1- data_width));
wk_out_im( (15 + 1) * data_width - 1
downto
15 * data_width
) <= to_slv(to_sfixed(-0.359895036535, 0, 1- data_width));
wk_out_re( (16 + 1) * data_width - 1
downto
16 * data_width
) <= to_slv(to_sfixed(0.923879532511, 0, 1- data_width));
wk_out_im( (16 + 1) * data_width - 1
downto
16 * data_width
) <= to_slv(to_sfixed(-0.382683432365, 0, 1- data_width));
wk_out_re( (17 + 1) * data_width - 1
downto
17 * data_width
) <= to_slv(to_sfixed(0.914209755704, 0, 1- data_width));
wk_out_im( (17 + 1) * data_width - 1
downto
17 * data_width
) <= to_slv(to_sfixed(-0.405241314005, 0, 1- data_width));
wk_out_re( (18 + 1) * data_width - 1
downto
18 * data_width
) <= to_slv(to_sfixed(0.903989293123, 0, 1- data_width));
wk_out_im( (18 + 1) * data_width - 1
downto
18 * data_width
) <= to_slv(to_sfixed(-0.42755509343, 0, 1- data_width));
wk_out_re( (19 + 1) * data_width - 1
downto
19 * data_width
) <= to_slv(to_sfixed(0.893224301196, 0, 1- data_width));
wk_out_im( (19 + 1) * data_width - 1
downto
19 * data_width
) <= to_slv(to_sfixed(-0.449611329655, 0, 1- data_width));
wk_out_re( (20 + 1) * data_width - 1
downto
20 * data_width
) <= to_slv(to_sfixed(0.881921264348, 0, 1- data_width));
wk_out_im( (20 + 1) * data_width - 1
downto
20 * data_width
) <= to_slv(to_sfixed(-0.471396736826, 0, 1- data_width));
wk_out_re( (21 + 1) * data_width - 1
downto
21 * data_width
) <= to_slv(to_sfixed(0.870086991109, 0, 1- data_width));
wk_out_im( (21 + 1) * data_width - 1
downto
21 * data_width
) <= to_slv(to_sfixed(-0.49289819223, 0, 1- data_width));
wk_out_re( (22 + 1) * data_width - 1
downto
22 * data_width
) <= to_slv(to_sfixed(0.85772861, 0, 1- data_width));
wk_out_im( (22 + 1) * data_width - 1
downto
22 * data_width
) <= to_slv(to_sfixed(-0.514102744193, 0, 1- data_width));
wk_out_re( (23 + 1) * data_width - 1
downto
23 * data_width
) <= to_slv(to_sfixed(0.84485356525, 0, 1- data_width));
wk_out_im( (23 + 1) * data_width - 1
downto
23 * data_width
) <= to_slv(to_sfixed(-0.534997619887, 0, 1- data_width));
wk_out_re( (24 + 1) * data_width - 1
downto
24 * data_width
) <= to_slv(to_sfixed(0.831469612303, 0, 1- data_width));
wk_out_im( (24 + 1) * data_width - 1
downto
24 * data_width
) <= to_slv(to_sfixed(-0.55557023302, 0, 1- data_width));
wk_out_re( (25 + 1) * data_width - 1
downto
25 * data_width
) <= to_slv(to_sfixed(0.817584813152, 0, 1- data_width));
wk_out_im( (25 + 1) * data_width - 1
downto
25 * data_width
) <= to_slv(to_sfixed(-0.575808191418, 0, 1- data_width));
wk_out_re( (26 + 1) * data_width - 1
downto
26 * data_width
) <= to_slv(to_sfixed(0.803207531481, 0, 1- data_width));
wk_out_im( (26 + 1) * data_width - 1
downto
26 * data_width
) <= to_slv(to_sfixed(-0.595699304492, 0, 1- data_width));
wk_out_re( (27 + 1) * data_width - 1
downto
27 * data_width
) <= to_slv(to_sfixed(0.788346427627, 0, 1- data_width));
wk_out_im( (27 + 1) * data_width - 1
downto
27 * data_width
) <= to_slv(to_sfixed(-0.615231590581, 0, 1- data_width));
wk_out_re( (28 + 1) * data_width - 1
downto
28 * data_width
) <= to_slv(to_sfixed(0.773010453363, 0, 1- data_width));
wk_out_im( (28 + 1) * data_width - 1
downto
28 * data_width
) <= to_slv(to_sfixed(-0.634393284164, 0, 1- data_width));
wk_out_re( (29 + 1) * data_width - 1
downto
29 * data_width
) <= to_slv(to_sfixed(0.757208846506, 0, 1- data_width));
wk_out_im( (29 + 1) * data_width - 1
downto
29 * data_width
) <= to_slv(to_sfixed(-0.653172842954, 0, 1- data_width));
wk_out_re( (30 + 1) * data_width - 1
downto
30 * data_width
) <= to_slv(to_sfixed(0.740951125355, 0, 1- data_width));
wk_out_im( (30 + 1) * data_width - 1
downto
30 * data_width
) <= to_slv(to_sfixed(-0.671558954847, 0, 1- data_width));
wk_out_re( (31 + 1) * data_width - 1
downto
31 * data_width
) <= to_slv(to_sfixed(0.724247082951, 0, 1- data_width));
wk_out_im( (31 + 1) * data_width - 1
downto
31 * data_width
) <= to_slv(to_sfixed(-0.689540544737, 0, 1- data_width));
wk_out_re( (32 + 1) * data_width - 1
downto
32 * data_width
) <= to_slv(to_sfixed(0.707106781187, 0, 1- data_width));
wk_out_im( (32 + 1) * data_width - 1
downto
32 * data_width
) <= to_slv(to_sfixed(-0.707106781187, 0, 1- data_width));
wk_out_re( (33 + 1) * data_width - 1
downto
33 * data_width
) <= to_slv(to_sfixed(0.689540544737, 0, 1- data_width));
wk_out_im( (33 + 1) * data_width - 1
downto
33 * data_width
) <= to_slv(to_sfixed(-0.724247082951, 0, 1- data_width));
wk_out_re( (34 + 1) * data_width - 1
downto
34 * data_width
) <= to_slv(to_sfixed(0.671558954847, 0, 1- data_width));
wk_out_im( (34 + 1) * data_width - 1
downto
34 * data_width
) <= to_slv(to_sfixed(-0.740951125355, 0, 1- data_width));
wk_out_re( (35 + 1) * data_width - 1
downto
35 * data_width
) <= to_slv(to_sfixed(0.653172842954, 0, 1- data_width));
wk_out_im( (35 + 1) * data_width - 1
downto
35 * data_width
) <= to_slv(to_sfixed(-0.757208846506, 0, 1- data_width));
wk_out_re( (36 + 1) * data_width - 1
downto
36 * data_width
) <= to_slv(to_sfixed(0.634393284164, 0, 1- data_width));
wk_out_im( (36 + 1) * data_width - 1
downto
36 * data_width
) <= to_slv(to_sfixed(-0.773010453363, 0, 1- data_width));
wk_out_re( (37 + 1) * data_width - 1
downto
37 * data_width
) <= to_slv(to_sfixed(0.615231590581, 0, 1- data_width));
wk_out_im( (37 + 1) * data_width - 1
downto
37 * data_width
) <= to_slv(to_sfixed(-0.788346427627, 0, 1- data_width));
wk_out_re( (38 + 1) * data_width - 1
downto
38 * data_width
) <= to_slv(to_sfixed(0.595699304492, 0, 1- data_width));
wk_out_im( (38 + 1) * data_width - 1
downto
38 * data_width
) <= to_slv(to_sfixed(-0.803207531481, 0, 1- data_width));
wk_out_re( (39 + 1) * data_width - 1
downto
39 * data_width
) <= to_slv(to_sfixed(0.575808191418, 0, 1- data_width));
wk_out_im( (39 + 1) * data_width - 1
downto
39 * data_width
) <= to_slv(to_sfixed(-0.817584813152, 0, 1- data_width));
wk_out_re( (40 + 1) * data_width - 1
downto
40 * data_width
) <= to_slv(to_sfixed(0.55557023302, 0, 1- data_width));
wk_out_im( (40 + 1) * data_width - 1
downto
40 * data_width
) <= to_slv(to_sfixed(-0.831469612303, 0, 1- data_width));
wk_out_re( (41 + 1) * data_width - 1
downto
41 * data_width
) <= to_slv(to_sfixed(0.534997619887, 0, 1- data_width));
wk_out_im( (41 + 1) * data_width - 1
downto
41 * data_width
) <= to_slv(to_sfixed(-0.84485356525, 0, 1- data_width));
wk_out_re( (42 + 1) * data_width - 1
downto
42 * data_width
) <= to_slv(to_sfixed(0.514102744193, 0, 1- data_width));
wk_out_im( (42 + 1) * data_width - 1
downto
42 * data_width
) <= to_slv(to_sfixed(-0.85772861, 0, 1- data_width));
wk_out_re( (43 + 1) * data_width - 1
downto
43 * data_width
) <= to_slv(to_sfixed(0.49289819223, 0, 1- data_width));
wk_out_im( (43 + 1) * data_width - 1
downto
43 * data_width
) <= to_slv(to_sfixed(-0.870086991109, 0, 1- data_width));
wk_out_re( (44 + 1) * data_width - 1
downto
44 * data_width
) <= to_slv(to_sfixed(0.471396736826, 0, 1- data_width));
wk_out_im( (44 + 1) * data_width - 1
downto
44 * data_width
) <= to_slv(to_sfixed(-0.881921264348, 0, 1- data_width));
wk_out_re( (45 + 1) * data_width - 1
downto
45 * data_width
) <= to_slv(to_sfixed(0.449611329655, 0, 1- data_width));
wk_out_im( (45 + 1) * data_width - 1
downto
45 * data_width
) <= to_slv(to_sfixed(-0.893224301196, 0, 1- data_width));
wk_out_re( (46 + 1) * data_width - 1
downto
46 * data_width
) <= to_slv(to_sfixed(0.42755509343, 0, 1- data_width));
wk_out_im( (46 + 1) * data_width - 1
downto
46 * data_width
) <= to_slv(to_sfixed(-0.903989293123, 0, 1- data_width));
wk_out_re( (47 + 1) * data_width - 1
downto
47 * data_width
) <= to_slv(to_sfixed(0.405241314005, 0, 1- data_width));
wk_out_im( (47 + 1) * data_width - 1
downto
47 * data_width
) <= to_slv(to_sfixed(-0.914209755704, 0, 1- data_width));
wk_out_re( (48 + 1) * data_width - 1
downto
48 * data_width
) <= to_slv(to_sfixed(0.382683432365, 0, 1- data_width));
wk_out_im( (48 + 1) * data_width - 1
downto
48 * data_width
) <= to_slv(to_sfixed(-0.923879532511, 0, 1- data_width));
wk_out_re( (49 + 1) * data_width - 1
downto
49 * data_width
) <= to_slv(to_sfixed(0.359895036535, 0, 1- data_width));
wk_out_im( (49 + 1) * data_width - 1
downto
49 * data_width
) <= to_slv(to_sfixed(-0.932992798835, 0, 1- data_width));
wk_out_re( (50 + 1) * data_width - 1
downto
50 * data_width
) <= to_slv(to_sfixed(0.336889853392, 0, 1- data_width));
wk_out_im( (50 + 1) * data_width - 1
downto
50 * data_width
) <= to_slv(to_sfixed(-0.941544065183, 0, 1- data_width));
wk_out_re( (51 + 1) * data_width - 1
downto
51 * data_width
) <= to_slv(to_sfixed(0.313681740399, 0, 1- data_width));
wk_out_im( (51 + 1) * data_width - 1
downto
51 * data_width
) <= to_slv(to_sfixed(-0.949528180593, 0, 1- data_width));
wk_out_re( (52 + 1) * data_width - 1
downto
52 * data_width
) <= to_slv(to_sfixed(0.290284677254, 0, 1- data_width));
wk_out_im( (52 + 1) * data_width - 1
downto
52 * data_width
) <= to_slv(to_sfixed(-0.956940335732, 0, 1- data_width));
wk_out_re( (53 + 1) * data_width - 1
downto
53 * data_width
) <= to_slv(to_sfixed(0.266712757475, 0, 1- data_width));
wk_out_im( (53 + 1) * data_width - 1
downto
53 * data_width
) <= to_slv(to_sfixed(-0.963776065795, 0, 1- data_width));
wk_out_re( (54 + 1) * data_width - 1
downto
54 * data_width
) <= to_slv(to_sfixed(0.242980179903, 0, 1- data_width));
wk_out_im( (54 + 1) * data_width - 1
downto
54 * data_width
) <= to_slv(to_sfixed(-0.970031253195, 0, 1- data_width));
wk_out_re( (55 + 1) * data_width - 1
downto
55 * data_width
) <= to_slv(to_sfixed(0.219101240157, 0, 1- data_width));
wk_out_im( (55 + 1) * data_width - 1
downto
55 * data_width
) <= to_slv(to_sfixed(-0.975702130039, 0, 1- data_width));
wk_out_re( (56 + 1) * data_width - 1
downto
56 * data_width
) <= to_slv(to_sfixed(0.195090322016, 0, 1- data_width));
wk_out_im( (56 + 1) * data_width - 1
downto
56 * data_width
) <= to_slv(to_sfixed(-0.980785280403, 0, 1- data_width));
wk_out_re( (57 + 1) * data_width - 1
downto
57 * data_width
) <= to_slv(to_sfixed(0.17096188876, 0, 1- data_width));
wk_out_im( (57 + 1) * data_width - 1
downto
57 * data_width
) <= to_slv(to_sfixed(-0.985277642389, 0, 1- data_width));
wk_out_re( (58 + 1) * data_width - 1
downto
58 * data_width
) <= to_slv(to_sfixed(0.146730474455, 0, 1- data_width));
wk_out_im( (58 + 1) * data_width - 1
downto
58 * data_width
) <= to_slv(to_sfixed(-0.989176509965, 0, 1- data_width));
wk_out_re( (59 + 1) * data_width - 1
downto
59 * data_width
) <= to_slv(to_sfixed(0.122410675199, 0, 1- data_width));
wk_out_im( (59 + 1) * data_width - 1
downto
59 * data_width
) <= to_slv(to_sfixed(-0.992479534599, 0, 1- data_width));
wk_out_re( (60 + 1) * data_width - 1
downto
60 * data_width
) <= to_slv(to_sfixed(0.0980171403296, 0, 1- data_width));
wk_out_im( (60 + 1) * data_width - 1
downto
60 * data_width
) <= to_slv(to_sfixed(-0.995184726672, 0, 1- data_width));
wk_out_re( (61 + 1) * data_width - 1
downto
61 * data_width
) <= to_slv(to_sfixed(0.0735645635997, 0, 1- data_width));
wk_out_im( (61 + 1) * data_width - 1
downto
61 * data_width
) <= to_slv(to_sfixed(-0.997290456679, 0, 1- data_width));
wk_out_re( (62 + 1) * data_width - 1
downto
62 * data_width
) <= to_slv(to_sfixed(0.0490676743274, 0, 1- data_width));
wk_out_im( (62 + 1) * data_width - 1
downto
62 * data_width
) <= to_slv(to_sfixed(-0.998795456205, 0, 1- data_width));
wk_out_re( (63 + 1) * data_width - 1
downto
63 * data_width
) <= to_slv(to_sfixed(0.0245412285229, 0, 1- data_width));
wk_out_im( (63 + 1) * data_width - 1
downto
63 * data_width
) <= to_slv(to_sfixed(-0.999698818696, 0, 1- data_width));
wk_out_re( (64 + 1) * data_width - 1
downto
64 * data_width
) <= to_slv(to_sfixed(6.12323399574e-17, 0, 1- data_width));
wk_out_im( (64 + 1) * data_width - 1
downto
64 * data_width
) <= to_slv(to_sfixed(-1.0, 0, 1- data_width));
wk_out_re( (65 + 1) * data_width - 1
downto
65 * data_width
) <= to_slv(to_sfixed(-0.0245412285229, 0, 1- data_width));
wk_out_im( (65 + 1) * data_width - 1
downto
65 * data_width
) <= to_slv(to_sfixed(-0.999698818696, 0, 1- data_width));
wk_out_re( (66 + 1) * data_width - 1
downto
66 * data_width
) <= to_slv(to_sfixed(-0.0490676743274, 0, 1- data_width));
wk_out_im( (66 + 1) * data_width - 1
downto
66 * data_width
) <= to_slv(to_sfixed(-0.998795456205, 0, 1- data_width));
wk_out_re( (67 + 1) * data_width - 1
downto
67 * data_width
) <= to_slv(to_sfixed(-0.0735645635997, 0, 1- data_width));
wk_out_im( (67 + 1) * data_width - 1
downto
67 * data_width
) <= to_slv(to_sfixed(-0.997290456679, 0, 1- data_width));
wk_out_re( (68 + 1) * data_width - 1
downto
68 * data_width
) <= to_slv(to_sfixed(-0.0980171403296, 0, 1- data_width));
wk_out_im( (68 + 1) * data_width - 1
downto
68 * data_width
) <= to_slv(to_sfixed(-0.995184726672, 0, 1- data_width));
wk_out_re( (69 + 1) * data_width - 1
downto
69 * data_width
) <= to_slv(to_sfixed(-0.122410675199, 0, 1- data_width));
wk_out_im( (69 + 1) * data_width - 1
downto
69 * data_width
) <= to_slv(to_sfixed(-0.992479534599, 0, 1- data_width));
wk_out_re( (70 + 1) * data_width - 1
downto
70 * data_width
) <= to_slv(to_sfixed(-0.146730474455, 0, 1- data_width));
wk_out_im( (70 + 1) * data_width - 1
downto
70 * data_width
) <= to_slv(to_sfixed(-0.989176509965, 0, 1- data_width));
wk_out_re( (71 + 1) * data_width - 1
downto
71 * data_width
) <= to_slv(to_sfixed(-0.17096188876, 0, 1- data_width));
wk_out_im( (71 + 1) * data_width - 1
downto
71 * data_width
) <= to_slv(to_sfixed(-0.985277642389, 0, 1- data_width));
wk_out_re( (72 + 1) * data_width - 1
downto
72 * data_width
) <= to_slv(to_sfixed(-0.195090322016, 0, 1- data_width));
wk_out_im( (72 + 1) * data_width - 1
downto
72 * data_width
) <= to_slv(to_sfixed(-0.980785280403, 0, 1- data_width));
wk_out_re( (73 + 1) * data_width - 1
downto
73 * data_width
) <= to_slv(to_sfixed(-0.219101240157, 0, 1- data_width));
wk_out_im( (73 + 1) * data_width - 1
downto
73 * data_width
) <= to_slv(to_sfixed(-0.975702130039, 0, 1- data_width));
wk_out_re( (74 + 1) * data_width - 1
downto
74 * data_width
) <= to_slv(to_sfixed(-0.242980179903, 0, 1- data_width));
wk_out_im( (74 + 1) * data_width - 1
downto
74 * data_width
) <= to_slv(to_sfixed(-0.970031253195, 0, 1- data_width));
wk_out_re( (75 + 1) * data_width - 1
downto
75 * data_width
) <= to_slv(to_sfixed(-0.266712757475, 0, 1- data_width));
wk_out_im( (75 + 1) * data_width - 1
downto
75 * data_width
) <= to_slv(to_sfixed(-0.963776065795, 0, 1- data_width));
wk_out_re( (76 + 1) * data_width - 1
downto
76 * data_width
) <= to_slv(to_sfixed(-0.290284677254, 0, 1- data_width));
wk_out_im( (76 + 1) * data_width - 1
downto
76 * data_width
) <= to_slv(to_sfixed(-0.956940335732, 0, 1- data_width));
wk_out_re( (77 + 1) * data_width - 1
downto
77 * data_width
) <= to_slv(to_sfixed(-0.313681740399, 0, 1- data_width));
wk_out_im( (77 + 1) * data_width - 1
downto
77 * data_width
) <= to_slv(to_sfixed(-0.949528180593, 0, 1- data_width));
wk_out_re( (78 + 1) * data_width - 1
downto
78 * data_width
) <= to_slv(to_sfixed(-0.336889853392, 0, 1- data_width));
wk_out_im( (78 + 1) * data_width - 1
downto
78 * data_width
) <= to_slv(to_sfixed(-0.941544065183, 0, 1- data_width));
wk_out_re( (79 + 1) * data_width - 1
downto
79 * data_width
) <= to_slv(to_sfixed(-0.359895036535, 0, 1- data_width));
wk_out_im( (79 + 1) * data_width - 1
downto
79 * data_width
) <= to_slv(to_sfixed(-0.932992798835, 0, 1- data_width));
wk_out_re( (80 + 1) * data_width - 1
downto
80 * data_width
) <= to_slv(to_sfixed(-0.382683432365, 0, 1- data_width));
wk_out_im( (80 + 1) * data_width - 1
downto
80 * data_width
) <= to_slv(to_sfixed(-0.923879532511, 0, 1- data_width));
wk_out_re( (81 + 1) * data_width - 1
downto
81 * data_width
) <= to_slv(to_sfixed(-0.405241314005, 0, 1- data_width));
wk_out_im( (81 + 1) * data_width - 1
downto
81 * data_width
) <= to_slv(to_sfixed(-0.914209755704, 0, 1- data_width));
wk_out_re( (82 + 1) * data_width - 1
downto
82 * data_width
) <= to_slv(to_sfixed(-0.42755509343, 0, 1- data_width));
wk_out_im( (82 + 1) * data_width - 1
downto
82 * data_width
) <= to_slv(to_sfixed(-0.903989293123, 0, 1- data_width));
wk_out_re( (83 + 1) * data_width - 1
downto
83 * data_width
) <= to_slv(to_sfixed(-0.449611329655, 0, 1- data_width));
wk_out_im( (83 + 1) * data_width - 1
downto
83 * data_width
) <= to_slv(to_sfixed(-0.893224301196, 0, 1- data_width));
wk_out_re( (84 + 1) * data_width - 1
downto
84 * data_width
) <= to_slv(to_sfixed(-0.471396736826, 0, 1- data_width));
wk_out_im( (84 + 1) * data_width - 1
downto
84 * data_width
) <= to_slv(to_sfixed(-0.881921264348, 0, 1- data_width));
wk_out_re( (85 + 1) * data_width - 1
downto
85 * data_width
) <= to_slv(to_sfixed(-0.49289819223, 0, 1- data_width));
wk_out_im( (85 + 1) * data_width - 1
downto
85 * data_width
) <= to_slv(to_sfixed(-0.870086991109, 0, 1- data_width));
wk_out_re( (86 + 1) * data_width - 1
downto
86 * data_width
) <= to_slv(to_sfixed(-0.514102744193, 0, 1- data_width));
wk_out_im( (86 + 1) * data_width - 1
downto
86 * data_width
) <= to_slv(to_sfixed(-0.85772861, 0, 1- data_width));
wk_out_re( (87 + 1) * data_width - 1
downto
87 * data_width
) <= to_slv(to_sfixed(-0.534997619887, 0, 1- data_width));
wk_out_im( (87 + 1) * data_width - 1
downto
87 * data_width
) <= to_slv(to_sfixed(-0.84485356525, 0, 1- data_width));
wk_out_re( (88 + 1) * data_width - 1
downto
88 * data_width
) <= to_slv(to_sfixed(-0.55557023302, 0, 1- data_width));
wk_out_im( (88 + 1) * data_width - 1
downto
88 * data_width
) <= to_slv(to_sfixed(-0.831469612303, 0, 1- data_width));
wk_out_re( (89 + 1) * data_width - 1
downto
89 * data_width
) <= to_slv(to_sfixed(-0.575808191418, 0, 1- data_width));
wk_out_im( (89 + 1) * data_width - 1
downto
89 * data_width
) <= to_slv(to_sfixed(-0.817584813152, 0, 1- data_width));
wk_out_re( (90 + 1) * data_width - 1
downto
90 * data_width
) <= to_slv(to_sfixed(-0.595699304492, 0, 1- data_width));
wk_out_im( (90 + 1) * data_width - 1
downto
90 * data_width
) <= to_slv(to_sfixed(-0.803207531481, 0, 1- data_width));
wk_out_re( (91 + 1) * data_width - 1
downto
91 * data_width
) <= to_slv(to_sfixed(-0.615231590581, 0, 1- data_width));
wk_out_im( (91 + 1) * data_width - 1
downto
91 * data_width
) <= to_slv(to_sfixed(-0.788346427627, 0, 1- data_width));
wk_out_re( (92 + 1) * data_width - 1
downto
92 * data_width
) <= to_slv(to_sfixed(-0.634393284164, 0, 1- data_width));
wk_out_im( (92 + 1) * data_width - 1
downto
92 * data_width
) <= to_slv(to_sfixed(-0.773010453363, 0, 1- data_width));
wk_out_re( (93 + 1) * data_width - 1
downto
93 * data_width
) <= to_slv(to_sfixed(-0.653172842954, 0, 1- data_width));
wk_out_im( (93 + 1) * data_width - 1
downto
93 * data_width
) <= to_slv(to_sfixed(-0.757208846506, 0, 1- data_width));
wk_out_re( (94 + 1) * data_width - 1
downto
94 * data_width
) <= to_slv(to_sfixed(-0.671558954847, 0, 1- data_width));
wk_out_im( (94 + 1) * data_width - 1
downto
94 * data_width
) <= to_slv(to_sfixed(-0.740951125355, 0, 1- data_width));
wk_out_re( (95 + 1) * data_width - 1
downto
95 * data_width
) <= to_slv(to_sfixed(-0.689540544737, 0, 1- data_width));
wk_out_im( (95 + 1) * data_width - 1
downto
95 * data_width
) <= to_slv(to_sfixed(-0.724247082951, 0, 1- data_width));
wk_out_re( (96 + 1) * data_width - 1
downto
96 * data_width
) <= to_slv(to_sfixed(-0.707106781187, 0, 1- data_width));
wk_out_im( (96 + 1) * data_width - 1
downto
96 * data_width
) <= to_slv(to_sfixed(-0.707106781187, 0, 1- data_width));
wk_out_re( (97 + 1) * data_width - 1
downto
97 * data_width
) <= to_slv(to_sfixed(-0.724247082951, 0, 1- data_width));
wk_out_im( (97 + 1) * data_width - 1
downto
97 * data_width
) <= to_slv(to_sfixed(-0.689540544737, 0, 1- data_width));
wk_out_re( (98 + 1) * data_width - 1
downto
98 * data_width
) <= to_slv(to_sfixed(-0.740951125355, 0, 1- data_width));
wk_out_im( (98 + 1) * data_width - 1
downto
98 * data_width
) <= to_slv(to_sfixed(-0.671558954847, 0, 1- data_width));
wk_out_re( (99 + 1) * data_width - 1
downto
99 * data_width
) <= to_slv(to_sfixed(-0.757208846506, 0, 1- data_width));
wk_out_im( (99 + 1) * data_width - 1
downto
99 * data_width
) <= to_slv(to_sfixed(-0.653172842954, 0, 1- data_width));
wk_out_re( (100 + 1) * data_width - 1
downto
100 * data_width
) <= to_slv(to_sfixed(-0.773010453363, 0, 1- data_width));
wk_out_im( (100 + 1) * data_width - 1
downto
100 * data_width
) <= to_slv(to_sfixed(-0.634393284164, 0, 1- data_width));
wk_out_re( (101 + 1) * data_width - 1
downto
101 * data_width
) <= to_slv(to_sfixed(-0.788346427627, 0, 1- data_width));
wk_out_im( (101 + 1) * data_width - 1
downto
101 * data_width
) <= to_slv(to_sfixed(-0.615231590581, 0, 1- data_width));
wk_out_re( (102 + 1) * data_width - 1
downto
102 * data_width
) <= to_slv(to_sfixed(-0.803207531481, 0, 1- data_width));
wk_out_im( (102 + 1) * data_width - 1
downto
102 * data_width
) <= to_slv(to_sfixed(-0.595699304492, 0, 1- data_width));
wk_out_re( (103 + 1) * data_width - 1
downto
103 * data_width
) <= to_slv(to_sfixed(-0.817584813152, 0, 1- data_width));
wk_out_im( (103 + 1) * data_width - 1
downto
103 * data_width
) <= to_slv(to_sfixed(-0.575808191418, 0, 1- data_width));
wk_out_re( (104 + 1) * data_width - 1
downto
104 * data_width
) <= to_slv(to_sfixed(-0.831469612303, 0, 1- data_width));
wk_out_im( (104 + 1) * data_width - 1
downto
104 * data_width
) <= to_slv(to_sfixed(-0.55557023302, 0, 1- data_width));
wk_out_re( (105 + 1) * data_width - 1
downto
105 * data_width
) <= to_slv(to_sfixed(-0.84485356525, 0, 1- data_width));
wk_out_im( (105 + 1) * data_width - 1
downto
105 * data_width
) <= to_slv(to_sfixed(-0.534997619887, 0, 1- data_width));
wk_out_re( (106 + 1) * data_width - 1
downto
106 * data_width
) <= to_slv(to_sfixed(-0.85772861, 0, 1- data_width));
wk_out_im( (106 + 1) * data_width - 1
downto
106 * data_width
) <= to_slv(to_sfixed(-0.514102744193, 0, 1- data_width));
wk_out_re( (107 + 1) * data_width - 1
downto
107 * data_width
) <= to_slv(to_sfixed(-0.870086991109, 0, 1- data_width));
wk_out_im( (107 + 1) * data_width - 1
downto
107 * data_width
) <= to_slv(to_sfixed(-0.49289819223, 0, 1- data_width));
wk_out_re( (108 + 1) * data_width - 1
downto
108 * data_width
) <= to_slv(to_sfixed(-0.881921264348, 0, 1- data_width));
wk_out_im( (108 + 1) * data_width - 1
downto
108 * data_width
) <= to_slv(to_sfixed(-0.471396736826, 0, 1- data_width));
wk_out_re( (109 + 1) * data_width - 1
downto
109 * data_width
) <= to_slv(to_sfixed(-0.893224301196, 0, 1- data_width));
wk_out_im( (109 + 1) * data_width - 1
downto
109 * data_width
) <= to_slv(to_sfixed(-0.449611329655, 0, 1- data_width));
wk_out_re( (110 + 1) * data_width - 1
downto
110 * data_width
) <= to_slv(to_sfixed(-0.903989293123, 0, 1- data_width));
wk_out_im( (110 + 1) * data_width - 1
downto
110 * data_width
) <= to_slv(to_sfixed(-0.42755509343, 0, 1- data_width));
wk_out_re( (111 + 1) * data_width - 1
downto
111 * data_width
) <= to_slv(to_sfixed(-0.914209755704, 0, 1- data_width));
wk_out_im( (111 + 1) * data_width - 1
downto
111 * data_width
) <= to_slv(to_sfixed(-0.405241314005, 0, 1- data_width));
wk_out_re( (112 + 1) * data_width - 1
downto
112 * data_width
) <= to_slv(to_sfixed(-0.923879532511, 0, 1- data_width));
wk_out_im( (112 + 1) * data_width - 1
downto
112 * data_width
) <= to_slv(to_sfixed(-0.382683432365, 0, 1- data_width));
wk_out_re( (113 + 1) * data_width - 1
downto
113 * data_width
) <= to_slv(to_sfixed(-0.932992798835, 0, 1- data_width));
wk_out_im( (113 + 1) * data_width - 1
downto
113 * data_width
) <= to_slv(to_sfixed(-0.359895036535, 0, 1- data_width));
wk_out_re( (114 + 1) * data_width - 1
downto
114 * data_width
) <= to_slv(to_sfixed(-0.941544065183, 0, 1- data_width));
wk_out_im( (114 + 1) * data_width - 1
downto
114 * data_width
) <= to_slv(to_sfixed(-0.336889853392, 0, 1- data_width));
wk_out_re( (115 + 1) * data_width - 1
downto
115 * data_width
) <= to_slv(to_sfixed(-0.949528180593, 0, 1- data_width));
wk_out_im( (115 + 1) * data_width - 1
downto
115 * data_width
) <= to_slv(to_sfixed(-0.313681740399, 0, 1- data_width));
wk_out_re( (116 + 1) * data_width - 1
downto
116 * data_width
) <= to_slv(to_sfixed(-0.956940335732, 0, 1- data_width));
wk_out_im( (116 + 1) * data_width - 1
downto
116 * data_width
) <= to_slv(to_sfixed(-0.290284677254, 0, 1- data_width));
wk_out_re( (117 + 1) * data_width - 1
downto
117 * data_width
) <= to_slv(to_sfixed(-0.963776065795, 0, 1- data_width));
wk_out_im( (117 + 1) * data_width - 1
downto
117 * data_width
) <= to_slv(to_sfixed(-0.266712757475, 0, 1- data_width));
wk_out_re( (118 + 1) * data_width - 1
downto
118 * data_width
) <= to_slv(to_sfixed(-0.970031253195, 0, 1- data_width));
wk_out_im( (118 + 1) * data_width - 1
downto
118 * data_width
) <= to_slv(to_sfixed(-0.242980179903, 0, 1- data_width));
wk_out_re( (119 + 1) * data_width - 1
downto
119 * data_width
) <= to_slv(to_sfixed(-0.975702130039, 0, 1- data_width));
wk_out_im( (119 + 1) * data_width - 1
downto
119 * data_width
) <= to_slv(to_sfixed(-0.219101240157, 0, 1- data_width));
wk_out_re( (120 + 1) * data_width - 1
downto
120 * data_width
) <= to_slv(to_sfixed(-0.980785280403, 0, 1- data_width));
wk_out_im( (120 + 1) * data_width - 1
downto
120 * data_width
) <= to_slv(to_sfixed(-0.195090322016, 0, 1- data_width));
wk_out_re( (121 + 1) * data_width - 1
downto
121 * data_width
) <= to_slv(to_sfixed(-0.985277642389, 0, 1- data_width));
wk_out_im( (121 + 1) * data_width - 1
downto
121 * data_width
) <= to_slv(to_sfixed(-0.17096188876, 0, 1- data_width));
wk_out_re( (122 + 1) * data_width - 1
downto
122 * data_width
) <= to_slv(to_sfixed(-0.989176509965, 0, 1- data_width));
wk_out_im( (122 + 1) * data_width - 1
downto
122 * data_width
) <= to_slv(to_sfixed(-0.146730474455, 0, 1- data_width));
wk_out_re( (123 + 1) * data_width - 1
downto
123 * data_width
) <= to_slv(to_sfixed(-0.992479534599, 0, 1- data_width));
wk_out_im( (123 + 1) * data_width - 1
downto
123 * data_width
) <= to_slv(to_sfixed(-0.122410675199, 0, 1- data_width));
wk_out_re( (124 + 1) * data_width - 1
downto
124 * data_width
) <= to_slv(to_sfixed(-0.995184726672, 0, 1- data_width));
wk_out_im( (124 + 1) * data_width - 1
downto
124 * data_width
) <= to_slv(to_sfixed(-0.0980171403296, 0, 1- data_width));
wk_out_re( (125 + 1) * data_width - 1
downto
125 * data_width
) <= to_slv(to_sfixed(-0.997290456679, 0, 1- data_width));
wk_out_im( (125 + 1) * data_width - 1
downto
125 * data_width
) <= to_slv(to_sfixed(-0.0735645635997, 0, 1- data_width));
wk_out_re( (126 + 1) * data_width - 1
downto
126 * data_width
) <= to_slv(to_sfixed(-0.998795456205, 0, 1- data_width));
wk_out_im( (126 + 1) * data_width - 1
downto
126 * data_width
) <= to_slv(to_sfixed(-0.0490676743274, 0, 1- data_width));
wk_out_re( (127 + 1) * data_width - 1
downto
127 * data_width
) <= to_slv(to_sfixed(-0.999698818696, 0, 1- data_width));
wk_out_im( (127 + 1) * data_width - 1
downto
127 * data_width
) <= to_slv(to_sfixed(-0.0245412285229, 0, 1- data_width));
end FIMP_0; | mit | 3693562a2c803bdc20fe09809dec64f0 | 0.447683 | 3.236321 | false | false | false | false |
lvd2/zxevo | unsupported/solegstar/fpga/current/sim_models/T80.vhd | 7 | 31,904 | -- ****
-- T80(b) core. In an effort to merge and maintain bug fixes ....
--
--
-- Ver 300 started tidyup. Rmoved some auto_wait bits from 0247 which caused problems
--
-- MikeJ March 2005
-- Latest version from www.fpgaarcade.com (original www.opencores.org)
--
-- ****
--
-- Z80 compatible microprocessor core
--
-- Version : 0247
--
-- Copyright (c) 2001-2002 Daniel Wallner ([email protected])
--
-- All rights reserved
--
-- Redistribution and use in source and synthezised forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
--
-- Redistributions in synthesized form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- Neither the name of the author nor the names of other contributors may
-- be used to endorse or promote products derived from this software without
-- specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-- Please report bugs to the author, but before you do so, please
-- make sure that this is not a derivative work and that
-- you have the latest version of this file.
--
-- The latest version of this file can be found at:
-- http://www.opencores.org/cvsweb.shtml/t80/
--
-- Limitations :
--
-- File history :
--
-- 0208 : First complete release
--
-- 0210 : Fixed wait and halt
--
-- 0211 : Fixed Refresh addition and IM 1
--
-- 0214 : Fixed mostly flags, only the block instructions now fail the zex regression test
--
-- 0232 : Removed refresh address output for Mode > 1 and added DJNZ M1_n fix by Mike Johnson
--
-- 0235 : Added clock enable and IM 2 fix by Mike Johnson
--
-- 0237 : Changed 8080 I/O address output, added IntE output
--
-- 0238 : Fixed (IX/IY+d) timing and 16 bit ADC and SBC zero flag
--
-- 0240 : Added interrupt ack fix by Mike Johnson, changed (IX/IY+d) timing and changed flags in GB mode
--
-- 0242 : Added I/O wait, fixed refresh address, moved some registers to RAM
--
-- 0247 : Fixed bus req/ack cycle
--
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.T80_Pack.all;
entity T80 is
generic(
Mode : integer := 0; -- 0 => Z80, 1 => Fast Z80, 2 => 8080, 3 => GB
IOWait : integer := 0; -- 1 => Single cycle I/O, 1 => Std I/O cycle
Flag_C : integer := 0;
Flag_N : integer := 1;
Flag_P : integer := 2;
Flag_X : integer := 3;
Flag_H : integer := 4;
Flag_Y : integer := 5;
Flag_Z : integer := 6;
Flag_S : integer := 7
);
port(
RESET_n : in std_logic;
CLK_n : in std_logic;
CEN : in std_logic;
WAIT_n : in std_logic;
INT_n : in std_logic;
NMI_n : in std_logic;
BUSRQ_n : in std_logic;
M1_n : out std_logic;
IORQ : out std_logic;
NoRead : out std_logic;
Write : out std_logic;
RFSH_n : out std_logic;
HALT_n : out std_logic;
BUSAK_n : out std_logic;
A : out std_logic_vector(15 downto 0);
DInst : in std_logic_vector(7 downto 0);
DI : in std_logic_vector(7 downto 0);
DO : out std_logic_vector(7 downto 0);
MC : out std_logic_vector(2 downto 0);
TS : out std_logic_vector(2 downto 0);
IntCycle_n : out std_logic;
IntE : out std_logic;
Stop : out std_logic
);
end T80;
architecture rtl of T80 is
constant aNone : std_logic_vector(2 downto 0) := "111";
constant aBC : std_logic_vector(2 downto 0) := "000";
constant aDE : std_logic_vector(2 downto 0) := "001";
constant aXY : std_logic_vector(2 downto 0) := "010";
constant aIOA : std_logic_vector(2 downto 0) := "100";
constant aSP : std_logic_vector(2 downto 0) := "101";
constant aZI : std_logic_vector(2 downto 0) := "110";
-- Registers
signal ACC, F : std_logic_vector(7 downto 0);
signal Ap, Fp : std_logic_vector(7 downto 0);
signal I : std_logic_vector(7 downto 0);
signal R : unsigned(7 downto 0);
signal SP, PC : unsigned(15 downto 0);
signal RegDIH : std_logic_vector(7 downto 0);
signal RegDIL : std_logic_vector(7 downto 0);
signal RegBusA : std_logic_vector(15 downto 0);
signal RegBusB : std_logic_vector(15 downto 0);
signal RegBusC : std_logic_vector(15 downto 0);
signal RegAddrA_r : std_logic_vector(2 downto 0);
signal RegAddrA : std_logic_vector(2 downto 0);
signal RegAddrB_r : std_logic_vector(2 downto 0);
signal RegAddrB : std_logic_vector(2 downto 0);
signal RegAddrC : std_logic_vector(2 downto 0);
signal RegWEH : std_logic;
signal RegWEL : std_logic;
signal Alternate : std_logic;
-- Help Registers
signal TmpAddr : std_logic_vector(15 downto 0); -- Temporary address register
signal IR : std_logic_vector(7 downto 0); -- Instruction register
signal ISet : std_logic_vector(1 downto 0); -- Instruction set selector
signal RegBusA_r : std_logic_vector(15 downto 0);
signal ID16 : signed(15 downto 0);
signal Save_Mux : std_logic_vector(7 downto 0);
signal TState : unsigned(2 downto 0);
signal MCycle : std_logic_vector(2 downto 0);
signal IntE_FF1 : std_logic;
signal IntE_FF2 : std_logic;
signal Halt_FF : std_logic;
signal BusReq_s : std_logic;
signal BusAck : std_logic;
signal ClkEn : std_logic;
signal NMI_s : std_logic;
signal INT_s : std_logic;
signal IStatus : std_logic_vector(1 downto 0);
signal DI_Reg : std_logic_vector(7 downto 0);
signal T_Res : std_logic;
signal XY_State : std_logic_vector(1 downto 0);
signal Pre_XY_F_M : std_logic_vector(2 downto 0);
signal NextIs_XY_Fetch : std_logic;
signal XY_Ind : std_logic;
signal No_BTR : std_logic;
signal BTR_r : std_logic;
signal Auto_Wait : std_logic;
signal Auto_Wait_t1 : std_logic;
signal Auto_Wait_t2 : std_logic;
signal IncDecZ : std_logic;
-- ALU signals
signal BusB : std_logic_vector(7 downto 0);
signal BusA : std_logic_vector(7 downto 0);
signal ALU_Q : std_logic_vector(7 downto 0);
signal F_Out : std_logic_vector(7 downto 0);
-- Registered micro code outputs
signal Read_To_Reg_r : std_logic_vector(4 downto 0);
signal Arith16_r : std_logic;
signal Z16_r : std_logic;
signal ALU_Op_r : std_logic_vector(3 downto 0);
signal Save_ALU_r : std_logic;
signal PreserveC_r : std_logic;
signal MCycles : std_logic_vector(2 downto 0);
-- Micro code outputs
signal MCycles_d : std_logic_vector(2 downto 0);
signal TStates : std_logic_vector(2 downto 0);
signal IntCycle : std_logic;
signal NMICycle : std_logic;
signal Inc_PC : std_logic;
signal Inc_WZ : std_logic;
signal IncDec_16 : std_logic_vector(3 downto 0);
signal Prefix : std_logic_vector(1 downto 0);
signal Read_To_Acc : std_logic;
signal Read_To_Reg : std_logic;
signal Set_BusB_To : std_logic_vector(3 downto 0);
signal Set_BusA_To : std_logic_vector(3 downto 0);
signal ALU_Op : std_logic_vector(3 downto 0);
signal Save_ALU : std_logic;
signal PreserveC : std_logic;
signal Arith16 : std_logic;
signal Set_Addr_To : std_logic_vector(2 downto 0);
signal Jump : std_logic;
signal JumpE : std_logic;
signal JumpXY : std_logic;
signal Call : std_logic;
signal RstP : std_logic;
signal LDZ : std_logic;
signal LDW : std_logic;
signal LDSPHL : std_logic;
signal IORQ_i : std_logic;
signal Special_LD : std_logic_vector(2 downto 0);
signal ExchangeDH : std_logic;
signal ExchangeRp : std_logic;
signal ExchangeAF : std_logic;
signal ExchangeRS : std_logic;
signal I_DJNZ : std_logic;
signal I_CPL : std_logic;
signal I_CCF : std_logic;
signal I_SCF : std_logic;
signal I_RETN : std_logic;
signal I_BT : std_logic;
signal I_BC : std_logic;
signal I_BTR : std_logic;
signal I_RLD : std_logic;
signal I_RRD : std_logic;
signal I_INRC : std_logic;
signal SetDI : std_logic;
signal SetEI : std_logic;
signal IMode : std_logic_vector(1 downto 0);
signal Halt : std_logic;
begin
mcode : T80_MCode
generic map(
Mode => Mode,
Flag_C => Flag_C,
Flag_N => Flag_N,
Flag_P => Flag_P,
Flag_X => Flag_X,
Flag_H => Flag_H,
Flag_Y => Flag_Y,
Flag_Z => Flag_Z,
Flag_S => Flag_S)
port map(
IR => IR,
ISet => ISet,
MCycle => MCycle,
F => F,
NMICycle => NMICycle,
IntCycle => IntCycle,
MCycles => MCycles_d,
TStates => TStates,
Prefix => Prefix,
Inc_PC => Inc_PC,
Inc_WZ => Inc_WZ,
IncDec_16 => IncDec_16,
Read_To_Acc => Read_To_Acc,
Read_To_Reg => Read_To_Reg,
Set_BusB_To => Set_BusB_To,
Set_BusA_To => Set_BusA_To,
ALU_Op => ALU_Op,
Save_ALU => Save_ALU,
PreserveC => PreserveC,
Arith16 => Arith16,
Set_Addr_To => Set_Addr_To,
IORQ => IORQ_i,
Jump => Jump,
JumpE => JumpE,
JumpXY => JumpXY,
Call => Call,
RstP => RstP,
LDZ => LDZ,
LDW => LDW,
LDSPHL => LDSPHL,
Special_LD => Special_LD,
ExchangeDH => ExchangeDH,
ExchangeRp => ExchangeRp,
ExchangeAF => ExchangeAF,
ExchangeRS => ExchangeRS,
I_DJNZ => I_DJNZ,
I_CPL => I_CPL,
I_CCF => I_CCF,
I_SCF => I_SCF,
I_RETN => I_RETN,
I_BT => I_BT,
I_BC => I_BC,
I_BTR => I_BTR,
I_RLD => I_RLD,
I_RRD => I_RRD,
I_INRC => I_INRC,
SetDI => SetDI,
SetEI => SetEI,
IMode => IMode,
Halt => Halt,
NoRead => NoRead,
Write => Write);
alu : T80_ALU
generic map(
Mode => Mode,
Flag_C => Flag_C,
Flag_N => Flag_N,
Flag_P => Flag_P,
Flag_X => Flag_X,
Flag_H => Flag_H,
Flag_Y => Flag_Y,
Flag_Z => Flag_Z,
Flag_S => Flag_S)
port map(
Arith16 => Arith16_r,
Z16 => Z16_r,
ALU_Op => ALU_Op_r,
IR => IR(5 downto 0),
ISet => ISet,
BusA => BusA,
BusB => BusB,
F_In => F,
Q => ALU_Q,
F_Out => F_Out);
ClkEn <= CEN and not BusAck;
T_Res <= '1' when TState = unsigned(TStates) else '0';
NextIs_XY_Fetch <= '1' when XY_State /= "00" and XY_Ind = '0' and
((Set_Addr_To = aXY) or
(MCycle = "001" and IR = "11001011") or
(MCycle = "001" and IR = "00110110")) else '0';
Save_Mux <= BusB when ExchangeRp = '1' else
DI_Reg when Save_ALU_r = '0' else
ALU_Q;
process (RESET_n, CLK_n)
begin
if RESET_n = '0' then
PC <= (others => '0'); -- Program Counter
A <= (others => '0');
TmpAddr <= (others => '0');
IR <= "00000000";
ISet <= "00";
XY_State <= "00";
IStatus <= "00";
MCycles <= "000";
DO <= "00000000";
ACC <= (others => '1');
F <= (others => '1');
Ap <= (others => '1');
Fp <= (others => '1');
I <= (others => '0');
R <= (others => '0');
SP <= (others => '1');
Alternate <= '0';
Read_To_Reg_r <= "00000";
F <= (others => '1');
Arith16_r <= '0';
BTR_r <= '0';
Z16_r <= '0';
ALU_Op_r <= "0000";
Save_ALU_r <= '0';
PreserveC_r <= '0';
XY_Ind <= '0';
elsif CLK_n'event and CLK_n = '1' then
if ClkEn = '1' then
ALU_Op_r <= "0000";
Save_ALU_r <= '0';
Read_To_Reg_r <= "00000";
MCycles <= MCycles_d;
if IMode /= "11" then
IStatus <= IMode;
end if;
Arith16_r <= Arith16;
PreserveC_r <= PreserveC;
if ISet = "10" and ALU_OP(2) = '0' and ALU_OP(0) = '1' and MCycle = "011" then
Z16_r <= '1';
else
Z16_r <= '0';
end if;
if MCycle = "001" and TState(2) = '0' then
-- MCycle = 1 and TState = 1, 2, or 3
if TState = 2 and Wait_n = '1' then
if Mode < 2 then
A(7 downto 0) <= std_logic_vector(R);
A(15 downto 8) <= I;
R(6 downto 0) <= R(6 downto 0) + 1;
end if;
if Jump = '0' and Call = '0' and NMICycle = '0' and IntCycle = '0' and not (Halt_FF = '1' or Halt = '1') then
PC <= PC + 1;
end if;
if IntCycle = '1' and IStatus = "01" then
IR <= "11111111";
elsif Halt_FF = '1' or (IntCycle = '1' and IStatus = "10") or NMICycle = '1' then
IR <= "00000000";
else
IR <= DInst;
end if;
ISet <= "00";
if Prefix /= "00" then
if Prefix = "11" then
if IR(5) = '1' then
XY_State <= "10";
else
XY_State <= "01";
end if;
else
if Prefix = "10" then
XY_State <= "00";
XY_Ind <= '0';
end if;
ISet <= Prefix;
end if;
else
XY_State <= "00";
XY_Ind <= '0';
end if;
end if;
else
-- either (MCycle > 1) OR (MCycle = 1 AND TState > 3)
if MCycle = "110" then
XY_Ind <= '1';
if Prefix = "01" then
ISet <= "01";
end if;
end if;
if T_Res = '1' then
BTR_r <= (I_BT or I_BC or I_BTR) and not No_BTR;
if Jump = '1' then
A(15 downto 8) <= DI_Reg;
A(7 downto 0) <= TmpAddr(7 downto 0);
PC(15 downto 8) <= unsigned(DI_Reg);
PC(7 downto 0) <= unsigned(TmpAddr(7 downto 0));
elsif JumpXY = '1' then
A <= RegBusC;
PC <= unsigned(RegBusC);
elsif Call = '1' or RstP = '1' then
A <= TmpAddr;
PC <= unsigned(TmpAddr);
elsif MCycle = MCycles and NMICycle = '1' then
A <= "0000000001100110";
PC <= "0000000001100110";
elsif MCycle = "011" and IntCycle = '1' and IStatus = "10" then
A(15 downto 8) <= I;
A(7 downto 0) <= TmpAddr(7 downto 0);
PC(15 downto 8) <= unsigned(I);
PC(7 downto 0) <= unsigned(TmpAddr(7 downto 0));
else
case Set_Addr_To is
when aXY =>
if XY_State = "00" then
A <= RegBusC;
else
if NextIs_XY_Fetch = '1' then
A <= std_logic_vector(PC);
else
A <= TmpAddr;
end if;
end if;
when aIOA =>
if Mode = 3 then
-- Memory map I/O on GBZ80
A(15 downto 8) <= (others => '1');
elsif Mode = 2 then
-- Duplicate I/O address on 8080
A(15 downto 8) <= DI_Reg;
else
A(15 downto 8) <= ACC;
end if;
A(7 downto 0) <= DI_Reg;
when aSP =>
A <= std_logic_vector(SP);
when aBC =>
if Mode = 3 and IORQ_i = '1' then
-- Memory map I/O on GBZ80
A(15 downto 8) <= (others => '1');
A(7 downto 0) <= RegBusC(7 downto 0);
else
A <= RegBusC;
end if;
when aDE =>
A <= RegBusC;
when aZI =>
if Inc_WZ = '1' then
A <= std_logic_vector(unsigned(TmpAddr) + 1);
else
A(15 downto 8) <= DI_Reg;
A(7 downto 0) <= TmpAddr(7 downto 0);
end if;
when others =>
A <= std_logic_vector(PC);
end case;
end if;
Save_ALU_r <= Save_ALU;
ALU_Op_r <= ALU_Op;
if I_CPL = '1' then
-- CPL
ACC <= not ACC;
F(Flag_Y) <= not ACC(5);
F(Flag_H) <= '1';
F(Flag_X) <= not ACC(3);
F(Flag_N) <= '1';
end if;
if I_CCF = '1' then
-- CCF
F(Flag_C) <= not F(Flag_C);
F(Flag_Y) <= ACC(5);
F(Flag_H) <= F(Flag_C);
F(Flag_X) <= ACC(3);
F(Flag_N) <= '0';
end if;
if I_SCF = '1' then
-- SCF
F(Flag_C) <= '1';
F(Flag_Y) <= ACC(5);
F(Flag_H) <= '0';
F(Flag_X) <= ACC(3);
F(Flag_N) <= '0';
end if;
end if;
if TState = 2 and Wait_n = '1' then
if ISet = "01" and MCycle = "111" then
IR <= DInst;
end if;
if JumpE = '1' then
PC <= unsigned(signed(PC) + signed(DI_Reg));
elsif Inc_PC = '1' then
PC <= PC + 1;
end if;
if BTR_r = '1' then
PC <= PC - 2;
end if;
if RstP = '1' then
TmpAddr <= (others =>'0');
TmpAddr(5 downto 3) <= IR(5 downto 3);
end if;
end if;
if TState = 3 and MCycle = "110" then
TmpAddr <= std_logic_vector(signed(RegBusC) + signed(DI_Reg));
end if;
if (TState = 2 and Wait_n = '1') or (TState = 4 and MCycle = "001") then
if IncDec_16(2 downto 0) = "111" then
if IncDec_16(3) = '1' then
SP <= SP - 1;
else
SP <= SP + 1;
end if;
end if;
end if;
if LDSPHL = '1' then
SP <= unsigned(RegBusC);
end if;
if ExchangeAF = '1' then
Ap <= ACC;
ACC <= Ap;
Fp <= F;
F <= Fp;
end if;
if ExchangeRS = '1' then
Alternate <= not Alternate;
end if;
end if;
if TState = 3 then
if LDZ = '1' then
TmpAddr(7 downto 0) <= DI_Reg;
end if;
if LDW = '1' then
TmpAddr(15 downto 8) <= DI_Reg;
end if;
if Special_LD(2) = '1' then
case Special_LD(1 downto 0) is
when "00" =>
ACC <= I;
F(Flag_P) <= IntE_FF2;
when "01" =>
ACC <= std_logic_vector(R);
F(Flag_P) <= IntE_FF2;
when "10" =>
I <= ACC;
when others =>
R <= unsigned(ACC);
end case;
end if;
end if;
if (I_DJNZ = '0' and Save_ALU_r = '1') or ALU_Op_r = "1001" then
if Mode = 3 then
F(6) <= F_Out(6);
F(5) <= F_Out(5);
F(7) <= F_Out(7);
if PreserveC_r = '0' then
F(4) <= F_Out(4);
end if;
else
F(7 downto 1) <= F_Out(7 downto 1);
if PreserveC_r = '0' then
F(Flag_C) <= F_Out(0);
end if;
end if;
end if;
if T_Res = '1' and I_INRC = '1' then
F(Flag_H) <= '0';
F(Flag_N) <= '0';
if DI_Reg(7 downto 0) = "00000000" then
F(Flag_Z) <= '1';
else
F(Flag_Z) <= '0';
end if;
F(Flag_S) <= DI_Reg(7);
F(Flag_P) <= not (DI_Reg(0) xor DI_Reg(1) xor DI_Reg(2) xor DI_Reg(3) xor
DI_Reg(4) xor DI_Reg(5) xor DI_Reg(6) xor DI_Reg(7));
end if;
if TState = 1 then
DO <= BusB;
if I_RLD = '1' then
DO(3 downto 0) <= BusA(3 downto 0);
DO(7 downto 4) <= BusB(3 downto 0);
end if;
if I_RRD = '1' then
DO(3 downto 0) <= BusB(7 downto 4);
DO(7 downto 4) <= BusA(3 downto 0);
end if;
end if;
if T_Res = '1' then
Read_To_Reg_r(3 downto 0) <= Set_BusA_To;
Read_To_Reg_r(4) <= Read_To_Reg;
if Read_To_Acc = '1' then
Read_To_Reg_r(3 downto 0) <= "0111";
Read_To_Reg_r(4) <= '1';
end if;
end if;
if TState = 1 and I_BT = '1' then
F(Flag_X) <= ALU_Q(3);
F(Flag_Y) <= ALU_Q(1);
F(Flag_H) <= '0';
F(Flag_N) <= '0';
end if;
if I_BC = '1' or I_BT = '1' then
F(Flag_P) <= IncDecZ;
end if;
if (TState = 1 and Save_ALU_r = '0') or
(Save_ALU_r = '1' and ALU_OP_r /= "0111") then
case Read_To_Reg_r is
when "10111" =>
ACC <= Save_Mux;
when "10110" =>
DO <= Save_Mux;
when "11000" =>
SP(7 downto 0) <= unsigned(Save_Mux);
when "11001" =>
SP(15 downto 8) <= unsigned(Save_Mux);
when "11011" =>
F <= Save_Mux;
when others =>
end case;
end if;
end if;
end if;
end process;
---------------------------------------------------------------------------
--
-- BC('), DE('), HL('), IX and IY
--
---------------------------------------------------------------------------
process (CLK_n)
begin
if CLK_n'event and CLK_n = '1' then
if ClkEn = '1' then
-- Bus A / Write
RegAddrA_r <= Alternate & Set_BusA_To(2 downto 1);
if XY_Ind = '0' and XY_State /= "00" and Set_BusA_To(2 downto 1) = "10" then
RegAddrA_r <= XY_State(1) & "11";
end if;
-- Bus B
RegAddrB_r <= Alternate & Set_BusB_To(2 downto 1);
if XY_Ind = '0' and XY_State /= "00" and Set_BusB_To(2 downto 1) = "10" then
RegAddrB_r <= XY_State(1) & "11";
end if;
-- Address from register
RegAddrC <= Alternate & Set_Addr_To(1 downto 0);
-- Jump (HL), LD SP,HL
if (JumpXY = '1' or LDSPHL = '1') then
RegAddrC <= Alternate & "10";
end if;
if ((JumpXY = '1' or LDSPHL = '1') and XY_State /= "00") or (MCycle = "110") then
RegAddrC <= XY_State(1) & "11";
end if;
if I_DJNZ = '1' and Save_ALU_r = '1' and Mode < 2 then
IncDecZ <= F_Out(Flag_Z);
end if;
if (TState = 2 or (TState = 3 and MCycle = "001")) and IncDec_16(2 downto 0) = "100" then
if ID16 = 0 then
IncDecZ <= '0';
else
IncDecZ <= '1';
end if;
end if;
RegBusA_r <= RegBusA;
end if;
end if;
end process;
RegAddrA <=
-- 16 bit increment/decrement
Alternate & IncDec_16(1 downto 0) when (TState = 2 or
(TState = 3 and MCycle = "001" and IncDec_16(2) = '1')) and XY_State = "00" else
XY_State(1) & "11" when (TState = 2 or
(TState = 3 and MCycle = "001" and IncDec_16(2) = '1')) and IncDec_16(1 downto 0) = "10" else
-- EX HL,DL
Alternate & "10" when ExchangeDH = '1' and TState = 3 else
Alternate & "01" when ExchangeDH = '1' and TState = 4 else
-- Bus A / Write
RegAddrA_r;
RegAddrB <=
-- EX HL,DL
Alternate & "01" when ExchangeDH = '1' and TState = 3 else
-- Bus B
RegAddrB_r;
ID16 <= signed(RegBusA) - 1 when IncDec_16(3) = '1' else
signed(RegBusA) + 1;
process (Save_ALU_r, Auto_Wait_t1, ALU_OP_r, Read_To_Reg_r,
ExchangeDH, IncDec_16, MCycle, TState, Wait_n)
begin
RegWEH <= '0';
RegWEL <= '0';
if (TState = 1 and Save_ALU_r = '0') or
(Save_ALU_r = '1' and ALU_OP_r /= "0111") then
case Read_To_Reg_r is
when "10000" | "10001" | "10010" | "10011" | "10100" | "10101" =>
RegWEH <= not Read_To_Reg_r(0);
RegWEL <= Read_To_Reg_r(0);
when others =>
end case;
end if;
if ExchangeDH = '1' and (TState = 3 or TState = 4) then
RegWEH <= '1';
RegWEL <= '1';
end if;
if IncDec_16(2) = '1' and ((TState = 2 and Wait_n = '1' and MCycle /= "001") or (TState = 3 and MCycle = "001")) then
case IncDec_16(1 downto 0) is
when "00" | "01" | "10" =>
RegWEH <= '1';
RegWEL <= '1';
when others =>
end case;
end if;
end process;
process (Save_Mux, RegBusB, RegBusA_r, ID16,
ExchangeDH, IncDec_16, MCycle, TState, Wait_n)
begin
RegDIH <= Save_Mux;
RegDIL <= Save_Mux;
if ExchangeDH = '1' and TState = 3 then
RegDIH <= RegBusB(15 downto 8);
RegDIL <= RegBusB(7 downto 0);
end if;
if ExchangeDH = '1' and TState = 4 then
RegDIH <= RegBusA_r(15 downto 8);
RegDIL <= RegBusA_r(7 downto 0);
end if;
if IncDec_16(2) = '1' and ((TState = 2 and MCycle /= "001") or (TState = 3 and MCycle = "001")) then
RegDIH <= std_logic_vector(ID16(15 downto 8));
RegDIL <= std_logic_vector(ID16(7 downto 0));
end if;
end process;
Regs : T80_Reg
port map(
Clk => CLK_n,
CEN => ClkEn,
WEH => RegWEH,
WEL => RegWEL,
AddrA => RegAddrA,
AddrB => RegAddrB,
AddrC => RegAddrC,
DIH => RegDIH,
DIL => RegDIL,
DOAH => RegBusA(15 downto 8),
DOAL => RegBusA(7 downto 0),
DOBH => RegBusB(15 downto 8),
DOBL => RegBusB(7 downto 0),
DOCH => RegBusC(15 downto 8),
DOCL => RegBusC(7 downto 0));
---------------------------------------------------------------------------
--
-- Buses
--
---------------------------------------------------------------------------
process (CLK_n)
begin
if CLK_n'event and CLK_n = '1' then
if ClkEn = '1' then
case Set_BusB_To is
when "0111" =>
BusB <= ACC;
when "0000" | "0001" | "0010" | "0011" | "0100" | "0101" =>
if Set_BusB_To(0) = '1' then
BusB <= RegBusB(7 downto 0);
else
BusB <= RegBusB(15 downto 8);
end if;
when "0110" =>
BusB <= DI_Reg;
when "1000" =>
BusB <= std_logic_vector(SP(7 downto 0));
when "1001" =>
BusB <= std_logic_vector(SP(15 downto 8));
when "1010" =>
BusB <= "00000001";
when "1011" =>
BusB <= F;
when "1100" =>
BusB <= std_logic_vector(PC(7 downto 0));
when "1101" =>
BusB <= std_logic_vector(PC(15 downto 8));
when "1110" =>
BusB <= "00000000";
when others =>
BusB <= "--------";
end case;
case Set_BusA_To is
when "0111" =>
BusA <= ACC;
when "0000" | "0001" | "0010" | "0011" | "0100" | "0101" =>
if Set_BusA_To(0) = '1' then
BusA <= RegBusA(7 downto 0);
else
BusA <= RegBusA(15 downto 8);
end if;
when "0110" =>
BusA <= DI_Reg;
when "1000" =>
BusA <= std_logic_vector(SP(7 downto 0));
when "1001" =>
BusA <= std_logic_vector(SP(15 downto 8));
when "1010" =>
BusA <= "00000000";
when others =>
BusB <= "--------";
end case;
end if;
end if;
end process;
---------------------------------------------------------------------------
--
-- Generate external control signals
--
---------------------------------------------------------------------------
process (RESET_n,CLK_n)
begin
if RESET_n = '0' then
RFSH_n <= '1';
elsif CLK_n'event and CLK_n = '1' then
if CEN = '1' then
if MCycle = "001" and ((TState = 2 and Wait_n = '1') or TState = 3) then
RFSH_n <= '0';
else
RFSH_n <= '1';
end if;
end if;
end if;
end process;
MC <= std_logic_vector(MCycle);
TS <= std_logic_vector(TState);
DI_Reg <= DI;
HALT_n <= not Halt_FF;
BUSAK_n <= not BusAck;
IntCycle_n <= not IntCycle;
IntE <= IntE_FF1;
IORQ <= IORQ_i;
Stop <= I_DJNZ;
-------------------------------------------------------------------------
--
-- Syncronise inputs
--
-------------------------------------------------------------------------
process (RESET_n, CLK_n)
variable OldNMI_n : std_logic;
begin
if RESET_n = '0' then
BusReq_s <= '0';
INT_s <= '0';
NMI_s <= '0';
OldNMI_n := '0';
elsif CLK_n'event and CLK_n = '1' then
if CEN = '1' then
BusReq_s <= not BUSRQ_n;
INT_s <= not INT_n;
if NMICycle = '1' then
NMI_s <= '0';
elsif NMI_n = '0' and OldNMI_n = '1' then
NMI_s <= '1';
end if;
OldNMI_n := NMI_n;
end if;
end if;
end process;
-------------------------------------------------------------------------
--
-- Main state machine
--
-------------------------------------------------------------------------
process (RESET_n, CLK_n)
begin
if RESET_n = '0' then
MCycle <= "001";
TState <= "000";
Pre_XY_F_M <= "000";
Halt_FF <= '0';
BusAck <= '0';
NMICycle <= '0';
IntCycle <= '0';
IntE_FF1 <= '0';
IntE_FF2 <= '0';
No_BTR <= '0';
Auto_Wait_t1 <= '0';
Auto_Wait_t2 <= '0';
M1_n <= '1';
elsif CLK_n'event and CLK_n = '1' then
if CEN = '1' then
Auto_Wait_t1 <= Auto_Wait;
Auto_Wait_t2 <= Auto_Wait_t1;
No_BTR <= (I_BT and (not IR(4) or not F(Flag_P))) or
(I_BC and (not IR(4) or F(Flag_Z) or not F(Flag_P))) or
(I_BTR and (not IR(4) or F(Flag_Z)));
if TState = 2 then
if SetEI = '1' then
IntE_FF1 <= '1';
IntE_FF2 <= '1';
end if;
if I_RETN = '1' then
IntE_FF1 <= IntE_FF2;
end if;
end if;
if TState = 3 then
if SetDI = '1' then
IntE_FF1 <= '0';
IntE_FF2 <= '0';
end if;
end if;
if IntCycle = '1' or NMICycle = '1' then
Halt_FF <= '0';
end if;
if MCycle = "001" and TState = 2 and Wait_n = '1' then
M1_n <= '1';
end if;
if BusReq_s = '1' and BusAck = '1' then
else
BusAck <= '0';
if TState = 2 and Wait_n = '0' then
elsif T_Res = '1' then
if Halt = '1' then
Halt_FF <= '1';
end if;
if BusReq_s = '1' then
BusAck <= '1';
else
TState <= "001";
if NextIs_XY_Fetch = '1' then
MCycle <= "110";
Pre_XY_F_M <= MCycle;
if IR = "00110110" and Mode = 0 then
Pre_XY_F_M <= "010";
end if;
elsif (MCycle = "111") or
(MCycle = "110" and Mode = 1 and ISet /= "01") then
MCycle <= std_logic_vector(unsigned(Pre_XY_F_M) + 1);
elsif (MCycle = MCycles) or
No_BTR = '1' or
(MCycle = "010" and I_DJNZ = '1' and IncDecZ = '1') then
M1_n <= '0';
MCycle <= "001";
IntCycle <= '0';
NMICycle <= '0';
if NMI_s = '1' and Prefix = "00" then
NMICycle <= '1';
IntE_FF1 <= '0';
elsif (IntE_FF1 = '1' and INT_s = '1') and Prefix = "00" and SetEI = '0' then
IntCycle <= '1';
IntE_FF1 <= '0';
IntE_FF2 <= '0';
end if;
else
MCycle <= std_logic_vector(unsigned(MCycle) + 1);
end if;
end if;
else
if Auto_Wait = '1' nand Auto_Wait_t2 = '0' then
TState <= TState + 1;
end if;
end if;
end if;
if TState = 0 then
M1_n <= '0';
end if;
end if;
end if;
end process;
process (IntCycle, NMICycle, MCycle)
begin
Auto_Wait <= '0';
if IntCycle = '1' or NMICycle = '1' then
if MCycle = "001" then
Auto_Wait <= '1';
end if;
end if;
end process;
end;
| gpl-3.0 | 1c72f514709c91dcaa97620bc0a64986 | 0.494013 | 3.046891 | false | false | false | false |
rjarzmik/mips_processor | Caches/SinglePort_Associative_Cache_Torture_tb.vhd | 1 | 10,952 | -------------------------------------------------------------------------------
-- Title : Torture test for associative cache
-- Project : Cache implementations
-------------------------------------------------------------------------------
-- File : SinglePort_Associative_Cache_Torture.vhd
-- Author : Robert Jarzmik <[email protected]>
-- Company :
-- Created : 2016-12-30
-- Last update: 2016-12-30
-- Platform :
-- Standard : VHDL'93/02
-------------------------------------------------------------------------------
-- Description:
-------------------------------------------------------------------------------
-- Copyright (c) 2016
-------------------------------------------------------------------------------
-- Revisions :
-- Date Version Author Description
-- 2016-12-30 1.0 rj Created
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;
use work.cache_defs.all;
entity SinglePort_Associative_Cache_Torture_tb is
end entity SinglePort_Associative_Cache_Torture_tb;
architecture str of SinglePort_Associative_Cache_Torture_tb is
-- component generics
constant MEMORY_LATENCY : integer := 3;
constant DEBUG : boolean := false;
constant MEMORY_ADDR_WIDTH : natural := 16;
type memory is array(0 to 2**(MEMORY_ADDR_WIDTH - DATA_WIDTH / 8) - 1) of data_t;
-- component ports
signal clk : std_logic := '1';
signal clkena : std_logic := '1';
signal rst : std_logic := '1';
signal i_porta_req : std_logic := '0';
signal i_porta_we : std_logic := '0';
signal i_porta_addr : addr_t := (others => '0');
signal i_porta_do_write_through : std_logic;
signal i_porta_write_data : std_logic_vector(DATA_WIDTH - 1 downto 0) := (others => 'X');
signal o_porta_read_data : std_logic_vector(DATA_WIDTH - 1 downto 0);
signal o_porta_valid : std_logic;
signal o_memory_req : std_logic := '0';
signal o_memory_we : std_logic := '0';
signal o_memory_addr : addr_t;
signal o_memory_write_data : std_logic_vector(DATA_WIDTH - 1 downto 0);
signal i_memory_read_data : std_logic_vector(DATA_WIDTH - 1 downto 0);
signal i_memory_valid : std_logic;
signal o_dbg_state : cache_state;
signal o_dbg_cstats : cache_stats_t;
signal porta_req : std_logic := '0';
signal porta_we : std_logic;
signal porta_addr : addr_t := (others => '0');
signal porta_wthrough : std_logic;
signal porta_write_data : data_t;
signal cls_req : cls_op;
signal cls_creq : cache_request_t;
signal cls_cresp : cache_response_t;
procedure rand_bit(variable seed1, seed2 : inout positive;
b : out std_logic) is
variable r : real;
begin
uniform(seed1, seed2, r);
if r < 0.5 then
b := '0';
else
b := '1';
end if;
end procedure rand_bit;
procedure rand_natural(variable seed1, seed2 : inout positive;
max : in natural;
n : out natural) is
variable r : real;
begin
uniform(seed1, seed2, r);
n := integer(r * real(max));
end procedure rand_natural;
procedure report_cache_stats(stats : cache_stats_t; seed1, seed2 : positive) is
begin
report "Cache stats (seed1=" & integer'image(seed1) &
",seed2=" & integer'image(seed2) & ")";
report " read : hits=" & integer'image(stats.read_hits) &
" misses=" & integer'image(stats.read_misses);
report " write : hits=" & integer'image(stats.write_hits) &
" misses=" & integer'image(stats.write_misses) & " |" &
" wbacks=" & integer'image(stats.write_backs) &
" wthrou=" & integer'image(stats.write_throughs);
report " outer : flushes=" & integer'image(stats.flushes) & " " &
"refills=" & integer'image(stats.refills);
end procedure report_cache_stats;
function cache_state_name(c : cache_state) return string is
begin
case c is
when s_idle => return "idle";
when s_searching => return "searching";
when s_prepare_flushing => return "prepare_flush";
when s_flush_outer => return "flush_outer";
when s_flushing => return "flushing";
when s_refill_memory => return "refill_memory";
when s_refill_cache => return "refill_cache";
when s_writethrough => return "writethrough";
when s_write_allocate => return "write_allocate";
end case;
end function cache_state_name;
function test_report_header(num_test : natural; num_action : natural;
state : cache_state)
return string is
constant testname : string := "Test";
begin
return "[" & testname & ":" & integer'image(num_action) & "]" &
"[" & cache_state_name(state) & "]";
end function test_report_header;
function init_ram_data_offsets_addr(ofs : natural) return memory is
variable o : memory;
variable d : natural;
begin
for i in o'range loop
d := (i * DATA_WIDTH / 8 + ofs); -- mod 2**memory(0)'length;
o(i) := std_logic_vector(to_unsigned(d, DATA_WIDTH));
end loop;
return o;
end function init_ram_data_offsets_addr;
signal check_ram : memory := init_ram_data_offsets_addr(16#100#);
begin -- architecture str
DUT : entity work.SinglePort_Associative_Cache(rtl)
generic map (DEBUG => DEBUG)
port map (
clk => clk,
rst => rst,
i_porta_req => i_porta_req,
i_porta_we => i_porta_we,
i_porta_addr => i_porta_addr,
i_porta_do_write_through => i_porta_do_write_through,
i_porta_write_data => i_porta_write_data,
o_porta_read_data => o_porta_read_data,
o_porta_valid => o_porta_valid,
-- Carry-over signals
o_creq => cls_creq,
i_cresp => cls_cresp,
-- Debug
o_dbg_state => o_dbg_state,
o_dbg_cstats => o_dbg_cstats);
cls : entity work.cache_line_streamer
generic map (
ADDR_WIDTH => ADDR_WIDTH,
DATA_WIDTH => DATA_WIDTH,
DATAS_PER_LINE_WIDTH => DATAS_PER_LINE_WIDTH)
port map (
clk => clk,
rst => rst,
i_creq => cls_creq,
o_cresp => cls_cresp,
o_memory_req => o_memory_req,
o_memory_we => o_memory_we,
o_memory_addr => o_memory_addr,
o_memory_wdata => o_memory_write_data,
i_memory_rdata => i_memory_read_data,
i_memory_done => i_memory_valid);
-- memory simulator
Simulated_Memory_1 : entity work.Simulated_Memory
generic map (
ADDR_WIDTH => ADDR_WIDTH,
DATA_WIDTH => DATA_WIDTH,
MEMORY_ADDR_WIDTH => 16,
MEMORY_LATENCY => MEMORY_LATENCY,
DEBUG => DEBUG)
port map (
clk => clk,
rst => rst,
i_memory_req => o_memory_req,
i_memory_we => o_memory_we,
i_memory_addr => o_memory_addr,
i_memory_write_data => o_memory_write_data,
o_memory_read_data => i_memory_read_data,
o_memory_valid => i_memory_valid);
-- reset
rst <= '0' after 12 ps;
-- clock generation
clk <= (clkena and not clk) after 5 ps;
torture : process
variable expected_read : data_t;
variable seed1 : positive := 5;
variable seed2 : positive := 7;
variable randb : std_logic;
variable randaddr : natural range 0 to check_ram'length - 1;
variable randdata : natural range 0 to 2**(DATA_WIDTH - 8);
variable num_req : natural;
variable pa_addr : addr_t;
variable pa_we : std_ulogic;
variable pa_wt : std_ulogic;
variable pa_wdata : data_t;
begin
if rst = '1' then
wait until rst = '0';
wait until clk = '1';
num_req := 0;
end if;
num_req := (num_req + 1) mod (1024 * 16);
if num_req = 0 then
report_cache_stats(o_dbg_cstats, seed1, seed2);
end if;
-- Enqueue a random request
i_porta_req <= '1';
rand_bit(seed1, seed2, pa_we); i_porta_we <= pa_we;
rand_bit(seed1, seed2, pa_wt); i_porta_do_write_through <= pa_wt;
rand_natural(seed1, seed2, 2**(MEMORY_ADDR_WIDTH - DATA_WIDTH / 8 - ADDR_DATA_NBITS) - 1, randaddr);
randaddr := randaddr * 2**(ADDR_DATA_NBITS);
pa_addr := std_logic_vector(to_unsigned(randaddr, pa_addr'length));
i_porta_addr <= pa_addr;
if pa_we = '1' then
rand_natural(seed1, seed2, 2**(DATA_WIDTH - 8), randdata);
pa_wdata := std_logic_vector(to_unsigned(randdata, i_porta_write_data'length));
else
pa_wdata := (others => 'X');
end if;
i_porta_write_data <= pa_wdata;
-- Report the request
if DEBUG then
if pa_we = '1' then
if pa_wt = '1' then
report test_report_header(0, num_req, o_dbg_state) &
"writethrough: @" & to_hstring(pa_addr) & "<=" &
to_hstring(pa_wdata);
else
report test_report_header(0, num_req, o_dbg_state) &
"writeback: @" & to_hstring(pa_addr) & "<=" &
to_hstring(pa_wdata);
end if;
else
report test_report_header(0, num_req, o_dbg_state) &
"read: @" & to_hstring(pa_addr);
end if;
end if;
-- Remember the writes to check all subsequent reads are consistent
if pa_we = '1' then
check_ram(randaddr / (DATA_WIDTH / 8)) <= pa_wdata;
end if;
wait until clk = '1';
wait until clk = '0';
if o_porta_valid = '0' then
i_porta_req <= '0';
end if;
-- Wait for an answer and in case of read check against check_ram
if o_porta_valid = '0' then
wait until clk = '0' and o_porta_valid = '1';
end if;
if pa_we = '0' then
expected_read := check_ram(randaddr / (DATA_WIDTH / 8));
if o_porta_read_data /= expected_read then
report test_report_header(0, num_req, o_dbg_state) &
"Read @" & to_hstring(pa_addr) & " should return " &
to_hstring(expected_read) & " while it returned " &
to_hstring(o_porta_read_data) severity failure;
end if;
end if;
end process torture;
end architecture str;
-------------------------------------------------------------------------------
| gpl-3.0 | 318dd10164b660078f26f7b6b442bfe9 | 0.521549 | 3.600263 | false | false | false | false |
cwilkens/ecen4024-microphone-array | microphone-array/microphone-array.srcs/sources_1/ip/lp_FIR/fir_compiler_v7_1/hdl/polyphase_decimation.vhd | 2 | 380,164 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
ocElU8PyD9nedkq/qwb0AkB7PhL5eoFOYVX+Ov2SUsmCaEVmQTKSFt9f8tGUqm/LCqBoz7IzP7nT
UFYOf4Rk1Q==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ZDbp4d6km59h7ShcjFQ6G70zNcC+1NEbAM0c8x1aWaFXNJ2BcrKpwQ+emGamu5OekWeVNZ0EA6s7
roTOKF2vLH4ncLnAln9OwGsGLYrigSd8VPJr27TLsijJzGltXea4ur5b/VxgDG0NWd9QDH8sdkcn
CNN5+MpLV3gzC+CtD4U=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Y73+j19cJ1t6Alpru9ly+5sZnZ5T5RWrk0knkg5IfvKQFJebEXzxCPm9jsLvRqwZPaujYuKPaD6Y
S3PM1ggIQnOCsuwr1kjbXli8oStXKk6euD0sgyhtvxOlyhtJEo9x0uD91uPAd/c0kgwGpj7ynr5D
h9RjLYarKidTbJiUFSXWqzPHsbmeyqpJJNBgzsvJ6N7kxF0UnI09JTv2eHcDrdEwdhoKTBNMp3Vb
Pmj03tW/9M1xDpDmNbbG5WRPC8s3W0BgGGjErJjNhquoUbRJDG9a96xjmuyp6P2MQ2d9nLPEraiJ
1vIdrg56C9U+1H70B7aUip5pOHRJuuvjMnkyxw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
LR03zto7mx0igHqqE59h41YBE2zO0RYUXnqr/bFyRrOGw+7qbBLH4BZkxYsb4mjYTJN55ncWWKYc
RQg8rPTW0ThvRc4jnyAYe2ifgCx0zbE+OPQhjwLvXRUSXKNWUflGbwp8TdjMS1fjCZDCG8W0+Tit
moejGuwpaJ0TbOYKexE=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
JYW73exJ584hvvqm0AlunC+JBpN6ygcqG4y9erUzlYOH+qD2DdV3iuM3R8oTfsXK/EtdDsO/J7XV
lgO2VjLD1pOZV04MkDYWPwWc4tXIbYfDo9WQxUy/1HI9ZHD698sYPG6q+911X2HuwsTnb0f4OQ/W
kNfQ7FOuGtmwN4xL3J1KFZ5ZLSvv4VvhG1Cx3QEwlP8+QEtTWse5qCi6cKYKu2OIhJSzOK2BM0HM
CqgkimLNNYCJSElfEhhBXihKynqdOwH2NrcCY3FRcdCfuYhPRWGu1IicLg7XU6mh4c3L0Ebou59q
p6EtrXWu4Xqp6LV51lu7QenVTt2hauCpN6hFHw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 279680)
`protect data_block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`protect end_protected
| mit | 53debf1e0ed1c18bc73dc07c83db954d | 0.955243 | 1.805387 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/case/rule_007_test_input.vhd | 1 | 459 |
architecture ARCH of ENTITY is
begin
PROC_1 : process (a, b, c) is
begin
-- Comments are fine
case boolean_1 is
when STATE_1 =>
a <= b;
b <= c;
c <= d;
end case;
end process PROC_1;
PROC_2 : process (a, b, c) is
begin
sig1 <= sig2;
case boolean_1 is
when STATE_1=>
a <= b;
b <= c;
c <= d;
end case;
end process PROC_2;
end architecture ARCH;
| gpl-3.0 | b6082649f79369da6bbec763854eb1db | 0.481481 | 3.350365 | false | false | false | false |
tdaede/daala_zynq | daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_stbs_set_nodre.vhd | 1 | 43,559 | -------------------------------------------------------------------------------
-- axi_datamover_stbs_set_nodre.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_datamover_stbs_set_nodre.vhd
--
-- Description:
-- This file implements a module to count the number of strobe bits that
-- are asserted active high on the input strobe bus. This module does not
-- support sparse strobe assertions.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- axi_datamover_stbs_set_nodre.vhd
--
-------------------------------------------------------------------------------
-- Revision History:
--
--
-- Author: DET
--
-- History:
-- DET 04/19/2011 Initial Version for EDK 13.3
--
-- DET 6/20/2011 Initial Version for EDK 13.3
-- ~~~~~~
-- - Added 512 and 1024 data width support
-- ^^^^^^
--
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
-------------------------------------------------------------------------------
entity axi_datamover_stbs_set_nodre is
generic (
C_STROBE_WIDTH : Integer range 1 to 128 := 8
-- Specifies the width (in bits) of the input strobe bus.
);
port (
-- Input Strobe bus ----------------------------------------------------
--
tstrb_in : in std_logic_vector(C_STROBE_WIDTH-1 downto 0); --
------------------------------------------------------------------------
-- Asserted Strobes count output ---------------------------------------
--
num_stbs_asserted : Out std_logic_vector(7 downto 0) --
-- Indicates the number of asserted tstrb_in bits --
------------------------------------------------------------------------
);
end entity axi_datamover_stbs_set_nodre;
architecture implementation of axi_datamover_stbs_set_nodre is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-- Function
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_8bit_stbs_set
--
-- Function Description:
-- Implements an 8-bit lookup table for calculating the number
-- of asserted bits within an 8-bit strobe vector.
--
-- Note that this function assumes that asserted strobes are
-- contiguous with each other (no sparse strobe assertions).
--
-------------------------------------------------------------------
function funct_8bit_stbs_set (strb_8 : std_logic_vector(7 downto 0)) return unsigned is
Constant ASSERTED_VALUE_WIDTH : integer := 4;-- 4 bits needed
Variable lvar_num_set : Integer range 0 to 8 := 0;
begin
case strb_8 is
------- 1 bit --------------------------
when "00000001" =>
lvar_num_set := 1;
------- 2 bit --------------------------
when "00000011" =>
lvar_num_set := 2;
------- 3 bit --------------------------
when "00000111" =>
lvar_num_set := 3;
------- 4 bit --------------------------
when "00001111" =>
lvar_num_set := 4;
------- 5 bit --------------------------
when "00011111" =>
lvar_num_set := 5;
------- 6 bit --------------------------
when "00111111" =>
lvar_num_set := 6;
------- 7 bit --------------------------
when "01111111" =>
lvar_num_set := 7;
------- 8 bit --------------------------
when "11111111" =>
lvar_num_set := 8;
------- all zeros or sparse strobes ------
When others =>
lvar_num_set := 0;
end case;
Return (TO_UNSIGNED(lvar_num_set, ASSERTED_VALUE_WIDTH));
end function funct_8bit_stbs_set;
function funct_256bit_stbs_set (strb_3 : std_logic_vector(2 downto 0)) return unsigned is
Constant ASSERTED_VALUE_WIDTH : integer := 5;-- 4 bits needed
Variable lvar_num_set : Integer range 0 to 24 := 0;
begin
case strb_3 is
-- when "0000000" =>
-- lvar_num_set := 0;
------- 1 bit --------------------------
when "001" =>
lvar_num_set := 8;
------- 2 bit --------------------------
when "011" =>
lvar_num_set := 16;
------- 3 bit --------------------------
when "111" =>
lvar_num_set := 24;
------- all zeros or sparse strobes ------
When others =>
lvar_num_set := 0;
end case;
Return (TO_UNSIGNED(lvar_num_set, ASSERTED_VALUE_WIDTH));
end function funct_256bit_stbs_set;
function funct_512bit_stbs_set (strb_3 : std_logic_vector(6 downto 0)) return unsigned is
Constant ASSERTED_VALUE_WIDTH : integer := 6;-- 4 bits needed
Variable lvar_num_set : Integer range 0 to 56 := 0;
begin
case strb_3 is
-- when "0000000" =>
-- lvar_num_set := 0;
------- 1 bit --------------------------
when "0000001" =>
lvar_num_set := 8;
------- 2 bit --------------------------
when "0000011" =>
lvar_num_set := 16;
------- 3 bit --------------------------
when "0000111" =>
lvar_num_set := 24;
when "0001111" =>
lvar_num_set := 32;
when "0011111" =>
lvar_num_set := 40;
when "0111111" =>
lvar_num_set := 48;
when "1111111" =>
lvar_num_set := 56;
------- all zeros or sparse strobes ------
When others =>
lvar_num_set := 0;
end case;
Return (TO_UNSIGNED(lvar_num_set, ASSERTED_VALUE_WIDTH));
end function funct_512bit_stbs_set;
function funct_1024bit_stbs_set (strb_3 : std_logic_vector(14 downto 0)) return unsigned is
Constant ASSERTED_VALUE_WIDTH : integer := 7;-- 4 bits needed
Variable lvar_num_set : Integer range 0 to 120 := 0;
begin
case strb_3 is
------- 1 bit --------------------------
when "000000000000001" =>
lvar_num_set := 8;
------- 2 bit --------------------------
when "000000000000011" =>
lvar_num_set := 16;
------- 3 bit --------------------------
when "000000000000111" =>
lvar_num_set := 24;
when "000000000001111" =>
lvar_num_set := 32;
when "000000000011111" =>
lvar_num_set := 40;
when "000000000111111" =>
lvar_num_set := 48;
when "000000001111111" =>
lvar_num_set := 56;
when "000000011111111" =>
lvar_num_set := 64;
when "000000111111111" =>
lvar_num_set := 72;
when "000001111111111" =>
lvar_num_set := 80;
when "000011111111111" =>
lvar_num_set := 88;
when "000111111111111" =>
lvar_num_set := 96;
when "001111111111111" =>
lvar_num_set := 104;
when "011111111111111" =>
lvar_num_set := 112;
when "111111111111111" =>
lvar_num_set := 120;
------- all zeros or sparse strobes ------
When others =>
lvar_num_set := 0;
end case;
Return (TO_UNSIGNED(lvar_num_set, ASSERTED_VALUE_WIDTH));
end function funct_1024bit_stbs_set;
-- function funct_8bit_stbs_set (strb_8 : std_logic_vector(7 downto 0)) return unsigned is
--
-- Constant ASSERTED_VALUE_WIDTH : integer := 4;-- 4 bits needed
--
--
-- Variable lvar_num_set : Integer range 0 to 8 := 0;
--
-- begin
--
-- case strb_8 is
--
---- ------- 1 bit --------------------------
-- when "00000001" | "00000010" | "00000100" | "00001000" |
-- "00010000" | "00100000" | "01000000" | "10000000" =>
--
-- lvar_num_set := 1;
--
--
-- ------- 2 bit --------------------------
-- when "00000011" | "00000110" | "00001100" | "00011000" |
-- "00110000" | "01100000" | "11000000" =>
--
-- lvar_num_set := 2;
--
--
-- ------- 3 bit --------------------------
-- when "00000111" | "00001110" | "00011100" | "00111000" |
-- "01110000" | "11100000" =>
--
-- lvar_num_set := 3;
--
--
-- ------- 4 bit --------------------------
-- when "00001111" | "00011110" | "00111100" | "01111000" |
-- "11110000" =>
--
-- lvar_num_set := 4;
--
--
-- ------- 5 bit --------------------------
-- when "00011111" | "00111110" | "01111100" | "11111000" =>
--
-- lvar_num_set := 5;
--
--
-- ------- 6 bit --------------------------
-- when "00111111" | "01111110" | "11111100" =>
--
-- lvar_num_set := 6;
--
--
-- ------- 7 bit --------------------------
-- when "01111111" | "11111110" =>
--
-- lvar_num_set := 7;
--
--
-- ------- 8 bit --------------------------
-- when "11111111" =>
--
-- lvar_num_set := 8;
--
--
-- ------- all zeros or sparse strobes ------
-- When others =>
--
-- lvar_num_set := 0;
--
-- end case;
--
--
-- Return (TO_UNSIGNED(lvar_num_set, ASSERTED_VALUE_WIDTH));
--
--
--
-- end function funct_8bit_stbs_set;
-- Constants
Constant LOGIC_LOW : std_logic := '0';
Constant LOGIC_HIGH : std_logic := '1';
Constant BITS_FOR_STBS_ASSERTED : integer := 8; -- increments of 8 bits
Constant NUM_ZEROS_WIDTH : integer := BITS_FOR_STBS_ASSERTED;
-- Signals
signal sig_strb_input : std_logic_vector(C_STROBE_WIDTH-1 downto 0) := (others => '0');
signal sig_stbs_asserted : std_logic_vector(BITS_FOR_STBS_ASSERTED-1 downto 0) := (others => '0');
begin --(architecture implementation)
num_stbs_asserted <= sig_stbs_asserted;
sig_strb_input <= tstrb_in ;
-------------------------------------------------------------------------
---------------- Asserted TSTRB calculation logic ---------------------
-------------------------------------------------------------------------
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_1_STRB
--
-- If Generate Description:
-- 1-bit strobe bus width case
--
--
------------------------------------------------------------
GEN_1_STRB : if (C_STROBE_WIDTH = 1) generate
begin
-------------------------------------------------------------
-- Combinational Process
--
-- Label: IMP_1BIT_STRB
--
-- Process Description:
--
--
-------------------------------------------------------------
IMP_1BIT_STRB : process (sig_strb_input)
begin
-- Concatonate the strobe to the ls bit of
-- the asserted value
sig_stbs_asserted <= "0000000" &
sig_strb_input(0);
end process IMP_1BIT_STRB;
end generate GEN_1_STRB;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_2_STRB
--
-- If Generate Description:
-- 2-bit strobe bus width case
--
--
------------------------------------------------------------
GEN_2_STRB : if (C_STROBE_WIDTH = 2) generate
signal lsig_num_set : integer range 0 to 2 := 0;
signal lsig_strb_vect : std_logic_vector(1 downto 0) := (others => '0');
begin
lsig_strb_vect <= sig_strb_input;
-------------------------------------------------------------
-- Combinational Process
--
-- Label: IMP_2BIT_STRB
--
-- Process Description:
-- Calculates the number of strobes set fo the 2-bit
-- strobe case
--
-------------------------------------------------------------
IMP_2BIT_STRB : process (lsig_strb_vect)
begin
case lsig_strb_vect is
when "01" | "10" =>
lsig_num_set <= 1;
when "11" =>
lsig_num_set <= 2;
when others =>
lsig_num_set <= 0;
end case;
end process IMP_2BIT_STRB;
sig_stbs_asserted <= STD_LOGIC_VECTOR(TO_UNSIGNED(lsig_num_set,
BITS_FOR_STBS_ASSERTED));
end generate GEN_2_STRB;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_4_STRB
--
-- If Generate Description:
-- 4-bit strobe bus width case
--
--
------------------------------------------------------------
GEN_4_STRB : if (C_STROBE_WIDTH = 4) generate
signal lsig_strb_vect : std_logic_vector(7 downto 0) := (others => '0');
begin
lsig_strb_vect <= "0000" & sig_strb_input; -- make and 8-bit vector
-- for the function call
sig_stbs_asserted <= STD_LOGIC_VECTOR(RESIZE(funct_8bit_stbs_set(lsig_strb_vect),
BITS_FOR_STBS_ASSERTED));
end generate GEN_4_STRB;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_8_STRB
--
-- If Generate Description:
-- 8-bit strobe bus width case
--
--
------------------------------------------------------------
GEN_8_STRB : if (C_STROBE_WIDTH = 8) generate
signal lsig_strb_vect : std_logic_vector(7 downto 0) := (others => '0');
begin
lsig_strb_vect <= sig_strb_input; -- make and 8-bit vector
-- for the function call
sig_stbs_asserted <= STD_LOGIC_VECTOR(RESIZE(funct_8bit_stbs_set(lsig_strb_vect),
BITS_FOR_STBS_ASSERTED));
end generate GEN_8_STRB;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_16_STRB
--
-- If Generate Description:
-- 16-bit strobe bus width case
--
--
------------------------------------------------------------
GEN_16_STRB : if (C_STROBE_WIDTH = 16) generate
Constant RESULT_BIT_WIDTH : integer := 8;
signal lsig_strb_vect1 : std_logic_vector(7 downto 0) := (others => '0');
signal lsig_strb_vect2 : std_logic_vector(7 downto 0) := (others => '0');
signal lsig_num_in_stbs1 : unsigned(3 downto 0) := (others => '0');
signal lsig_num_in_stbs2 : unsigned(3 downto 0) := (others => '0');
signal lsig_num_total : unsigned(RESULT_BIT_WIDTH-1 downto 0) := (others => '0');
begin
lsig_strb_vect1 <= sig_strb_input(7 downto 0); -- make and 8-bit vector
-- for the function call
lsig_strb_vect2 <= sig_strb_input(15 downto 8); -- make and 8-bit vector
-- for the function call
lsig_num_in_stbs1 <= funct_8bit_stbs_set(lsig_strb_vect1) ;
lsig_num_in_stbs2 <= funct_8bit_stbs_set(lsig_strb_vect2) ;
lsig_num_total <= RESIZE(lsig_num_in_stbs1 , RESULT_BIT_WIDTH) +
RESIZE(lsig_num_in_stbs2 , RESULT_BIT_WIDTH);
sig_stbs_asserted <= STD_LOGIC_VECTOR(lsig_num_total);
end generate GEN_16_STRB;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_32_STRB
--
-- If Generate Description:
-- 32-bit strobe bus width case
--
--
------------------------------------------------------------
GEN_32_STRB : if (C_STROBE_WIDTH = 32) generate
Constant RESULT_BIT_WIDTH : integer := 8;
signal lsig_strb_vect1 : std_logic_vector(7 downto 0) := (others => '0');
signal lsig_strb_vect2 : std_logic_vector(7 downto 0) := (others => '0');
signal lsig_strb_vect3 : std_logic_vector(7 downto 0) := (others => '0');
signal lsig_strb_vect4 : std_logic_vector(7 downto 0) := (others => '0');
signal lsig_num_in_stbs1 : unsigned(3 downto 0) := (others => '0');
signal lsig_num_in_stbs2 : unsigned(3 downto 0) := (others => '0');
signal lsig_num_in_stbs3 : unsigned(3 downto 0) := (others => '0');
signal lsig_num_in_stbs4 : unsigned(3 downto 0) := (others => '0');
signal lsig_num_total : unsigned(RESULT_BIT_WIDTH-1 downto 0) := (others => '0');
signal lsig_new_vect : std_logic_vector (2 downto 0) := (others => '0');
signal lsig_num_new_stbs1 : unsigned(4 downto 0) := (others => '0');
signal lsig_new_vect1 : std_logic_vector (7 downto 0) := (others => '0');
signal lsig_num_new_vect1 : unsigned(3 downto 0) := (others => '0');
begin
lsig_strb_vect1 <= sig_strb_input(7 downto 0); -- make and 8-bit vector
-- for the function call
lsig_strb_vect2 <= sig_strb_input(15 downto 8); -- make and 8-bit vector
-- for the function call
lsig_strb_vect3 <= sig_strb_input(23 downto 16); -- make and 8-bit vector
-- for the function call
lsig_strb_vect4 <= sig_strb_input(31 downto 24); -- make and 8-bit vector
-- for the function call
lsig_num_in_stbs1 <= funct_8bit_stbs_set(lsig_strb_vect1) ;
lsig_num_in_stbs2 <= funct_8bit_stbs_set(lsig_strb_vect2) ;
lsig_num_in_stbs3 <= funct_8bit_stbs_set(lsig_strb_vect3) ;
lsig_num_in_stbs4 <= funct_8bit_stbs_set(lsig_strb_vect4) ;
-- lsig_num_total <= RESIZE(lsig_num_in_stbs1 , RESULT_BIT_WIDTH) +
-- RESIZE(lsig_num_in_stbs2 , RESULT_BIT_WIDTH) +
-- RESIZE(lsig_num_in_stbs3 , RESULT_BIT_WIDTH) +
-- RESIZE(lsig_num_in_stbs4 , RESULT_BIT_WIDTH);
sig_stbs_asserted <= STD_LOGIC_VECTOR(lsig_num_total);
lsig_new_vect <= sig_strb_input (24) & sig_strb_input (16) & sig_strb_input (8);
lsig_num_new_stbs1 <= funct_256bit_stbs_set(lsig_new_vect) ;
lsig_num_new_vect1 <= funct_8bit_stbs_set(lsig_new_vect1);
lsig_num_total <= RESIZE(lsig_num_new_stbs1 , RESULT_BIT_WIDTH) +
RESIZE(lsig_num_new_vect1 , RESULT_BIT_WIDTH);
process (lsig_new_vect, sig_strb_input)
begin
case lsig_new_vect is
------- 1 bit --------------------------
when "000" =>
lsig_new_vect1 <= sig_strb_input (7 downto 0);
when "001" =>
lsig_new_vect1 <= sig_strb_input (15 downto 8);
------- 2 bit --------------------------
when "011" =>
lsig_new_vect1 <= sig_strb_input (23 downto 16);
------- 3 bit --------------------------
when "111" =>
lsig_new_vect1 <= sig_strb_input (31 downto 24);
------- all zeros or sparse strobes ------
When others =>
lsig_new_vect1 <= (others => '0');
end case;
end process;
end generate GEN_32_STRB;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_64_STRB
--
-- If Generate Description:
-- 64-bit strobe bus width case
--
--
------------------------------------------------------------
GEN_64_STRB : if (C_STROBE_WIDTH = 64) generate
Constant RESULT_BIT_WIDTH : integer := 8;
signal lsig_strb_vect1 : std_logic_vector(7 downto 0) := (others => '0');
signal lsig_strb_vect2 : std_logic_vector(7 downto 0) := (others => '0');
signal lsig_strb_vect3 : std_logic_vector(7 downto 0) := (others => '0');
signal lsig_strb_vect4 : std_logic_vector(7 downto 0) := (others => '0');
signal lsig_strb_vect5 : std_logic_vector(7 downto 0) := (others => '0');
signal lsig_strb_vect6 : std_logic_vector(7 downto 0) := (others => '0');
signal lsig_strb_vect7 : std_logic_vector(7 downto 0) := (others => '0');
signal lsig_strb_vect8 : std_logic_vector(7 downto 0) := (others => '0');
signal lsig_num_in_stbs1 : unsigned(3 downto 0) := (others => '0');
signal lsig_num_in_stbs2 : unsigned(3 downto 0) := (others => '0');
signal lsig_num_in_stbs3 : unsigned(3 downto 0) := (others => '0');
signal lsig_num_in_stbs4 : unsigned(3 downto 0) := (others => '0');
signal lsig_num_in_stbs5 : unsigned(3 downto 0) := (others => '0');
signal lsig_num_in_stbs6 : unsigned(3 downto 0) := (others => '0');
signal lsig_num_in_stbs7 : unsigned(3 downto 0) := (others => '0');
signal lsig_num_in_stbs8 : unsigned(3 downto 0) := (others => '0');
signal lsig_num_total : unsigned(RESULT_BIT_WIDTH-1 downto 0) := (others => '0');
signal lsig_num_total1 : unsigned(RESULT_BIT_WIDTH-1 downto 0) := (others => '0');
signal lsig_new_vect : std_logic_vector (6 downto 0) := (others => '0');
signal lsig_num_new_stbs1 : unsigned(5 downto 0) := (others => '0');
signal lsig_new_vect1 : std_logic_vector (7 downto 0) := (others => '0');
signal lsig_num_new_vect1 : unsigned(3 downto 0) := (others => '0');
begin
lsig_strb_vect1 <= sig_strb_input(7 downto 0); -- make and 8-bit vector
-- for the function call
lsig_strb_vect2 <= sig_strb_input(15 downto 8); -- make and 8-bit vector
-- for the function call
lsig_strb_vect3 <= sig_strb_input(23 downto 16); -- make and 8-bit vector
-- for the function call
lsig_strb_vect4 <= sig_strb_input(31 downto 24); -- make and 8-bit vector
-- for the function call
lsig_strb_vect5 <= sig_strb_input(39 downto 32); -- make and 8-bit vector
-- for the function call
lsig_strb_vect6 <= sig_strb_input(47 downto 40); -- make and 8-bit vector
-- for the function call
lsig_strb_vect7 <= sig_strb_input(55 downto 48); -- make and 8-bit vector
-- for the function call
lsig_strb_vect8 <= sig_strb_input(63 downto 56); -- make and 8-bit vector
-- for the function call
lsig_num_in_stbs1 <= funct_8bit_stbs_set(lsig_strb_vect1) ;
lsig_num_in_stbs2 <= funct_8bit_stbs_set(lsig_strb_vect2) ;
lsig_num_in_stbs3 <= funct_8bit_stbs_set(lsig_strb_vect3) ;
lsig_num_in_stbs4 <= funct_8bit_stbs_set(lsig_strb_vect4) ;
lsig_num_in_stbs5 <= funct_8bit_stbs_set(lsig_strb_vect5) ;
lsig_num_in_stbs6 <= funct_8bit_stbs_set(lsig_strb_vect6) ;
lsig_num_in_stbs7 <= funct_8bit_stbs_set(lsig_strb_vect7) ;
lsig_num_in_stbs8 <= funct_8bit_stbs_set(lsig_strb_vect8) ;
-- lsig_num_total <= RESIZE(lsig_num_in_stbs1 , RESULT_BIT_WIDTH) +
-- RESIZE(lsig_num_in_stbs2 , RESULT_BIT_WIDTH) +
-- RESIZE(lsig_num_in_stbs3 , RESULT_BIT_WIDTH) +
-- RESIZE(lsig_num_in_stbs4 , RESULT_BIT_WIDTH) +
-- RESIZE(lsig_num_in_stbs5 , RESULT_BIT_WIDTH) +
-- RESIZE(lsig_num_in_stbs6 , RESULT_BIT_WIDTH) +
-- RESIZE(lsig_num_in_stbs7 , RESULT_BIT_WIDTH) +
-- RESIZE(lsig_num_in_stbs8 , RESULT_BIT_WIDTH);
sig_stbs_asserted <= STD_LOGIC_VECTOR(lsig_num_total);
lsig_new_vect <= sig_strb_input(56) & sig_strb_input (48) & sig_strb_input (40)
& sig_strb_input(32) & sig_strb_input (24) & sig_strb_input (16) & sig_strb_input (8);
lsig_num_new_stbs1 <= funct_512bit_stbs_set(lsig_new_vect) ;
lsig_num_new_vect1 <= funct_8bit_stbs_set(lsig_new_vect1);
lsig_num_total <= RESIZE(lsig_num_new_stbs1 , RESULT_BIT_WIDTH) +
RESIZE(lsig_num_new_vect1 , RESULT_BIT_WIDTH);
process (lsig_new_vect, sig_strb_input)
begin
case lsig_new_vect is
------- 1 bit --------------------------
when "0000000" =>
lsig_new_vect1 <= sig_strb_input (7 downto 0);
when "0000001" =>
lsig_new_vect1 <= sig_strb_input (15 downto 8);
------- 2 bit --------------------------
when "0000011" =>
lsig_new_vect1 <= sig_strb_input (23 downto 16);
------- 3 bit --------------------------
when "0000111" =>
lsig_new_vect1 <= sig_strb_input (31 downto 24);
when "0001111" =>
lsig_new_vect1 <= sig_strb_input (39 downto 32);
when "0011111" =>
lsig_new_vect1 <= sig_strb_input (47 downto 40);
when "0111111" =>
lsig_new_vect1 <= sig_strb_input (55 downto 48);
when "1111111" =>
lsig_new_vect1 <= sig_strb_input (63 downto 56);
------- all zeros or sparse strobes ------
When others =>
lsig_new_vect1 <= (others => '0');
end case;
end process;
end generate GEN_64_STRB;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_128_STRB
--
-- If Generate Description:
-- 128-bit strobe bus width case
--
--
------------------------------------------------------------
GEN_128_STRB : if (C_STROBE_WIDTH = 128) generate
Constant RESULT_BIT_WIDTH : integer := 8;
signal lsig_strb_vect1 : std_logic_vector(7 downto 0) := (others => '0');
signal lsig_strb_vect2 : std_logic_vector(7 downto 0) := (others => '0');
signal lsig_strb_vect3 : std_logic_vector(7 downto 0) := (others => '0');
signal lsig_strb_vect4 : std_logic_vector(7 downto 0) := (others => '0');
signal lsig_strb_vect5 : std_logic_vector(7 downto 0) := (others => '0');
signal lsig_strb_vect6 : std_logic_vector(7 downto 0) := (others => '0');
signal lsig_strb_vect7 : std_logic_vector(7 downto 0) := (others => '0');
signal lsig_strb_vect8 : std_logic_vector(7 downto 0) := (others => '0');
signal lsig_strb_vect9 : std_logic_vector(7 downto 0) := (others => '0');
signal lsig_strb_vect10 : std_logic_vector(7 downto 0) := (others => '0');
signal lsig_strb_vect11 : std_logic_vector(7 downto 0) := (others => '0');
signal lsig_strb_vect12 : std_logic_vector(7 downto 0) := (others => '0');
signal lsig_strb_vect13 : std_logic_vector(7 downto 0) := (others => '0');
signal lsig_strb_vect14 : std_logic_vector(7 downto 0) := (others => '0');
signal lsig_strb_vect15 : std_logic_vector(7 downto 0) := (others => '0');
signal lsig_strb_vect16 : std_logic_vector(7 downto 0) := (others => '0');
signal lsig_num_in_stbs1 : unsigned(3 downto 0) := (others => '0');
signal lsig_num_in_stbs2 : unsigned(3 downto 0) := (others => '0');
signal lsig_num_in_stbs3 : unsigned(3 downto 0) := (others => '0');
signal lsig_num_in_stbs4 : unsigned(3 downto 0) := (others => '0');
signal lsig_num_in_stbs5 : unsigned(3 downto 0) := (others => '0');
signal lsig_num_in_stbs6 : unsigned(3 downto 0) := (others => '0');
signal lsig_num_in_stbs7 : unsigned(3 downto 0) := (others => '0');
signal lsig_num_in_stbs8 : unsigned(3 downto 0) := (others => '0');
signal lsig_num_in_stbs9 : unsigned(3 downto 0) := (others => '0');
signal lsig_num_in_stbs10 : unsigned(3 downto 0) := (others => '0');
signal lsig_num_in_stbs11 : unsigned(3 downto 0) := (others => '0');
signal lsig_num_in_stbs12 : unsigned(3 downto 0) := (others => '0');
signal lsig_num_in_stbs13 : unsigned(3 downto 0) := (others => '0');
signal lsig_num_in_stbs14 : unsigned(3 downto 0) := (others => '0');
signal lsig_num_in_stbs15 : unsigned(3 downto 0) := (others => '0');
signal lsig_num_in_stbs16 : unsigned(3 downto 0) := (others => '0');
signal lsig_num_total : unsigned(RESULT_BIT_WIDTH-1 downto 0) := (others => '0');
signal lsig_num_total1 : unsigned(RESULT_BIT_WIDTH-1 downto 0) := (others => '0');
signal lsig_new_vect : std_logic_vector (14 downto 0) := (others => '0');
signal lsig_num_new_stbs1 : unsigned(6 downto 0) := (others => '0');
signal lsig_new_vect1 : std_logic_vector (7 downto 0) := (others => '0');
signal lsig_num_new_vect1 : unsigned(3 downto 0) := (others => '0');
begin
lsig_strb_vect1 <= sig_strb_input(7 downto 0); -- make and 8-bit vector
-- for the function call
lsig_strb_vect2 <= sig_strb_input(15 downto 8); -- make and 8-bit vector
-- for the function call
lsig_strb_vect3 <= sig_strb_input(23 downto 16); -- make and 8-bit vector
-- for the function call
lsig_strb_vect4 <= sig_strb_input(31 downto 24); -- make and 8-bit vector
-- for the function call
lsig_strb_vect5 <= sig_strb_input(39 downto 32); -- make and 8-bit vector
-- for the function call
lsig_strb_vect6 <= sig_strb_input(47 downto 40); -- make and 8-bit vector
-- for the function call
lsig_strb_vect7 <= sig_strb_input(55 downto 48); -- make and 8-bit vector
-- for the function call
lsig_strb_vect8 <= sig_strb_input(63 downto 56); -- make and 8-bit vector
-- for the function call
lsig_strb_vect9 <= sig_strb_input(71 downto 64); -- make and 8-bit vector
-- for the function call
lsig_strb_vect10 <= sig_strb_input(79 downto 72); -- make and 8-bit vector
-- for the function call
lsig_strb_vect11 <= sig_strb_input(87 downto 80); -- make and 8-bit vector
-- for the function call
lsig_strb_vect12 <= sig_strb_input(95 downto 88); -- make and 8-bit vector
-- for the function call
lsig_strb_vect13 <= sig_strb_input(103 downto 96); -- make and 8-bit vector
-- for the function call
lsig_strb_vect14 <= sig_strb_input(111 downto 104); -- make and 8-bit vector
-- for the function call
lsig_strb_vect15 <= sig_strb_input(119 downto 112); -- make and 8-bit vector
-- for the function call
lsig_strb_vect16 <= sig_strb_input(127 downto 120); -- make and 8-bit vector
-- for the function call
lsig_num_in_stbs1 <= funct_8bit_stbs_set(lsig_strb_vect1) ;
lsig_num_in_stbs2 <= funct_8bit_stbs_set(lsig_strb_vect2) ;
lsig_num_in_stbs3 <= funct_8bit_stbs_set(lsig_strb_vect3) ;
lsig_num_in_stbs4 <= funct_8bit_stbs_set(lsig_strb_vect4) ;
lsig_num_in_stbs5 <= funct_8bit_stbs_set(lsig_strb_vect5) ;
lsig_num_in_stbs6 <= funct_8bit_stbs_set(lsig_strb_vect6) ;
lsig_num_in_stbs7 <= funct_8bit_stbs_set(lsig_strb_vect7) ;
lsig_num_in_stbs8 <= funct_8bit_stbs_set(lsig_strb_vect8) ;
lsig_num_in_stbs9 <= funct_8bit_stbs_set(lsig_strb_vect9) ;
lsig_num_in_stbs10 <= funct_8bit_stbs_set(lsig_strb_vect10) ;
lsig_num_in_stbs11 <= funct_8bit_stbs_set(lsig_strb_vect11) ;
lsig_num_in_stbs12 <= funct_8bit_stbs_set(lsig_strb_vect12) ;
lsig_num_in_stbs13 <= funct_8bit_stbs_set(lsig_strb_vect13) ;
lsig_num_in_stbs14 <= funct_8bit_stbs_set(lsig_strb_vect14) ;
lsig_num_in_stbs15 <= funct_8bit_stbs_set(lsig_strb_vect15) ;
lsig_num_in_stbs16 <= funct_8bit_stbs_set(lsig_strb_vect16) ;
-- lsig_num_total <= RESIZE(lsig_num_in_stbs1 , RESULT_BIT_WIDTH) +
-- RESIZE(lsig_num_in_stbs2 , RESULT_BIT_WIDTH) +
-- RESIZE(lsig_num_in_stbs3 , RESULT_BIT_WIDTH) +
-- RESIZE(lsig_num_in_stbs4 , RESULT_BIT_WIDTH) +
-- RESIZE(lsig_num_in_stbs5 , RESULT_BIT_WIDTH) +
-- RESIZE(lsig_num_in_stbs6 , RESULT_BIT_WIDTH) +
-- RESIZE(lsig_num_in_stbs7 , RESULT_BIT_WIDTH) +
-- RESIZE(lsig_num_in_stbs8 , RESULT_BIT_WIDTH) +
-- RESIZE(lsig_num_in_stbs9 , RESULT_BIT_WIDTH) +
-- RESIZE(lsig_num_in_stbs10 , RESULT_BIT_WIDTH) +
-- RESIZE(lsig_num_in_stbs11 , RESULT_BIT_WIDTH) +
-- RESIZE(lsig_num_in_stbs12 , RESULT_BIT_WIDTH) +
-- RESIZE(lsig_num_in_stbs13 , RESULT_BIT_WIDTH) +
-- RESIZE(lsig_num_in_stbs14 , RESULT_BIT_WIDTH) +
-- RESIZE(lsig_num_in_stbs15 , RESULT_BIT_WIDTH) +
-- RESIZE(lsig_num_in_stbs16 , RESULT_BIT_WIDTH);
sig_stbs_asserted <= STD_LOGIC_VECTOR(lsig_num_total);
lsig_new_vect <= sig_strb_input (120) & sig_strb_input (112)
& sig_strb_input(104) & sig_strb_input (96) & sig_strb_input (88)
& sig_strb_input(80) & sig_strb_input (72) & sig_strb_input (64)
& sig_strb_input(56) & sig_strb_input (48) & sig_strb_input (40)
& sig_strb_input(32) & sig_strb_input (24) & sig_strb_input (16) & sig_strb_input (8);
lsig_num_new_stbs1 <= funct_1024bit_stbs_set(lsig_new_vect) ;
lsig_num_new_vect1 <= funct_8bit_stbs_set(lsig_new_vect1);
lsig_num_total <= RESIZE(lsig_num_new_stbs1 , RESULT_BIT_WIDTH) +
RESIZE(lsig_num_new_vect1 , RESULT_BIT_WIDTH);
process (lsig_new_vect, sig_strb_input)
begin
case lsig_new_vect is
------- 1 bit --------------------------
when "000000000000000" =>
lsig_new_vect1 <= sig_strb_input (7 downto 0);
when "000000000000001" =>
lsig_new_vect1 <= sig_strb_input (15 downto 8);
------- 2 bit --------------------------
when "000000000000011" =>
lsig_new_vect1 <= sig_strb_input (23 downto 16);
------- 3 bit --------------------------
when "000000000000111" =>
lsig_new_vect1 <= sig_strb_input (31 downto 24);
when "000000000001111" =>
lsig_new_vect1 <= sig_strb_input (39 downto 32);
when "000000000011111" =>
lsig_new_vect1 <= sig_strb_input (47 downto 40);
when "000000000111111" =>
lsig_new_vect1 <= sig_strb_input (55 downto 48);
when "000000001111111" =>
lsig_new_vect1 <= sig_strb_input (63 downto 56);
when "000000011111111" =>
lsig_new_vect1 <= sig_strb_input (71 downto 64);
when "000000111111111" =>
lsig_new_vect1 <= sig_strb_input (79 downto 72);
when "000001111111111" =>
lsig_new_vect1 <= sig_strb_input (87 downto 80);
when "000011111111111" =>
lsig_new_vect1 <= sig_strb_input (95 downto 88);
when "000111111111111" =>
lsig_new_vect1 <= sig_strb_input (103 downto 96);
when "001111111111111" =>
lsig_new_vect1 <= sig_strb_input (111 downto 104);
when "011111111111111" =>
lsig_new_vect1 <= sig_strb_input (119 downto 112);
when "111111111111111" =>
lsig_new_vect1 <= sig_strb_input (127 downto 120);
------- all zeros or sparse strobes ------
When others =>
lsig_new_vect1 <= (others => '0');
end case;
end process;
end generate GEN_128_STRB;
end implementation;
| bsd-2-clause | aeea285490cd40423afc119c9dd075fc | 0.440299 | 4.283509 | false | false | false | false |
okaxaki/vm2413 | PhaseGenerator.vhd | 2 | 3,829 | --
-- PhaseGenerator.vhd
--
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use WORK.VM2413.ALL;
entity PhaseGenerator is port (
clk : in std_logic;
reset : in std_logic;
clkena : in std_logic;
slot : in SLOT_TYPE;
stage : in STAGE_TYPE;
rhythm : in std_logic;
pm : in PM_TYPE;
ml : in ML_TYPE;
blk : in BLK_TYPE;
fnum : in FNUM_TYPE;
key : in std_logic;
noise : out std_logic;
pgout : out PGOUT_TYPE
);
end PhaseGenerator;
architecture RTL of PhaseGenerator is
component PhaseMemory is port (
clk : in std_logic;
reset : in std_logic;
slot : in SLOT_TYPE;
memwr : in std_logic;
memout : out PHASE_TYPE;
memin : in PHASE_TYPE
);
end component;
type ML_TABLE is array (0 to 15) of std_logic_vector(4 downto 0);
constant mltbl : ML_TABLE := (
"00001","00010","00100","00110","01000","01010","01100","01110",
"10000","10010","10100","10100","11000","11000","11110","11110"
);
constant noise14_tbl : std_logic_vector(63 downto 0) :=
"1000100010001000100010001000100100010001000100010001000100010000";
constant noise17_tbl : std_logic_vector(7 downto 0) :=
"00001010";
-- Signals connected to the phase memory.
signal memwr : std_logic;
signal memout, memin : PHASE_TYPE;
-- Counter for pitch modulation;
signal pmcount : std_logic_vector(12 downto 0);
function CONV_PGOUT ( pv : PHASE_TYPE ) return PGOUT_TYPE is
begin
return pv(PHASE_TYPE'high downto PHASE_TYPE'high - PGOUT_TYPE'high);
end;
begin
process(clk, reset)
variable lastkey : std_logic_vector(MAXSLOT-1 downto 0);
variable dphase : PHASE_TYPE;
variable noise14 : std_logic;
variable noise17 : std_logic;
variable pgout_buf : PGOUT_TYPE;
begin
if reset = '1' then
pmcount <= (others=>'0');
memwr <= '0';
lastkey := (others=>'0');
dphase := (others=>'0');
noise14 := '0';
noise17 := '0';
elsif clk'event and clk='1' then if clkena = '1' then
noise <= noise14 xor noise17;
if stage = 0 then
memwr <= '0';
elsif stage = 1 then
-- Wait for memory
elsif stage = 2 then
-- Update pitch LFO counter when slot = 0 and stage = 0 (i.e. increment per 72 clocks)
if slot = 0 then
pmcount <= pmcount + '1';
end if;
-- Delta phase
dphase := (SHL("00000000"&(fnum*mltbl(CONV_INTEGER(ml))),blk)(19 downto 2));
if pm ='1' then
case pmcount(pmcount'high downto pmcount'high-1) is
when "01" =>
dphase := dphase + SHR(dphase,"111");
when "11" =>
dphase := dphase - SHR(dphase,"111");
when others => null;
end case;
end if;
-- Update Phase
if lastkey(slot) = '0' and key = '1' and (rhythm = '0' or (slot /= 14 and slot /= 17)) then
memin <= (others=>'0');
else
memin <= memout + dphase;
end if;
lastkey(slot) := key;
-- Update noise
if slot = 14 then
noise14 := noise14_tbl(CONV_INTEGER(memout(15 downto 10)));
elsif slot = 17 then
noise17 := noise17_tbl(CONV_INTEGER(memout(13 downto 11)));
end if;
pgout_buf := CONV_PGOUT(memout);
pgout <= pgout_buf;
memwr <= '1';
elsif stage = 3 then
memwr <= '0';
end if;
end if; end if;
end process;
MEM : PhaseMemory port map(clk,reset,slot,memwr,memout,memin);
end RTL;
| mit | 452fbec4603ba5820482349fb1867d6d | 0.537999 | 3.764995 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/port_map/rule_004_test_input.fixed.vhd | 1 | 1,035 |
architecture ARCH of ENTITY1 is
begin
U_INST1 : INST1
generic map (
G_GEN_1 => 3,
G_GEN_2 => 4,
G_GEN_3 => 5
)
port map (
PORT_1 => w_port_1,
PORT_2 => w_port_2,
PORT_3 => w_port_3
);
-- Violations below
U_INST1 : INST1
port map (
PORT_1 => w_port_1,
PORT_2 => w_port_2,
PORT_3 => w_port_3
);
U_INST1 : INST1
port map (
PORT_1 => w_port_1-- Comment
);
U_INST1 : INST1
port map (
PORT_1 => w_port_1-- Comment
);
U_INST1 : INST1
port map (
PORT_1 => w_port_1 -- Comment
);
U_INST1 : INST1
port map (
PORT_1 => w_port_1 -- Comment
);
U_INST1 : INST1
port map (
PORT_1 => w_port_1 -- Comment
);-- Comment2
U_INST1 : INST1
port map (
PORT_1 => w_port_1 -- Comment
);-- Comment2
U_INST1 : INST1
port map (
PORT_1 => w_port_1 -- Comment
); -- Comment2
U_INST1 : INST1
port map (
PORT_1 => w_port_1 -- Comment
); -- Comment2
end architecture ARCH;
| gpl-3.0 | 1cf2e7791a014339c61519d74fc26cc5 | 0.498551 | 2.843407 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/instantiation/rule_600_test_input.vhd | 1 | 491 |
architecture ARCH of ENTITY1 is
begin
INST1_INST : INST1
generic map (
G_GEN_1 => 3,
G_GEN_2 => 4,
G_GEN_3 => 5
)
port map (
PORT_1 => w_port_1,
PORT_2 => w_port_2,
PORT_3 => w_port_3
);
-- Violations below
INST1 : INST1
generic map (
G_GEN_1 => 3,
G_GEN_2 => 4,
G_GEN_3 => 5
)
port map (
PORT_1 => w_port_1,
PORT_2 => w_port_2,
PORT_3 => w_port_3
);
end architecture ARCH;
| gpl-3.0 | 912a5816c4a3a028a026c29c7eea96b8 | 0.460285 | 2.789773 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/styles/jcl/timestamp.fixed.vhdl | 1 | 7,357 | --
-- PowerPC 405 APU FCM "timestamp"
-- record a time (counter value) of User Defined Instruction execution
--
-- Marek Peca <[email protected]> 07/2008
-- KRT FEL CVUT http://dce.felk.cvut.cz/
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
library unisim;
use unisim.vcomponents.ramb16;
entity TIMESTAMP is
port (
RESET : in std_logic;
-- APU i/f:
CPMFCMCLK : in std_logic;
APUFCMFLUSH : in std_logic;
APUFCMDECODED : in std_logic;
APUFCMINSTRVALID : in std_logic;
APUFCMDECUDIVALID : in std_logic;
APUFCMDECUDI : in std_logic_vector(2 downto 0);
APUFCMWRITEBACKOK : in std_logic;
APUFCMRADATA : in std_logic_vector(31 downto 0);
APUFCMRBDATA : in std_logic_vector(31 downto 0);
FCMAPUDONE : out std_logic;
FCMAPUSLEEPNOTREADY : out std_logic;
-- BRAM slave i/f:
BRAM_RST_B : in std_logic;
BRAM_CLK_B : in std_logic;
BRAM_EN_B : in std_logic;
BRAM_WEN_B : in std_logic_vector(7 downto 0);
BRAM_ADDR_B : in std_logic_vector(31 downto 0);
BRAM_DOUT_B : in std_logic_vector(63 downto 0);
BRAM_DIN_B : out std_logic_vector(63 downto 0);
-- etc.
DEBUG : out std_logic_vector(3 downto 0)
);
end entity TIMESTAMP;
architecture TIMESTAMP_FCM of TIMESTAMP is
type state_type is (IDLE, WAIT_OPERAND);
-- global
signal clock : std_logic;
-- FSM
signal state, next_state : state_type;
signal counter : std_logic_vector(31 downto 0);
signal addr_counter : std_logic_vector(9 downto 0);
signal save_udi_code : std_logic;
signal udi_code : std_logic_vector(2 downto 0);
-- BRAM
signal wea : std_logic;
signal dia0, dia1 : std_logic_vector(31 downto 0);
signal addra : std_logic_vector(9 downto 0);
begin
clock <= CPMFCMCLK;
dia0 <= counter;
dia1 <= APUFCMRADATA;
addra <= addr_counter;
-- debug(0) <= addr_counter(0);
-- debug(1) <= APUFCMDECUDIVALID;
-- debug(2) <= APUFCMWRITEBACKOK;
-- debug(3) <= wea;
debug(0) <= CPMFCMCLK;
debug(1) <= APUFCMDECODED;
debug(2) <= APUFCMDECUDIVALID;
debug(3) <= APUFCMWRITEBACKOK;
SEQ : process () is
begin
wait until clock'event and clock = '1';
if (RESET = '1') then
state <= IDLE;
counter <= X"00000000";
addr_counter <= "0000000000";
else
if (save_udi_code = '1') then
udi_code <= APUFCMDECUDI;
end if;
state <= next_state;
counter <= counter + 1;
if (wea = '1') then
addr_counter <= addr_counter + 1;
end if;
end if;
end process SEQ;
COMB_APU : process (state, udi_code,
APUFCMFLUSH, APUFCMINSTRVALID, APUFCMDECUDIVALID,
APUFCMWRITEBACKOK, APUFCMDECUDI) is
begin
save_udi_code <= '0';
wea <= '0';
FCMAPUSLEEPNOTREADY <= '0';
FCMAPUDONE <= '0';
case state is
when IDLE =>
if (APUFCMFLUSH = '1') then
next_state <= IDLE;
elsif ((APUFCMINSTRVALID and APUFCMDECODED and APUFCMDECUDIVALID) = '1') then
if (APUFCMWRITEBACKOK = '1') then
-- operands are ready
if (APUFCMDECUDI = "000") then
wea <= '1';
FCMAPUDONE <= '1';
end if;
else
save_udi_code <= '1';
next_state <= WAIT_OPERAND;
end if;
end if;
when WAIT_OPERAND =>
FCMAPUSLEEPNOTREADY <= '1';
if (APUFCMFLUSH = '1') then
next_state <= IDLE;
elsif (APUFCMWRITEBACKOK = '1') then
if (udi_code = "000") then
wea <= '1';
FCMAPUDONE <= '1';
end if;
end if;
next_state <= IDLE;
end case;
end process COMB_APU;
-- comb_action: process (action, action_udi_code)
-- -- following block causes "gated clock" warning
-- -- after FCMAPUDONE removal, everything seems to be OK
-- -- what is strange: the same construct above at FCMAPUSLEEPNOTREADY
-- -- causes no warning
-- begin
-- wea <= '0';
-- FCMAPUDONE <= '0';
-- if (action = '1') and (action_udi_code = "111") then
-- wea <= '1';
-- FCMAPUDONE <= '1';
-- end if;
-- end process;
BRAM0 : RAMB16
generic map (
INVERT_CLK_DOA_REG => false,
INVERT_CLK_DOB_REG => false,
RAM_EXTENSION_A => "NONE",
RAM_EXTENSION_B => "NONE",
READ_WIDTH_A => 36,
READ_WIDTH_B => 36,
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
WRITE_WIDTH_A => 36,
WRITE_WIDTH_B => 36
)
port map (
DOA => open,
DOB => BRAM_DIN_B(31 downto 0),
ADDRA(14 downto 5) => addra,
ADDRA(4 downto 0) => "00000",
ADDRB(14 downto 2) => BRAM_ADDR_B(12 downto 0),
ADDRB(1 downto 0) => "00",
CASCADEINA => '0',
CASCADEINB => '0',
CLKA => clock,
CLKB => BRAM_CLK_B,
DIA => dia0,
DIB => BRAM_DOUT_B(31 downto 0),
DIPA => "0000",
DIPB => "0000",
ENA => '1',
ENB => BRAM_EN_B,
REGCEA => '1',
REGCEB => '1',
SSRA => '0',
SSRB => BRAM_RST_B,
WEA(0) => wea,
WEA(1) => wea,
WEA(2) => wea,
WEA(3) => wea,
WEB => BRAM_WEN_B(3 downto 0)
);
BRAM1 : RAMB16
generic map (
INVERT_CLK_DOA_REG => false,
INVERT_CLK_DOB_REG => false,
RAM_EXTENSION_A => "NONE",
RAM_EXTENSION_B => "NONE",
READ_WIDTH_A => 36,
READ_WIDTH_B => 36,
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
WRITE_WIDTH_A => 36,
WRITE_WIDTH_B => 36
)
port map (
DOA => open,
DOB => BRAM_DIN_B(63 downto 32),
ADDRA(14 downto 5) => addra,
ADDRA(4 downto 0) => "00000",
ADDRB(14 downto 2) => BRAM_ADDR_B(12 downto 0),
ADDRB(1 downto 0) => "00",
CASCADEINA => '0',
CASCADEINB => '0',
CLKA => clock,
CLKB => BRAM_CLK_B,
DIA => dia1,
DIB => BRAM_DOUT_B(63 downto 32),
DIPA => "0000",
DIPB => "0000",
ENA => '1',
ENB => BRAM_EN_B,
REGCEA => '1',
REGCEB => '1',
SSRA => '0',
SSRB => BRAM_RST_B,
WEA(0) => wea,
WEA(1) => wea,
WEA(2) => wea,
WEA(3) => wea,
WEB => BRAM_WEN_B(7 downto 4)
);
end architecture TIMESTAMP_FCM;
-- EOF
| gpl-3.0 | 0728cc32a7657649973b520b8d848a20 | 0.47465 | 3.636678 | false | false | false | false |
cwilkens/ecen4024-microphone-array | microphone-array/microphone-array.srcs/sources_1/ip/cascaded_integrator_comb/cic_compiler_v4_0/hdl/cic_compiler_v4_0_pkg.vhd | 1 | 117,273 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
LB8OwMyatDVYbiiej79l59/glqj2v0s7DnecKZfl6zoN/El++j27sveloSbIPMxAjT0yzZYNrSnB
bLPRGmR0dQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
g0i7yBrRqdFtbhaJi3LKBEeDvXaDY1dB/rLJukJg994dtEfRsAlIFcNZoCLApS2t2ca3ritlnV5A
BX/INiVNk1A8RC1rGw9tso4z7LnREIOXSzzEXMa67ghq5vJBMtcO7orVPiYYWQ7WjNhXGOPfZ+oH
e+yUgmxp7X01Am618Xs=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
lSwXAdfeTfl8TfYZshyH8tEt1zD6vVHU+DSEx547OwzyoANoHFpZotXJ87dxCEHnQKJ+tPwYq38F
84PybPhxX4pW8rmaKGjQjMQ0l3aN+/QFwxkfUr9Ima2G2Kkv81MObelrQFmZHBeCe+bhaMxKt780
dDQyWraXBNjiKdS4cQGvT9i3vtPgeJi0YMWHuYLbq0VRyHvEo1b5NfsTky7ykB5RCh+NvZVkWHQg
bo30ev4Ifvy3uRNiiST2jA0tlE5e9dWfHT3nvwLkfkL8nE5OSi324ts30VH5tik5AuFuDQFOqEpf
M+n4pOhUOHaGx8TNu2ZzqGFpuc9EWImdRmVqPw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
lCtWfHY+nFrN2SRPls/wrZ+n7qCHIxJ6cML2tGYFx11y/Uesr7sSY/xTXMq/urWcLpayySgeAJ0G
LIy9xioyNvYZYNgr45jvyZUeaRK0k4FlacYDu/PLOxliPmkqst38+keKkgoz48jReDYk3PgSKgE4
LtAtmsjDVJ0n8SElamk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
PNWCgH4SuvdI0+mwPdzPBTfK4slEZOgx3b46v1ntCxam+jhazuVPibby5CP6NAoNM7YpFWaOq42P
qC3tJF6UMkB/rMBijtaOWImKJHX/CesG9I37KMbopWv8A2QmzX4v4e+X45WtwGXAChzee3VU8xzY
4tXhvyoOeZAthIIiZl6GaUCpLuNWSzsEZBo7+5dDqomIwfNzXJQxguXGa2bRBWO4tNvafvkc1TNZ
zNS2K4ic9fMdPUoKGdFFmEvMzjd8ZX0ADVDCUH8Ogn05yzjHPAN2Yi2nbSn+H0VMAZyFp3ghVqoj
M7BkK0zST/Bwp53XpoWdmzh3MMHpt4Z7THyW5Q==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 85072)
`protect data_block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`protect end_protected
| mit | e4500315d0336cc5a2912329b4bf13c7 | 0.953894 | 1.817256 | false | false | false | false |
spzSource/MPFSM.RegFile.Sort | MPFSM_RegFile_Sort/MPFSM_RegFile_Sort_Design/src/Commands.vhd | 1 | 678 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
package commands is
subtype op_code is std_logic_vector(3 downto 0);
constant ADD_OP : op_code := "0010";
constant SUB_OP : op_code := "0011";
constant HALT_OP : op_code := "0100";
constant JZ_OP : op_code := "0101";
constant JNSB_OP : op_code := "0110";
constant LOAD_FROM_INEDEX_TO_ADDR_OP : op_code := "0000"; -- memory[p1] <= memory[memory[p2]]
constant LOAD_FROM_ADDR_TO_INDEX_OP : op_code := "0001"; -- memory[memory[p1]] <= memory[p2]
end commands; | mit | b17d1da6c9e56fb7fd575e3f8986831e | 0.59882 | 2.885106 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/generic/rule_009_test_input.vhd | 1 | 412 |
entity FIFO is
generic (
G_WIDTH : integer := 256;
G_DEPTH : integer := 32
);
port (
I_PORT1 : in std_logic;
I_PORT2 : out std_logic
);
end entity FIFO;
-- Violation below
entity FIFO is
GENERIC(g_size : integer := 10;
g_width : integer := 256;
g_depth : integer := 32
);
PORT (
i_port1 : in std_logic := '0';
i_port2 : out std_logic :='1'
);
end entity FIFO;
| gpl-3.0 | 04aa82021b6f2e741398e085ec92d646 | 0.558252 | 3.051852 | false | false | false | false |
NicoLedwith/Dr.AluOpysel | RAT_MCU/RegisterFile.vhd | 1 | 1,000 | -- Comments and stuff
-- end comments
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity RegisterFile is
Port ( DIN : in STD_LOGIC_VECTOR (7 downto 0);
DX_OUT : out STD_LOGIC_VECTOR (7 downto 0);
DY_OUT : out STD_LOGIC_VECTOR (7 downto 0);
ADRX : in STD_LOGIC_VECTOR (4 downto 0);
ADRY : in STD_LOGIC_VECTOR (4 downto 0);
RF_OE : in STD_LOGIC;
RF_WR : in STD_LOGIC;
CLK : in STD_LOGIC);
end RegisterFile;
architecture Behavioral of RegisterFile is
TYPE memory is array (0 to 31) of std_logic_vector(7 downto 0);
SIGNAL REG: memory := (others=>(others=>'0'));
begin
process(clk)
begin
if (rising_edge(clk)) then
if (RF_WR = '1') then
REG(conv_integer(ADRX)) <= DIN;
end if;
end if;
end process;
DX_OUT <= REG(conv_integer(ADRX)) when RF_OE='1' else (others=>'Z');
DY_OUT <= REG(conv_integer(ADRY));
end Behavioral;
| mit | a1a9899036ae53759dc8d4b2d493aaf0 | 0.591 | 3.174603 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/constant/rule_016_test_input_others.vhd | 1 | 373 |
architecture rtl of fifo is
constant AVMM_SLAVE_NULL : t_avmm_slave :=
(
(others => '0'),
'0',
'0'
);
constant cons1 : t_type :=
((others => '0'),(1 => '0', others => '1'),(others => '0'));
constant cons2 : t_type :=
((others => (valid => '0', data => (others => '0'))), (others => (1 => '0', (others => '0'))));
begin
end architecture rtl;
| gpl-3.0 | 2985e8e97ff47ce0b3150f02faeb5489 | 0.490617 | 3.03252 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/vhdlFile/concurrent_selected_signal_assignment/classification_test_input.vhd | 1 | 1,016 |
architecture RTL of FIFO is
begin
-- Basic version
with sel select
out1 <= a when "00",
b when "01",
c when "10",
d when others;
--with guarded keyword
with sel select
out1 <= guarded a when "00",
b when "01",
c when "10",
d when others;
--with transport delay mechanism
with sel select
out1 <= transport a when "00",
b when "01",
c when "10",
d when others;
--with inertial delay mechanism
with sel select
out1 <= inertial a when "00",
b when "01",
c when "10",
d when others;
--with reject inertial delay mechanism
with sel select
out1 <= reject 10 ns inertial a when "00",
b when "01",
c when "10",
d when others;
end architecture RTL;
| gpl-3.0 | 1921c132639f1a5b28c02840fad85913 | 0.440945 | 5.054726 | false | false | false | false |
cwilkens/ecen4024-microphone-array | microphone-array/microphone-array.srcs/sources_1/ip/lp_FIR/fir_compiler_v7_1/hdl/delay.vhd | 2 | 27,726 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
XqJQaTuJKdlub4yCUiIhzpjkPQ+7CXZJZgjIuNSO3cJcgWtP9xabzoj0VU51IYOEvHYhf/Z4mkBM
c2MJ8uzspQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
UamE5dAG5MQ57cnvzbjv/nbemByPylwTykMfsMgfxnhu8KYynoWoCuMrOdf8j0bj+WgnxGj5J6Xl
fEGwcU8q1nidn/W4loeFcDGryqn4WxgzPM3Pp+wjagldljTHyAiZv501E1fbakm3HMgBBPbx4ZxO
nh0VGFkqOTg0EJC/vp8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
c/Iu9mELOaUlpKZt99oi/7RufIXVe9iqOjU76vF2w74mcyOGsO/Xhtl7ruhjZy/+E4/LVWwA9CLq
OsyjZieTHtF5xwGDW5kECgeNUIkJAcg1eIVJhP0zEM94OgxqbwIwvz3ZITfPC+bJv/YRVdfn4eGR
NeJibXKQE/L7CH4lAkM1YEyotl85T+PQ1APGJLs8SzrRD8qiOljliNjAAEQfYfMBFU0XuiS6a4n0
z6MPYENAXStgJEse5tPi8tVLosdVEzcoty37s7Nst4lc6/jT6nVZu10mCN6C/JSSAIavPx5f+kac
TGOysI3H4IbP7or5YnoH5S/znz2Fy/tYN/6LjA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
QzooJCNMdqnSUTKAKsQg2ex9VIY5dLW/YgIEPVErzvG8t+uFIkOZqq19S1TV1IMPEvJSjDuXAiRg
Ru03UHSBacnkyxVTdBMCYRDAJWYiwpCUcA4xrRwMCPY+gDrEnlhETP9r47JOFwlxbFJ8p1yispIc
Qu35Ye7NmOqCHA9KngI=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
icGX5jW9M9UfH4Fbpo33aLOWM0ZIbNPJssQ+4Y15eoQmg/DtPKZf9hNUGGq2Bq1dHM2ZZ9VnftkE
WuFAO2aRSlRLfArJ2jxgVG07mnhBj5ivLS833132BgFC23sXhQMbSCbJ12C4kDg1OVZeEiEilO3/
VZ34TJoMxDAY2BuTt7VoVulWOrpkaM6eEqAYdOl59109jD6OWOx+higBE2JvIZaVh908dKjcP1GB
1jG0tZv2t0WVog6PeJnVTOYcx6MhYIxha0YDsb7zEntLlA081bq9M16MEU3n002THLez0XxmLnnX
FvaQp+ZYkpXasytNLTF8TE3Dla+hp5m6CbQQoQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 18784)
`protect data_block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`protect end_protected
| mit | d21d128e2910f87df3444097a8880911 | 0.946404 | 1.8484 | false | false | false | false |
tdaede/daala_zynq | daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_sfifo_autord.vhd | 1 | 23,567 | -------------------------------------------------------------------------------
-- axi_datamover_sfifo_autord.vhd - entity/architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_datamover_sfifo_autord.vhd
-- Version: initial
-- Description:
-- This file contains the logic to generate a CoreGen call to create a
-- synchronous FIFO as part of the synthesis process of XST. This eliminates
-- the need for multiple fixed netlists for various sizes and widths of FIFOs.
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- -- axi_datamover_sfifo_autord.vhd
-- |
-- |--- sync_fifo_fg (FIFO Generator wrapper)
--
-------------------------------------------------------------------------------
-- Revision History:
--
--
-- Author: DET
--
-- History:
-- DET 04/19/2011 Initial Version for EDK 13.3
--
-- DET 9/1/2011 Initial
-- ~~~~~~
-- - Per a Lint warning, added the port Almost_full to the sync_fifo_fg
-- instance.
-- ^^^^^^
--
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library proc_common_v4_0;
use proc_common_v4_0.sync_fifo_fg;
-------------------------------------------------------------------------------
entity axi_datamover_sfifo_autord is
generic (
C_DWIDTH : integer := 32;
-- Sets the width of the FIFO Data
C_DEPTH : integer := 128;
-- Sets the depth of the FIFO
C_DATA_CNT_WIDTH : integer := 8;
-- Sets the width of the FIFO Data Count output
C_NEED_ALMOST_EMPTY : Integer range 0 to 1 := 0;
-- Indicates the need for an almost empty flag from the internal FIFO
C_NEED_ALMOST_FULL : Integer range 0 to 1 := 0;
-- Indicates the need for an almost full flag from the internal FIFO
C_USE_BLKMEM : Integer range 0 to 1 := 1;
-- Sets the type of memory to use for the FIFO
-- 0 = Distributed Logic
-- 1 = Block Ram
C_FAMILY : String := "virtex7"
-- Specifies the target FPGA Family
);
port (
-- FIFO Inputs ------------------------------------------------------------------
SFIFO_Sinit : In std_logic; --
SFIFO_Clk : In std_logic; --
SFIFO_Wr_en : In std_logic; --
SFIFO_Din : In std_logic_vector(C_DWIDTH-1 downto 0); --
SFIFO_Rd_en : In std_logic; --
SFIFO_Clr_Rd_Data_Valid : In std_logic; --
--------------------------------------------------------------------------------
-- FIFO Outputs -----------------------------------------------------------------
SFIFO_DValid : Out std_logic; --
SFIFO_Dout : Out std_logic_vector(C_DWIDTH-1 downto 0); --
SFIFO_Full : Out std_logic; --
SFIFO_Empty : Out std_logic; --
SFIFO_Almost_full : Out std_logic; --
SFIFO_Almost_empty : Out std_logic; --
SFIFO_Rd_count : Out std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0); --
SFIFO_Rd_count_minus1 : Out std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0); --
SFIFO_Wr_count : Out std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0); --
SFIFO_Rd_ack : Out std_logic --
--------------------------------------------------------------------------------
);
end entity axi_datamover_sfifo_autord;
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
architecture imp of axi_datamover_sfifo_autord is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes";
-- Constant declarations
-- none
-- Signal declarations
signal write_data_lil_end : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0');
signal read_data_lil_end : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0');
signal raw_data_cnt_lil_end : std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0) := (others => '0');
signal raw_data_count_int : natural := 0;
signal raw_data_count_corr : std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0) := (others => '0');
signal raw_data_count_corr_minus1 : std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0) := (others => '0');
Signal corrected_empty : std_logic := '0';
Signal corrected_almost_empty : std_logic := '0';
Signal sig_SFIFO_empty : std_logic := '0';
-- backend fifo read ack sample and hold
Signal sig_rddata_valid : std_logic := '0';
Signal hold_ff_q : std_logic := '0';
Signal ored_ack_ff_reset : std_logic := '0';
Signal autoread : std_logic := '0';
Signal sig_sfifo_rdack : std_logic := '0';
Signal fifo_read_enable : std_logic := '0';
begin
-- Bit ordering translations
write_data_lil_end <= SFIFO_Din; -- translate from Big Endian to little
-- endian.
SFIFO_Dout <= read_data_lil_end; -- translate from Little Endian to
-- Big endian.
-- Other port usages and assignments
SFIFO_Rd_ack <= sig_sfifo_rdack;
SFIFO_Almost_empty <= corrected_almost_empty;
SFIFO_Empty <= corrected_empty;
SFIFO_Wr_count <= raw_data_cnt_lil_end;
SFIFO_Rd_count <= raw_data_count_corr;
SFIFO_Rd_count_minus1 <= raw_data_count_corr_minus1;
SFIFO_DValid <= sig_rddata_valid; -- Output data valid indicator
NON_BLK_MEM : if (C_USE_BLKMEM = 0)
generate
fifo_read_enable <= SFIFO_Rd_en or autoread;
------------------------------------------------------------
-- Instance: I_SYNC_FIFOGEN_FIFO
--
-- Description:
-- Instance for the synchronous fifo from proc common.
--
------------------------------------------------------------
I_SYNC_FIFOGEN_FIFO : entity proc_common_v4_0.sync_fifo_fg
generic map(
C_FAMILY => C_FAMILY, -- requred for FIFO Gen
C_DCOUNT_WIDTH => C_DATA_CNT_WIDTH,
C_ENABLE_RLOCS => 0,
C_HAS_DCOUNT => 1,
C_HAS_RD_ACK => 1,
C_HAS_RD_ERR => 0,
C_HAS_WR_ACK => 1,
C_HAS_WR_ERR => 0,
C_MEMORY_TYPE => C_USE_BLKMEM,
C_PORTS_DIFFER => 0,
C_RD_ACK_LOW => 0,
C_READ_DATA_WIDTH => C_DWIDTH,
C_READ_DEPTH => C_DEPTH,
C_RD_ERR_LOW => 0,
C_WR_ACK_LOW => 0,
C_WR_ERR_LOW => 0,
C_WRITE_DATA_WIDTH => C_DWIDTH,
C_WRITE_DEPTH => C_DEPTH
-- C_PRELOAD_REGS => 0, -- 1 = first word fall through
-- C_PRELOAD_LATENCY => 1 -- 0 = first word fall through
-- C_USE_EMBEDDED_REG => 1 -- 0 ;
)
port map(
Clk => SFIFO_Clk,
Sinit => SFIFO_Sinit,
Din => write_data_lil_end,
Wr_en => SFIFO_Wr_en,
Rd_en => fifo_read_enable,
Dout => read_data_lil_end,
Almost_full => open,
Full => SFIFO_Full,
Empty => sig_SFIFO_empty,
Rd_ack => sig_sfifo_rdack,
Wr_ack => open,
Rd_err => open,
Wr_err => open,
Data_count => raw_data_cnt_lil_end
);
end generate NON_BLK_MEM;
BLK_MEM : if (C_USE_BLKMEM = 1)
generate
fifo_read_enable <= SFIFO_Rd_en; -- or autoread;
------------------------------------------------------------
-- Instance: I_SYNC_FIFOGEN_FIFO
--
-- Description:
-- Instance for the synchronous fifo from proc common.
--
------------------------------------------------------------
I_SYNC_FIFOGEN_FIFO : entity proc_common_v4_0.sync_fifo_fg
generic map(
C_FAMILY => C_FAMILY, -- requred for FIFO Gen
C_DCOUNT_WIDTH => C_DATA_CNT_WIDTH,
C_ENABLE_RLOCS => 0,
C_HAS_DCOUNT => 1,
C_HAS_RD_ACK => 1,
C_HAS_RD_ERR => 0,
C_HAS_WR_ACK => 1,
C_HAS_WR_ERR => 0,
C_MEMORY_TYPE => C_USE_BLKMEM,
C_PORTS_DIFFER => 0,
C_RD_ACK_LOW => 0,
C_READ_DATA_WIDTH => C_DWIDTH,
C_READ_DEPTH => C_DEPTH,
C_RD_ERR_LOW => 0,
C_WR_ACK_LOW => 0,
C_WR_ERR_LOW => 0,
C_WRITE_DATA_WIDTH => C_DWIDTH,
C_WRITE_DEPTH => C_DEPTH,
C_PRELOAD_REGS => 1, -- 1 = first word fall through
C_PRELOAD_LATENCY => 0, -- 0 = first word fall through
C_USE_EMBEDDED_REG => 1 -- 0 ;
)
port map(
Clk => SFIFO_Clk,
Sinit => SFIFO_Sinit,
Din => write_data_lil_end,
Wr_en => SFIFO_Wr_en,
Rd_en => fifo_read_enable,
Dout => read_data_lil_end,
Almost_full => open,
Full => SFIFO_Full,
Empty => sig_SFIFO_empty,
Rd_ack => sig_sfifo_rdack,
Wr_ack => open,
Rd_err => open,
Wr_err => open,
Data_count => raw_data_cnt_lil_end
);
end generate BLK_MEM;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Read Ack assert & hold logic Needed because....
-------------------------------------------------------------------------------
-- 1) The CoreGen Sync FIFO has to be read once to get valid
-- data to the read data port.
-- 2) The Read ack from the fifo is only asserted for 1 clock.
-- 3) A signal is needed that indicates valid data is at the read
-- port of the FIFO and has not yet been used. This signal needs
-- to be held until the next read operation occurs or a clear
-- signal is received.
ored_ack_ff_reset <= fifo_read_enable or
SFIFO_Sinit or
SFIFO_Clr_Rd_Data_Valid;
sig_rddata_valid <= hold_ff_q or
sig_sfifo_rdack;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_ACK_HOLD_FLOP
--
-- Process Description:
-- Flop for registering the hold flag
--
-------------------------------------------------------------
IMP_ACK_HOLD_FLOP : process (SFIFO_Clk)
begin
if (SFIFO_Clk'event and SFIFO_Clk = '1') then
if (ored_ack_ff_reset = '1') then
hold_ff_q <= '0';
else
hold_ff_q <= sig_rddata_valid;
end if;
end if;
end process IMP_ACK_HOLD_FLOP;
-- generate auto-read enable. This keeps fresh data at the output
-- of the FIFO whenever it is available.
autoread <= '1' -- create a read strobe when the
when (sig_rddata_valid = '0' and -- output data is NOT valid
sig_SFIFO_empty = '0') -- and the FIFO is not empty
Else '0';
raw_data_count_int <= CONV_INTEGER(raw_data_cnt_lil_end);
------------------------------------------------------------
-- If Generate
--
-- Label: INCLUDE_ALMOST_EMPTY
--
-- If Generate Description:
-- This IFGen corrects the FIFO Read Count output for the
-- auto read function and includes the generation of the
-- Almost_Empty flag.
--
------------------------------------------------------------
INCLUDE_ALMOST_EMPTY : if (C_NEED_ALMOST_EMPTY = 1) generate
-- local signals
Signal raw_data_count_int_corr : integer := 0;
Signal raw_data_count_int_corr_minus1 : integer := 0;
begin
-------------------------------------------------------------
-- Combinational Process
--
-- Label: CORRECT_RD_CNT_IAE
--
-- Process Description:
-- This process corrects the FIFO Read Count output for the
-- auto read function and includes the generation of the
-- Almost_Empty flag.
--
-------------------------------------------------------------
CORRECT_RD_CNT_IAE : process (sig_rddata_valid,
sig_SFIFO_empty,
raw_data_count_int)
begin
if (sig_rddata_valid = '0') then
raw_data_count_int_corr <= 0;
raw_data_count_int_corr_minus1 <= 0;
corrected_empty <= '1';
corrected_almost_empty <= '0';
elsif (sig_SFIFO_empty = '1') then -- rddata valid and fifo empty
raw_data_count_int_corr <= 1;
raw_data_count_int_corr_minus1 <= 0;
corrected_empty <= '0';
corrected_almost_empty <= '1';
Elsif (raw_data_count_int = 1) Then -- rddata valid and fifo almost empty
raw_data_count_int_corr <= 2;
raw_data_count_int_corr_minus1 <= 1;
corrected_empty <= '0';
corrected_almost_empty <= '0';
else -- rddata valid and modify rd count from FIFO
raw_data_count_int_corr <= raw_data_count_int+1;
raw_data_count_int_corr_minus1 <= raw_data_count_int;
corrected_empty <= '0';
corrected_almost_empty <= '0';
end if;
end process CORRECT_RD_CNT_IAE;
raw_data_count_corr <= CONV_STD_LOGIC_VECTOR(raw_data_count_int_corr,
C_DATA_CNT_WIDTH);
raw_data_count_corr_minus1 <= CONV_STD_LOGIC_VECTOR(raw_data_count_int_corr_minus1,
C_DATA_CNT_WIDTH);
end generate INCLUDE_ALMOST_EMPTY;
------------------------------------------------------------
-- If Generate
--
-- Label: OMIT_ALMOST_EMPTY
--
-- If Generate Description:
-- This process corrects the FIFO Read Count output for the
-- auto read function and omits the generation of the
-- Almost_Empty flag.
--
------------------------------------------------------------
OMIT_ALMOST_EMPTY : if (C_NEED_ALMOST_EMPTY = 0) generate
-- local signals
Signal raw_data_count_int_corr : integer := 0;
begin
corrected_almost_empty <= '0'; -- always low
-------------------------------------------------------------
-- Combinational Process
--
-- Label: CORRECT_RD_CNT
--
-- Process Description:
-- This process corrects the FIFO Read Count output for the
-- auto read function and omits the generation of the
-- Almost_Empty flag.
--
-------------------------------------------------------------
CORRECT_RD_CNT : process (sig_rddata_valid,
sig_SFIFO_empty,
raw_data_count_int)
begin
if (sig_rddata_valid = '0') then
raw_data_count_int_corr <= 0;
corrected_empty <= '1';
elsif (sig_SFIFO_empty = '1') then -- rddata valid and fifo empty
raw_data_count_int_corr <= 1;
corrected_empty <= '0';
Elsif (raw_data_count_int = 1) Then -- rddata valid and fifo almost empty
raw_data_count_int_corr <= 2;
corrected_empty <= '0';
else -- rddata valid and modify rd count from FIFO
raw_data_count_int_corr <= raw_data_count_int+1;
corrected_empty <= '0';
end if;
end process CORRECT_RD_CNT;
raw_data_count_corr <= CONV_STD_LOGIC_VECTOR(raw_data_count_int_corr,
C_DATA_CNT_WIDTH);
end generate OMIT_ALMOST_EMPTY;
------------------------------------------------------------
-- If Generate
--
-- Label: INCLUDE_ALMOST_FULL
--
-- If Generate Description:
-- This IfGen Includes the generation of the Amost_Full flag.
--
--
------------------------------------------------------------
INCLUDE_ALMOST_FULL : if (C_NEED_ALMOST_FULL = 1) generate
-- Local Constants
Constant ALMOST_FULL_VALUE : integer := 2**(C_DATA_CNT_WIDTH-1)-1;
begin
SFIFO_Almost_full <= '1'
When raw_data_count_int = ALMOST_FULL_VALUE
Else '0';
end generate INCLUDE_ALMOST_FULL;
------------------------------------------------------------
-- If Generate
--
-- Label: OMIT_ALMOST_FULL
--
-- If Generate Description:
-- This IfGen Omits the generation of the Amost_Full flag.
--
--
------------------------------------------------------------
OMIT_ALMOST_FULL : if (C_NEED_ALMOST_FULL = 0) generate
begin
SFIFO_Almost_full <= '0'; -- always low
end generate OMIT_ALMOST_FULL;
end imp;
| bsd-2-clause | 22658deac92723b665091881e695202f | 0.412059 | 4.911838 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/instantiation/rule_023_test_input.fixed.vhd | 1 | 442 |
architecture ARCH of ENTITY1 is
begin
U_INST1 : INST1
generic map (
-- Keep Comment
G_GEN_1 => 3,
-- Keep Comment
G_GEN_2 => 4,
-- Keep Comment
G_GEN_3 => 5
-- Keep Comment
)
port map (
-- Keep Comment
PORT_1 => w_port_1,
-- Keep Comment
PORT_2 => w_port_2,
-- Keep Comment
PORT_3 => w_port_3
-- Keep Comment
);
end architecture ARCH;
| gpl-3.0 | 3490e19650c675218325c625efc0a9d7 | 0.493213 | 3.480315 | false | false | false | false |
Yarr/Yarr-fw | syn/kintex7/rd53_ohio_4x4_1280Mbps/board_pkg.vhd | 1 | 952 | library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
library work;
use work.hw_type_pkg.all;
package board_pkg is
constant c_FW_IDENT : std_logic_vector(31 downto 0) := c_HW_IDENT & x"030241";
constant c_TX_ENCODING : string := "OSERDES";
constant c_TX_CHANNELS : integer := 4;
constant c_RX_CHANNELS : integer := 4;
constant c_FE_TYPE : string := "RD53";
constant c_RX_NUM_LANES : integer := 4;
constant c_RX_SPEED : string := "1280";
constant c_TX_IDLE_WORD : std_logic_vector(31 downto 0) := x"AAAAAAAA";
constant c_TX_SYNC_WORD : std_logic_vector(31 downto 0) := x"817e817e";
constant c_TX_SYNC_INTERVAL : unsigned(7 downto 0) := to_unsigned(16,8);
constant c_TX_AZ_WORD : std_logic_vector(31 downto 0) := x"00000000";
constant c_TX_AZ_INTERVAL : unsigned(15 downto 0) := to_unsigned(500,16);
constant c_TX_40_DIVIDER : unsigned(3 downto 0) := to_unsigned(4,4);
end board_pkg;
| gpl-3.0 | 9e5c811a0e26b609651b47fabb3bd554 | 0.664916 | 3.003155 | false | false | false | false |
tdaede/daala_zynq | daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/proc_common_v4_0/hdl/src/vhdl/blk_mem_gen_wrapper.vhd | 2 | 32,416 | -------------------------------------------------------------------------------
-- $Id: blk_mem_gen_wrapper.vhd,v 1.1.2.69 2010/12/17 19:23:25 dougt Exp $
-------------------------------------------------------------------------------
-- blk_mem_gen_wrapper.vhd - entity/architecture pair
-------------------------------------------------------------------------------
--
-- ****************************************************************************
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2008, 2009. 2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ****************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: blk_mem_gen_wrapper.vhd
-- Version: v1.00a
-- Description:
-- This wrapper file performs the direct call to Block Memory Generator
-- during design implementation
-------------------------------------------------------------------------------
--
-------------------------------------------------------------------------------
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- blk_mem_gen_wrapper.vhd
-- |
-- |-- blk_mem_gen_v2_7
-- |
-- |-- blk_mem_gen_v6_2
--
-------------------------------------------------------------------------------
-- Revision History:
--
--
-- Author: MW
-- Revision: $Revision: 1.1.2.69 $
-- Date: $7/11/2008$
--
-- History:
-- MW 7/11/2008 Initial Version
-- MSH 2/26/2009 Add new blk_mem_gen version
--
-- DET 4/8/2009 EDK 11.2
-- ~~~~~~
-- - Added blk_mem_gen_v3_2 instance callout
-- ^^^^^^
--
-- DET 2/9/2010 for EDK 12.1
-- ~~~~~~
-- - Updated the the Blk Mem Gen version from blk_mem_gen_v3_2
-- to blk_mem_gen_v3_3 (for the S6/V6 IfGen case)
-- ^^^^^^
--
-- DET 3/10/2010 For EDK 12.x
-- ~~~~~~
-- -- Per CR553307
-- - Updated the the Blk Mem Gen version from blk_mem_gen_v3_3
-- to blk_mem_gen_v4_1 (for the S6/V6 IfGen case)
-- ^^^^^^
--
-- DET 3/17/2010 Initial
-- ~~~~~~
-- -- Per CR554253
-- - Incorporated changes to comment out FLOP_DELAY parameter from the
-- blk_mem_gen_v4_1 instance. This parameter is on the XilinxCoreLib
-- model for blk_mem_gen_v4_1 but is declared as a TIME type for the
-- vhdl version and an integer for the verilog.
-- ^^^^^^
--
-- DET 6/18/2010 EDK_MS2
-- ~~~~~~
-- -- Per IR565916
-- - Added constants FAM_IS_V6_OR_S6 and FAM_IS_NOT_V6_OR_S6.
-- - Added derivative part type checks for S6 or V6.
-- ^^^^^^
--
-- DET 8/27/2010 EDK 12.4
-- ~~~~~~
-- -- Per CR573867
-- - Added the the Blk Mem Gen version blk_mem_gen_v4_3 for the S6/V6
-- and later build case.
-- - Updated method for derivative part support using new family
-- aliasing function in family_support.vhd.
-- - Incorporated an implementation to deal with unsupported FPGA
-- parts passed in on the C_FAMILY parameter.
-- ^^^^^^
--
-- DET 10/4/2010 EDK 13.1
-- ~~~~~~
-- - Updated to blk_mem_gen V5.2.
-- ^^^^^^
--
-- DET 12/8/2010 EDK 13.1
-- ~~~~~~
-- -- Per CR586109
-- - Updated to blk_mem_gen V6.1
-- ^^^^^^
--
-- DET 12/17/2010 EDK 13.1
-- ~~~~~~
-- -- Per CR587494
-- - Regressed back to blk_mem_gen V5.2
-- ^^^^^^
--
-- DET 3/2/2011 EDk 13.2
-- ~~~~~~
-- -- Per CR595473
-- - Update to use blk_mem_gen_v6_2 for s6, v6, and later.
-- ^^^^^^
--
-- DET 3/3/2011 EDK 13.2
-- ~~~~~~
-- - Removed C_ELABORATION_DIR parameter from the blk_mem_gen_v6_2
-- instance.
-- ^^^^^^
--
-------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synopsys translate_off
--Library XilinxCoreLib;
-- synopsys translate_on
--library blk_mem_gen_v8_0;
library proc_common_v4_0;
--use blk_mem_gen_v8_0.blk_mem_gen_v8_0_xst_comp.all;
use proc_common_v4_0.coregen_comp_defs.all;
use proc_common_v4_0.family_support.all;
------------------------------------------------------------------------------
-- Port Declaration
------------------------------------------------------------------------------
entity blk_mem_gen_wrapper is
generic
(
-- Device Family
c_family : string := "virtex5";
-- "Virtex2"
-- "Virtex4"
-- "Virtex5"
c_xdevicefamily : string := "virtex5";
-- Finest Resolution Device Family
-- "Virtex2"
-- "Virtex2-Pro"
-- "Virtex4"
-- "Virtex5"
-- "Spartan-3A"
-- "Spartan-3A DSP"
c_elaboration_dir : string := "";
-- Memory Specific Configurations
c_mem_type : integer := 2;
-- This wrapper only supports the True Dual Port RAM
-- 0: Single Port RAM
-- 1: Simple Dual Port RAM
-- 2: True Dual Port RAM
-- 3: Single Port Rom
-- 4: Dual Port RAM
c_algorithm : integer := 1;
-- 0: Selectable Primative
-- 1: Minimum Area
c_prim_type : integer := 1;
-- 0: ( 1-bit wide)
-- 1: ( 2-bit wide)
-- 2: ( 4-bit wide)
-- 3: ( 9-bit wide)
-- 4: (18-bit wide)
-- 5: (36-bit wide)
-- 6: (72-bit wide, single port only)
c_byte_size : integer := 9; -- 8 or 9
-- Simulation Behavior Options
c_sim_collision_check : string := "NONE";
-- "None"
-- "Generate_X"
-- "All"
-- "Warnings_only"
c_common_clk : integer := 1; -- 0, 1
c_disable_warn_bhv_coll : integer := 0; -- 0, 1
c_disable_warn_bhv_range : integer := 0; -- 0, 1
-- Initialization Configuration Options
c_load_init_file : integer := 0;
c_init_file_name : string := "no_coe_file_loaded";
c_use_default_data : integer := 0; -- 0, 1
c_default_data : string := "0"; -- "..."
-- Port A Specific Configurations
c_has_mem_output_regs_a : integer := 0; -- 0, 1
c_has_mux_output_regs_a : integer := 0; -- 0, 1
c_write_width_a : integer := 32; -- 1 to 1152
c_read_width_a : integer := 32; -- 1 to 1152
c_write_depth_a : integer := 64; -- 2 to 9011200
c_read_depth_a : integer := 64; -- 2 to 9011200
c_addra_width : integer := 6; -- 1 to 24
c_write_mode_a : string := "WRITE_FIRST";
-- "Write_First"
-- "Read_first"
-- "No_Change"
c_has_ena : integer := 1; -- 0, 1
c_has_regcea : integer := 0; -- 0, 1
c_has_ssra : integer := 0; -- 0, 1
c_sinita_val : string := "0"; --"..."
c_use_byte_wea : integer := 0; -- 0, 1
c_wea_width : integer := 1; -- 1 to 128
-- Port B Specific Configurations
c_has_mem_output_regs_b : integer := 0; -- 0, 1
c_has_mux_output_regs_b : integer := 0; -- 0, 1
c_write_width_b : integer := 32; -- 1 to 1152
c_read_width_b : integer := 32; -- 1 to 1152
c_write_depth_b : integer := 64; -- 2 to 9011200
c_read_depth_b : integer := 64; -- 2 to 9011200
c_addrb_width : integer := 6; -- 1 to 24
c_write_mode_b : string := "WRITE_FIRST";
-- "Write_First"
-- "Read_first"
-- "No_Change"
c_has_enb : integer := 1; -- 0, 1
c_has_regceb : integer := 0; -- 0, 1
c_has_ssrb : integer := 0; -- 0, 1
c_sinitb_val : string := "0"; -- "..."
c_use_byte_web : integer := 0; -- 0, 1
c_web_width : integer := 1; -- 1 to 128
-- Other Miscellaneous Configurations
c_mux_pipeline_stages : integer := 0; -- 0, 1, 2, 3
-- The number of pipeline stages within the MUX
-- for both Port A and Port B
c_use_ecc : integer := 0;
-- See DS512 for the limited core option selections for ECC support
c_use_ramb16bwer_rst_bhv : integer := 0--; --0, 1
-- c_corename : string := "blk_mem_gen_v2_7"
--Uncommenting the above parameter (C_CORENAME) will cause
--the a failure in NGCBuild!!!
);
port
(
clka : in std_logic;
ssra : in std_logic := '0';
dina : in std_logic_vector(c_write_width_a-1 downto 0) := (OTHERS => '0');
addra : in std_logic_vector(c_addra_width-1 downto 0);
ena : in std_logic := '1';
regcea : in std_logic := '1';
wea : in std_logic_vector(c_wea_width-1 downto 0) := (OTHERS => '0');
douta : out std_logic_vector(c_read_width_a-1 downto 0);
clkb : in std_logic := '0';
ssrb : in std_logic := '0';
dinb : in std_logic_vector(c_write_width_b-1 downto 0) := (OTHERS => '0');
addrb : in std_logic_vector(c_addrb_width-1 downto 0) := (OTHERS => '0');
enb : in std_logic := '1';
regceb : in std_logic := '1';
web : in std_logic_vector(c_web_width-1 downto 0) := (OTHERS => '0');
doutb : out std_logic_vector(c_read_width_b-1 downto 0);
dbiterr : out std_logic;
-- Double bit error that that cannot be auto corrected by ECC
sbiterr : out std_logic
-- Single Bit Error that has been auto corrected on the output bus
);
end entity blk_mem_gen_wrapper;
architecture implementation of blk_mem_gen_wrapper is
Constant FAMILY_TO_USE : string := get_root_family(C_FAMILY); -- function from family_support.vhd
Constant FAMILY_NOT_SUPPORTED : boolean := (equalIgnoringCase(FAMILY_TO_USE, "nofamily"));
Constant FAMILY_IS_SUPPORTED : boolean := not(FAMILY_NOT_SUPPORTED);
Constant FAM_IS_S3_V4_V5 : boolean := (equalIgnoringCase(FAMILY_TO_USE, "spartan3" ) or
equalIgnoringCase(FAMILY_TO_USE, "virtex4" ) or
equalIgnoringCase(FAMILY_TO_USE, "virtex5")) and
FAMILY_IS_SUPPORTED;
Constant FAM_IS_NOT_S3_V4_V5 : boolean := not(FAM_IS_S3_V4_V5) and
FAMILY_IS_SUPPORTED;
begin
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_NO_FAMILY
--
-- If Generate Description:
-- This IfGen is implemented if an unsupported FPGA family
-- is passed in on the C_FAMILY parameter,
--
------------------------------------------------------------
GEN_NO_FAMILY : if (FAMILY_NOT_SUPPORTED) generate
begin
-- synthesis translate_off
-------------------------------------------------------------
-- Combinational Process
--
-- Label: DO_ASSERTION
--
-- Process Description:
-- Generate a simulation error assertion for an unsupported
-- FPGA family string passed in on the C_FAMILY parameter.
--
-------------------------------------------------------------
DO_ASSERTION : process
begin
-- Wait until second rising clock edge to issue assertion
Wait until clka = '1';
wait until clka = '0';
Wait until clka = '1';
-- Report an error in simulation environment
assert FALSE report "********* UNSUPPORTED FPGA DEVICE! Check C_FAMILY parameter assignment!"
severity ERROR;
Wait; -- halt this process
end process DO_ASSERTION;
-- synthesis translate_on
-- Tie outputs to logic low
douta <= (others => '0'); -- : out std_logic_vector(c_read_width_a-1 downto 0);
doutb <= (others => '0'); -- : out std_logic_vector(c_read_width_b-1 downto 0);
dbiterr <= '0' ; -- : out std_logic;
sbiterr <= '0' ; -- : out std_logic
end generate GEN_NO_FAMILY;
------------------------------------------------------------
-- If Generate
--
-- Label: V6_S6_AND_LATER
--
-- If Generate Description:
-- This IFGen Implements the Block Memeory using blk_mem_gen 5.2.
-- This is for new cores designed and tested with FPGA
-- Families of Virtex-6, Spartan-6 and later.
--
------------------------------------------------------------
V6_S6_AND_LATER: if(FAM_IS_NOT_S3_V4_V5) generate
begin
-------------------------------------------------------------------------------
-- Instantiate the generalized FIFO Generator instance
--
-- NOTE:
-- DO NOT CHANGE TO DIRECT ENTITY INSTANTIATION!!!
-- This is a Coregen Block Memory Generator Call module
-- for new IP BRAM implementations.
--
-------------------------------------------------------------------------------
I_TRUE_DUAL_PORT_BLK_MEM_GEN : blk_mem_gen_v8_0
generic map
(
--C_CORENAME => c_corename ,
-- Device Family
C_FAMILY => FAMILY_TO_USE ,
C_XDEVICEFAMILY => c_xdevicefamily ,
C_ELABORATION_DIR => c_elaboration_dir ,
------------------
C_INTERFACE_TYPE => 0 ,
C_USE_BRAM_BLOCK => 0 ,
C_AXI_TYPE => 0 ,
C_AXI_SLAVE_TYPE => 0 ,
C_HAS_AXI_ID => 0 ,
C_AXI_ID_WIDTH => 4 ,
------------------
-- Memory Specific Configurations
C_MEM_TYPE => c_mem_type ,
C_BYTE_SIZE => c_byte_size ,
C_ALGORITHM => c_algorithm ,
C_PRIM_TYPE => c_prim_type ,
C_LOAD_INIT_FILE => c_load_init_file ,
C_INIT_FILE_NAME => c_init_file_name ,
C_INIT_FILE => "" ,
C_USE_DEFAULT_DATA => c_use_default_data ,
C_DEFAULT_DATA => c_default_data ,
-- Port A Specific Configurations
C_RST_TYPE => "SYNC" ,
C_HAS_RSTA => c_has_ssra ,
C_RST_PRIORITY_A => "CE" ,
C_RSTRAM_A => 0 ,
C_INITA_VAL => c_sinita_val ,
C_HAS_ENA => c_has_ena ,
C_HAS_REGCEA => c_has_regcea ,
C_USE_BYTE_WEA => c_use_byte_wea ,
C_WEA_WIDTH => c_wea_width ,
C_WRITE_MODE_A => c_write_mode_a ,
C_WRITE_WIDTH_A => c_write_width_a ,
C_READ_WIDTH_A => c_read_width_a ,
C_WRITE_DEPTH_A => c_write_depth_a ,
C_READ_DEPTH_A => c_read_depth_a ,
C_ADDRA_WIDTH => c_addra_width ,
-- Port B Specific Configurations
C_HAS_RSTB => c_has_ssrb ,
C_RST_PRIORITY_B => "CE" ,
C_RSTRAM_B => 0 ,
C_INITB_VAL => c_sinitb_val ,
C_HAS_ENB => c_has_enb ,
C_HAS_REGCEB => c_has_regceb ,
C_USE_BYTE_WEB => c_use_byte_web ,
C_WEB_WIDTH => c_web_width ,
C_WRITE_MODE_B => c_write_mode_b ,
C_WRITE_WIDTH_B => c_write_width_b ,
C_READ_WIDTH_B => c_read_width_b ,
C_WRITE_DEPTH_B => c_write_depth_b ,
C_READ_DEPTH_B => c_read_depth_b ,
C_ADDRB_WIDTH => c_addrb_width ,
C_HAS_MEM_OUTPUT_REGS_A => c_has_mem_output_regs_a ,
C_HAS_MEM_OUTPUT_REGS_B => c_has_mem_output_regs_b ,
C_HAS_MUX_OUTPUT_REGS_A => c_has_mux_output_regs_a ,
C_HAS_MUX_OUTPUT_REGS_B => c_has_mux_output_regs_b ,
C_HAS_SOFTECC_INPUT_REGS_A => 0 ,
C_HAS_SOFTECC_OUTPUT_REGS_B => 0 ,
-- Other Miscellaneous Configurations
C_MUX_PIPELINE_STAGES => c_mux_pipeline_stages ,
C_USE_SOFTECC => 0 ,
C_USE_ECC => c_use_ecc ,
-- Simulation Behavior Options
C_HAS_INJECTERR => 0 ,
C_SIM_COLLISION_CHECK => c_sim_collision_check ,
C_COMMON_CLK => c_common_clk ,
C_DISABLE_WARN_BHV_COLL => c_disable_warn_bhv_coll ,
C_DISABLE_WARN_BHV_RANGE => c_disable_warn_bhv_range
)
port map
(
CLKA => clka ,
RSTA => ssra ,
ENA => ena ,
REGCEA => regcea ,
WEA => wea ,
ADDRA => addra ,
DINA => dina ,
DOUTA => douta ,
CLKB => clkb ,
RSTB => ssrb ,
ENB => enb ,
REGCEB => regceb ,
WEB => web ,
ADDRB => addrb ,
DINB => dinb ,
DOUTB => doutb ,
INJECTSBITERR => '0' , -- input
INJECTDBITERR => '0' , -- input
SBITERR => sbiterr ,
DBITERR => dbiterr ,
RDADDRECC => open , -- output
-- AXI BMG Input and Output Port Declarations -- new for v6.2
-- new for v6.2
-- AXI Global Signals -- new for v6.2
S_AClk => '0' , -- : IN STD_LOGIC := '0'; -- new for v6.2
S_ARESETN => '0' , -- : IN STD_LOGIC := '0'; -- new for v6.2
-- new for v6.2
-- AXI Full/Lite Slave Write (write side) -- new for v6.2
S_AXI_AWID => (others => '0') , -- : IN STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2
S_AXI_AWADDR => (others => '0') , -- : IN STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2
S_AXI_AWLEN => (others => '0') , -- : IN STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2
S_AXI_AWSIZE => (others => '0') , -- : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2
S_AXI_AWBURST => (others => '0') , -- : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2
S_AXI_AWVALID => '0' , -- : IN STD_LOGIC := '0'; -- new for v6.2
S_AXI_AWREADY => open , -- : OUT STD_LOGIC; -- new for v6.2
S_AXI_WDATA => (others => '0') , -- : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2
S_AXI_WSTRB => (others => '0') , -- : IN STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2
S_AXI_WLAST => '0' , -- : IN STD_LOGIC := '0'; -- new for v6.2
S_AXI_WVALID => '0' , -- : IN STD_LOGIC := '0'; -- new for v6.2
S_AXI_WREADY => open , -- : OUT STD_LOGIC; -- new for v6.2
S_AXI_BID => open , -- : OUT STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2
S_AXI_BRESP => open , -- : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); -- new for v6.2
S_AXI_BVALID => open , -- : OUT STD_LOGIC; -- new for v6.2
S_AXI_BREADY => '0' , -- : IN STD_LOGIC := '0'; -- new for v6.2
-- new for v6.2
-- AXI Full/Lite Slave Read (Write side) -- new for v6.2
S_AXI_ARID => (others => '0') , -- : IN STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2
S_AXI_ARADDR => (others => '0') , -- : IN STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2
S_AXI_ARLEN => (others => '0') , -- : IN STD_LOGIC_VECTOR(8-1 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2
S_AXI_ARSIZE => (others => '0') , -- : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2
S_AXI_ARBURST => (others => '0') , -- : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2
S_AXI_ARVALID => '0' , -- : IN STD_LOGIC := '0'; -- new for v6.2
S_AXI_ARREADY => open , -- : OUT STD_LOGIC; -- new for v6.2
S_AXI_RID => open , -- : OUT STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2
S_AXI_RDATA => open , -- : OUT STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0); -- new for v6.2
S_AXI_RRESP => open , -- : OUT STD_LOGIC_VECTOR(2-1 DOWNTO 0); -- new for v6.2
S_AXI_RLAST => open , -- : OUT STD_LOGIC; -- new for v6.2
S_AXI_RVALID => open , -- : OUT STD_LOGIC; -- new for v6.2
S_AXI_RREADY => '0' , -- : IN STD_LOGIC := '0'; -- new for v6.2
-- new for v6.2
-- AXI Full/Lite Sideband Signals -- new for v6.2
S_AXI_INJECTSBITERR => '0' , -- : IN STD_LOGIC := '0'; -- new for v6.2
S_AXI_INJECTDBITERR => '0' , -- : IN STD_LOGIC := '0'; -- new for v6.2
S_AXI_SBITERR => open , -- : OUT STD_LOGIC; -- new for v6.2
S_AXI_DBITERR => open , -- : OUT STD_LOGIC; -- new for v6.2
S_AXI_RDADDRECC => open -- : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) -- new for v6.2
);
end generate V6_S6_AND_LATER;
end implementation;
| bsd-2-clause | 2dbe9f34bc742a4ca5eb2813ee553155 | 0.351092 | 4.74612 | false | false | false | false |
tdaede/daala_zynq | daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_rddata_cntl.vhd | 1 | 75,835 | -------------------------------------------------------------------------------
-- axi_datamover_rddata_cntl.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_datamover_rddata_cntl.vhd
--
-- Description:
-- This file implements the DataMover Master Read Data Controller.
--
--
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- axi_datamover_rddata_cntl.vhd
--
-------------------------------------------------------------------------------
-- Revision History:
--
--
-- Author: DET
--
-- History:
-- DET 04/19/2011 Initial Version for EDK 13.3
--
-- DET 6/20/2011 Initial Version for EDK 13.3
-- ~~~~~~
-- - Added 512 and 1024 data width support
-- ^^^^^^
--
-- DET 9/1/2011 Initial Version for EDK 13.3
-- ~~~~~~
-- - Fixed Lint reported excesive line length for lines 242 and 844.
-- ^^^^^^
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library axi_datamover_v5_1;
use axi_datamover_v5_1.axi_datamover_rdmux;
-------------------------------------------------------------------------------
entity axi_datamover_rddata_cntl is
generic (
C_INCLUDE_DRE : Integer range 0 to 1 := 0;
-- Indicates if the DRE interface is used
C_ALIGN_WIDTH : Integer range 1 to 3 := 3;
-- Sets the width of the DRE Alignment controls
C_SEL_ADDR_WIDTH : Integer range 1 to 8 := 5;
-- Sets the width of the LS bits of the transfer address that
-- are being used to Mux read data from a wider AXI4 Read
-- Data Bus
C_DATA_CNTL_FIFO_DEPTH : Integer range 1 to 32 := 4;
-- Sets the depth of the internal command fifo used for the
-- command queue
C_MMAP_DWIDTH : Integer range 32 to 1024 := 32;
-- Indicates the native data width of the Read Data port
C_STREAM_DWIDTH : Integer range 8 to 1024 := 32;
-- Sets the width of the Stream output data port
C_TAG_WIDTH : Integer range 1 to 8 := 4;
-- Indicates the width of the Tag field of the input command
C_ENABLE_MM2S_TKEEP : integer range 0 to 1 := 1;
C_FAMILY : String := "virtex7"
-- Indicates the device family of the target FPGA
);
port (
-- Clock and Reset inputs ----------------------------------------
--
primary_aclk : in std_logic; --
-- Primary synchronization clock for the Master side --
-- interface and internal logic. It is also used --
-- for the User interface synchronization when --
-- C_STSCMD_IS_ASYNC = 0. --
--
-- Reset input --
mmap_reset : in std_logic; --
-- Reset used for the internal master logic --
------------------------------------------------------------------
-- Soft Shutdown internal interface -----------------------------------
--
rst2data_stop_request : in std_logic; --
-- Active high soft stop request to modules --
--
data2addr_stop_req : Out std_logic; --
-- Active high signal requesting the Address Controller --
-- to stop posting commands to the AXI Read Address Channel --
--
data2rst_stop_cmplt : Out std_logic; --
-- Active high indication that the Data Controller has completed --
-- any pending transfers committed by the Address Controller --
-- after a stop has been requested by the Reset module. --
-----------------------------------------------------------------------
-- External Address Pipelining Contol support -------------------------
--
mm2s_rd_xfer_cmplt : out std_logic; --
-- Active high indication that the Data Controller has completed --
-- a single read data transfer on the AXI4 Read Data Channel. --
-- This signal escentially echos the assertion of rlast received --
-- from the AXI4. --
-----------------------------------------------------------------------
-- AXI Read Data Channel I/O ---------------------------------------------
--
mm2s_rdata : In std_logic_vector(C_MMAP_DWIDTH-1 downto 0); --
-- AXI Read data input --
--
mm2s_rresp : In std_logic_vector(1 downto 0); --
-- AXI Read response input --
--
mm2s_rlast : In std_logic; --
-- AXI Read LAST input --
--
mm2s_rvalid : In std_logic; --
-- AXI Read VALID input --
--
mm2s_rready : Out std_logic; --
-- AXI Read data READY output --
--------------------------------------------------------------------------
-- MM2S DRE Control -------------------------------------------------------------
--
mm2s_dre_new_align : Out std_logic; --
-- Active high signal indicating new DRE aligment required --
--
mm2s_dre_use_autodest : Out std_logic; --
-- Active high signal indicating to the DRE to use an auto- --
-- calculated desination alignment based on the last transfer --
--
mm2s_dre_src_align : Out std_logic_vector(C_ALIGN_WIDTH-1 downto 0); --
-- Bit field indicating the byte lane of the first valid data byte --
-- being sent to the DRE --
--
mm2s_dre_dest_align : Out std_logic_vector(C_ALIGN_WIDTH-1 downto 0); --
-- Bit field indicating the desired byte lane of the first valid data byte --
-- to be output by the DRE --
--
mm2s_dre_flush : Out std_logic; --
-- Active high signal indicating to the DRE to flush the current --
-- contents to the output register in preparation of a new alignment --
-- that will be comming on the next transfer input --
---------------------------------------------------------------------------------
-- AXI Master Stream Channel------------------------------------------------------
--
mm2s_strm_wvalid : Out std_logic; --
-- AXI Stream VALID Output --
--
mm2s_strm_wready : In Std_logic; --
-- AXI Stream READY input --
--
mm2s_strm_wdata : Out std_logic_vector(C_STREAM_DWIDTH-1 downto 0); --
-- AXI Stream data output --
--
mm2s_strm_wstrb : Out std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); --
-- AXI Stream STRB output --
--
mm2s_strm_wlast : Out std_logic; --
-- AXI Stream LAST output --
---------------------------------------------------------------------------------
-- MM2S Store and Forward Supplimental Control --------------------------------
-- This output is time aligned and qualified with the AXI Master Stream Channel--
--
mm2s_data2sf_cmd_cmplt : out std_logic; --
--
---------------------------------------------------------------------------------
-- Command Calculator Interface -------------------------------------------------
--
mstr2data_tag : In std_logic_vector(C_TAG_WIDTH-1 downto 0); --
-- The next command tag --
--
mstr2data_saddr_lsb : In std_logic_vector(C_SEL_ADDR_WIDTH-1 downto 0); --
-- The next command start address LSbs to use for the read data --
-- mux (only used if Stream data width is 8 or 16 bits). --
--
mstr2data_len : In std_logic_vector(7 downto 0); --
-- The LEN value output to the Address Channel --
--
mstr2data_strt_strb : In std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); --
-- The starting strobe value to use for the first stream data beat --
--
mstr2data_last_strb : In std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); --
-- The endiing (LAST) strobe value to use for the last stream --
-- data beat --
--
mstr2data_drr : In std_logic; --
-- The starting tranfer of a sequence of transfers --
--
mstr2data_eof : In std_logic; --
-- The endiing tranfer of a sequence of transfers --
--
mstr2data_sequential : In std_logic; --
-- The next sequential tranfer of a sequence of transfers --
-- spawned from a single parent command --
--
mstr2data_calc_error : In std_logic; --
-- Indication if the next command in the calculation pipe --
-- has a calculation error --
--
mstr2data_cmd_cmplt : In std_logic; --
-- The indication to the Data Channel that the current --
-- sub-command output is the last one compiled from the --
-- parent command pulled from the Command FIFO --
--
mstr2data_cmd_valid : In std_logic; --
-- The next command valid indication to the Data Channel --
-- Controller for the AXI MMap --
--
data2mstr_cmd_ready : Out std_logic ; --
-- Indication from the Data Channel Controller that the --
-- command is being accepted on the AXI Address Channel --
--
mstr2data_dre_src_align : In std_logic_vector(C_ALIGN_WIDTH-1 downto 0); --
-- The source (input) alignment for the DRE --
--
mstr2data_dre_dest_align : In std_logic_vector(C_ALIGN_WIDTH-1 downto 0); --
-- The destinstion (output) alignment for the DRE --
---------------------------------------------------------------------------------
-- Address Controller Interface -------------------------------------------------
--
addr2data_addr_posted : In std_logic ; --
-- Indication from the Address Channel Controller to the --
-- Data Controller that an address has been posted to the --
-- AXI Address Channel --
---------------------------------------------------------------------------------
-- Data Controller General Halted Status ----------------------------------------
--
data2all_dcntlr_halted : Out std_logic; --
-- When asserted, this indicates the data controller has satisfied --
-- all pending transfers queued by the Address Controller and is halted. --
---------------------------------------------------------------------------------
-- Output Stream Skid Buffer Halt control ---------------------------------------
--
data2skid_halt : Out std_logic; --
-- The data controller asserts this output for 1 primary clock period --
-- The pulse commands the MM2S Stream skid buffer to tun off outputs --
-- at the next tlast transmission. --
---------------------------------------------------------------------------------
-- Read Status Controller Interface ------------------------------------------------
--
data2rsc_tag : Out std_logic_vector(C_TAG_WIDTH-1 downto 0); --
-- The propagated command tag from the Command Calculator --
--
data2rsc_calc_err : Out std_logic ; --
-- Indication that the current command out from the Cntl FIFO --
-- has a propagated calculation error from the Command Calculator --
--
data2rsc_okay : Out std_logic ; --
-- Indication that the AXI Read transfer completed with OK status --
--
data2rsc_decerr : Out std_logic ; --
-- Indication that the AXI Read transfer completed with decode error status --
--
data2rsc_slverr : Out std_logic ; --
-- Indication that the AXI Read transfer completed with slave error status --
--
data2rsc_cmd_cmplt : Out std_logic ; --
-- Indication by the Data Channel Controller that the --
-- corresponding status is the last status for a parent command --
-- pulled from the command FIFO --
--
rsc2data_ready : in std_logic; --
-- Handshake bit from the Read Status Controller Module indicating --
-- that the it is ready to accept a new Read status transfer --
--
data2rsc_valid : Out std_logic ; --
-- Handshake bit output to the Read Status Controller Module --
-- indicating that the Data Controller has valid tag and status --
-- indicators to transfer --
--
rsc2mstr_halt_pipe : In std_logic --
-- Status Flag indicating the Status Controller needs to stall the command --
-- execution pipe due to a Status flow issue or internal error. Generally --
-- this will occur if the Status FIFO is not being serviced fast enough to --
-- keep ahead of the command execution. --
------------------------------------------------------------------------------------
);
end entity axi_datamover_rddata_cntl;
architecture implementation of axi_datamover_rddata_cntl is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-- Function declaration ----------------------------------------
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_set_cnt_width
--
-- Function Description:
-- Sets a count width based on a fifo depth. A depth of 4 or less
-- is a special case which requires a minimum count width of 3 bits.
--
-------------------------------------------------------------------
function funct_set_cnt_width (fifo_depth : integer) return integer is
Variable temp_cnt_width : Integer := 4;
begin
if (fifo_depth <= 4) then
temp_cnt_width := 3;
elsif (fifo_depth <= 8) then
temp_cnt_width := 4;
elsif (fifo_depth <= 16) then
temp_cnt_width := 5;
elsif (fifo_depth <= 32) then
temp_cnt_width := 6;
else -- fifo depth <= 64
temp_cnt_width := 7;
end if;
Return (temp_cnt_width);
end function funct_set_cnt_width;
-- Constant Declarations --------------------------------------------
Constant OKAY : std_logic_vector(1 downto 0) := "00";
Constant EXOKAY : std_logic_vector(1 downto 0) := "01";
Constant SLVERR : std_logic_vector(1 downto 0) := "10";
Constant DECERR : std_logic_vector(1 downto 0) := "11";
Constant STRM_STRB_WIDTH : integer := C_STREAM_DWIDTH/8;
Constant LEN_OF_ZERO : std_logic_vector(7 downto 0) := (others => '0');
Constant USE_SYNC_FIFO : integer := 0;
Constant REG_FIFO_PRIM : integer := 0;
Constant BRAM_FIFO_PRIM : integer := 1;
Constant SRL_FIFO_PRIM : integer := 2;
Constant FIFO_PRIM_TYPE : integer := SRL_FIFO_PRIM;
Constant TAG_WIDTH : integer := C_TAG_WIDTH;
Constant SADDR_LSB_WIDTH : integer := C_SEL_ADDR_WIDTH;
Constant LEN_WIDTH : integer := 8;
Constant STRB_WIDTH : integer := C_STREAM_DWIDTH/8;
Constant SOF_WIDTH : integer := 1;
Constant EOF_WIDTH : integer := 1;
Constant CMD_CMPLT_WIDTH : integer := 1;
Constant SEQUENTIAL_WIDTH : integer := 1;
Constant CALC_ERR_WIDTH : integer := 1;
Constant DRE_ALIGN_WIDTH : integer := C_ALIGN_WIDTH;
Constant DCTL_FIFO_WIDTH : Integer := TAG_WIDTH + -- Tag field
SADDR_LSB_WIDTH + -- LS Address field width
LEN_WIDTH + -- LEN field
STRB_WIDTH + -- Starting Strobe field
STRB_WIDTH + -- Ending Strobe field
SOF_WIDTH + -- SOF Flag Field
EOF_WIDTH + -- EOF flag field
SEQUENTIAL_WIDTH + -- Calc error flag
CMD_CMPLT_WIDTH + -- Sequential command flag
CALC_ERR_WIDTH + -- Command Complete Flag
DRE_ALIGN_WIDTH + -- DRE Source Align width
DRE_ALIGN_WIDTH ; -- DRE Dest Align width
-- Caution, the INDEX calculations are order dependent so don't rearrange
Constant TAG_STRT_INDEX : integer := 0;
Constant SADDR_LSB_STRT_INDEX : integer := TAG_STRT_INDEX + TAG_WIDTH;
Constant LEN_STRT_INDEX : integer := SADDR_LSB_STRT_INDEX + SADDR_LSB_WIDTH;
Constant STRT_STRB_STRT_INDEX : integer := LEN_STRT_INDEX + LEN_WIDTH;
Constant LAST_STRB_STRT_INDEX : integer := STRT_STRB_STRT_INDEX + STRB_WIDTH;
Constant SOF_STRT_INDEX : integer := LAST_STRB_STRT_INDEX + STRB_WIDTH;
Constant EOF_STRT_INDEX : integer := SOF_STRT_INDEX + SOF_WIDTH;
Constant SEQUENTIAL_STRT_INDEX : integer := EOF_STRT_INDEX + EOF_WIDTH;
Constant CMD_CMPLT_STRT_INDEX : integer := SEQUENTIAL_STRT_INDEX + SEQUENTIAL_WIDTH;
Constant CALC_ERR_STRT_INDEX : integer := CMD_CMPLT_STRT_INDEX + CMD_CMPLT_WIDTH;
Constant DRE_SRC_STRT_INDEX : integer := CALC_ERR_STRT_INDEX + CALC_ERR_WIDTH;
Constant DRE_DEST_STRT_INDEX : integer := DRE_SRC_STRT_INDEX + DRE_ALIGN_WIDTH;
Constant ADDR_INCR_VALUE : integer := C_STREAM_DWIDTH/8;
--Constant ADDR_POSTED_CNTR_WIDTH : integer := 5; -- allows up to 32 entry address queue
Constant ADDR_POSTED_CNTR_WIDTH : integer := funct_set_cnt_width(C_DATA_CNTL_FIFO_DEPTH);
Constant ADDR_POSTED_ZERO : unsigned(ADDR_POSTED_CNTR_WIDTH-1 downto 0)
:= (others => '0');
Constant ADDR_POSTED_ONE : unsigned(ADDR_POSTED_CNTR_WIDTH-1 downto 0)
:= TO_UNSIGNED(1, ADDR_POSTED_CNTR_WIDTH);
Constant ADDR_POSTED_MAX : unsigned(ADDR_POSTED_CNTR_WIDTH-1 downto 0)
:= (others => '1');
-- Signal Declarations --------------------------------------------
signal sig_good_dbeat : std_logic := '0';
signal sig_get_next_dqual : std_logic := '0';
signal sig_last_mmap_dbeat : std_logic := '0';
signal sig_last_mmap_dbeat_reg : std_logic := '0';
signal sig_data2mmap_ready : std_logic := '0';
signal sig_mmap2data_valid : std_logic := '0';
signal sig_mmap2data_last : std_logic := '0';
signal sig_aposted_cntr_ready : std_logic := '0';
signal sig_ld_new_cmd : std_logic := '0';
signal sig_ld_new_cmd_reg : std_logic := '0';
signal sig_cmd_cmplt_reg : std_logic := '0';
signal sig_tag_reg : std_logic_vector(TAG_WIDTH-1 downto 0) := (others => '0');
signal sig_addr_lsb_reg : std_logic_vector(C_SEL_ADDR_WIDTH-1 downto 0) := (others => '0');
signal sig_strt_strb_reg : std_logic_vector(STRM_STRB_WIDTH-1 downto 0) := (others => '0');
signal sig_last_strb_reg : std_logic_vector(STRM_STRB_WIDTH-1 downto 0) := (others => '0');
signal sig_addr_posted : std_logic := '0';
signal sig_addr_chan_rdy : std_logic := '0';
signal sig_dqual_rdy : std_logic := '0';
signal sig_good_mmap_dbeat : std_logic := '0';
signal sig_first_dbeat : std_logic := '0';
signal sig_last_dbeat : std_logic := '0';
signal sig_new_len_eq_0 : std_logic := '0';
signal sig_dbeat_cntr : unsigned(7 downto 0) := (others => '0');
Signal sig_dbeat_cntr_int : Integer range 0 to 255 := 0;
signal sig_dbeat_cntr_eq_0 : std_logic := '0';
signal sig_dbeat_cntr_eq_1 : std_logic := '0';
signal sig_calc_error_reg : std_logic := '0';
signal sig_decerr : std_logic := '0';
signal sig_slverr : std_logic := '0';
signal sig_coelsc_okay_reg : std_logic := '0';
signal sig_coelsc_interr_reg : std_logic := '0';
signal sig_coelsc_decerr_reg : std_logic := '0';
signal sig_coelsc_slverr_reg : std_logic := '0';
signal sig_coelsc_cmd_cmplt_reg : std_logic := '0';
signal sig_coelsc_tag_reg : std_logic_vector(TAG_WIDTH-1 downto 0) := (others => '0');
signal sig_pop_coelsc_reg : std_logic := '0';
signal sig_push_coelsc_reg : std_logic := '0';
signal sig_coelsc_reg_empty : std_logic := '0';
signal sig_coelsc_reg_full : std_logic := '0';
signal sig_rsc2data_ready : std_logic := '0';
signal sig_cmd_cmplt_last_dbeat : std_logic := '0';
signal sig_next_tag_reg : std_logic_vector(TAG_WIDTH-1 downto 0) := (others => '0');
signal sig_next_strt_strb_reg : std_logic_vector(STRM_STRB_WIDTH-1 downto 0) := (others => '0');
signal sig_next_last_strb_reg : std_logic_vector(STRM_STRB_WIDTH-1 downto 0) := (others => '0');
signal sig_next_eof_reg : std_logic := '0';
signal sig_next_sequential_reg : std_logic := '0';
signal sig_next_cmd_cmplt_reg : std_logic := '0';
signal sig_next_calc_error_reg : std_logic := '0';
signal sig_next_dre_src_align_reg : std_logic_vector(C_ALIGN_WIDTH-1 downto 0) := (others => '0');
signal sig_next_dre_dest_align_reg : std_logic_vector(C_ALIGN_WIDTH-1 downto 0) := (others => '0');
signal sig_pop_dqual_reg : std_logic := '0';
signal sig_push_dqual_reg : std_logic := '0';
signal sig_dqual_reg_empty : std_logic := '0';
signal sig_dqual_reg_full : std_logic := '0';
signal sig_addr_posted_cntr : unsigned(ADDR_POSTED_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_addr_posted_cntr_eq_0 : std_logic := '0';
signal sig_addr_posted_cntr_max : std_logic := '0';
signal sig_decr_addr_posted_cntr : std_logic := '0';
signal sig_incr_addr_posted_cntr : std_logic := '0';
signal sig_ls_addr_cntr : unsigned(C_SEL_ADDR_WIDTH-1 downto 0) := (others => '0');
signal sig_incr_ls_addr_cntr : std_logic := '0';
signal sig_addr_incr_unsgnd : unsigned(C_SEL_ADDR_WIDTH-1 downto 0) := (others => '0');
signal sig_no_posted_cmds : std_logic := '0';
Signal sig_cmd_fifo_data_in : std_logic_vector(DCTL_FIFO_WIDTH-1 downto 0);
Signal sig_cmd_fifo_data_out : std_logic_vector(DCTL_FIFO_WIDTH-1 downto 0);
signal sig_fifo_next_tag : std_logic_vector(TAG_WIDTH-1 downto 0);
signal sig_fifo_next_sadddr_lsb : std_logic_vector(SADDR_LSB_WIDTH-1 downto 0);
signal sig_fifo_next_len : std_logic_vector(LEN_WIDTH-1 downto 0);
signal sig_fifo_next_strt_strb : std_logic_vector(STRB_WIDTH-1 downto 0);
signal sig_fifo_next_last_strb : std_logic_vector(STRB_WIDTH-1 downto 0);
signal sig_fifo_next_drr : std_logic := '0';
signal sig_fifo_next_eof : std_logic := '0';
signal sig_fifo_next_cmd_cmplt : std_logic := '0';
signal sig_fifo_next_calc_error : std_logic := '0';
signal sig_fifo_next_sequential : std_logic := '0';
signal sig_fifo_next_dre_src_align : std_logic_vector(C_ALIGN_WIDTH-1 downto 0) := (others => '0');
signal sig_fifo_next_dre_dest_align : std_logic_vector(C_ALIGN_WIDTH-1 downto 0) := (others => '0');
signal sig_cmd_fifo_empty : std_logic := '0';
signal sig_fifo_wr_cmd_valid : std_logic := '0';
signal sig_fifo_wr_cmd_ready : std_logic := '0';
signal sig_fifo_rd_cmd_valid : std_logic := '0';
signal sig_fifo_rd_cmd_ready : std_logic := '0';
signal sig_sequential_push : std_logic := '0';
signal sig_clr_dqual_reg : std_logic := '0';
signal sig_advance_pipe : std_logic := '0';
signal sig_halt_reg : std_logic := '0';
signal sig_halt_reg_dly1 : std_logic := '0';
signal sig_halt_reg_dly2 : std_logic := '0';
signal sig_halt_reg_dly3 : std_logic := '0';
signal sig_data2skid_halt : std_logic := '0';
signal sig_rd_xfer_cmplt : std_logic := '0';
begin --(architecture implementation)
-- AXI MMap Data Channel Port assignments
mm2s_rready <= sig_data2mmap_ready;
sig_mmap2data_valid <= mm2s_rvalid ;
sig_mmap2data_last <= mm2s_rlast ;
-- Read Status Block interface
data2rsc_valid <= sig_coelsc_reg_full ;
sig_rsc2data_ready <= rsc2data_ready ;
data2rsc_tag <= sig_coelsc_tag_reg ;
data2rsc_calc_err <= sig_coelsc_interr_reg ;
data2rsc_okay <= sig_coelsc_okay_reg ;
data2rsc_decerr <= sig_coelsc_decerr_reg ;
data2rsc_slverr <= sig_coelsc_slverr_reg ;
data2rsc_cmd_cmplt <= sig_coelsc_cmd_cmplt_reg ;
-- AXI MM2S Stream Channel Port assignments
mm2s_strm_wvalid <= (mm2s_rvalid and
sig_advance_pipe) or
(sig_halt_reg and -- Force tvalid high on a Halt and
sig_dqual_reg_full and -- a transfer is scheduled and
not(sig_no_posted_cmds) and -- there are cmds posted to AXi and
not(sig_calc_error_reg)); -- not a calc error
mm2s_strm_wlast <= (mm2s_rlast and
sig_next_eof_reg) or
(sig_halt_reg and -- Force tvalid high on a Halt and
sig_dqual_reg_full and -- a transfer is scheduled and
not(sig_no_posted_cmds) and -- there are cmds posted to AXi and
not(sig_calc_error_reg)); -- not a calc error;
GEN_MM2S_TKEEP_ENABLE5 : if C_ENABLE_MM2S_TKEEP = 1 generate
begin
-- Generate the Write Strobes for the Stream interface
mm2s_strm_wstrb <= (others => '1')
When (sig_halt_reg = '1') -- Force tstrb high on a Halt
else sig_strt_strb_reg
When (sig_first_dbeat = '1')
Else sig_last_strb_reg
When (sig_last_dbeat = '1')
Else (others => '1');
end generate GEN_MM2S_TKEEP_ENABLE5;
GEN_MM2S_TKEEP_DISABLE5 : if C_ENABLE_MM2S_TKEEP = 0 generate
begin
-- Generate the Write Strobes for the Stream interface
mm2s_strm_wstrb <= (others => '1');
end generate GEN_MM2S_TKEEP_DISABLE5;
-- MM2S Supplimental Controls
mm2s_data2sf_cmd_cmplt <= (mm2s_rlast and
sig_next_cmd_cmplt_reg) or
(sig_halt_reg and
sig_dqual_reg_full and
not(sig_no_posted_cmds) and
not(sig_calc_error_reg));
-- Address Channel Controller synchro pulse input
sig_addr_posted <= addr2data_addr_posted;
-- Request to halt the Address Channel Controller
data2addr_stop_req <= sig_halt_reg;
-- Halted flag to the reset module
data2rst_stop_cmplt <= (sig_halt_reg_dly3 and -- Normal Mode shutdown
sig_no_posted_cmds and
not(sig_calc_error_reg)) or
(sig_halt_reg_dly3 and -- Shutdown after error trap
sig_calc_error_reg);
-- Read Transfer Completed Status output
mm2s_rd_xfer_cmplt <= sig_rd_xfer_cmplt;
-- Internal logic ------------------------------
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_RD_CMPLT_FLAG
--
-- Process Description:
-- Implements the status flag indicating that a read data
-- transfer has completed. This is an echo of a rlast assertion
-- and a qualified data beat on the AXI4 Read Data Channel
-- inputs.
--
-------------------------------------------------------------
IMP_RD_CMPLT_FLAG : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1') then
sig_rd_xfer_cmplt <= '0';
else
sig_rd_xfer_cmplt <= sig_mmap2data_last and
sig_good_mmap_dbeat;
end if;
end if;
end process IMP_RD_CMPLT_FLAG;
-- General flag for advancing the MMap Read and the Stream
-- data pipelines
sig_advance_pipe <= sig_addr_chan_rdy and
sig_dqual_rdy and
not(sig_coelsc_reg_full) and -- new status back-pressure term
not(sig_calc_error_reg);
-- test for Kevin's status throttle case
sig_data2mmap_ready <= (mm2s_strm_wready or
sig_halt_reg) and -- Ignore the Stream ready on a Halt request
sig_advance_pipe;
sig_good_mmap_dbeat <= sig_data2mmap_ready and
sig_mmap2data_valid;
sig_last_mmap_dbeat <= sig_good_mmap_dbeat and
sig_mmap2data_last;
sig_get_next_dqual <= sig_last_mmap_dbeat;
------------------------------------------------------------
-- Instance: I_READ_MUX
--
-- Description:
-- Instance of the MM2S Read Data Channel Read Mux
--
------------------------------------------------------------
I_READ_MUX : entity axi_datamover_v5_1.axi_datamover_rdmux
generic map (
C_SEL_ADDR_WIDTH => C_SEL_ADDR_WIDTH ,
C_MMAP_DWIDTH => C_MMAP_DWIDTH ,
C_STREAM_DWIDTH => C_STREAM_DWIDTH
)
port map (
mmap_read_data_in => mm2s_rdata ,
mux_data_out => mm2s_strm_wdata ,
mstr2data_saddr_lsb => sig_addr_lsb_reg
);
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_LAST_DBEAT
--
-- Process Description:
-- This implements a FLOP that creates a pulse
-- indicating the LAST signal for an incoming read data channel
-- has been received. Note that it is possible to have back to
-- back LAST databeats.
--
-------------------------------------------------------------
REG_LAST_DBEAT : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1') then
sig_last_mmap_dbeat_reg <= '0';
else
sig_last_mmap_dbeat_reg <= sig_last_mmap_dbeat;
end if;
end if;
end process REG_LAST_DBEAT;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_NO_DATA_CNTL_FIFO
--
-- If Generate Description:
-- Omits the input data control FIFO if the requested FIFO
-- depth is 1. The Data Qualifier Register serves as a
-- 1 deep FIFO by itself.
--
------------------------------------------------------------
GEN_NO_DATA_CNTL_FIFO : if (C_DATA_CNTL_FIFO_DEPTH = 1) generate
begin
-- Command Calculator Handshake output
data2mstr_cmd_ready <= sig_fifo_wr_cmd_ready;
sig_fifo_rd_cmd_valid <= mstr2data_cmd_valid ;
-- pre 13.1 sig_fifo_wr_cmd_ready <= sig_dqual_reg_empty and
-- pre 13.1 sig_aposted_cntr_ready and
-- pre 13.1 not(rsc2mstr_halt_pipe) and -- The Rd Status Controller is not stalling
-- pre 13.1 not(sig_calc_error_reg); -- the command execution pipe and there is
-- pre 13.1 -- no calculation error being propagated
sig_fifo_wr_cmd_ready <= sig_push_dqual_reg;
sig_fifo_next_tag <= mstr2data_tag ;
sig_fifo_next_sadddr_lsb <= mstr2data_saddr_lsb ;
sig_fifo_next_len <= mstr2data_len ;
sig_fifo_next_strt_strb <= mstr2data_strt_strb ;
sig_fifo_next_last_strb <= mstr2data_last_strb ;
sig_fifo_next_drr <= mstr2data_drr ;
sig_fifo_next_eof <= mstr2data_eof ;
sig_fifo_next_sequential <= mstr2data_sequential ;
sig_fifo_next_cmd_cmplt <= mstr2data_cmd_cmplt ;
sig_fifo_next_calc_error <= mstr2data_calc_error ;
sig_fifo_next_dre_src_align <= mstr2data_dre_src_align ;
sig_fifo_next_dre_dest_align <= mstr2data_dre_dest_align ;
end generate GEN_NO_DATA_CNTL_FIFO;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_DATA_CNTL_FIFO
--
-- If Generate Description:
-- Includes the input data control FIFO if the requested
-- FIFO depth is more than 1.
--
------------------------------------------------------------
GEN_DATA_CNTL_FIFO : if (C_DATA_CNTL_FIFO_DEPTH > 1) generate
begin
-- Command Calculator Handshake output
data2mstr_cmd_ready <= sig_fifo_wr_cmd_ready;
sig_fifo_wr_cmd_valid <= mstr2data_cmd_valid ;
sig_fifo_rd_cmd_ready <= sig_push_dqual_reg; -- pop the fifo when dqual reg is pushed
-- Format the input fifo data word
sig_cmd_fifo_data_in <= mstr2data_dre_dest_align &
mstr2data_dre_src_align &
mstr2data_calc_error &
mstr2data_cmd_cmplt &
mstr2data_sequential &
mstr2data_eof &
mstr2data_drr &
mstr2data_last_strb &
mstr2data_strt_strb &
mstr2data_len &
mstr2data_saddr_lsb &
mstr2data_tag ;
-- Rip the output fifo data word
sig_fifo_next_tag <= sig_cmd_fifo_data_out((TAG_STRT_INDEX+TAG_WIDTH)-1 downto
TAG_STRT_INDEX);
sig_fifo_next_sadddr_lsb <= sig_cmd_fifo_data_out((SADDR_LSB_STRT_INDEX+SADDR_LSB_WIDTH)-1 downto
SADDR_LSB_STRT_INDEX);
sig_fifo_next_len <= sig_cmd_fifo_data_out((LEN_STRT_INDEX+LEN_WIDTH)-1 downto
LEN_STRT_INDEX);
sig_fifo_next_strt_strb <= sig_cmd_fifo_data_out((STRT_STRB_STRT_INDEX+STRB_WIDTH)-1 downto
STRT_STRB_STRT_INDEX);
sig_fifo_next_last_strb <= sig_cmd_fifo_data_out((LAST_STRB_STRT_INDEX+STRB_WIDTH)-1 downto
LAST_STRB_STRT_INDEX);
sig_fifo_next_drr <= sig_cmd_fifo_data_out(SOF_STRT_INDEX);
sig_fifo_next_eof <= sig_cmd_fifo_data_out(EOF_STRT_INDEX);
sig_fifo_next_sequential <= sig_cmd_fifo_data_out(SEQUENTIAL_STRT_INDEX);
sig_fifo_next_cmd_cmplt <= sig_cmd_fifo_data_out(CMD_CMPLT_STRT_INDEX);
sig_fifo_next_calc_error <= sig_cmd_fifo_data_out(CALC_ERR_STRT_INDEX);
sig_fifo_next_dre_src_align <= sig_cmd_fifo_data_out((DRE_SRC_STRT_INDEX+DRE_ALIGN_WIDTH)-1 downto
DRE_SRC_STRT_INDEX);
sig_fifo_next_dre_dest_align <= sig_cmd_fifo_data_out((DRE_DEST_STRT_INDEX+DRE_ALIGN_WIDTH)-1 downto
DRE_DEST_STRT_INDEX);
------------------------------------------------------------
-- Instance: I_DATA_CNTL_FIFO
--
-- Description:
-- Instance for the Command Qualifier FIFO
--
------------------------------------------------------------
I_DATA_CNTL_FIFO : entity axi_datamover_v5_1.axi_datamover_fifo
generic map (
C_DWIDTH => DCTL_FIFO_WIDTH ,
C_DEPTH => C_DATA_CNTL_FIFO_DEPTH ,
C_IS_ASYNC => USE_SYNC_FIFO ,
C_PRIM_TYPE => FIFO_PRIM_TYPE ,
C_FAMILY => C_FAMILY
)
port map (
-- Write Clock and reset
fifo_wr_reset => mmap_reset ,
fifo_wr_clk => primary_aclk ,
-- Write Side
fifo_wr_tvalid => sig_fifo_wr_cmd_valid ,
fifo_wr_tready => sig_fifo_wr_cmd_ready ,
fifo_wr_tdata => sig_cmd_fifo_data_in ,
fifo_wr_full => open ,
-- Read Clock and reset
fifo_async_rd_reset => mmap_reset ,
fifo_async_rd_clk => primary_aclk ,
-- Read Side
fifo_rd_tvalid => sig_fifo_rd_cmd_valid ,
fifo_rd_tready => sig_fifo_rd_cmd_ready ,
fifo_rd_tdata => sig_cmd_fifo_data_out ,
fifo_rd_empty => sig_cmd_fifo_empty
);
end generate GEN_DATA_CNTL_FIFO;
-- Data Qualifier Register ------------------------------------
sig_ld_new_cmd <= sig_push_dqual_reg ;
sig_addr_chan_rdy <= not(sig_addr_posted_cntr_eq_0);
sig_dqual_rdy <= sig_dqual_reg_full ;
sig_strt_strb_reg <= sig_next_strt_strb_reg ;
sig_last_strb_reg <= sig_next_last_strb_reg ;
sig_tag_reg <= sig_next_tag_reg ;
sig_cmd_cmplt_reg <= sig_next_cmd_cmplt_reg ;
sig_calc_error_reg <= sig_next_calc_error_reg ;
-- Flag indicating that there are no posted commands to AXI
sig_no_posted_cmds <= sig_addr_posted_cntr_eq_0;
-- new for no bubbles between child requests
sig_sequential_push <= sig_good_mmap_dbeat and -- MMap handshake qualified
sig_last_dbeat and -- last data beat of transfer
sig_next_sequential_reg;-- next queued command is sequential
-- to the current command
-- pre 13.1 sig_push_dqual_reg <= (sig_sequential_push or
-- pre 13.1 sig_dqual_reg_empty) and
-- pre 13.1 sig_fifo_rd_cmd_valid and
-- pre 13.1 sig_aposted_cntr_ready and
-- pre 13.1 not(rsc2mstr_halt_pipe); -- The Rd Status Controller is not
-- stalling the command execution pipe
sig_push_dqual_reg <= (sig_sequential_push or
sig_dqual_reg_empty) and
sig_fifo_rd_cmd_valid and
sig_aposted_cntr_ready and
not(sig_calc_error_reg) and -- 13.1 addition => An error has not been propagated
not(rsc2mstr_halt_pipe); -- The Rd Status Controller is not
-- stalling the command execution pipe
sig_pop_dqual_reg <= not(sig_next_calc_error_reg) and
sig_get_next_dqual and
sig_dqual_reg_full ;
-- new for no bubbles between child requests
sig_clr_dqual_reg <= mmap_reset or
(sig_pop_dqual_reg and
not(sig_push_dqual_reg));
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_DQUAL_REG
--
-- Process Description:
-- This process implements a register for the Data
-- Control and qualifiers. It operates like a 1 deep Sync FIFO.
--
-------------------------------------------------------------
IMP_DQUAL_REG : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_clr_dqual_reg = '1') then
sig_next_tag_reg <= (others => '0');
sig_next_strt_strb_reg <= (others => '0');
sig_next_last_strb_reg <= (others => '0');
sig_next_eof_reg <= '0';
sig_next_cmd_cmplt_reg <= '0';
sig_next_sequential_reg <= '0';
sig_next_calc_error_reg <= '0';
sig_next_dre_src_align_reg <= (others => '0');
sig_next_dre_dest_align_reg <= (others => '0');
sig_dqual_reg_empty <= '1';
sig_dqual_reg_full <= '0';
elsif (sig_push_dqual_reg = '1') then
sig_next_tag_reg <= sig_fifo_next_tag ;
sig_next_strt_strb_reg <= sig_fifo_next_strt_strb ;
sig_next_last_strb_reg <= sig_fifo_next_last_strb ;
sig_next_eof_reg <= sig_fifo_next_eof ;
sig_next_cmd_cmplt_reg <= sig_fifo_next_cmd_cmplt ;
sig_next_sequential_reg <= sig_fifo_next_sequential ;
sig_next_calc_error_reg <= sig_fifo_next_calc_error ;
sig_next_dre_src_align_reg <= sig_fifo_next_dre_src_align ;
sig_next_dre_dest_align_reg <= sig_fifo_next_dre_dest_align ;
sig_dqual_reg_empty <= '0';
sig_dqual_reg_full <= '1';
else
null; -- don't change state
end if;
end if;
end process IMP_DQUAL_REG;
-- Address LS Cntr logic --------------------------
sig_addr_lsb_reg <= STD_LOGIC_VECTOR(sig_ls_addr_cntr);
sig_addr_incr_unsgnd <= TO_UNSIGNED(ADDR_INCR_VALUE, C_SEL_ADDR_WIDTH);
sig_incr_ls_addr_cntr <= sig_good_mmap_dbeat;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: DO_ADDR_LSB_CNTR
--
-- Process Description:
-- Implements the LS Address Counter used for controlling
-- the Read Data Mux during Burst transfers
--
-------------------------------------------------------------
DO_ADDR_LSB_CNTR : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1' or
(sig_pop_dqual_reg = '1' and
sig_push_dqual_reg = '0')) then -- Clear the Counter
sig_ls_addr_cntr <= (others => '0');
elsif (sig_push_dqual_reg = '1') then -- Load the Counter
sig_ls_addr_cntr <= unsigned(sig_fifo_next_sadddr_lsb);
elsif (sig_incr_ls_addr_cntr = '1') then -- Increment the Counter
sig_ls_addr_cntr <= sig_ls_addr_cntr + sig_addr_incr_unsgnd;
else
null; -- Hold Current value
end if;
end if;
end process DO_ADDR_LSB_CNTR;
----- Address posted Counter logic --------------------------------
sig_incr_addr_posted_cntr <= sig_addr_posted ;
sig_decr_addr_posted_cntr <= sig_last_mmap_dbeat_reg ;
sig_aposted_cntr_ready <= not(sig_addr_posted_cntr_max);
sig_addr_posted_cntr_eq_0 <= '1'
when (sig_addr_posted_cntr = ADDR_POSTED_ZERO)
Else '0';
sig_addr_posted_cntr_max <= '1'
when (sig_addr_posted_cntr = ADDR_POSTED_MAX)
Else '0';
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_ADDR_POSTED_FIFO_CNTR
--
-- Process Description:
-- This process implements a register for the Address
-- Posted FIFO that operates like a 1 deep Sync FIFO.
--
-------------------------------------------------------------
IMP_ADDR_POSTED_FIFO_CNTR : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1') then
sig_addr_posted_cntr <= ADDR_POSTED_ZERO;
elsif (sig_incr_addr_posted_cntr = '1' and
sig_decr_addr_posted_cntr = '0' and
sig_addr_posted_cntr_max = '0') then
sig_addr_posted_cntr <= sig_addr_posted_cntr + ADDR_POSTED_ONE ;
elsif (sig_incr_addr_posted_cntr = '0' and
sig_decr_addr_posted_cntr = '1' and
sig_addr_posted_cntr_eq_0 = '0') then
sig_addr_posted_cntr <= sig_addr_posted_cntr - ADDR_POSTED_ONE ;
else
null; -- don't change state
end if;
end if;
end process IMP_ADDR_POSTED_FIFO_CNTR;
------- First/Middle/Last Dbeat detirmination -------------------
sig_new_len_eq_0 <= '1'
When (sig_fifo_next_len = LEN_OF_ZERO)
else '0';
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: DO_FIRST_MID_LAST
--
-- Process Description:
-- Implements the detection of the First/Mid/Last databeat of
-- a transfer.
--
-------------------------------------------------------------
DO_FIRST_MID_LAST : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1') then
sig_first_dbeat <= '0';
sig_last_dbeat <= '0';
elsif (sig_ld_new_cmd = '1') then
sig_first_dbeat <= not(sig_new_len_eq_0);
sig_last_dbeat <= sig_new_len_eq_0;
Elsif (sig_dbeat_cntr_eq_1 = '1' and
sig_good_mmap_dbeat = '1') Then
sig_first_dbeat <= '0';
sig_last_dbeat <= '1';
Elsif (sig_dbeat_cntr_eq_0 = '0' and
sig_dbeat_cntr_eq_1 = '0' and
sig_good_mmap_dbeat = '1') Then
sig_first_dbeat <= '0';
sig_last_dbeat <= '0';
else
null; -- hols current state
end if;
end if;
end process DO_FIRST_MID_LAST;
------- Data Controller Halted Indication -------------------------------
data2all_dcntlr_halted <= sig_no_posted_cmds and
(sig_calc_error_reg or
rst2data_stop_request);
------- Data Beat counter logic -------------------------------
sig_dbeat_cntr_int <= TO_INTEGER(sig_dbeat_cntr);
sig_dbeat_cntr_eq_0 <= '1'
when (sig_dbeat_cntr_int = 0)
Else '0';
sig_dbeat_cntr_eq_1 <= '1'
when (sig_dbeat_cntr_int = 1)
Else '0';
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: DO_DBEAT_CNTR
--
-- Process Description:
--
--
-------------------------------------------------------------
DO_DBEAT_CNTR : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1') then
sig_dbeat_cntr <= (others => '0');
elsif (sig_ld_new_cmd = '1') then
sig_dbeat_cntr <= unsigned(sig_fifo_next_len);
Elsif (sig_good_mmap_dbeat = '1' and
sig_dbeat_cntr_eq_0 = '0') Then
sig_dbeat_cntr <= sig_dbeat_cntr-1;
else
null; -- Hold current state
end if;
end if;
end process DO_DBEAT_CNTR;
------ Read Response Status Logic ------------------------------
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: LD_NEW_CMD_PULSE
--
-- Process Description:
-- Generate a 1 Clock wide pulse when a new command has been
-- loaded into the Command Register
--
-------------------------------------------------------------
LD_NEW_CMD_PULSE : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1' or
sig_ld_new_cmd_reg = '1') then
sig_ld_new_cmd_reg <= '0';
elsif (sig_ld_new_cmd = '1') then
sig_ld_new_cmd_reg <= '1';
else
null; -- hold State
end if;
end if;
end process LD_NEW_CMD_PULSE;
sig_pop_coelsc_reg <= sig_coelsc_reg_full and
sig_rsc2data_ready ;
sig_push_coelsc_reg <= (sig_good_mmap_dbeat and
not(sig_coelsc_reg_full)) or
(sig_ld_new_cmd_reg and
sig_calc_error_reg) ;
sig_cmd_cmplt_last_dbeat <= (sig_cmd_cmplt_reg and sig_mmap2data_last) or
sig_calc_error_reg;
------- Read Response Decode
-- Decode the AXI MMap Read Response
sig_decerr <= '1'
When mm2s_rresp = DECERR
Else '0';
sig_slverr <= '1'
When mm2s_rresp = SLVERR
Else '0';
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: RD_RESP_COELESC_REG
--
-- Process Description:
-- Implement the Read error/status coelescing register.
-- Once a bit is set it will remain set until the overall
-- status is written to the Status Controller.
-- Tag bits are just registered at each valid dbeat.
--
-------------------------------------------------------------
STATUS_COELESC_REG : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1' or
(sig_pop_coelsc_reg = '1' and -- Added more qualification here for simultaneus
sig_push_coelsc_reg = '0')) then -- push and pop condition per CR590244
sig_coelsc_tag_reg <= (others => '0');
sig_coelsc_cmd_cmplt_reg <= '0';
sig_coelsc_interr_reg <= '0';
sig_coelsc_decerr_reg <= '0';
sig_coelsc_slverr_reg <= '0';
sig_coelsc_okay_reg <= '1'; -- set back to default of "OKAY"
sig_coelsc_reg_full <= '0';
sig_coelsc_reg_empty <= '1';
Elsif (sig_push_coelsc_reg = '1') Then
sig_coelsc_tag_reg <= sig_tag_reg;
sig_coelsc_cmd_cmplt_reg <= sig_cmd_cmplt_last_dbeat;
sig_coelsc_interr_reg <= sig_calc_error_reg or
sig_coelsc_interr_reg;
sig_coelsc_decerr_reg <= sig_decerr or sig_coelsc_decerr_reg;
sig_coelsc_slverr_reg <= sig_slverr or sig_coelsc_slverr_reg;
sig_coelsc_okay_reg <= not(sig_decerr or
sig_slverr or
sig_calc_error_reg );
sig_coelsc_reg_full <= sig_cmd_cmplt_last_dbeat;
sig_coelsc_reg_empty <= not(sig_cmd_cmplt_last_dbeat);
else
null; -- hold current state
end if;
end if;
end process STATUS_COELESC_REG;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_NO_DRE
--
-- If Generate Description:
-- Ties off DRE Control signals to logic low when DRE is
-- omitted from the MM2S functionality.
--
--
------------------------------------------------------------
GEN_NO_DRE : if (C_INCLUDE_DRE = 0) generate
begin
mm2s_dre_new_align <= '0';
mm2s_dre_use_autodest <= '0';
mm2s_dre_src_align <= (others => '0');
mm2s_dre_dest_align <= (others => '0');
mm2s_dre_flush <= '0';
end generate GEN_NO_DRE;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_INCLUDE_DRE_CNTLS
--
-- If Generate Description:
-- Implements the DRE Control logic when MM2S DRE is enabled.
--
-- - The DRE needs to have forced alignment at a SOF assertion
--
--
------------------------------------------------------------
GEN_INCLUDE_DRE_CNTLS : if (C_INCLUDE_DRE = 1) generate
-- local signals
signal lsig_s_h_dre_autodest : std_logic := '0';
signal lsig_s_h_dre_new_align : std_logic := '0';
begin
mm2s_dre_new_align <= lsig_s_h_dre_new_align;
-- Autodest is asserted on a new parent command and the
-- previous parent command was not delimited with a EOF
mm2s_dre_use_autodest <= lsig_s_h_dre_autodest;
-- Assign the DRE Source and Destination Alignments
-- Only used when mm2s_dre_new_align is asserted
mm2s_dre_src_align <= sig_next_dre_src_align_reg ;
mm2s_dre_dest_align <= sig_next_dre_dest_align_reg;
-- Assert the Flush flag when the MMap Tlast input of the current transfer is
-- asserted and the next transfer is not sequential and not the last
-- transfer of a packet.
mm2s_dre_flush <= mm2s_rlast and
not(sig_next_sequential_reg) and
not(sig_next_eof_reg);
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_S_H_NEW_ALIGN
--
-- Process Description:
-- Generates the new alignment command flag to the DRE.
--
-------------------------------------------------------------
IMP_S_H_NEW_ALIGN : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1') then
lsig_s_h_dre_new_align <= '0';
Elsif (sig_push_dqual_reg = '1' and
sig_fifo_next_drr = '1') Then
lsig_s_h_dre_new_align <= '1';
elsif (sig_pop_dqual_reg = '1') then
lsig_s_h_dre_new_align <= sig_next_cmd_cmplt_reg and
not(sig_next_sequential_reg) and
not(sig_next_eof_reg);
Elsif (sig_good_mmap_dbeat = '1') Then
lsig_s_h_dre_new_align <= '0';
else
null; -- hold current state
end if;
end if;
end process IMP_S_H_NEW_ALIGN;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_S_H_AUTODEST
--
-- Process Description:
-- Generates the control for the DRE indicating whether the
-- DRE destination alignment should be derived from the write
-- strobe stat of the last completed data-beat to the AXI
-- stream output.
--
-------------------------------------------------------------
IMP_S_H_AUTODEST : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1') then
lsig_s_h_dre_autodest <= '0';
Elsif (sig_push_dqual_reg = '1' and
sig_fifo_next_drr = '1') Then
lsig_s_h_dre_autodest <= '0';
elsif (sig_pop_dqual_reg = '1') then
lsig_s_h_dre_autodest <= sig_next_cmd_cmplt_reg and
not(sig_next_sequential_reg) and
not(sig_next_eof_reg);
Elsif (lsig_s_h_dre_new_align = '1' and
sig_good_mmap_dbeat = '1') Then
lsig_s_h_dre_autodest <= '0';
else
null; -- hold current state
end if;
end if;
end process IMP_S_H_AUTODEST;
end generate GEN_INCLUDE_DRE_CNTLS;
------- Soft Shutdown Logic -------------------------------
-- Assign the output port skid buf control
data2skid_halt <= sig_data2skid_halt;
-- Create a 1 clock wide pulse to tell the output
-- stream skid buffer to shut down its outputs
sig_data2skid_halt <= sig_halt_reg_dly2 and
not(sig_halt_reg_dly3);
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_HALT_REQ_REG
--
-- Process Description:
-- Implements the flop for capturing the Halt request from
-- the Reset module.
--
-------------------------------------------------------------
IMP_HALT_REQ_REG : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1') then
sig_halt_reg <= '0';
elsif (rst2data_stop_request = '1') then
sig_halt_reg <= '1';
else
null; -- Hold current State
end if;
end if;
end process IMP_HALT_REQ_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_HALT_REQ_REG_DLY
--
-- Process Description:
-- Implements the flops for delaying the halt request by 3
-- clocks to allow the Address Controller to halt before the
-- Data Contoller can safely indicate it has exhausted all
-- transfers committed to the AXI Address Channel by the Address
-- Controller.
--
-------------------------------------------------------------
IMP_HALT_REQ_REG_DLY : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1') then
sig_halt_reg_dly1 <= '0';
sig_halt_reg_dly2 <= '0';
sig_halt_reg_dly3 <= '0';
else
sig_halt_reg_dly1 <= sig_halt_reg;
sig_halt_reg_dly2 <= sig_halt_reg_dly1;
sig_halt_reg_dly3 <= sig_halt_reg_dly2;
end if;
end if;
end process IMP_HALT_REQ_REG_DLY;
end implementation;
| bsd-2-clause | 3e0cdfb1d272ca2f9ff7ba8f66f4ff13 | 0.4024 | 5.07835 | false | false | false | false |
Logistic1994/CPU | module_ALU.vhd | 1 | 3,656 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 14:16:20 05/20/2015
-- Design Name:
-- Module Name: module_ALU - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity module_ALU is
port(
clk_ALU: in std_logic;
nreset: in std_logic;
M_A, M_B: in std_logic; -- ÔÝ´æÆ÷¿ØÖÆÐźÅ
M_F: in std_logic; -- ÒÆÎ»µÄ¿ØÖÆÐźÅ
nALU_EN: in std_logic; -- ALU½á¹ûÊä³öʹÄÜ
nPSW_EN: in std_logic; -- PSWÊä³öʹÄÜ
C0: in std_logic; -- ½øÎ»ÊäÈë
S: in std_logic_vector(4 downto 0); -- ÔËËãÀàÐͺͲÙ×÷Ñ¡Ôñ
F_in: in std_logic_vector(1 downto 0); -- ÒÆÎ»¹¦ÄÜÑ¡Ôñ
datai: in std_logic_vector(7 downto 0); -- Êý¾Ý
datao: out std_logic_vector(7 downto 0);
do: out std_logic;
AC: out std_logic;
CY: out std_logic;
ZN: out std_logic;
OV: out std_logic);
end module_ALU;
architecture Behavioral of module_ALU is
component module_74181
port (
M: in std_logic; -- Ñ¡ÔñÂß¼»òÕßËãÊõ
A: in std_logic_vector(3 downto 0); -- ÊäÈëÊýA
B: in std_logic_vector(3 downto 0); -- ÊäÈëÊýB
S: in std_logic_vector(3 downto 0); -- op
C0: in std_logic; -- ½øÎ»ÊäÈë
result: out std_logic_vector(3 downto 0); -- ½á¹û
CN: out std_logic); -- ½øÎ»Êä³ö
end component;
signal center_C: std_logic; -- ÖÐ¼ä½øÎ»
signal final_C: std_logic; -- ×îÖÕ½øÎ»
signal d_A, d_B: std_logic_vector(7 downto 0);
signal result: std_logic_vector(7 downto 0);
signal result_shifted: std_logic_vector(7 downto 0);
signal tmp_AC, tmp_CY, tmp_ZN, tmp_OV: std_logic;
begin
tmp_AC <= center_C;
tmp_CY <= final_C;
tmp_ZN <= '1' when result_shifted = X"00" else '0';
tmp_OV <= '1' when (d_A(7) = d_B(7) and d_A(7) /= result(7)) else '0';
result_shifted <=
(result(6 downto 0) & '0') when F_in = "11" and M_F = '1' else
(result(0) & result(7 downto 1)) when F_in = "01" and M_F = '1' else
(result(6 downto 0) & result(7)) when F_in = "10" and M_F = '1' else
result;
process(nreset, clk_ALU)
begin
if nreset = '0' then
d_A <= (others => '0');
d_B <= (others => '0');
ZN <= '0';
elsif rising_edge(clk_ALU) then
if M_A = '1' then
d_A <= datai;
datao <= (others => 'Z');
do <= '0';
elsif M_B = '1' then
d_B <= datai;
datao <= (others => 'Z');
do <= '0';
elsif nALU_EN = '0' then
datao <= result_shifted;
AC <= tmp_AC; CY <= tmp_CY; ZN <= tmp_ZN; OV <= tmp_OV;
do <= '1';
elsif nPSW_EN = '0' then
datao <= "0000" & tmp_AC & tmp_CY & tmp_ZN & tmp_OV;
do <= '1';
else
datao <= (others => 'Z');
do <= '0';
end if;
end if;
end process;
-- µÚÒ»¿é
U1:
module_74181
port map(
M => S(4),
A => d_A(3 downto 0),
B => d_B(3 downto 0),
S => S(3 downto 0),
C0 => C0,
result => result(3 downto 0),
CN => center_C);
-- µÚ¶þ¿é
U2:
module_74181
port map(
M => S(4),
A => d_A(7 downto 4),
B => d_B(7 downto 4),
S => S(3 downto 0),
C0 =>center_C,
result => result(7 downto 4),
CN => final_C);
end Behavioral;
| gpl-2.0 | 09609e1ff66e3fb3a942e4dca34438ae | 0.567287 | 2.521379 | false | false | false | false |
tdaede/daala_zynq | daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_cmd_status.vhd | 1 | 20,648 | -------------------------------------------------------------------------------
-- axi_datamover_cmd_status.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_datamover_cmd_status.vhd
--
-- Description:
-- This file implements the DataMover Command and Status interfaces.
--
--
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- axi_datamover_cmd_status.vhd
--
-------------------------------------------------------------------------------
-- Revision History:
--
--
-- Author: DET
--
-- History:
-- DET 04/19/2011 Initial Version for EDK 13.3
--
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library axi_datamover_v5_1;
Use axi_datamover_v5_1.axi_datamover_fifo;
-------------------------------------------------------------------------------
entity axi_datamover_cmd_status is
generic (
C_ADDR_WIDTH : Integer range 32 to 64 := 32;
-- Indictes the width of the DataMover Address bus
C_INCLUDE_STSFIFO : Integer range 0 to 1 := 1;
-- Indicates if a Stus FIFO is to be included or omitted
-- 0 = Omit
-- 1 = Include
C_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 4;
-- Sets the depth of the Command and Status FIFOs
C_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0;
-- Indicates if the Command and Status Stream Channels are clocked with
-- a different clock than the Main dataMover Clock
-- 0 = Same Clock
-- 1 = Different clocks
C_CMD_WIDTH : Integer := 68;
-- Sets the width of the input command
C_STS_WIDTH : Integer := 8;
-- Sets the width of the output status
C_ENABLE_CACHE_USER : Integer range 0 to 1 := 0;
C_FAMILY : string := "virtex7"
-- Sets the target FPGA family
);
port (
-- Clock inputs ----------------------------------------------------
primary_aclk : in std_logic; --
-- Primary synchronization clock for the Master side --
-- interface and internal logic. It is also used --
-- for the User interface synchronization when --
-- C_STSCMD_IS_ASYNC = 0. --
--
secondary_awclk : in std_logic; --
-- Clock used for the Command and Status User Interface --
-- when the User Command and Status interface is Async --
-- to the MMap interface. Async mode is set by the assigned --
-- value to C_STSCMD_IS_ASYNC = 1. --
--------------------------------------------------------------------
-- Reset inputs ----------------------------------------------------
user_reset : in std_logic; --
-- Reset used for the User Stream interface logic --
--
internal_reset : in std_logic; --
-- Reset used for the internal master interface logic --
--------------------------------------------------------------------
-- User Command Stream Ports (AXI Stream) -------------------------------
cmd_wvalid : in std_logic; --
cmd_wready : out std_logic; --
cmd_wdata : in std_logic_vector(C_CMD_WIDTH-1 downto 0); --
cache_data : in std_logic_vector(7 downto 0); --
-------------------------------------------------------------------------
-- User Status Stream Ports (AXI Stream) ------------------------------------
sts_wvalid : out std_logic; --
sts_wready : in std_logic; --
sts_wdata : out std_logic_vector(C_STS_WIDTH-1 downto 0); --
sts_wstrb : out std_logic_vector((C_STS_WIDTH/8)-1 downto 0); --
sts_wlast : out std_logic; --
-----------------------------------------------------------------------------
-- Internal Command Out Interface -----------------------------------------------
cmd2mstr_command : Out std_logic_vector(C_CMD_WIDTH-1 downto 0); --
-- The next command value available from the Command FIFO/Register --
cache2mstr_command : Out std_logic_vector(7 downto 0); --
-- The cache value available from the FIFO/Register --
--
mst2cmd_cmd_valid : Out std_logic; --
-- Handshake bit indicating the Command FIFO/Register has at least 1 valid --
-- command entry --
--
cmd2mstr_cmd_ready : in std_logic; --
-- Handshake bit indicating the Command Calculator is ready to accept --
-- another command --
---------------------------------------------------------------------------------
-- Internal Status In Interface -----------------------------------------------------
mstr2stat_status : in std_logic_vector(C_STS_WIDTH-1 downto 0); --
-- The input for writing the status value to the Status FIFO/Register --
--
stat2mstr_status_ready : Out std_logic; --
-- Handshake bit indicating that the Status FIFO/Register is ready for transfer --
--
mst2stst_status_valid : In std_logic --
-- Handshake bit for writing the Status value into the Status FIFO/Register --
--------------------------------------------------------------------------------------
);
end entity axi_datamover_cmd_status;
architecture implementation of axi_datamover_cmd_status is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-- Function
-------------------------------------------------------------------
-- Function
--
-- Function Name: get_fifo_prim_type
--
-- Function Description:
-- Returns the fifo primitiver type to use for the given input
-- conditions.
--
-- 0 = Not used or allowed here
-- 1 = BRAM Primitives (Block Memory)
-- 2 = Distributed memory
--
-------------------------------------------------------------------
function get_fifo_prim_type (is_async : integer;
depth : integer) return integer is
Variable var_temp_prim_type : Integer := 1;
begin
if (is_async = 1) then -- Async FIFOs always use Blk Mem (BRAM)
var_temp_prim_type := 1;
elsif (depth <= 64) then -- (use srls or distrubuted)
var_temp_prim_type := 2;
else -- depth is too big for SRLs so use Blk Memory (BRAM)
var_temp_prim_type := 1;
end if;
Return (var_temp_prim_type);
end function get_fifo_prim_type;
-- Constants
Constant REGISTER_TYPE : integer := 0;
Constant BRAM_TYPE : integer := 1;
--Constant SRL_TYPE : integer := 2;
--Constant FIFO_PRIM_TYPE : integer := SRL_TYPE;
Constant FIFO_PRIM_TYPE : integer := get_fifo_prim_type(C_STSCMD_IS_ASYNC,
C_STSCMD_FIFO_DEPTH);
-- Signals
signal sig_cmd_fifo_wr_clk : std_logic := '0';
signal sig_cmd_fifo_wr_rst : std_logic := '0';
signal sig_cmd_fifo_rd_clk : std_logic := '0';
signal sig_cmd_fifo_rd_rst : std_logic := '0';
signal sig_sts_fifo_wr_clk : std_logic := '0';
signal sig_sts_fifo_wr_rst : std_logic := '0';
signal sig_sts_fifo_rd_clk : std_logic := '0';
signal sig_sts_fifo_rd_rst : std_logic := '0';
signal sig_reset_mstr : std_logic := '0';
signal sig_reset_user : std_logic := '0';
begin --(architecture implementation)
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_SYNC_RESET
--
-- If Generate Description:
-- This IfGen assigns the clock and reset signals for the
-- synchronous User interface case
--
------------------------------------------------------------
GEN_SYNC_RESET : if (C_STSCMD_IS_ASYNC = 0) generate
begin
sig_reset_mstr <= internal_reset ;
sig_reset_user <= internal_reset ;
sig_cmd_fifo_wr_clk <= primary_aclk ;
sig_cmd_fifo_wr_rst <= sig_reset_user;
sig_cmd_fifo_rd_clk <= primary_aclk ;
sig_cmd_fifo_rd_rst <= sig_reset_mstr;
sig_sts_fifo_wr_clk <= primary_aclk ;
sig_sts_fifo_wr_rst <= sig_reset_mstr;
sig_sts_fifo_rd_clk <= primary_aclk ;
sig_sts_fifo_rd_rst <= sig_reset_user;
end generate GEN_SYNC_RESET;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_ASYNC_RESET
--
-- If Generate Description:
-- This IfGen assigns the clock and reset signals for the
-- Asynchronous User interface case
--
------------------------------------------------------------
GEN_ASYNC_RESET : if (C_STSCMD_IS_ASYNC = 1) generate
begin
sig_reset_mstr <= internal_reset ;
sig_reset_user <= user_reset ;
sig_cmd_fifo_wr_clk <= secondary_awclk;
sig_cmd_fifo_wr_rst <= sig_reset_user ;
sig_cmd_fifo_rd_clk <= primary_aclk ;
sig_cmd_fifo_rd_rst <= sig_reset_mstr ;
sig_sts_fifo_wr_clk <= primary_aclk ;
sig_sts_fifo_wr_rst <= sig_reset_mstr ;
sig_sts_fifo_rd_clk <= secondary_awclk;
sig_sts_fifo_rd_rst <= sig_reset_user ;
end generate GEN_ASYNC_RESET;
------------------------------------------------------------
-- Instance: I_CMD_FIFO
--
-- Description:
-- Instance for the Command FIFO
-- The User Interface is the Write Side
-- The Internal Interface is the Read side
--
------------------------------------------------------------
I_CMD_FIFO : entity axi_datamover_v5_1.axi_datamover_fifo
generic map (
C_DWIDTH => C_CMD_WIDTH ,
C_DEPTH => C_STSCMD_FIFO_DEPTH ,
C_IS_ASYNC => C_STSCMD_IS_ASYNC ,
C_PRIM_TYPE => FIFO_PRIM_TYPE ,
C_FAMILY => C_FAMILY
)
port map (
-- Write Clock and reset
fifo_wr_reset => sig_cmd_fifo_wr_rst ,
fifo_wr_clk => sig_cmd_fifo_wr_clk ,
-- Write Side
fifo_wr_tvalid => cmd_wvalid ,
fifo_wr_tready => cmd_wready ,
fifo_wr_tdata => cmd_wdata ,
fifo_wr_full => open ,
-- Read Clock and reset
fifo_async_rd_reset => sig_cmd_fifo_rd_rst ,
fifo_async_rd_clk => sig_cmd_fifo_rd_clk ,
-- Read Side
fifo_rd_tvalid => mst2cmd_cmd_valid ,
fifo_rd_tready => cmd2mstr_cmd_ready ,
fifo_rd_tdata => cmd2mstr_command ,
fifo_rd_empty => open
);
CACHE_ENABLE : if C_ENABLE_CACHE_USER = 1 generate
begin
I_CACHE_FIFO : entity axi_datamover_v5_1.axi_datamover_fifo
generic map (
C_DWIDTH => 8 ,
C_DEPTH => C_STSCMD_FIFO_DEPTH ,
C_IS_ASYNC => C_STSCMD_IS_ASYNC ,
C_PRIM_TYPE => FIFO_PRIM_TYPE ,
C_FAMILY => C_FAMILY
)
port map (
-- Write Clock and reset
fifo_wr_reset => sig_cmd_fifo_wr_rst ,
fifo_wr_clk => sig_cmd_fifo_wr_clk ,
-- Write Side
fifo_wr_tvalid => cmd_wvalid ,
fifo_wr_tready => open ,--cmd_wready ,
fifo_wr_tdata => cache_data ,
fifo_wr_full => open ,
-- Read Clock and reset
fifo_async_rd_reset => sig_cmd_fifo_rd_rst ,
fifo_async_rd_clk => sig_cmd_fifo_rd_clk ,
-- Read Side
fifo_rd_tvalid => open ,--mst2cmd_cmd_valid ,
fifo_rd_tready => cmd2mstr_cmd_ready ,
fifo_rd_tdata => cache2mstr_command ,
fifo_rd_empty => open
);
end generate;
CACHE_DISABLE : if C_ENABLE_CACHE_USER = 0 generate
begin
cache2mstr_command <= (others => '0');
end generate CACHE_DISABLE;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_INCLUDE_STATUS_FIFO
--
-- If Generate Description:
-- Instantiates a Status FIFO
--
--
------------------------------------------------------------
GEN_INCLUDE_STATUS_FIFO : if (C_INCLUDE_STSFIFO = 1) generate
begin
-- Set constant outputs for Status Interface
sts_wstrb <= (others => '1');
sts_wlast <= '1';
------------------------------------------------------------
-- Instance: I_STS_FIFO
--
-- Description:
-- Instance for the Status FIFO
-- The Internal Interface is the Write Side
-- The User Interface is the Read side
--
------------------------------------------------------------
I_STS_FIFO : entity axi_datamover_v5_1.axi_datamover_fifo
generic map (
C_DWIDTH => C_STS_WIDTH ,
C_DEPTH => C_STSCMD_FIFO_DEPTH ,
C_IS_ASYNC => C_STSCMD_IS_ASYNC ,
C_PRIM_TYPE => FIFO_PRIM_TYPE ,
C_FAMILY => C_FAMILY
)
port map (
-- Write Clock and reset
fifo_wr_reset => sig_sts_fifo_wr_rst ,
fifo_wr_clk => sig_sts_fifo_wr_clk ,
-- Write Side
fifo_wr_tvalid => mst2stst_status_valid ,
fifo_wr_tready => stat2mstr_status_ready,
fifo_wr_tdata => mstr2stat_status ,
fifo_wr_full => open ,
-- Read Clock and reset
fifo_async_rd_reset => sig_sts_fifo_rd_rst ,
fifo_async_rd_clk => sig_sts_fifo_rd_clk ,
-- Read Side
fifo_rd_tvalid => sts_wvalid ,
fifo_rd_tready => sts_wready ,
fifo_rd_tdata => sts_wdata ,
fifo_rd_empty => open
);
end generate GEN_INCLUDE_STATUS_FIFO;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_OMIT_STATUS_FIFO
--
-- If Generate Description:
-- Omits the Status FIFO
--
--
------------------------------------------------------------
GEN_OMIT_STATUS_FIFO : if (C_INCLUDE_STSFIFO = 0) generate
begin
-- Status FIFO User interface housekeeping
sts_wvalid <= '0';
-- sts_wready -- ignored
sts_wdata <= (others => '0');
sts_wstrb <= (others => '0');
sts_wlast <= '0';
-- Status FIFO Internal interface housekeeping
stat2mstr_status_ready <= '1';
-- mstr2stat_status -- ignored
-- mst2stst_status_valid -- ignored
end generate GEN_OMIT_STATUS_FIFO;
end implementation;
| bsd-2-clause | 509b7cd64882f72a38cc55140f383919 | 0.422704 | 4.945629 | false | false | false | false |
cwilkens/ecen4024-microphone-array | microphone-array/microphone-array.srcs/sources_1/ip/lp_FIR/fir_compiler_v7_1/hdl/dpt_mem.vhd | 2 | 17,890 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
d1Y7SI75/ncj2NOpiXBdeAKKW4YIZc5dY1wmgmKo3UhWGF3tkvasbKJk3GY/i9OUTx1/mgmLaULn
aLOVyhsmqw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
CbYMyUVt7f8Cm8P0rJpVliTyMNLbqm3t3+8tlz25Zo2uo5xxwS+XkHmLPngbMnq4+bLrPqra4ABh
2m/Hjyzycd4PQSpeUb3s9yTioEpMZWOPVpqEqzeiQ/drmKK7CyEIQmAhza6rCAFxTokksjNQa+Df
1t5XnOH8cHmyxGUOSSc=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
lR+o0AavWkhzvm1Ucst81UbWHwHgfOuIM8RnPF3SymuUKj430f2Tji9c76H4VsgY/VG8sJQzKPWu
93zIrU+pJsLvBaUwv33OwhKsCWXAOGmTn64Y0HQHuHBA+Snf1fTuSYq8rvDWjfwxZ2Fp2yo9yuZs
dWCehUFpiDl2sC9hz1gAkc4lOVcM2Y1H+sJpxCbLUFh9L7QMTtr/WCWuA2VwANzo2UgAKq06jheq
W53Pg6lHrfFiedOTmw924MNY3jDYDKEgH5ryJDRKpBz0nMCUEmL21CKDpjhv39KqmP1x7eoSK+N6
HPDoGXsxiCdRKwx/RbRRyN3U6Dz5km2pAefy2A==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
kVLA3RY++qsWoQfQsJx+ONEqVOlC22D4lp/TfnJa1zRbJanKyH5D8OmJV1ifKAYLOKeO2Gxa5Lew
QT8Q33ILgUOg+pkVD/M6iqmX+mg47EiCvckXyvPK6GYtQ5kaVayaji0nVOSInPgLCjHJTqP+NSr8
ROrL5sZYCOHVR4hwcX8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
tFKRsyYcJv+aWum7YxS7RlWNlQAAnAKpldcFBZU+86tgQID5vC07MiomkZN7W0JRnGlBDhFukCkI
Kz2q2pjppHFjHGN/uZvzu2jEZocYe4nOEKqy2CcyON6G2STV3xnTpwu+qsmoyNxgfWkowCyHiRFx
QLHuIS/yFuLQ9F6WqArpU/EmTlR4xIueMHCJq1KnGqG8J1srFosBt5qJeiKMeaZWw5MEJ/cNZiSn
YHss3W6YUHPQUgui7k5GN7Wyeq2S7wTwk8rQKMy+Z9LMJpswqXEnYQrjIWC0aMGgYuCfGJt7fauH
rGq9r61ZO1fkEH3DnArNLFyBdMT0KfoDFiN8Qw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11504)
`protect data_block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=
`protect end_protected
| mit | 7ff6399e7405c8954d24db93b9e5c779 | 0.937842 | 1.862572 | false | false | false | false |
tdaede/daala_zynq | daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/blk_mem_gen_v8_0/blk_mem_gen_prim_wrapper_v6.vhd | 2 | 1,006,648 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
LNbXvQtBKyFHy9qPxA97aIK8uqoE3PfEaVvayK0wTf70NwJyKdcjIRSYKqGgBXOkCFVHlxgX8ytA
GnbLdXPbmg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
n93el65GO0GSKbE390DM7Pl7y4X2U2PtcyeMt384Sc27eu9lDc0z7BnCXz33tTQMwOy68CrE2WUW
KKO1u/RK/Vi4afduKHIn6DKsbGKOe4MLCC05JEwsvohuEOQhH4DxnTVq3emS3s7wkrCj/AF8yHhX
an4K8oSNmTgzKz+LjBQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MNQXacc5oo5RX3nVJSeoUis0ZmLmP6vwvrDp9aa+BkTtJ4cvz66/vocwr7VDqWVdrR08sMFNBHOi
n+cHrUP9jfTte3GiSa8oQbLLLZJuM3Zl45sZqIsNofHx5sx2Nblf/T8JEngzMfQhAETEpygddjN+
qFi4wSqAkL+WYEKJJb3BLekUCQXWi6L/gzu7sJ9dQeYQlhLNU6JDF2m8/fX995tUL86bR4F/AIlE
xOi3o+AvpMP1f90vKLiFSGAk59ZdlEgnAhi9c/Fr/NXhFocuXl3U/EUtvXTSG+9edcibiRTCG7Oj
f9dWC0+1/JipRFk+nPCYK+vV+rohp5wV2fiR9g==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
eKnhzDsAR4M3HTRRIl7xKxJh4SGnGWqmnmlRyHrpbO2VIILWVHJTp+PHn2JdUD3Apo1CRj4QoRqZ
1GXChjE2xvvSb3XewvGUs4YXxs6LXhmQKwHIyiOIfiIEjPSBtxHMmlI8h/SlWRZQ6LPgKcReEuUu
BsDSeegXAI2ih10G1VQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
WfMMfgb/gr7fdmpPia1ObBv7LSbIBHuQoQtJxI5YqA96xf1fnmbQzUcuV04Pf9qHMCb2r6iqrKem
oYAOIulJEuPhjAhTXPq/X4wL1oh6fa7xttZNFzz4vk1yH6iH1dyt1Q73i14WqIR7X2iLAVD2Iwzv
iPt8aI6MiL2fvy9X5NNUvT0n3z5Druh1CjCPIdTOq+tyh7zSHM/xWC1VCxNrBGWoPoolFGxd2q7K
KYuUQ3iaSVSfZ/7sTiB3xpHvHgSFpoSElkUXQIC4ww4b+yR6DL6osYjyHQJpVyXNUq0JaClV+aya
8Ral24ByczMmC/UNIFgzZV/9eIjejUBQTizZrw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 743440)
`protect data_block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==
`protect end_protected
| bsd-2-clause | 8d57c6cbe81a5ff343c04bc36088d8bd | 0.955866 | 1.807933 | false | false | false | false |
Yarr/Yarr-fw | rtl/spartan6/ddr3-core/ip_cores/ddr3_ctrl_spec_bank3_32b_32b/example_design/sim/functional/sim_tb_top.vhd | 2 | 16,400 | --*****************************************************************************
-- (c) Copyright 2009 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--*****************************************************************************
-- ____ ____
-- / /\/ /
-- /___/ \ / Vendor : Xilinx
-- \ \ \/ Version : 3.9
-- \ \ Application : MIG
-- / / Filename : sim_tb_top.vhd
-- /___/ /\ Date Last Modified : $Date: 2011/06/02 07:16:58 $
-- \ \ / \ Date Created : Jul 03 2009
-- \___\/\___\
--
-- Device : Spartan-6
-- Design Name : DDR/DDR2/DDR3/LPDDR
-- Purpose : This is the simulation testbench which is used to verify the
-- design. The basic clocks and resets to the interface are
-- generated here. This also connects the memory interface to the
-- memory model.
--*****************************************************************************
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library unisim;
use unisim.vcomponents.all;
entity sim_tb_top is
end entity sim_tb_top;
architecture arch of sim_tb_top is
-- ========================================================================== --
-- Parameters --
-- ========================================================================== --
constant DEBUG_EN : integer :=0;
constant C3_HW_TESTING : string := "FALSE";
function c3_sim_hw (val1:std_logic_vector( 31 downto 0); val2: std_logic_vector( 31 downto 0) ) return std_logic_vector is
begin
if (C3_HW_TESTING = "FALSE") then
return val1;
else
return val2;
end if;
end function;
constant C3_MEMCLK_PERIOD : integer := 3000;
constant C3_RST_ACT_LOW : integer := 0;
constant C3_INPUT_CLK_TYPE : string := "SINGLE_ENDED";
constant C3_CLK_PERIOD_NS : real := 3000.0 / 1000.0;
constant C3_TCYC_SYS : real := C3_CLK_PERIOD_NS/2.0;
constant C3_TCYC_SYS_DIV2 : time := C3_TCYC_SYS * 1 ns;
constant C3_NUM_DQ_PINS : integer := 16;
constant C3_MEM_ADDR_WIDTH : integer := 14;
constant C3_MEM_BANKADDR_WIDTH : integer := 3;
constant C3_MEM_ADDR_ORDER : string := "ROW_BANK_COLUMN";
constant C3_P0_MASK_SIZE : integer := 4;
constant C3_P0_DATA_PORT_SIZE : integer := 32;
constant C3_P1_MASK_SIZE : integer := 4;
constant C3_P1_DATA_PORT_SIZE : integer := 32;
constant C3_CALIB_SOFT_IP : string := "TRUE";
constant C3_SIMULATION : string := "TRUE";
-- ========================================================================== --
-- Component Declarations
-- ========================================================================== --
component example_top is
generic
(
C3_P0_MASK_SIZE : integer;
C3_P0_DATA_PORT_SIZE : integer;
C3_P1_MASK_SIZE : integer;
C3_P1_DATA_PORT_SIZE : integer;
C3_MEMCLK_PERIOD : integer;
C3_RST_ACT_LOW : integer;
C3_INPUT_CLK_TYPE : string;
DEBUG_EN : integer;
C3_CALIB_SOFT_IP : string;
C3_SIMULATION : string;
C3_HW_TESTING : string;
C3_MEM_ADDR_ORDER : string;
C3_NUM_DQ_PINS : integer;
C3_MEM_ADDR_WIDTH : integer;
C3_MEM_BANKADDR_WIDTH : integer
);
port
(
calib_done : out std_logic;
error : out std_logic;
mcb3_dram_dq : inout std_logic_vector(C3_NUM_DQ_PINS-1 downto 0);
mcb3_dram_a : out std_logic_vector(C3_MEM_ADDR_WIDTH-1 downto 0);
mcb3_dram_ba : out std_logic_vector(C3_MEM_BANKADDR_WIDTH-1 downto 0);
mcb3_dram_ras_n : out std_logic;
mcb3_dram_cas_n : out std_logic;
mcb3_dram_we_n : out std_logic;
mcb3_dram_odt : out std_logic;
mcb3_dram_cke : out std_logic;
mcb3_dram_dm : out std_logic;
mcb3_rzq : inout std_logic;
c3_sys_clk : in std_logic;
c3_sys_rst_i : in std_logic;
mcb3_dram_dqs : inout std_logic;
mcb3_dram_dqs_n : inout std_logic;
mcb3_dram_ck : out std_logic;
mcb3_dram_ck_n : out std_logic;
mcb3_dram_udqs : inout std_logic;
mcb3_dram_udqs_n : inout std_logic;
mcb3_dram_udm : out std_logic;
mcb3_dram_reset_n : out std_logic
);
end component;
component ddr3_model_c3 is
port (
ck : in std_logic;
ck_n : in std_logic;
cke : in std_logic;
cs_n : in std_logic;
ras_n : in std_logic;
cas_n : in std_logic;
we_n : in std_logic;
dm_tdqs : inout std_logic_vector((C3_NUM_DQ_PINS/16) downto 0);
ba : in std_logic_vector((C3_MEM_BANKADDR_WIDTH - 1) downto 0);
addr : in std_logic_vector((C3_MEM_ADDR_WIDTH - 1) downto 0);
dq : inout std_logic_vector((C3_NUM_DQ_PINS - 1) downto 0);
dqs : inout std_logic_vector((C3_NUM_DQ_PINS/16) downto 0);
dqs_n : inout std_logic_vector((C3_NUM_DQ_PINS/16) downto 0);
tdqs_n : out std_logic_vector((C3_NUM_DQ_PINS/16) downto 0);
odt : in std_logic;
rst_n : in std_logic
);
end component;
-- ========================================================================== --
-- Signal Declarations --
-- ========================================================================== --
-- Clocks
signal c3_sys_clk : std_logic := '0';
signal c3_sys_clk_p : std_logic;
signal c3_sys_clk_n : std_logic;
-- System Reset
signal c3_sys_rst : std_logic := '0';
signal c3_sys_rst_i : std_logic;
-- Design-Top Port Map
signal mcb3_dram_a : std_logic_vector(C3_MEM_ADDR_WIDTH-1 downto 0);
signal mcb3_dram_ba : std_logic_vector(C3_MEM_BANKADDR_WIDTH-1 downto 0);
signal mcb3_dram_ck : std_logic;
signal mcb3_dram_ck_n : std_logic;
signal mcb3_dram_dq : std_logic_vector(C3_NUM_DQ_PINS-1 downto 0);
signal mcb3_dram_dqs : std_logic;
signal mcb3_dram_dqs_n : std_logic;
signal mcb3_dram_dm : std_logic;
signal mcb3_dram_ras_n : std_logic;
signal mcb3_dram_cas_n : std_logic;
signal mcb3_dram_we_n : std_logic;
signal mcb3_dram_cke : std_logic;
signal mcb3_dram_odt : std_logic;
signal mcb3_dram_reset_n : std_logic;
signal calib_done : std_logic;
signal error : std_logic;
signal mcb3_dram_udqs : std_logic;
signal mcb3_dram_udqs_n : std_logic;
signal mcb3_dram_dqs_vector : std_logic_vector(1 downto 0);
signal mcb3_dram_dqs_n_vector : std_logic_vector(1 downto 0);
signal mcb3_dram_udm :std_logic; -- for X16 parts
signal mcb3_dram_dm_vector : std_logic_vector(1 downto 0);
signal mcb3_command : std_logic_vector(2 downto 0);
signal mcb3_enable1 : std_logic;
signal mcb3_enable2 : std_logic;
signal rzq3 : std_logic;
function vector (asi:std_logic) return std_logic_vector is
variable v : std_logic_vector(0 downto 0) ;
begin
v(0) := asi;
return(v);
end function vector;
begin
-- ========================================================================== --
-- Clocks Generation --
-- ========================================================================== --
process
begin
c3_sys_clk <= not c3_sys_clk;
wait for (C3_TCYC_SYS_DIV2);
end process;
c3_sys_clk_p <= c3_sys_clk;
c3_sys_clk_n <= not c3_sys_clk;
-- ========================================================================== --
-- Reset Generation --
-- ========================================================================== --
process
begin
c3_sys_rst <= '0';
wait for 200 ns;
c3_sys_rst <= '1';
wait;
end process;
c3_sys_rst_i <= c3_sys_rst when (C3_RST_ACT_LOW = 1) else (not c3_sys_rst);
rzq_pulldown3 : PULLDOWN port map(O => rzq3);
-- ========================================================================== --
-- DESIGN TOP INSTANTIATION --
-- ========================================================================== --
design_top : example_top generic map
(
C3_P0_MASK_SIZE => C3_P0_MASK_SIZE,
C3_P0_DATA_PORT_SIZE => C3_P0_DATA_PORT_SIZE,
C3_P1_MASK_SIZE => C3_P1_MASK_SIZE,
C3_P1_DATA_PORT_SIZE => C3_P1_DATA_PORT_SIZE,
C3_MEMCLK_PERIOD => C3_MEMCLK_PERIOD,
C3_RST_ACT_LOW => C3_RST_ACT_LOW,
C3_INPUT_CLK_TYPE => C3_INPUT_CLK_TYPE,
DEBUG_EN => DEBUG_EN,
C3_MEM_ADDR_ORDER => C3_MEM_ADDR_ORDER,
C3_NUM_DQ_PINS => C3_NUM_DQ_PINS,
C3_MEM_ADDR_WIDTH => C3_MEM_ADDR_WIDTH,
C3_MEM_BANKADDR_WIDTH => C3_MEM_BANKADDR_WIDTH,
C3_HW_TESTING => C3_HW_TESTING,
C3_SIMULATION => C3_SIMULATION,
C3_CALIB_SOFT_IP => C3_CALIB_SOFT_IP
)
port map (
calib_done => calib_done,
error => error,
c3_sys_clk => c3_sys_clk,
c3_sys_rst_i => c3_sys_rst_i,
mcb3_dram_dq => mcb3_dram_dq,
mcb3_dram_a => mcb3_dram_a,
mcb3_dram_ba => mcb3_dram_ba,
mcb3_dram_ras_n => mcb3_dram_ras_n,
mcb3_dram_cas_n => mcb3_dram_cas_n,
mcb3_dram_we_n => mcb3_dram_we_n,
mcb3_dram_odt => mcb3_dram_odt,
mcb3_dram_cke => mcb3_dram_cke,
mcb3_dram_ck => mcb3_dram_ck,
mcb3_dram_ck_n => mcb3_dram_ck_n,
mcb3_dram_dqs_n => mcb3_dram_dqs_n,
mcb3_dram_reset_n => mcb3_dram_reset_n,
mcb3_dram_udqs => mcb3_dram_udqs, -- for X16 parts
mcb3_dram_udqs_n => mcb3_dram_udqs_n, -- for X16 parts
mcb3_dram_udm => mcb3_dram_udm, -- for X16 parts
mcb3_dram_dm => mcb3_dram_dm,
mcb3_rzq => rzq3,
mcb3_dram_dqs => mcb3_dram_dqs
);
-- ========================================================================== --
-- Memory model instances --
-- ========================================================================== --
mcb3_command <= (mcb3_dram_ras_n & mcb3_dram_cas_n & mcb3_dram_we_n);
process(mcb3_dram_ck)
begin
if (rising_edge(mcb3_dram_ck)) then
if (c3_sys_rst = '0') then
mcb3_enable1 <= '0';
mcb3_enable2 <= '0';
elsif (mcb3_command = "100") then
mcb3_enable2 <= '0';
elsif (mcb3_command = "101") then
mcb3_enable2 <= '1';
else
mcb3_enable2 <= mcb3_enable2;
end if;
mcb3_enable1 <= mcb3_enable2;
end if;
end process;
-----------------------------------------------------------------------------
--read
-----------------------------------------------------------------------------
mcb3_dram_dqs_vector(1 downto 0) <= (mcb3_dram_udqs & mcb3_dram_dqs)
when (mcb3_enable2 = '0' and mcb3_enable1 = '0')
else "ZZ";
mcb3_dram_dqs_n_vector(1 downto 0) <= (mcb3_dram_udqs_n & mcb3_dram_dqs_n)
when (mcb3_enable2 = '0' and mcb3_enable1 = '0')
else "ZZ";
-----------------------------------------------------------------------------
--write
-----------------------------------------------------------------------------
mcb3_dram_dqs <= mcb3_dram_dqs_vector(0)
when ( mcb3_enable1 = '1') else 'Z';
mcb3_dram_udqs <= mcb3_dram_dqs_vector(1)
when (mcb3_enable1 = '1') else 'Z';
mcb3_dram_dqs_n <= mcb3_dram_dqs_n_vector(0)
when (mcb3_enable1 = '1') else 'Z';
mcb3_dram_udqs_n <= mcb3_dram_dqs_n_vector(1)
when (mcb3_enable1 = '1') else 'Z';
mcb3_dram_dm_vector <= (mcb3_dram_udm & mcb3_dram_dm);
u_mem_c3 : ddr3_model_c3 port map
(
ck => mcb3_dram_ck,
ck_n => mcb3_dram_ck_n,
cke => mcb3_dram_cke,
cs_n => '0',
ras_n => mcb3_dram_ras_n,
cas_n => mcb3_dram_cas_n,
we_n => mcb3_dram_we_n,
dm_tdqs => mcb3_dram_dm_vector,
ba => mcb3_dram_ba,
addr => mcb3_dram_a,
dq => mcb3_dram_dq,
dqs => mcb3_dram_dqs_vector,
dqs_n => mcb3_dram_dqs_n_vector,
tdqs_n => open,
odt => mcb3_dram_odt,
rst_n => mcb3_dram_reset_n
);
-----------------------------------------------------------------------------
-- Reporting the test case status
-----------------------------------------------------------------------------
Logging: process
begin
wait for 200 us;
if (calib_done = '1') then
if (error = '0') then
report ("****TEST PASSED****");
else
report ("****TEST FAILED: DATA ERROR****");
end if;
else
report ("****TEST FAILED: INITIALIZATION DID NOT COMPLETE****");
end if;
end process;
end architecture;
| gpl-3.0 | 7b8d21bbea571417a3dd5246d8e78f69 | 0.472683 | 3.689539 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/vhdlFile/subprogram_instantiation_declaration/classification_test_input.vhd | 1 | 945 |
architecture RTL of FIFO is
function PARITY is new uninstantiated_subprogram_name [name1, name2 return integer]
generic map (
GEN1 => 3,
GEN2 => 4,
GEN5 => 6
);
function PARITY is new uninstantiated_subprogram_name
generic map (
GEN1 => 3,
GEN2 => 4,
GEN5 => 6
);
function PARITY is new uninstantiated_subprogram_name [name1, name2 return integer];
function PARITY is new uninstantiated_subprogram_name;
procedure PARITY is new uninstantiated_subprogram_name [name1, name2 return integer]
generic map (
GEN1 => 3,
GEN2 => 4,
GEN5 => 6
);
procedure PARITY is new uninstantiated_subprogram_name
generic map (
GEN1 => 3,
GEN2 => 4,
GEN5 => 6
);
procedure PARITY is new uninstantiated_subprogram_name [name1, name2 return integer];
procedure PARITY is new uninstantiated_subprogram_name;
begin
end architecture RTL;
| gpl-3.0 | 92daeeab8468ac7982515b00d242a71e | 0.653968 | 3.904959 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/generic_map/rule_001_test_input.fixed_upper.vhd | 1 | 598 |
architecture ARCH of ENTITY1 is
begin
U_INST1 : INST1
GENERIC MAP (
G_GEN_1 => 3,
G_GEN_2 => 4,
G_GEN_3 => 5
)
port map (
PORT_1 => w_port_1,
PORT_2 => w_port_2,
PORT_3 => w_port_3
);
-- Violations below
U_INST1 : INST1
GENERIC MAP (
G_GEN_1 => 1,
G_GEN_2 => 2,
G_GEN_3 => 3
);
U_INST1 : INST1
GENERIC MAP (
G_GEN_1 => 1,
G_GEN_2 => 2,
G_GEN_3 => 3
);
U_INST1 : INST1
GENERIC MAP (
G_GEN_1 => 1,
G_GEN_2 => 2,
G_GEN_3 => 3
);
end architecture ARCH;
| gpl-3.0 | 3d8c86bfbfaefb5fb3e7e6ea7948732d | 0.438127 | 2.718182 | false | false | false | false |
Yarr/Yarr-fw | syn/spec/itk_demo/board_pkg.vhd | 1 | 787 | library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
package board_pkg is
constant c_TX_ENCODING : string := "MANCHESTER";
constant c_TX_CHANNELS : integer := 7;
constant c_RX_CHANNELS : integer := 7;
constant c_FE_TYPE : string := "FEI4";
constant c_RX_NUM_LANES : integer := 1;
constant c_TX_IDLE_WORD : std_logic_vector(31 downto 0) := x"00000000";
constant c_TX_SYNC_WORD : std_logic_vector(31 downto 0) := x"00000000";
constant c_TX_SYNC_INTERVAL : unsigned(7 downto 0) := to_unsigned(31,8);
constant c_TX_AZ_WORD : std_logic_vector(31 downto 0) := x"00000000";
constant c_TX_AZ_INTERVAL : unsigned(15 downto 0) := to_unsigned(666,16);
constant c_TX_40_DIVIDER : unsigned(3 downto 0) := to_unsigned(1,4);
end board_pkg;
| gpl-3.0 | 7cf76e5967a1a3e97f9df337ac5cf516 | 0.668361 | 3.050388 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/port_map/rule_001_test_input.vhd | 1 | 643 |
architecture ARCH of ENTITY1 is
begin
U_INST1 : INST1
generic map (
G_GEN_1 => 3,
G_GEN_2 => 4,
G_GEN_3 => 5
)
port map (
PORT_1 => w_port_1,
PORT_2 => w_port_2,
PORT_3 => w_port_3
);
-- Violations below
U_INST1 : INST1
PORT MAP (
PORT_1 => w_port_1,
PORT_2 => w_port_2,
PORT_3 => w_port_3
);
U_INST1 : INST1
PORT map (
PORT_1 => w_port_1,
PORT_2 => w_port_2,
PORT_3 => w_port_3
);
U_INST1 : INST1
port MAP (
PORT_1 => w_port_1,
PORT_2 => w_port_2,
PORT_3 => w_port_3
);
end architecture ARCH;
| gpl-3.0 | 538fff33d7b8db075407b04dd25e6879 | 0.463453 | 2.701681 | false | false | false | false |
Yarr/Yarr-fw | rtl/spartan6/ddr3-core/ip_cores/ddr3_ctrl_spec_bank3_64b_32b/user_design/sim/read_data_path.vhd | 20 | 24,605 | --*****************************************************************************
-- (c) Copyright 2009 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--*****************************************************************************
-- ____ ____
-- / /\/ /
-- /___/ \ / Vendor: Xilinx
-- \ \ \/ Version: %version
-- \ \ Application: MIG
-- / / Filename: read_data_path.vhd
-- /___/ /\ Date Last Modified: $Date: 2011/05/27 15:50:28 $
-- \ \ / \ Date Created: Jul 03 2009
-- \___\/\___\
--
-- Device: Spartan6
-- Design Name: DDR/DDR2/DDR3/LPDDR
-- Purpose: This is top level of read path and also consist of comparison logic
-- for read data.
-- Reference:
-- Revision History:
--*****************************************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.numeric_std.all;
entity read_data_path is
generic (
TCQ : time := 100 ps;
FAMILY : string := "VIRTEX6";
MEM_BURST_LEN : integer := 8;
ADDR_WIDTH : integer := 32;
CMP_DATA_PIPE_STAGES : integer := 3;
DWIDTH : integer := 32;
DATA_PATTERN : string := "DGEN_ALL"; --"DGEN__HAMMER", "DGEN_WALING1","DGEN_WALING0","DGEN_ADDR","DGEN_NEIGHBOR","DGEN_PRBS","DGEN_ALL"
NUM_DQ_PINS : integer := 8;
DQ_ERROR_WIDTH : integer := 1;
SEL_VICTIM_LINE : integer := 3; -- VICTIM LINE is one of the DQ pins is selected to be different than hammer pattern
MEM_COL_WIDTH : integer := 10
);
port (
clk_i : in std_logic;
manual_clear_error : in std_logic;
rst_i : in std_logic_vector(9 downto 0);
cmd_rdy_o : out std_logic;
cmd_valid_i : in std_logic;
prbs_fseed_i : in std_logic_vector(31 downto 0);
data_mode_i : in std_logic_vector(3 downto 0);
cmd_sent : in std_logic_vector(2 downto 0);
bl_sent : in std_logic_vector(5 downto 0);
cmd_en_i : in std_logic;
-- m_addr_i : in std_logic_vector(31 downto 0);
fixed_data_i : in std_logic_vector(DWIDTH - 1 downto 0);
addr_i : in std_logic_vector(31 downto 0);
bl_i : in std_logic_vector(5 downto 0);
-- input [5:0] port_data_counts_i,// connect to data port fifo counts
data_rdy_o : out std_logic;
data_valid_i : in std_logic;
data_i : in std_logic_vector(DWIDTH - 1 downto 0);
last_word_rd_o : out std_logic;
data_error_o : out std_logic;
cmp_data_o : out std_logic_vector(DWIDTH - 1 downto 0);
rd_mdata_o : out std_logic_vector(DWIDTH - 1 downto 0);
cmp_data_valid : out std_logic;
cmp_addr_o : out std_logic_vector(31 downto 0);
cmp_bl_o : out std_logic_vector(5 downto 0);
force_wrcmd_gen_o : out std_logic;
rd_buff_avail_o : out std_logic_vector(6 downto 0);
dq_error_bytelane_cmp : out std_logic_vector(DQ_ERROR_WIDTH - 1 downto 0);
cumlative_dq_lane_error_r : out std_logic_vector(DQ_ERROR_WIDTH - 1 downto 0)
);
end entity read_data_path;
architecture trans of read_data_path is
function REDUCTION_OR( A: in std_logic_vector) return std_logic is
variable tmp : std_logic := '0';
begin
for i in A'range loop
tmp := tmp or A(i);
end loop;
return tmp;
end function REDUCTION_OR;
COMPONENT read_posted_fifo IS
GENERIC (
TCQ : time := 100 ps;
MEM_BURST_LEN : integer := 4;
FAMILY : STRING := "SPARTAN6";
ADDR_WIDTH : INTEGER := 32;
BL_WIDTH : INTEGER := 6
);
PORT (
clk_i : IN STD_LOGIC;
rst_i : IN STD_LOGIC;
cmd_rdy_o : OUT STD_LOGIC;
cmd_valid_i : IN STD_LOGIC;
data_valid_i : IN STD_LOGIC;
addr_i : IN STD_LOGIC_VECTOR(ADDR_WIDTH - 1 DOWNTO 0);
bl_i : IN STD_LOGIC_VECTOR(BL_WIDTH - 1 DOWNTO 0);
user_bl_cnt_is_1 : IN STD_LOGIC;
cmd_sent : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
bl_sent : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
cmd_en_i : IN STD_LOGIC;
gen_rdy_i : IN STD_LOGIC;
gen_valid_o : OUT STD_LOGIC;
gen_addr_o : OUT STD_LOGIC_VECTOR(ADDR_WIDTH - 1 DOWNTO 0);
gen_bl_o : OUT STD_LOGIC_VECTOR(BL_WIDTH - 1 DOWNTO 0);
rd_buff_avail_o : OUT STD_LOGIC_VECTOR(6 DOWNTO 0);
rd_mdata_fifo_empty : IN STD_LOGIC;
rd_mdata_en : OUT STD_LOGIC
);
END COMPONENT;
component rd_data_gen is
generic (
FAMILY : string := "SPARTAN6";
MEM_BURST_LEN : integer := 8;
ADDR_WIDTH : integer := 32;
BL_WIDTH : integer := 6;
DWIDTH : integer := 32;
DATA_PATTERN : string := "DGEN_PRBS";
NUM_DQ_PINS : integer := 8;
SEL_VICTIM_LINE : integer := 3;
COLUMN_WIDTH : integer := 10
);
port (
clk_i : in std_logic;
rst_i : in std_logic_vector(4 downto 0);
prbs_fseed_i : in std_logic_vector(31 downto 0);
rd_mdata_en : in std_logic;
data_mode_i : in std_logic_vector(3 downto 0);
cmd_rdy_o : out std_logic;
cmd_valid_i : in std_logic;
last_word_o : out std_logic;
-- m_addr_i : in std_logic_vector(ADDR_WIDTH - 1 downto 0);
fixed_data_i : in std_logic_vector(DWIDTH - 1 downto 0);
addr_i : in std_logic_vector(ADDR_WIDTH - 1 downto 0);
bl_i : in std_logic_vector(BL_WIDTH - 1 downto 0);
user_bl_cnt_is_1_o : out std_logic;
data_rdy_i : in std_logic;
data_valid_o : out std_logic;
data_o : out std_logic_vector(DWIDTH - 1 downto 0)
);
end component;
component afifo IS
GENERIC (
DSIZE : INTEGER := 32;
FIFO_DEPTH : INTEGER := 16;
ASIZE : INTEGER := 5;
SYNC : INTEGER := 1
);
PORT (
wr_clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
wr_en : IN STD_LOGIC;
wr_data : IN STD_LOGIC_VECTOR(DSIZE - 1 DOWNTO 0);
rd_en : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
rd_data : OUT STD_LOGIC_VECTOR(DSIZE - 1 DOWNTO 0);
almost_full : OUT STD_LOGIC;
full : OUT STD_LOGIC;
empty : OUT STD_LOGIC
);
END component;
signal gen_rdy : std_logic;
signal gen_valid : std_logic;
signal gen_addr : std_logic_vector(31 downto 0);
signal gen_bl : std_logic_vector(5 downto 0);
signal cmp_rdy : std_logic;
signal cmp_valid : std_logic;
signal cmp_addr : std_logic_vector(31 downto 0);
signal cmp_bl : std_logic_vector(5 downto 0);
signal data_error : std_logic;
signal cmp_data : std_logic_vector(DWIDTH - 1 downto 0);
signal last_word_rd : std_logic;
signal bl_counter : std_logic_vector(5 downto 0);
signal cmd_rdy : std_logic;
signal user_bl_cnt_is_1 : std_logic;
signal data_rdy : std_logic;
signal delayed_data : std_logic_vector(DWIDTH downto 0);
-- signal cmp_data_piped : std_logic_vector(DWIDTH downto 0);
signal cmp_data_r : std_logic_vector(DWIDTH-1 downto 0);
signal rd_mdata_en : std_logic;
signal rd_data_r : std_logic_vector(DWIDTH - 1 downto 0);
signal force_wrcmd_gen : std_logic;
signal wait_bl_end : std_logic;
signal wait_bl_end_r1 : std_logic;
signal v6_data_cmp_valid : std_logic;
signal rd_v6_mdata : std_logic_vector(DWIDTH-1 downto 0);
signal cmpdata_r : std_logic_vector(DWIDTH-1 downto 0);
signal rd_mdata : std_logic_vector(DWIDTH-1 downto 0);
signal l_data_error : std_logic;
signal u_data_error : std_logic;
signal cmp_data_en : std_logic;
signal force_wrcmd_timeout_cnts : std_logic_vector(7 downto 0);
signal error_byte : std_logic_vector(NUM_DQ_PINS / 2 - 1 downto 0);
signal error_byte_r1 : std_logic_vector(NUM_DQ_PINS / 2 - 1 downto 0);
signal dq_lane_error : std_logic_vector(DQ_ERROR_WIDTH-1 downto 0);
signal dq_lane_error_r1 : std_logic_vector(DQ_ERROR_WIDTH-1 downto 0);
signal dq_lane_error_r2 : std_logic_vector(DQ_ERROR_WIDTH-1 downto 0);
signal cum_dq_lane_error_mask : std_logic_vector(DQ_ERROR_WIDTH-1 downto 0);
signal cumlative_dq_lane_error_reg : std_logic_vector(DQ_ERROR_WIDTH-1 downto 0);
signal cumlative_dq_lane_error_c : std_logic_vector(DQ_ERROR_WIDTH - 1 downto 0);
signal rd_mdata_fifo_empty : std_logic;
signal data_valid_r : std_logic;
-- Declare intermediate signals for referenced outputs
-- SIGNAL xhdl2 : STD_LOGIC_VECTOR(DWIDTH DOWNTO 0);
-- SIGNAL tmp_sig : STD_LOGIC;
signal last_word_rd_o_xhdl0 : std_logic;
signal rd_buff_avail_o_xhdl1 : std_logic_vector(6 downto 0);
begin
-- Drive referenced outputs
last_word_rd_o <= last_word_rd_o_xhdl0;
rd_buff_avail_o <= rd_buff_avail_o_xhdl1;
process (clk_i)
begin
if (clk_i'event and clk_i = '1') then
wait_bl_end_r1 <= wait_bl_end;
rd_data_r <= data_i;
end if;
end process;
force_wrcmd_gen_o <= force_wrcmd_gen;
process (clk_i)
begin
if (clk_i'event and clk_i = '1') then
if (rst_i(0) = '1') then
force_wrcmd_gen <= '0';
elsif ((wait_bl_end = '0' and wait_bl_end_r1 = '1') or force_wrcmd_timeout_cnts = "11111111") then
force_wrcmd_gen <= '0';
elsif ((cmd_valid_i = '1' and bl_i > "010000") or wait_bl_end = '1') then
force_wrcmd_gen <= '1';
end if;
end if;
end process;
process (clk_i)
begin
if (clk_i'event and clk_i = '1') then
if (rst_i(0) = '1') then
force_wrcmd_timeout_cnts <= "00000000";
elsif (wait_bl_end = '0' and wait_bl_end_r1 = '1') then
force_wrcmd_timeout_cnts <= "00000000";
elsif (force_wrcmd_gen = '1') then
force_wrcmd_timeout_cnts <= force_wrcmd_timeout_cnts + "00000001";
end if;
end if;
end process;
process (clk_i)
begin
if (clk_i'event and clk_i = '1') then
if (rst_i(0) = '1') then
wait_bl_end <= '0';
elsif (force_wrcmd_timeout_cnts = "11111111") then
wait_bl_end <= '0';
elsif ((gen_rdy and gen_valid) = '1' and gen_bl > "010000") then
wait_bl_end <= '1';
elsif ((wait_bl_end and user_bl_cnt_is_1) = '1') then
wait_bl_end <= '0';
end if;
end if;
end process;
cmd_rdy_o <= cmd_rdy;
read_postedfifo : read_posted_fifo
GENERIC MAP (
TCQ => TCQ,
FAMILY => FAMILY,
MEM_BURST_LEN => MEM_BURST_LEN,
ADDR_WIDTH => 32,
BL_WIDTH => 6
)
port map (
clk_i => clk_i,
rst_i => rst_i(0),
cmd_rdy_o => cmd_rdy,
cmd_valid_i => cmd_valid_i,
data_valid_i => data_rdy,
addr_i => addr_i,
bl_i => bl_i,
cmd_sent => cmd_sent,
bl_sent => bl_sent,
cmd_en_i => cmd_en_i,
user_bl_cnt_is_1 => user_bl_cnt_is_1,
gen_rdy_i => gen_rdy,
gen_valid_o => gen_valid,
gen_addr_o => gen_addr,
gen_bl_o => gen_bl,
rd_buff_avail_o => rd_buff_avail_o_xhdl1,
rd_mdata_fifo_empty => rd_mdata_fifo_empty,
rd_mdata_en => rd_mdata_en
);
rd_datagen : rd_data_gen
generic map (
FAMILY => FAMILY,
MEM_BURST_LEN => MEM_BURST_LEN,
NUM_DQ_PINS => NUM_DQ_PINS,
SEL_VICTIM_LINE => SEL_VICTIM_LINE,
DATA_PATTERN => DATA_PATTERN,
DWIDTH => DWIDTH,
COLUMN_WIDTH => MEM_COL_WIDTH
)
port map (
clk_i => clk_i,
rst_i => rst_i(4 downto 0),
prbs_fseed_i => prbs_fseed_i,
data_mode_i => data_mode_i,
cmd_rdy_o => gen_rdy,
cmd_valid_i => gen_valid,
last_word_o => last_word_rd_o_xhdl0,
-- m_addr_i => m_addr_i,
fixed_data_i => fixed_data_i,
addr_i => gen_addr,
bl_i => gen_bl,
user_bl_cnt_is_1_o => user_bl_cnt_is_1,
data_rdy_i => data_valid_i,
data_valid_o => cmp_valid,
data_o => cmp_data,
rd_mdata_en => rd_mdata_en
);
rd_mdata_fifo : afifo
GENERIC MAP (
DSIZE => DWIDTH,
FIFO_DEPTH => 32,
ASIZE => 5,
SYNC => 1
)
PORT MAP (
wr_clk => clk_i,
rst => rst_i(0),
wr_en => data_valid_i,
wr_data => data_i,
rd_en => rd_mdata_en,
rd_clk => clk_i,
rd_data => rd_v6_mdata,
full => open,
empty => rd_mdata_fifo_empty,
almost_full => open
);
-- tmp_sig <= cmp_valid AND data_valid_i;
-- xhdl2 <= ( tmp_sig & cmp_data);
process (clk_i)
begin
if (clk_i'event and clk_i = '1') then
-- delayed_data <= (tmp_sig & cmp_data);
cmp_data_r <= cmp_data;
end if;
end process;
rd_mdata_o <= rd_mdata;
rd_mdata <= rd_data_r WHEN (FAMILY = "SPARTAN6") ELSE rd_v6_mdata
WHEN ((FAMILY = "VIRTEX6") and (MEM_BURST_LEN = 4)) ELSE data_i;
cmp_data_valid <= cmp_data_en WHEN (FAMILY = "SPARTAN6") ELSE v6_data_cmp_valid
WHEN ((FAMILY = "VIRTEX6") and (MEM_BURST_LEN = 4)) ELSE data_valid_i;
cmp_data_o <= cmp_data_r;
cmp_addr_o <= gen_addr;
cmp_bl_o <= gen_bl;
-- xhdl4 : if (FAMILY = "SPARTAN6") generate
-- rd_data_o <= rd_data_r;
-- end generate;
-- xhdl5 : if (FAMILY /= "SPARTAN6") generate
-- rd_data_o <= data_i;
-- end generate;
data_rdy_o <= data_rdy;
data_rdy <= cmp_valid and data_valid_i;
process (clk_i)
begin
if (clk_i'event and clk_i = '1') then
v6_data_cmp_valid <= rd_mdata_en;
end if;
end process;
process (clk_i)
begin
if (clk_i'event and clk_i = '1') then
cmp_data_en <= data_rdy;
end if;
end process;
xhdl6 : if (FAMILY = "SPARTAN6") generate
process (clk_i)
begin
if (clk_i'event and clk_i = '1') then
if (cmp_data_en = '1') then
IF ((rd_data_r(DWIDTH / 2 - 1 downto 0) /= cmp_data_r(DWIDTH / 2 - 1 downto 0))) then
l_data_error <= '1' ;
ELSE
l_data_error <= '0' ;
END IF;
else
l_data_error <= '0' ;
end if;
if (cmp_data_en = '1') then
IF ((rd_data_r(DWIDTH - 1 downto DWIDTH / 2) /= cmp_data_r(DWIDTH - 1 downto DWIDTH / 2))) then
u_data_error <= '1' ;
ELSE
u_data_error <= '0' ;
END IF;
else
u_data_error <= '0' ;
end if;
data_error <= l_data_error or u_data_error;
--synthesis translate_off
if (data_error = '1') then
report ("DATA ERROR");
end if;
--synthesis translate_on
end if;
end process;
end generate;
gen_error_2 : if ((FAMILY = "VIRTEX6") and (MEM_BURST_LEN = 4)) generate
gen_cmp : FOR i IN 0 TO NUM_DQ_PINS / 2 - 1 GENERATE
error_byte(i) <= '1' WHEN (rd_mdata_fifo_empty = '0' AND rd_mdata_en = '1' AND (rd_v6_mdata(8 * (i + 1) - 1 DOWNTO 8 * i) /= cmp_data(8 * (i + 1) - 1 DOWNTO 8 * i))) ELSE '0';
end generate;
process (clk_i)
begin
if (clk_i'event and clk_i = '1') then
IF (rst_i(1) = '1' or manual_clear_error = '1') THEN
error_byte_r1 <= (others => '0');
data_error <= '0';
ELSE
error_byte_r1 <= error_byte;
-- FOR i IN 0 TO DWIDTH - 1 LOOP
data_error <= REDUCTION_OR(error_byte_r1);--error_byte_r1(i) OR data_error;
-- END LOOP;
END IF;
end if;
end process;
process (data_error)
begin
--synthesis translate_off
IF (data_error = '1') THEN
report "DATA ERROR"; -- severity ERROR;
END IF;
--synthesis translate_on
end process;
gen_dq_error_map: FOR i IN 0 to DQ_ERROR_WIDTH - 1 generate
dq_lane_error(i) <= (error_byte_r1(i) OR error_byte_r1(i+DQ_ERROR_WIDTH) OR
error_byte_r1(i+ (NUM_DQ_PINS*2/8)) OR
error_byte_r1(i+ (NUM_DQ_PINS*3/8)));
cumlative_dq_lane_error_c(i) <= cumlative_dq_lane_error_reg(i) OR dq_lane_error_r1(i);
end generate;
process (clk_i)
begin
IF (clk_i'event and clk_i = '1') then
IF (rst_i(1) = '1' or manual_clear_error = '1') THEN
dq_lane_error_r1 <= (others => '0');
dq_lane_error_r2 <= (others => '0');
data_valid_r <= '0';
cumlative_dq_lane_error_reg <= (others => '0');
ELSE
data_valid_r <= data_valid_i;
dq_lane_error_r1 <= dq_lane_error;
cumlative_dq_lane_error_reg <= cumlative_dq_lane_error_c;
END IF;
END IF;
end process;
end generate;
xhdl8 : if ((FAMILY = "VIRTEX6") and (MEM_BURST_LEN = 8)) generate
gen_cmp_8 : FOR i IN 0 TO NUM_DQ_PINS / 2 - 1 GENERATE
error_byte(i) <= '1' WHEN (data_valid_i = '1' AND (data_i(8 * (i + 1) - 1 DOWNTO 8 * i) /= cmp_data(8 * (i + 1) - 1 DOWNTO 8 * i))) ELSE '0';
end generate;
process (clk_i)
begin
if (clk_i'event and clk_i = '1') then
IF (rst_i(1) = '1' or manual_clear_error = '1') THEN
error_byte_r1 <= (others => '0');
data_error <= '0';
ELSE
error_byte_r1 <= error_byte;
--FOR i IN 0 TO DWIDTH - 1 LOOP
-- data_error <= error_byte_r1(i) OR data_error;
--END LOOP;
data_error <= REDUCTION_OR(error_byte_r1);--error_byte_r1(i) OR data_error;
--synthesis translate_off
IF (data_error = '1') THEN
report "DATA ERROR"; -- severity ERROR;
end if;
--synthesis translate_on
END IF;
end if;
end process;
gen_dq_error_map: FOR i IN 0 to DQ_ERROR_WIDTH - 1 generate
dq_lane_error(i) <= (error_byte_r1(i) OR error_byte_r1(i+DQ_ERROR_WIDTH) OR
error_byte_r1(i+ (NUM_DQ_PINS*2/8)) OR
error_byte_r1(i+ (NUM_DQ_PINS*3/8)));
cumlative_dq_lane_error_c(i) <= cumlative_dq_lane_error_reg(i) OR dq_lane_error_r1(i);
end generate;
process (clk_i)
begin
IF (clk_i'event and clk_i = '1') then
IF (rst_i(1) = '1' or manual_clear_error = '1') THEN
dq_lane_error_r1 <= (others => '0');
dq_lane_error_r2 <= (others => '0');
data_valid_r <= '0';
cumlative_dq_lane_error_reg <= (others => '0');
ELSE
data_valid_r <= data_valid_i;
dq_lane_error_r1 <= dq_lane_error;
cumlative_dq_lane_error_reg <= cumlative_dq_lane_error_c;
END IF;
END IF;
end process;
end generate;
cumlative_dq_lane_error_r <= cumlative_dq_lane_error_reg;
dq_error_bytelane_cmp <= dq_lane_error_r1;
data_error_o <= data_error;
end architecture trans;
| gpl-3.0 | b048369b5aee551f69b495dd08fa3b14 | 0.478439 | 3.70167 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/instantiation/rule_029_test_input.fixed.vhd | 1 | 625 |
architecture ARCH of ENTITY1 is
begin
U_INST1 : INST1
generic map (
G_GEN_1 => 3, -- comment
G_GEN_2 => 4, -- comment
G_GEN_3 => 5 -- comment
)
port map (
PORT_1 => w_port_1, -- comment
PORT_2 => w_port_2, -- comment
PORT_3 => w_port_3 -- comment
);
-- Violations below
U_INST1 : INST1
generic map (
G_GEN_1 => 3, -- comment
G_GEN_2 => 4, -- comment
G_GEN_3 => 5 -- comment
)
port map (
PORT_1 => w_port_1, -- comment
PORT_2 => w_port_2, --comment
PORT_3 => w_port_3 -- comment
);
end architecture ARCH;
| gpl-3.0 | 9b79d78d4bb8dd7053c2cf1b4cc5c25d | 0.4928 | 3.033981 | false | false | false | false |
cwilkens/ecen4024-microphone-array | microphone-array/microphone-array.srcs/sources_1/ip/cascaded_integrator_comb/cic_compiler_v4_0/hdl/cic_compiler_v4_0_viv.vhd | 1 | 55,414 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
dApKVOrS89uiCyJh0lFETILu5dHiu2ovuhdrQXixZDx/Nas7w4r1vTjOusBWenghIWUYIy9PBMeW
unW4oET0ag==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ZtFNN48DOpDF4yhXpcYw4oJZupSF9wYSlfAlnebGvcjAGG8s4HrxBnFks+/atlU2VvKqDSB6V1yK
WybyXo2zlY+IiFptgXiQJ03tNK8TPi5IDD57XzuUMPOnEtlaZLD4MUWK3gBZkTw2O0ywsuvDzUUl
RhtPs0P+U+2fea93QAQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
jQ+2C2TH0J3Rq/US9a1ZnI1cscfePlQahVZiZEeLVGvyCgytt1RlxTzVOsycn71wbwmysHQL31St
zyzXZXhXNf/1rc5mP5gDiRoxt6ivHP9zUtzSqfV7cmbBnekUWXUIdgWJ27zbjWhvY9tAM6TTVUQv
frz3wXCWijJfKjhIVbukp8n9TMY8JNzwKzifIa/msvdBMiZ+hI74fwCsFwBUnkJ6nafWbVXNRjgc
+Oekyu1I7M4XJo0cG1F550AMkFKzWWUYkajXWTF1TEFAuGGRWJ/7QtsoirVyPpRvF0a4ZhcpJ/LL
nynu7o9QPH/ij72TtZZSH2WYme/SxBCp7Xgung==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
dL1ymJSag20kTGKYO9HTwFc9wBPlCjChLDE0kp63eYDfOs4At71wB7QkW3D4bT3tQu17/ExPy6EJ
4pUOabvod8Gek8a/mMafibJMCPJcag2XC4lG4URocfOyluxFm5qdTkE1C3puIi+83iENTBLrZRVy
s7vcE3qqqqSLetfHmuU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
qZi/m4gIMu4ncfvvnzXNcuA5agxRGwjVo4le3YfHpCP9ZOmP1NQaxwu0wNy3XG1LEntqIhP9lYoI
6v+he60ucRYcTjFpmIc0NfNlEhzUVyGOvEZz6ib1hP0F6pO2r87TxoG4+Jb6oWviWycjZ+BDSP5y
coS1/MegqKmjyDGRtgHgNlilgQOsbR9rHYAjUpg6mfFy305hWpJPVIP6Pmk/XnRa/4XFyPS7SPF0
DkOQDE2naEFRwC72IXTM/GySkAu2kXkDr1N+2XMY48Kib/xrYqv8ZxwDJ/8ICZOqIJ9Q00ohSLGh
p+e1YA+DJBfgPctOiK2FBzB/1zMVUNHB2nEk+A==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 39280)
`protect data_block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`protect end_protected
| mit | e28c6d23485ab58cf468bea18664586f | 0.949688 | 1.814711 | false | false | false | false |
tdaede/daala_zynq | daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_bram_ctrl_0_0/axi_bram_ctrl_v3_0/hdl/vhdl/correct_one_bit.vhd | 1 | 8,861 | -------------------------------------------------------------------------------
-- correct_one_bit.vhd
-------------------------------------------------------------------------------
--
--
-- (c) Copyright [2010 - 2011] Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--
------------------------------------------------------------------------------
-- Filename: correct_one_bit.vhd
--
-- Description: Identifies single bit to correct in 32-bit word of
-- data read from memory as indicated by the syndrome input
-- vector.
--
-- VHDL-Standard: VHDL'93
--
-------------------------------------------------------------------------------
-- Structure:
-- axi_bram_ctrl.vhd (v1_03_a)
-- |
-- |-- full_axi.vhd
-- | -- sng_port_arb.vhd
-- | -- lite_ecc_reg.vhd
-- | -- axi_lite_if.vhd
-- | -- wr_chnl.vhd
-- | -- wrap_brst.vhd
-- | -- ua_narrow.vhd
-- | -- checkbit_handler.vhd
-- | -- xor18.vhd
-- | -- parity.vhd
-- | -- checkbit_handler_64.vhd
-- | -- (same helper components as checkbit_handler)
-- | -- parity.vhd
-- | -- correct_one_bit.vhd
-- | -- correct_one_bit_64.vhd
-- |
-- | -- rd_chnl.vhd
-- | -- wrap_brst.vhd
-- | -- ua_narrow.vhd
-- | -- checkbit_handler.vhd
-- | -- xor18.vhd
-- | -- parity.vhd
-- | -- checkbit_handler_64.vhd
-- | -- (same helper components as checkbit_handler)
-- | -- parity.vhd
-- | -- correct_one_bit.vhd
-- | -- correct_one_bit_64.vhd
-- |
-- |-- axi_lite.vhd
-- | -- lite_ecc_reg.vhd
-- | -- axi_lite_if.vhd
-- | -- checkbit_handler.vhd
-- | -- xor18.vhd
-- | -- parity.vhd
-- | -- checkbit_handler_64.vhd
-- | -- (same helper components as checkbit_handler)
-- | -- correct_one_bit.vhd
-- | -- correct_one_bit_64.vhd
--
--
--
-------------------------------------------------------------------------------
--
-- History:
--
-- ^^^^^^
-- JLJ 2/1/2011 v1.03a
-- ~~~~~~
-- Migrate to v1.03a.
-- Plus minor code cleanup.
-- ^^^^^^
--
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library unisim;
use unisim.vcomponents.all;
entity Correct_One_Bit is
generic (
C_USE_LUT6 : boolean := true;
Correct_Value : std_logic_vector(0 to 6));
port (
DIn : in std_logic;
Syndrome : in std_logic_vector(0 to 6);
DCorr : out std_logic);
end entity Correct_One_Bit;
architecture IMP of Correct_One_Bit is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of IMP : architecture is "yes";
-----------------------------------------------------------------------------
-- Find which bit that has a '1'
-- There is always one bit which has a '1'
-----------------------------------------------------------------------------
function find_one (Syn : std_logic_vector(0 to 6)) return natural is
begin -- function find_one
for I in 0 to 6 loop
if (Syn(I) = '1') then
return I;
end if;
end loop; -- I
return 0; -- Should never reach this statement
end function find_one;
constant di_index : natural := find_one(Correct_Value);
signal corr_sel : std_logic;
signal corr_c : std_logic;
signal lut_compare : std_logic_vector(0 to 5);
signal lut_corr_val : std_logic_vector(0 to 5);
begin -- architecture IMP
Remove_DI_Index : process (Syndrome) is
begin -- process Remove_DI_Index
if (di_index = 0) then
lut_compare <= Syndrome(1 to 6);
lut_corr_val <= Correct_Value(1 to 6);
elsif (di_index = 6) then
lut_compare <= Syndrome(0 to 5);
lut_corr_val <= Correct_Value(0 to 5);
else
lut_compare <= Syndrome(0 to di_index-1) & Syndrome(di_index+1 to 6);
lut_corr_val <= Correct_Value(0 to di_index-1) & Correct_Value(di_index+1 to 6);
end if;
end process Remove_DI_Index;
-- Corr_LUT : LUT6
-- generic map(
-- INIT => X"6996966996696996"
-- )
-- port map(
-- O => corr_sel, -- [out]
-- I0 => InA(5), -- [in]
-- I1 => InA(4), -- [in]
-- I2 => InA(3), -- [in]
-- I3 => InA(2), -- [in]
-- I4 => InA(1), -- [in]
-- I5 => InA(0) -- [in]
-- );
corr_sel <= '0' when lut_compare = lut_corr_val else '1';
Corr_MUXCY : MUXCY_L
port map (
DI => Syndrome(di_index),
CI => '0',
S => corr_sel,
LO => corr_c);
Corr_XORCY : XORCY
port map (
LI => DIn,
CI => corr_c,
O => DCorr);
end architecture IMP;
| bsd-2-clause | 85c7e0f1f3c4107bdfee33395048af68 | 0.471391 | 4.341499 | false | false | false | false |
lvd2/zxevo | unsupported/solegstar/fpga/current/sim_models/T80_Pack.vhd | 7 | 8,485 | -- ****
-- T80(b) core. In an effort to merge and maintain bug fixes ....
--
--
-- Ver 300 started tidyup
-- MikeJ March 2005
-- Latest version from www.fpgaarcade.com (original www.opencores.org)
--
-- ****
--
-- Z80 compatible microprocessor core
--
-- Version : 0242
--
-- Copyright (c) 2001-2002 Daniel Wallner ([email protected])
--
-- All rights reserved
--
-- Redistribution and use in source and synthezised forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
--
-- Redistributions in synthesized form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- Neither the name of the author nor the names of other contributors may
-- be used to endorse or promote products derived from this software without
-- specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-- Please report bugs to the author, but before you do so, please
-- make sure that this is not a derivative work and that
-- you have the latest version of this file.
--
-- The latest version of this file can be found at:
-- http://www.opencores.org/cvsweb.shtml/t80/
--
-- Limitations :
--
-- File history :
--
library IEEE;
use IEEE.std_logic_1164.all;
package T80_Pack is
component T80
generic(
Mode : integer := 0; -- 0 => Z80, 1 => Fast Z80, 2 => 8080, 3 => GB
IOWait : integer := 0; -- 1 => Single cycle I/O, 1 => Std I/O cycle
Flag_C : integer := 0;
Flag_N : integer := 1;
Flag_P : integer := 2;
Flag_X : integer := 3;
Flag_H : integer := 4;
Flag_Y : integer := 5;
Flag_Z : integer := 6;
Flag_S : integer := 7
);
port(
RESET_n : in std_logic;
CLK_n : in std_logic;
CEN : in std_logic;
WAIT_n : in std_logic;
INT_n : in std_logic;
NMI_n : in std_logic;
BUSRQ_n : in std_logic;
M1_n : out std_logic;
IORQ : out std_logic;
NoRead : out std_logic;
Write : out std_logic;
RFSH_n : out std_logic;
HALT_n : out std_logic;
BUSAK_n : out std_logic;
A : out std_logic_vector(15 downto 0);
DInst : in std_logic_vector(7 downto 0);
DI : in std_logic_vector(7 downto 0);
DO : out std_logic_vector(7 downto 0);
MC : out std_logic_vector(2 downto 0);
TS : out std_logic_vector(2 downto 0);
IntCycle_n : out std_logic;
IntE : out std_logic;
Stop : out std_logic
);
end component;
component T80_Reg
port(
Clk : in std_logic;
CEN : in std_logic;
WEH : in std_logic;
WEL : in std_logic;
AddrA : in std_logic_vector(2 downto 0);
AddrB : in std_logic_vector(2 downto 0);
AddrC : in std_logic_vector(2 downto 0);
DIH : in std_logic_vector(7 downto 0);
DIL : in std_logic_vector(7 downto 0);
DOAH : out std_logic_vector(7 downto 0);
DOAL : out std_logic_vector(7 downto 0);
DOBH : out std_logic_vector(7 downto 0);
DOBL : out std_logic_vector(7 downto 0);
DOCH : out std_logic_vector(7 downto 0);
DOCL : out std_logic_vector(7 downto 0)
);
end component;
component T80_MCode
generic(
Mode : integer := 0;
Flag_C : integer := 0;
Flag_N : integer := 1;
Flag_P : integer := 2;
Flag_X : integer := 3;
Flag_H : integer := 4;
Flag_Y : integer := 5;
Flag_Z : integer := 6;
Flag_S : integer := 7
);
port(
IR : in std_logic_vector(7 downto 0);
ISet : in std_logic_vector(1 downto 0);
MCycle : in std_logic_vector(2 downto 0);
F : in std_logic_vector(7 downto 0);
NMICycle : in std_logic;
IntCycle : in std_logic;
MCycles : out std_logic_vector(2 downto 0);
TStates : out std_logic_vector(2 downto 0);
Prefix : out std_logic_vector(1 downto 0); -- None,BC,ED,DD/FD
Inc_PC : out std_logic;
Inc_WZ : out std_logic;
IncDec_16 : out std_logic_vector(3 downto 0); -- BC,DE,HL,SP 0 is inc
Read_To_Reg : out std_logic;
Read_To_Acc : out std_logic;
Set_BusA_To : out std_logic_vector(3 downto 0); -- B,C,D,E,H,L,DI/DB,A,SP(L),SP(M),0,F
Set_BusB_To : out std_logic_vector(3 downto 0); -- B,C,D,E,H,L,DI,A,SP(L),SP(M),1,F,PC(L),PC(M),0
ALU_Op : out std_logic_vector(3 downto 0);
-- ADD, ADC, SUB, SBC, AND, XOR, OR, CP, ROT, BIT, SET, RES, DAA, RLD, RRD, None
Save_ALU : out std_logic;
PreserveC : out std_logic;
Arith16 : out std_logic;
Set_Addr_To : out std_logic_vector(2 downto 0); -- aNone,aXY,aIOA,aSP,aBC,aDE,aZI
IORQ : out std_logic;
Jump : out std_logic;
JumpE : out std_logic;
JumpXY : out std_logic;
Call : out std_logic;
RstP : out std_logic;
LDZ : out std_logic;
LDW : out std_logic;
LDSPHL : out std_logic;
Special_LD : out std_logic_vector(2 downto 0); -- A,I;A,R;I,A;R,A;None
ExchangeDH : out std_logic;
ExchangeRp : out std_logic;
ExchangeAF : out std_logic;
ExchangeRS : out std_logic;
I_DJNZ : out std_logic;
I_CPL : out std_logic;
I_CCF : out std_logic;
I_SCF : out std_logic;
I_RETN : out std_logic;
I_BT : out std_logic;
I_BC : out std_logic;
I_BTR : out std_logic;
I_RLD : out std_logic;
I_RRD : out std_logic;
I_INRC : out std_logic;
SetDI : out std_logic;
SetEI : out std_logic;
IMode : out std_logic_vector(1 downto 0);
Halt : out std_logic;
NoRead : out std_logic;
Write : out std_logic
);
end component;
component T80_ALU
generic(
Mode : integer := 0;
Flag_C : integer := 0;
Flag_N : integer := 1;
Flag_P : integer := 2;
Flag_X : integer := 3;
Flag_H : integer := 4;
Flag_Y : integer := 5;
Flag_Z : integer := 6;
Flag_S : integer := 7
);
port(
Arith16 : in std_logic;
Z16 : in std_logic;
ALU_Op : in std_logic_vector(3 downto 0);
IR : in std_logic_vector(5 downto 0);
ISet : in std_logic_vector(1 downto 0);
BusA : in std_logic_vector(7 downto 0);
BusB : in std_logic_vector(7 downto 0);
F_In : in std_logic_vector(7 downto 0);
Q : out std_logic_vector(7 downto 0);
F_Out : out std_logic_vector(7 downto 0)
);
end component;
end;
| gpl-3.0 | bb822cd82c7bdba613e58ace22a2d6b7 | 0.528344 | 3.433832 | false | false | false | false |
tdaede/daala_zynq | daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_bram_ctrl_0_0/axi_bram_ctrl_v3_0/hdl/vhdl/axi_bram_ctrl_funcs.vhd | 1 | 17,315 | -------------------------------------------------------------------------------
-- axi_bram_ctrl_funcs.vhd
-------------------------------------------------------------------------------
--
--
-- (c) Copyright [2010 - 2011] Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--
------------------------------------------------------------------------------
-- Filename: axi_bram_ctrl_funcs.vhd
--
-- Description: Support functions for axi_bram_ctrl library modules.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
--
--
-- History:
--
-- ^^^^^^
-- JLJ 2/1/2011 v1.03a
-- ~~~~~~
-- Migrate to v1.03a.
-- Plus minor code cleanup.
-- ^^^^^^
-- JLJ 2/16/2011 v1.03a
-- ~~~~~~
-- Update ECC size on 128-bit data width configuration.
-- ^^^^^^
-- JLJ 2/23/2011 v1.03a
-- ~~~~~~
-- Add MIG functions for Hsiao ECC.
-- ^^^^^^
-- JLJ 2/24/2011 v1.03a
-- ~~~~~~
-- Add Find_ECC_Size function.
-- ^^^^^^
-- JLJ 3/15/2011 v1.03a
-- ~~~~~~
-- Add REDUCTION_OR function.
-- ^^^^^^
-- JLJ 3/17/2011 v1.03a
-- ~~~~~~
-- Recode Create_Size_Max with a case statement.
-- ^^^^^^
-- JLJ 3/31/2011 v1.03a
-- ~~~~~~
-- Add coverage tags.
-- ^^^^^^
-- JLJ 5/6/2011 v1.03a
-- ~~~~~~
-- Remove usage of C_FAMILY.
-- Remove Family_To_LUT_Size function.
-- Remove String_To_Family function.
-- ^^^^^^
--
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
package axi_bram_ctrl_funcs is
type TARGET_FAMILY_TYPE is (
-- pragma xilinx_rtl_off
SPARTAN3,
VIRTEX4,
VIRTEX5,
SPARTAN3E,
SPARTAN3A,
SPARTAN3AN,
SPARTAN3Adsp,
SPARTAN6,
VIRTEX6,
VIRTEX7,
KINTEX7,
-- pragma xilinx_rtl_on
RTL
);
-- function String_To_Family (S : string; Select_RTL : boolean) return TARGET_FAMILY_TYPE;
-- Get the maximum number of inputs to a LUT.
-- function Family_To_LUT_Size(Family : TARGET_FAMILY_TYPE) return integer;
function Equal_String( str1, str2 : STRING ) RETURN BOOLEAN;
function log2(x : natural) return integer;
function Int_ECC_Size (i: integer) return integer;
function Find_ECC_Size (i: integer; j: integer) return integer;
function Find_ECC_Full_Bit_Size (i: integer; j: integer) return integer;
function Create_Size_Max (i: integer) return std_logic_vector;
function REDUCTION_OR (A: in std_logic_vector) return std_logic;
function REDUCTION_XOR (A: in std_logic_vector) return std_logic;
function REDUCTION_NOR (A: in std_logic_vector) return std_logic;
function BOOLEAN_TO_STD_LOGIC (A: in BOOLEAN) return std_logic;
end package axi_bram_ctrl_funcs;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
package body axi_bram_ctrl_funcs is
-------------------------------------------------------------------------------
-- Function: Int_ECC_Size
-- Purpose: Determine internal size of ECC when enabled.
-------------------------------------------------------------------------------
function Int_ECC_Size (i: integer) return integer is
begin
--coverage off
if (i = 32) then
return 7; -- 7-bits ECC for 32-bit data
-- ECC port size fixed @ 8-bits
elsif (i = 64) then
return 8;
elsif (i = 128) then
return 9; -- Hsiao is 9-bits for 128-bit data.
else
return 0;
end if;
--coverage on
end Int_ECC_Size;
-------------------------------------------------------------------------------
-- Function: Find_ECC_Size
-- Purpose: Determine external size of ECC signals when enabled.
-------------------------------------------------------------------------------
function Find_ECC_Size (i: integer; j: integer) return integer is
begin
--coverage off
if (i = 1) then
if (j = 32) then
return 8; -- Keep at 8 for port size matchings
-- Only 7-bits ECC per 32-bit data
elsif (j = 64) then
return 8;
elsif (j = 128) then
return 9;
else
return 0;
end if;
else
return 0;
-- ECC data width = 0 when C_ECC = 0 (disabled)
end if;
--coverage on
end Find_ECC_Size;
-------------------------------------------------------------------------------
-- Function: Find_ECC_Full_Bit_Size
-- Purpose: Determine external size of ECC signals when enabled in bytes.
-------------------------------------------------------------------------------
function Find_ECC_Full_Bit_Size (i: integer; j: integer) return integer is
begin
--coverage off
if (i = 1) then
if (j = 32) then
return 8;
elsif (j = 64) then
return 8;
elsif (j = 128) then
return 16;
else
return 0;
end if;
else
return 0;
-- ECC data width = 0 when C_ECC = 0 (disabled)
end if;
--coverage on
end Find_ECC_Full_Bit_Size;
-------------------------------------------------------------------------------
-- Function: Create_Size_Max
-- Purpose: Create maximum value for AxSIZE based on AXI data bus width.
-------------------------------------------------------------------------------
function Create_Size_Max (i: integer)
return std_logic_vector is
variable size_vector : std_logic_vector (2 downto 0);
begin
case (i) is
when 32 => size_vector := "010"; -- 2h (4 bytes)
when 64 => size_vector := "011"; -- 3h (8 bytes)
when 128 => size_vector := "100"; -- 4h (16 bytes)
when 256 => size_vector := "101"; -- 5h (32 bytes)
when 512 => size_vector := "110"; -- 5h (32 bytes)
when 1024 => size_vector := "111"; -- 5h (32 bytes)
--coverage off
when others => size_vector := "000"; -- 0h
--coverage on
end case;
return (size_vector);
end function Create_Size_Max;
-------------------------------------------------------------------------------
-- Function: REDUCTION_OR
-- Purpose: New in v1.03a
-------------------------------------------------------------------------------
function REDUCTION_OR (A: in std_logic_vector) return std_logic is
variable tmp : std_logic := '0';
begin
for i in A'range loop
tmp := tmp or A(i);
end loop;
return tmp;
end function REDUCTION_OR;
-------------------------------------------------------------------------------
-- Function: REDUCTION_XOR
-- Purpose: Derived from MIG v3.7 ecc_gen module for use by Hsiao ECC.
-- New in v1.03a
-------------------------------------------------------------------------------
function REDUCTION_XOR (A: in std_logic_vector) return std_logic is
variable tmp : std_logic := '0';
begin
for i in A'range loop
tmp := tmp xor A(i);
end loop;
return tmp;
end function REDUCTION_XOR;
-------------------------------------------------------------------------------
-- Function: REDUCTION_NOR
-- Purpose: Derived from MIG v3.7 ecc_dec_fix module for use by Hsiao ECC.
-- New in v1.03a
-------------------------------------------------------------------------------
function REDUCTION_NOR (A: in std_logic_vector) return std_logic is
variable tmp : std_logic := '0';
begin
for i in A'range loop
tmp := tmp or A(i);
end loop;
return not tmp;
end function REDUCTION_NOR;
-------------------------------------------------------------------------------
-- Function: BOOLEAN_TO_STD_LOGIC
-- Purpose: Derived from MIG v3.7 ecc_dec_fix module for use by Hsiao ECC.
-- New in v1.03a
-------------------------------------------------------------------------------
function BOOLEAN_TO_STD_LOGIC (A : in BOOLEAN) return std_logic is
begin
if A = true then
return '1';
else
return '0';
end if;
end function BOOLEAN_TO_STD_LOGIC;
-------------------------------------------------------------------------------
function LowerCase_Char(char : character) return character is
begin
--coverage off
-- If char is not an upper case letter then return char
if char < 'A' or char > 'Z' then
return char;
end if;
-- Otherwise map char to its corresponding lower case character and
-- return that
case char is
when 'A' => return 'a'; when 'B' => return 'b'; when 'C' => return 'c'; when 'D' => return 'd';
when 'E' => return 'e'; when 'F' => return 'f'; when 'G' => return 'g'; when 'H' => return 'h';
when 'I' => return 'i'; when 'J' => return 'j'; when 'K' => return 'k'; when 'L' => return 'l';
when 'M' => return 'm'; when 'N' => return 'n'; when 'O' => return 'o'; when 'P' => return 'p';
when 'Q' => return 'q'; when 'R' => return 'r'; when 'S' => return 's'; when 'T' => return 't';
when 'U' => return 'u'; when 'V' => return 'v'; when 'W' => return 'w'; when 'X' => return 'x';
when 'Y' => return 'y'; when 'Z' => return 'z';
when others => return char;
end case;
--coverage on
end LowerCase_Char;
-------------------------------------------------------------------------------
-- Returns true if case insensitive string comparison determines that
-- str1 and str2 are equal
function Equal_String ( str1, str2 : STRING ) RETURN BOOLEAN IS
CONSTANT len1 : INTEGER := str1'length;
CONSTANT len2 : INTEGER := str2'length;
VARIABLE equal : BOOLEAN := TRUE;
BEGIN
--coverage off
IF NOT (len1=len2) THEN
equal := FALSE;
ELSE
FOR i IN str1'range LOOP
IF NOT (LowerCase_Char(str1(i)) = LowerCase_Char(str2(i))) THEN
equal := FALSE;
END IF;
END LOOP;
END IF;
--coverage on
RETURN equal;
END Equal_String;
-------------------------------------------------------------------------------
-- Remove usage of C_FAMILY.
-- Remove usage of String_To_Family function.
--
--
-- function String_To_Family (S : string; Select_RTL : boolean) return TARGET_FAMILY_TYPE is
-- begin -- function String_To_Family
--
-- --coverage off
--
-- if ((Select_RTL) or Equal_String(S, "rtl")) then
-- return RTL;
-- elsif Equal_String(S, "spartan3") or Equal_String(S, "aspartan3") then
-- return SPARTAN3;
-- elsif Equal_String(S, "spartan3E") or Equal_String(S, "aspartan3E") then
-- return SPARTAN3E;
-- elsif Equal_String(S, "spartan3A") or Equal_String(S, "aspartan3A") then
-- return SPARTAN3A;
-- elsif Equal_String(S, "spartan3AN") then
-- return SPARTAN3AN;
-- elsif Equal_String(S, "spartan3Adsp") or Equal_String(S, "aspartan3Adsp") then
-- return SPARTAN3Adsp;
-- elsif Equal_String(S, "spartan6") or Equal_String(S, "spartan6l") or
-- Equal_String(S, "qspartan6") or Equal_String(S, "aspartan6") or Equal_String(S, "qspartan6l") then
-- return SPARTAN6;
-- elsif Equal_String(S, "virtex4") or Equal_String(S, "qvirtex4")
-- or Equal_String(S, "qrvirtex4") then
-- return VIRTEX4;
-- elsif Equal_String(S, "virtex5") or Equal_String(S, "qrvirtex5") then
-- return VIRTEX5;
-- elsif Equal_String(S, "virtex6") or Equal_String(S, "virtex6l") or Equal_String(S, "qvirtex6") then
-- return VIRTEX6;
-- elsif Equal_String(S, "virtex7") then
-- return VIRTEX7;
-- elsif Equal_String(S, "kintex7") then
-- return KINTEX7;
--
-- --coverage on
--
-- else
-- -- assert (false) report "No known target family" severity failure;
-- return RTL;
-- end if;
--
-- end function String_To_Family;
-------------------------------------------------------------------------------
-- Remove usage of C_FAMILY.
-- Remove usage of Family_To_LUT_Size function.
--
-- function Family_To_LUT_Size (Family : TARGET_FAMILY_TYPE) return integer is
-- begin
--
-- --coverage off
--
-- if (Family = SPARTAN3) or (Family = SPARTAN3E) or (Family = SPARTAN3A) or
-- (Family = SPARTAN3AN) or (Family = SPARTAN3Adsp) or (Family = VIRTEX4) then
-- return 4;
-- end if;
--
-- return 6;
--
-- --coverage on
--
-- end function Family_To_LUT_Size;
-------------------------------------------------------------------------------
-- Function log2 -- returns number of bits needed to encode x choices
-- x = 0 returns 0
-- x = 1 returns 0
-- x = 2 returns 1
-- x = 4 returns 2, etc.
-------------------------------------------------------------------------------
function log2(x : natural) return integer is
variable i : integer := 0;
variable val: integer := 1;
begin
--coverage off
if x = 0 then return 0;
else
for j in 0 to 29 loop -- for loop for XST
if val >= x then null;
else
i := i+1;
val := val*2;
end if;
end loop;
-- Fix per CR520627 XST was ignoring this anyway and printing a
-- Warning in SRP file. This will get rid of the warning and not
-- impact simulation.
-- synthesis translate_off
assert val >= x
report "Function log2 received argument larger" &
" than its capability of 2^30. "
severity failure;
-- synthesis translate_on
return i;
end if;
--coverage on
end function log2;
-------------------------------------------------------------------------------
end package body axi_bram_ctrl_funcs;
| bsd-2-clause | 1b20a02140ac7cb6ba28961608d9772d | 0.500375 | 4.193509 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/iteration_scheme/rule_300_test_input.vhd | 1 | 408 |
architecture RTL of ENTITY1 is
function FUNC1 (A : in natural) return natural is
variable temp : natural;
begin
temp := A;
while (temp /= 10) loop
temp := temp + 1;
end loop;
while (temp /= 20) loop
temp := temp + 1;
end loop;
while (temp /= 30) loop
temp := temp + 1;
end loop;
return temp;
end function FUNC1;
begin
end architecture RTL;
| gpl-3.0 | 7b5bc90b756ce3e95a64da87e314bf11 | 0.57598 | 3.709091 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/vhdlFile/simple_variable_assignment/classification_test_input.vhd | 1 | 437 |
architecture RTL of ENTITY_NAME is
begin
process
begin
FORCE_LABEL : sig1 := a + b - c after 10 ns, d + e after 25 ns;
FORCE_LABEL : sig1 := a + b - c after 10 ns, d + e after 25 ns;
FORCE_LABEL : sig1 := a + b - c after 10 ns, d + e after 25 ns;
FORCE_LABEL : sig1 := a + b - c, d + e;
FORCE_LABEL : sig1 := a + b - c;
FORCE_LABEL : sig2 := a;
sig2 := a;
end process;
end architecture RTL;
| gpl-3.0 | d7400143b74d637456d512b95f987a56 | 0.551487 | 2.993151 | false | false | false | false |
tdaede/daala_zynq | daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/fifo_generator_v11_0/fifo_generator_v11_0_pkg.vhd | 2 | 129,958 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
dH+/VZBuaqXYna4Bijk3T1yqHFFIP6LnjsBzX1mH5aHXfyWL++vRrdWfuK2jdXeFIbwVMRLHEz7R
EMTpE7+RUg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OQ0656nsUUtiQAH8jdbt8LIjJvzHtO0A0lW/mk+t1K67nGkBuXhidmC3Dpn0uWk9NHP+iND+O+pe
EZnHiKrMb4nBkx3FeLAiqPTyf1DGDqozKZXAuK9nEBZOpttApJLeqkMxy35UQa62rQr3nKBb3qAv
g2dEVQ3GnM8ofRWKnSY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rGjRrFsSVtbanPDTPtCG7jEm2Rmy7mp+sfXWdRO5oZCnZljThC2W2F+DKasmnu9RnBN5NntOclb3
/ghsvIwquJHaP24vfsr2I7iam06aij3jBZENCOGEKB01lt78kIbBj7yh+MJeY3JEKjBhrDeOkIzN
Kf2uDT16KBwZrCM7ZvEhcPHHkrC63qLCF8nkbZxaVCcaK6ymQnvEPsN8XqMVWODbU5fYPZa5W4sZ
9KbzRR4TrKu0p5uJM4xUitTbwftvDE3xVCOV7jJGnNziRR7oOwxT+DRV5NtIMU2VEttMAN2gF/Wg
VsAR2haj9t/xvUO7Avu9G1u2859wR1FcJshjZQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
SVL+F9n84vFO1OU8PAKU2ZR/yB8fLnfEK6m9gudJ9iZWjBnx01kvIgKsyobPmZTV/+Z+euQgB4O7
lkaCLuZJ8BC/svi+nEH1uaMlAxlziKJcp4KYpEpCrAC09Y7kMhPnD18NRgsUhqa0vw3JsapW+jMy
cWvjq9Q0LoI/MBPRRAM=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
G5xooBDRSi0leQa+UWB3rN5JyS2mYr84Bh/YJkc8v9fHCDHt4fU2hevR86owzzJNMNIJE8gcdDRI
zoD3822e36culvsi9coPKzMHWFmYpIihJh0YnPrckAAmBFeyFuLCu3PenNPSu+3+NbK4G1jL9BlL
vxcbd1v2ZekRc0IMc5pDemocl0Cv7wFsd7n/KULAg5fuoYpCMky5hrh6VNzUEcwdGj7c/Z8MqLu7
wZLnyg9pR6DVZaLoqz7OEjOLSe2JBtdiStil20k4eSCWSuxrDKqoUTAufzi6LWY3Eav6lC96dd4M
AT9sc5wKXjJ1q6H7UVyGadfIrura0XreELI0Lw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 94464)
`protect data_block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`protect end_protected
| bsd-2-clause | fd50dd51108f82a3a89a9e1945560b36 | 0.953362 | 1.814042 | false | false | false | false |
Yarr/Yarr-fw | rtl/spartan6/ddr3-core/ip_cores/ddr3_ctrl_spec_bank3_64b_32b/user_design/sim/v6_data_gen.vhd | 20 | 127,738 | --*****************************************************************************
-- (c) Copyright 2009 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--*****************************************************************************
-- ____ ____
-- / /\/ /
-- /___/ \ / Vendor : Xilinx
-- \ \ \/ Version : %version
-- \ \ Application : MIG
-- / / Filename : v6_data_gen.vhd
-- /___/ /\ Date Last Modified : $Date: 2011/06/02 07:16:43 $
-- \ \ / \ Date Created : Jul 03 2009
-- \___\/\___\
--
-- Device : Virtex6
-- Design Name : DDR2/DDR3
-- Purpose : This module generates different data pattern as described in
-- parameter DATA_PATTERN and is set up for Virtex 6 family.
-- Reference :
-- Revision History:
--*****************************************************************************
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
entity v6_data_gen is
generic (
EYE_TEST : string := "FALSE";
ADDR_WIDTH : integer := 32;
MEM_BURST_LEN : integer := 8;
BL_WIDTH : integer := 6;
DWIDTH : integer := 288;
DATA_PATTERN : string := "DGEN_ALL"; --"DGEN_HAMMER", "DGEN_WALING1","DGEN_WALING0","DGEN_ADDR","DGEN_NEIGHBOR","DGEN_PRBS","DGEN_ALL"
NUM_DQ_PINS : integer := 72;
COLUMN_WIDTH : integer := 10;
SEL_VICTIM_LINE : integer := 3 -- VICTIM LINE is one of the DQ pins is selected to be different than hammer pattern
);
port (
clk_i : in std_logic;
rst_i : in std_logic;
prbs_fseed_i : in std_logic_vector(31 downto 0);
data_mode_i : in std_logic_vector(3 downto 0);
data_rdy_i : in std_logic;
cmd_startA : in std_logic;
cmd_startB : in std_logic;
cmd_startC : in std_logic;
cmd_startD : in std_logic;
cmd_startE : in std_logic;
m_addr_i : in std_logic_vector(ADDR_WIDTH - 1 downto 0);
fixed_data_i : in std_logic_vector(DWIDTH-1 downto 0);
addr_i : in std_logic_vector(ADDR_WIDTH - 1 downto 0);
user_burst_cnt : in std_logic_vector(6 downto 0);
fifo_rdy_i : in std_logic;
data_o : out std_logic_vector(NUM_DQ_PINS * 4 - 1 downto 0)
);
end entity v6_data_gen;
architecture trans of v6_data_gen is
component data_prbs_gen is
generic (
EYE_TEST : string := "FALSE";
PRBS_WIDTH : integer := 32;
SEED_WIDTH : integer := 32
);
port (
clk_i : in std_logic;
clk_en : in std_logic;
rst_i : in std_logic;
prbs_fseed_i : in std_logic_vector(31 downto 0);
prbs_seed_init : in std_logic;
prbs_seed_i : in std_logic_vector(PRBS_WIDTH - 1 downto 0);
prbs_o : out std_logic_vector(PRBS_WIDTH - 1 downto 0)
);
end component;
constant ALL_0 : std_logic_vector(NUM_DQ_PINS * 4 - 1 downto 0) := (others => '0');
signal prbs_data : std_logic_vector(31 downto 0);
signal acounts : std_logic_vector(35 downto 0);
signal adata : std_logic_vector(NUM_DQ_PINS * 4 - 1 downto 0);
signal hdata : std_logic_vector(NUM_DQ_PINS * 4 - 1 downto 0);
signal ndata : std_logic_vector(NUM_DQ_PINS * 4 - 1 downto 0);
signal w1data : std_logic_vector(NUM_DQ_PINS * 4 - 1 downto 0);
signal w0data : std_logic_vector(NUM_DQ_PINS * 4 - 1 downto 0);
signal data : std_logic_vector(NUM_DQ_PINS * 4 - 1 downto 0);
signal tstpts : std_logic_vector(7 downto 0);
signal burst_count_reached2 : std_logic;
signal data_valid : std_logic;
signal walk_cnt : std_logic_vector(2 downto 0);
signal user_address : std_logic_vector(ADDR_WIDTH - 1 downto 0);
signal sel_w1gen_logic : std_logic;
--signal BLANK : std_logic_vector(7 downto 0);
--signal SHIFT_0 : std_logic_vector(7 downto 0);
--signal SHIFT_1 : std_logic_vector(7 downto 0);
--signal SHIFT_2 : std_logic_vector(7 downto 0);
--signal SHIFT_3 : std_logic_vector(7 downto 0);
--signal SHIFT_4 : std_logic_vector(7 downto 0);
--signal SHIFT_5 : std_logic_vector(7 downto 0);
--signal SHIFT_6 : std_logic_vector(7 downto 0);
--signal SHIFT_7 : std_logic_vector(7 downto 0);
signal sel_victimline_r : std_logic_vector(4 * NUM_DQ_PINS - 1 downto 0);
signal data_clk_en : std_logic;
signal full_prbs_data : std_logic_vector(NUM_DQ_PINS * 4 - 1 downto 0);
signal h_prbsdata : std_logic_vector(NUM_DQ_PINS * 4 - 1 downto 0);
signal i : integer;
signal j : integer;
signal data_mode_rr_a : std_logic_vector(3 downto 0);
signal data_mode_rr_b : std_logic_vector(3 downto 0);
signal data_mode_rr_c : std_logic_vector(3 downto 0);
signal prbs_seed_i : std_logic_vector(31 downto 0);
function concat ( in1 : integer;
in2 : std_logic_vector) return std_logic_vector is
variable rang : integer := in2'length;
variable temp : std_logic_vector(in1*rang-1 downto 0);
begin
for i in 0 to in1-1 loop
temp(rang*(i+1)-1 downto rang*i) := in2;
end loop;
return temp;
end function;
function Data_Gen ( int : integer
) return std_logic_vector is
variable data_bus : std_logic_vector(4*NUM_DQ_PINS-1 downto 0) := (others => '0');
variable j : integer;
begin
j := int/2;
if((int mod 2) = 1) then
data_bus((0*NUM_DQ_PINS+j*8)+7 downto (0*NUM_DQ_PINS+j*8)) := "00010000";
data_bus((1*NUM_DQ_PINS+j*8)+7 downto (1*NUM_DQ_PINS+j*8)) := "00100000";
data_bus((2*NUM_DQ_PINS+j*8)+7 downto (2*NUM_DQ_PINS+j*8)) := "01000000";
data_bus((3*NUM_DQ_PINS+j*8)+7 downto (3*NUM_DQ_PINS+j*8)) := "10000000";
else
data_bus((0*NUM_DQ_PINS+j*8)+7 downto (0*NUM_DQ_PINS+j*8)) := "00000001";
data_bus((1*NUM_DQ_PINS+j*8)+7 downto (1*NUM_DQ_PINS+j*8)) := "00000010";
data_bus((2*NUM_DQ_PINS+j*8)+7 downto (2*NUM_DQ_PINS+j*8)) := "00000100";
data_bus((3*NUM_DQ_PINS+j*8)+7 downto (3*NUM_DQ_PINS+j*8)) := "00001000";
end if;
return data_bus;
end function;
function Data_GenW0 ( int : integer) return std_logic_vector is
variable data_bus : std_logic_vector(4*NUM_DQ_PINS-1 downto 0) := (others => '0');
variable j : integer;
begin
j := int/2;
if((int mod 2) = 1) then
data_bus((0*NUM_DQ_PINS+j*8)+7 downto (0*NUM_DQ_PINS+j*8)) := "11101111";
data_bus((1*NUM_DQ_PINS+j*8)+7 downto (1*NUM_DQ_PINS+j*8)) := "11011111";
data_bus((2*NUM_DQ_PINS+j*8)+7 downto (2*NUM_DQ_PINS+j*8)) := "10111111";
data_bus((3*NUM_DQ_PINS+j*8)+7 downto (3*NUM_DQ_PINS+j*8)) := "01111111";
else
data_bus((0*NUM_DQ_PINS+j*8)+7 downto (0*NUM_DQ_PINS+j*8)) := "11111110";
data_bus((1*NUM_DQ_PINS+j*8)+7 downto (1*NUM_DQ_PINS+j*8)) := "11111101";
data_bus((2*NUM_DQ_PINS+j*8)+7 downto (2*NUM_DQ_PINS+j*8)) := "11111011";
data_bus((3*NUM_DQ_PINS+j*8)+7 downto (3*NUM_DQ_PINS+j*8)) := "11110111";
end if;
return data_bus;
end function;
begin
data_o <= data;
full_prbs_data <= concat(DWIDTH/32,prbs_data);
process (clk_i)
begin
if (clk_i'event and clk_i = '1') then
data_mode_rr_a <= data_mode_i;
data_mode_rr_b <= data_mode_i;
data_mode_rr_c <= data_mode_i;
end if;
end process;
process (data_mode_rr_a, h_prbsdata, fixed_data_i, adata, hdata, ndata, w1data, full_prbs_data)
begin
case data_mode_rr_a is
when "0000" =>
data <= h_prbsdata;
when "0001" => -- "0001" = fixed data
data <= fixed_data_i;
when "0010" => -- "0010" = address as data
data <= adata;
when "0011" => -- "0011" = hammer
data <= hdata;
when "0100" => -- "0100" = neighbour
data <= ndata;
when "0101" => -- "0101" = walking 1's
data <= w1data;
when "0110" => -- "0110" = walking 0's
data <= w1data;
when "0111" => -- "0111" = prbs
data <= full_prbs_data;
when others =>
data <= (others => '0');
end case;
end process;
-- process (data_mode_rr_a, h_prbsdata, fixed_data_i, adata, hdata, ndata, w1data, full_prbs_data)
-- begin
-- case data_mode_rr_a is
-- when "0000" =>
-- data <= h_prbsdata;
-- when "0001" => -- "0001" = fixed data
-- data <= fixed_data_i;
-- when "0010" => -- "0010" = address as data
-- data <= adata;
-- when "0011" => -- "0011" = hammer
-- data <= hdata;
-- when "0100" => -- "0100" = neighbour
-- data <= ndata;
-- when "0111" => -- "0111" = prbs
-- data <= full_prbs_data;
-- when others =>
-- data <= w1data;
-- end case;
-- end process;
process (clk_i)
begin
if (clk_i'event and clk_i = '1') then
if (data_mode_rr_c(2 downto 0) = "101" or data_mode_rr_c(2 downto 0) = "100" or data_mode_rr_c(2 downto 0) = "110") then -- WALKING PATTERN
sel_w1gen_logic <= '1';
else
sel_w1gen_logic <= '0';
end if;
end if;
end process;
WALKING_ONE_8_PATTERN : if (NUM_DQ_PINS = 8 and (DATA_PATTERN = "DGEN_WALKING1" or DATA_PATTERN = "DGEN_WALKING0" or DATA_PATTERN = "DGEN_NEIGHBOR" or DATA_PATTERN = "DGEN_ALL")) generate
process (clk_i)
begin
if (clk_i'event and clk_i = '1') then
if (fifo_rdy_i = '1' or cmd_startC = '1') then
if (cmd_startC = '1') then
if (sel_w1gen_logic = '1') then
case addr_i(3) is
when '0' =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(0);
else
w1data <= Data_GenW0(0);
end if;
when '1' =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(1);
else
w1data <= Data_GenW0(1);
end if;
when others =>
w1data <= (others => '0');
end case;
end if;
elsif (MEM_BURST_LEN = 8) then
w1data(4 * NUM_DQ_PINS - 1 downto 3 * NUM_DQ_PINS) <= (w1data(4 * NUM_DQ_PINS - 5 downto 3 * NUM_DQ_PINS) & w1data(4 * NUM_DQ_PINS - 1 downto 4 * NUM_DQ_PINS - 4));
w1data(3 * NUM_DQ_PINS - 1 downto 2 * NUM_DQ_PINS) <= (w1data(3 * NUM_DQ_PINS - 5 downto 2 * NUM_DQ_PINS) & w1data(3 * NUM_DQ_PINS - 1 downto 3 * NUM_DQ_PINS - 4));
w1data(2 * NUM_DQ_PINS - 1 downto 1 * NUM_DQ_PINS) <= (w1data(2 * NUM_DQ_PINS - 5 downto 1 * NUM_DQ_PINS) & w1data(2 * NUM_DQ_PINS - 1 downto 2 * NUM_DQ_PINS - 4));
w1data(1 * NUM_DQ_PINS - 1 downto 0 * NUM_DQ_PINS) <= (w1data(1 * NUM_DQ_PINS - 5 downto 0 * NUM_DQ_PINS) & w1data(1 * NUM_DQ_PINS - 1 downto 1 * NUM_DQ_PINS - 4));
end if;
end if;
end if;
end process;
end generate;
WALKING_ONE_16_PATTERN : if (NUM_DQ_PINS = 16 and (DATA_PATTERN = "DGEN_WALKING1" or DATA_PATTERN = "DGEN_WALKING0" or DATA_PATTERN = "DGEN_NEIGHBOR" or DATA_PATTERN = "DGEN_ALL")) generate
process (clk_i)
begin
if (clk_i'event and clk_i = '1') then
if ( fifo_rdy_i = '1' or cmd_startC = '1') then
if (cmd_startC = '1') then
if (sel_w1gen_logic = '1') then
case addr_i(4 downto 3) is
when "00" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(0);
else
w1data <= Data_GenW0(0);
end if;
when "01" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(1);
else
w1data <= Data_GenW0(1);
end if;
when "10" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(2);
else
w1data <= Data_GenW0(2);
end if;
when "11" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(3);
else
w1data <= Data_GenW0(3);
end if;
when others =>
w1data <= (others => '0');
end case;
end if;
elsif (MEM_BURST_LEN = 8) then
w1data(4 * NUM_DQ_PINS - 1 downto 3 * NUM_DQ_PINS) <= (w1data(4 * NUM_DQ_PINS - 5 downto 3 * NUM_DQ_PINS) & w1data(4 * NUM_DQ_PINS - 1 downto 4 * NUM_DQ_PINS - 4));
w1data(3 * NUM_DQ_PINS - 1 downto 2 * NUM_DQ_PINS) <= (w1data(3 * NUM_DQ_PINS - 5 downto 2 * NUM_DQ_PINS) & w1data(3 * NUM_DQ_PINS - 1 downto 3 * NUM_DQ_PINS - 4));
w1data(2 * NUM_DQ_PINS - 1 downto 1 * NUM_DQ_PINS) <= (w1data(2 * NUM_DQ_PINS - 5 downto 1 * NUM_DQ_PINS) & w1data(2 * NUM_DQ_PINS - 1 downto 2 * NUM_DQ_PINS - 4));
w1data(1 * NUM_DQ_PINS - 1 downto 0 * NUM_DQ_PINS) <= (w1data(1 * NUM_DQ_PINS - 5 downto 0 * NUM_DQ_PINS) & w1data(1 * NUM_DQ_PINS - 1 downto 1 * NUM_DQ_PINS - 4));
end if;
end if;
end if;
end process;
end generate;
WALKING_ONE_24_PATTERN : if (NUM_DQ_PINS = 24 and (DATA_PATTERN = "DGEN_WALKING1" or DATA_PATTERN = "DGEN_WALKING0" or DATA_PATTERN = "DGEN_NEIGHBOR" or DATA_PATTERN = "DGEN_ALL")) generate
process (clk_i)
begin
if (clk_i'event and clk_i = '1') then
if ( fifo_rdy_i = '1' or cmd_startC = '1') then
if (cmd_startC = '1') then
if (sel_w1gen_logic = '1') then
case addr_i(7 downto 3) is
when "00000" | "00110" | "01100" |
"10010" | "11000" | "11110" =>
-- when "10010" | "11000"=>
if (data_mode_i = "0101") then
w1data <= Data_Gen(0);
else
w1data <= Data_GenW0(0);
end if;
when "00001" | "00111" | "01101" |
"10011" | "11001" | "11111" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(1);
else
w1data <= Data_GenW0(1);
end if;
when "00010" | "01000" | "01110" | --2,8,14,20,26
"10100" | "11010" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(2);
else
w1data <= Data_GenW0(2);
end if;
when "00011" | "01001" | "01111" | --3,9,15,21,27
"10101" | "11011" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(3);
else
w1data <= Data_GenW0(3);
end if;
when "00100" | "01010" | "10000" |
"10110" | "11100" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(4);
else
w1data <= Data_GenW0(4);
end if;
when "00101" | "01011" | "10001" |
"10111" | "11101" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(5);
else
w1data <= Data_GenW0(5);
end if;
when others =>
w1data <= (others => '0');
end case;
end if;
elsif (MEM_BURST_LEN = 8) then
w1data(4 * NUM_DQ_PINS - 1 downto 3 * NUM_DQ_PINS) <= (w1data(4 * NUM_DQ_PINS - 5 downto 3 * NUM_DQ_PINS) & w1data(4 * NUM_DQ_PINS - 1 downto 4 * NUM_DQ_PINS - 4));
w1data(3 * NUM_DQ_PINS - 1 downto 2 * NUM_DQ_PINS) <= (w1data(3 * NUM_DQ_PINS - 5 downto 2 * NUM_DQ_PINS) & w1data(3 * NUM_DQ_PINS - 1 downto 3 * NUM_DQ_PINS - 4));
w1data(2 * NUM_DQ_PINS - 1 downto 1 * NUM_DQ_PINS) <= (w1data(2 * NUM_DQ_PINS - 5 downto 1 * NUM_DQ_PINS) & w1data(2 * NUM_DQ_PINS - 1 downto 2 * NUM_DQ_PINS - 4));
w1data(1 * NUM_DQ_PINS - 1 downto 0 * NUM_DQ_PINS) <= (w1data(1 * NUM_DQ_PINS - 5 downto 0 * NUM_DQ_PINS) & w1data(1 * NUM_DQ_PINS - 1 downto 1 * NUM_DQ_PINS - 4));
end if; -- cmd_startC
end if; --if ( fifo_rdy_i = '1' or cmd_startC = '1')
end if; -- clk
end process;
end generate;
WALKING_ONE_32_PATTERN : if (NUM_DQ_PINS = 32 and (DATA_PATTERN = "DGEN_WALKING1" or DATA_PATTERN = "DGEN_WALKING0" or DATA_PATTERN = "DGEN_NEIGHBOR" or DATA_PATTERN = "DGEN_ALL")) generate
process (clk_i)
begin
if (clk_i'event and clk_i = '1') then
if ( fifo_rdy_i = '1' or cmd_startC = '1') then
if (cmd_startC = '1') then
if (sel_w1gen_logic = '1') then
case addr_i(6 downto 4) is
when "000" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(0);
else
w1data <= Data_GenW0(0);
end if;
when "001" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(1);
else
w1data <= Data_GenW0(1);
end if;
when "010" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(2);
else
w1data <= Data_GenW0(2);
end if;
when "011" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(3);
else
w1data <= Data_GenW0(3);
end if;
when "100" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(4);
else
w1data <= Data_GenW0(4);
end if;
when "101" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(5);
else
w1data <= Data_GenW0(5);
end if;
when "110" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(6);
else
w1data <= Data_GenW0(6);
end if;
when "111" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(7);
else
w1data <= Data_GenW0(7);
end if;
when others =>
w1data <= (others => '0');
end case;
end if;
elsif (MEM_BURST_LEN = 8) then
w1data(4 * NUM_DQ_PINS - 1 downto 3 * NUM_DQ_PINS) <= (w1data(4 * NUM_DQ_PINS - 5 downto 3 * NUM_DQ_PINS) & w1data(4 * NUM_DQ_PINS - 1 downto 4 * NUM_DQ_PINS - 4));
w1data(3 * NUM_DQ_PINS - 1 downto 2 * NUM_DQ_PINS) <= (w1data(3 * NUM_DQ_PINS - 5 downto 2 * NUM_DQ_PINS) & w1data(3 * NUM_DQ_PINS - 1 downto 3 * NUM_DQ_PINS - 4));
w1data(2 * NUM_DQ_PINS - 1 downto 1 * NUM_DQ_PINS) <= (w1data(2 * NUM_DQ_PINS - 5 downto 1 * NUM_DQ_PINS) & w1data(2 * NUM_DQ_PINS - 1 downto 2 * NUM_DQ_PINS - 4));
w1data(1 * NUM_DQ_PINS - 1 downto 0 * NUM_DQ_PINS) <= (w1data(1 * NUM_DQ_PINS - 5 downto 0 * NUM_DQ_PINS) & w1data(1 * NUM_DQ_PINS - 1 downto 1 * NUM_DQ_PINS - 4));
end if;
end if;
end if;
end process;
end generate;
--
WALKING_ONE_40_PATTERN : if (NUM_DQ_PINS = 40 and (DATA_PATTERN = "DGEN_WALKING1" or DATA_PATTERN = "DGEN_WALKING0" or DATA_PATTERN = "DGEN_NEIGHBOR" or DATA_PATTERN = "DGEN_ALL")) generate
process (clk_i)
begin
if (clk_i'event and clk_i = '1') then
if ( fifo_rdy_i = '1' or cmd_startC = '1') then
if (cmd_startC = '1') then
if (sel_w1gen_logic = '1') then
case addr_i(7 downto 4) is
when "0000" | "1010" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(0);
else
w1data <= Data_GenW0(0);
end if;
when "0001" | "1011" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(1);
else
w1data <= Data_GenW0(1);
end if;
when "0010" | "1100" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(2);
else
w1data <= Data_GenW0(2);
end if;
when "0011" | "1101" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(3);
else
w1data <= Data_GenW0(3);
end if;
when "0100" | "1110" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(4);
else
w1data <= Data_GenW0(4);
end if;
when "0101" | "1111" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(5);
else
w1data <= Data_GenW0(7);
end if;
when "0110" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(6);
else
w1data <= Data_GenW0(6);
end if;
when "0111" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(7);
else
w1data <= Data_GenW0(7);
end if;
when "1000" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(8);
else
w1data <= Data_GenW0(8);
end if;
when "1001" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(9);
else
w1data <= Data_GenW0(9);
end if;
when others =>
w1data <= (others => '0');
end case;
end if;
elsif (MEM_BURST_LEN = 8) then
w1data(4 * NUM_DQ_PINS - 1 downto 3 * NUM_DQ_PINS) <= (w1data(4 * NUM_DQ_PINS - 5 downto 3 * NUM_DQ_PINS) & w1data(4 * NUM_DQ_PINS - 1 downto 4 * NUM_DQ_PINS - 4));
w1data(3 * NUM_DQ_PINS - 1 downto 2 * NUM_DQ_PINS) <= (w1data(3 * NUM_DQ_PINS - 5 downto 2 * NUM_DQ_PINS) & w1data(3 * NUM_DQ_PINS - 1 downto 3 * NUM_DQ_PINS - 4));
w1data(2 * NUM_DQ_PINS - 1 downto 1 * NUM_DQ_PINS) <= (w1data(2 * NUM_DQ_PINS - 5 downto 1 * NUM_DQ_PINS) & w1data(2 * NUM_DQ_PINS - 1 downto 2 * NUM_DQ_PINS - 4));
w1data(1 * NUM_DQ_PINS - 1 downto 0 * NUM_DQ_PINS) <= (w1data(1 * NUM_DQ_PINS - 5 downto 0 * NUM_DQ_PINS) & w1data(1 * NUM_DQ_PINS - 1 downto 1 * NUM_DQ_PINS - 4));
end if;
end if;
end if;
end process;
end generate;
WALKING_ONE_48_PATTERN :
if (NUM_DQ_PINS = 48 and (DATA_PATTERN = "DGEN_WALKING1" or DATA_PATTERN = "DGEN_WALKING0" or DATA_PATTERN = "DGEN_NEIGHBOR" or DATA_PATTERN = "DGEN_ALL")) generate
process (clk_i)
begin
if (clk_i'event and clk_i = '1') then
if ( fifo_rdy_i = '1' or cmd_startC = '1') then
if (cmd_startC = '1') then
if (sel_w1gen_logic = '1') then
case addr_i(7 downto 4) is
when "0000" | "1100" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(0);
else
w1data <= Data_GenW0(0);
end if;
when "0001" | "1101" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(1);
else
w1data <= Data_GenW0(1);
end if;
when "0010" | "1110" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(2);
else
w1data <= Data_GenW0(2);
end if;
when "0011" | "1111" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(3);
else
w1data <= Data_GenW0(3);
end if;
when "0100" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(4);
else
w1data <= Data_GenW0(4);
end if;
when "0101" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(5);
else
w1data <= Data_GenW0(5);
end if;
when "0110" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(6);
else
w1data <= Data_GenW0(6);
end if;
when "0111" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(7);
else
w1data <= Data_GenW0(7);
end if;
when "1000" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(8);
else
w1data <= Data_GenW0(8);
end if;
when "1001" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(9);
else
w1data <= Data_GenW0(9);
end if;
when "1010" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(10);
else
w1data <= Data_GenW0(10);
end if;
when "1011" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(11);
else
w1data <= Data_GenW0(11);
end if;
--
when others =>
w1data <= (others => '0');
end case;
end if;
elsif (MEM_BURST_LEN = 8) then
w1data(4 * NUM_DQ_PINS - 1 downto 3 * NUM_DQ_PINS) <= (w1data(4 * NUM_DQ_PINS - 5 downto 3 * NUM_DQ_PINS) & w1data(4 * NUM_DQ_PINS - 1 downto 4 * NUM_DQ_PINS - 4));
w1data(3 * NUM_DQ_PINS - 1 downto 2 * NUM_DQ_PINS) <= (w1data(3 * NUM_DQ_PINS - 5 downto 2 * NUM_DQ_PINS) & w1data(3 * NUM_DQ_PINS - 1 downto 3 * NUM_DQ_PINS - 4));
w1data(2 * NUM_DQ_PINS - 1 downto 1 * NUM_DQ_PINS) <= (w1data(2 * NUM_DQ_PINS - 5 downto 1 * NUM_DQ_PINS) & w1data(2 * NUM_DQ_PINS - 1 downto 2 * NUM_DQ_PINS - 4));
w1data(1 * NUM_DQ_PINS - 1 downto 0 * NUM_DQ_PINS) <= (w1data(1 * NUM_DQ_PINS - 5 downto 0 * NUM_DQ_PINS) & w1data(1 * NUM_DQ_PINS - 1 downto 1 * NUM_DQ_PINS - 4));
end if;
end if;
end if;
end process;
end generate;
WALKING_ONE_56_PATTERN:
if (NUM_DQ_PINS = 56 and (DATA_PATTERN = "DGEN_WALKING1" or DATA_PATTERN = "DGEN_WALKING0" or DATA_PATTERN = "DGEN_NEIGHBOR" or DATA_PATTERN = "DGEN_ALL")) generate
process (clk_i)
begin
if (clk_i'event and clk_i = '1') then
if ( fifo_rdy_i = '1' or cmd_startC = '1') then
if (cmd_startC = '1') then
if (sel_w1gen_logic = '1') then
case addr_i(8 downto 5) is
when "0000" | "1110" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(0);
else
w1data <= Data_GenW0(0);
end if;
when "0001" | "1111" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(1);
else
w1data <= Data_GenW0(1);
end if;
when "0010" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(2);
else
w1data <= Data_GenW0(2);
end if;
when "0011" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(3);
else
w1data <= Data_GenW0(3);
end if;
when "0100" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(4);
else
w1data <= Data_GenW0(4);
end if;
when "0101" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(5);
else
w1data <= Data_GenW0(5);
end if;
when "0110" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(6);
else
w1data <= Data_GenW0(6);
end if;
when "0111" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(7);
else
w1data <= Data_GenW0(7);
end if;
when "1000" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(8);
else
w1data <= Data_GenW0(8);
end if;
when "1001" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(9);
else
w1data <= Data_GenW0(9);
end if;
when "1010" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(10);
else
w1data <= Data_GenW0(10);
end if;
when "1011" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(11);
else
w1data <= Data_GenW0(11);
end if;
when "1100" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(12);
else
w1data <= Data_GenW0(12);
end if;
when "1101" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(13);
else
w1data <= Data_GenW0(13);
end if;
when others =>
w1data <= (others => '0');
end case;
end if;
elsif (MEM_BURST_LEN = 8) then
w1data(4 * NUM_DQ_PINS - 1 downto 3 * NUM_DQ_PINS) <= (w1data(4 * NUM_DQ_PINS - 5 downto 3 * NUM_DQ_PINS) & w1data(4 * NUM_DQ_PINS - 1 downto 4 * NUM_DQ_PINS - 4));
w1data(3 * NUM_DQ_PINS - 1 downto 2 * NUM_DQ_PINS) <= (w1data(3 * NUM_DQ_PINS - 5 downto 2 * NUM_DQ_PINS) & w1data(3 * NUM_DQ_PINS - 1 downto 3 * NUM_DQ_PINS - 4));
w1data(2 * NUM_DQ_PINS - 1 downto 1 * NUM_DQ_PINS) <= (w1data(2 * NUM_DQ_PINS - 5 downto 1 * NUM_DQ_PINS) & w1data(2 * NUM_DQ_PINS - 1 downto 2 * NUM_DQ_PINS - 4));
w1data(1 * NUM_DQ_PINS - 1 downto 0 * NUM_DQ_PINS) <= (w1data(1 * NUM_DQ_PINS - 5 downto 0 * NUM_DQ_PINS) & w1data(1 * NUM_DQ_PINS - 1 downto 1 * NUM_DQ_PINS - 4));
end if;
end if;
end if;
end process;
end generate;
--
WALKING_ONE_64_PATTERN :
if (NUM_DQ_PINS = 64 and (DATA_PATTERN = "DGEN_WALKING1" or DATA_PATTERN = "DGEN_WALKING0" or DATA_PATTERN = "DGEN_NEIGHBOR" or DATA_PATTERN = "DGEN_ALL")) generate
process (clk_i)
begin
if (clk_i'event and clk_i = '1') then
if ( fifo_rdy_i = '1' or cmd_startC = '1') then
if (cmd_startC = '1') then
if (sel_w1gen_logic = '1') then
case addr_i(8 downto 5) is
when "0000" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(0);
else
w1data <= Data_GenW0(0);
end if;
when "0001" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(1);
else
w1data <= Data_GenW0(1);
end if;
when "0010" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(2);
else
w1data <= Data_GenW0(2);
end if;
when "0011" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(3);
else
w1data <= Data_GenW0(3);
end if;
when "0100" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(4);
else
w1data <= Data_GenW0(4);
end if;
when "0101" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(5);
else
w1data <= Data_GenW0(5);
end if;
when "0110" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(6);
else
w1data <= Data_GenW0(6);
end if;
when "0111" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(7);
else
w1data <= Data_GenW0(7);
end if;
when "1000" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(8);
else
w1data <= Data_GenW0(8);
end if;
when "1001" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(9);
else
w1data <= Data_GenW0(9);
end if;
when "1010" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(10);
else
w1data <= Data_GenW0(10);
end if;
when "1011" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(11);
else
w1data <= Data_GenW0(11);
end if;
when "1100" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(12);
else
w1data <= Data_GenW0(12);
end if;
when "1101" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(13);
else
w1data <= Data_GenW0(13);
end if;
when "1110" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(14);
else
w1data <= Data_GenW0(14);
end if;
when "1111" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(15);
else
w1data <= Data_GenW0(15);
end if;
when others =>
w1data <= (others => '0');
end case;
end if;
elsif (MEM_BURST_LEN = 8) then
w1data(4 * NUM_DQ_PINS - 1 downto 3 * NUM_DQ_PINS) <= (w1data(4 * NUM_DQ_PINS - 5 downto 3 * NUM_DQ_PINS) & w1data(4 * NUM_DQ_PINS - 1 downto 4 * NUM_DQ_PINS - 4));
w1data(3 * NUM_DQ_PINS - 1 downto 2 * NUM_DQ_PINS) <= (w1data(3 * NUM_DQ_PINS - 5 downto 2 * NUM_DQ_PINS) & w1data(3 * NUM_DQ_PINS - 1 downto 3 * NUM_DQ_PINS - 4));
w1data(2 * NUM_DQ_PINS - 1 downto 1 * NUM_DQ_PINS) <= (w1data(2 * NUM_DQ_PINS - 5 downto 1 * NUM_DQ_PINS) & w1data(2 * NUM_DQ_PINS - 1 downto 2 * NUM_DQ_PINS - 4));
w1data(1 * NUM_DQ_PINS - 1 downto 0 * NUM_DQ_PINS) <= (w1data(1 * NUM_DQ_PINS - 5 downto 0 * NUM_DQ_PINS) & w1data(1 * NUM_DQ_PINS - 1 downto 1 * NUM_DQ_PINS - 4));
end if;
end if;
end if;
end process;
end generate;
WALKING_ONE_72_PATTERN :
if (NUM_DQ_PINS = 72 and (DATA_PATTERN = "DGEN_WALKING1" or DATA_PATTERN = "DGEN_WALKING0" or DATA_PATTERN = "DGEN_NEIGHBOR" or DATA_PATTERN = "DGEN_ALL")) generate
process (clk_i)
begin
if (clk_i'event and clk_i = '1') then
if ( fifo_rdy_i = '1' or cmd_startC = '1') then
if (cmd_startC = '1') then
if (sel_w1gen_logic = '1') then
case addr_i(9 downto 5) is
when "00000" | "10010" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(0);
else
w1data <= Data_GenW0(0);
end if;
when "00001" | "10011" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(1);
else
w1data <= Data_GenW0(1);
end if;
when "00010" | "10100" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(2);
else
w1data <= Data_GenW0(2);
end if;
when "00011" | "10101" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(3);
else
w1data <= Data_GenW0(3);
end if;
when "00100" | "10110" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(4);
else
w1data <= Data_GenW0(4);
end if;
when "00101" | "10111" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(5);
else
w1data <= Data_GenW0(5);
end if;
when "00110" | "11000" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(6);
else
w1data <= Data_GenW0(6);
end if;
when "00111" | "11001" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(7);
else
w1data <= Data_GenW0(7);
end if;
when "01000" | "11010" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(8);
else
w1data <= Data_GenW0(8);
end if;
when "01001" | "11011" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(9);
else
w1data <= Data_GenW0(9);
end if;
when "01010" | "11100" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(10);
else
w1data <= Data_GenW0(10);
end if;
when "01011" | "11101" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(11);
else
w1data <= Data_GenW0(11);
end if;
when "01100" | "11110" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(12);
else
w1data <= Data_GenW0(12);
end if;
when "01101" | "11111" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(13);
else
w1data <= Data_GenW0(13);
end if;
when "01110" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(14);
else
w1data <= Data_GenW0(14);
end if;
when "01111" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(15);
else
w1data <= Data_GenW0(15);
end if;
when "10000" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(16);
else
w1data <= Data_GenW0(16);
end if;
when "10001" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(17);
else
w1data <= Data_GenW0(17);
end if;
when others =>
w1data <= (others => '0');
end case;
end if;
elsif (MEM_BURST_LEN = 8) then
w1data(4 * NUM_DQ_PINS - 1 downto 3 * NUM_DQ_PINS) <= (w1data(4 * NUM_DQ_PINS - 5 downto 3 * NUM_DQ_PINS) & w1data(4 * NUM_DQ_PINS - 1 downto 4 * NUM_DQ_PINS - 4));
w1data(3 * NUM_DQ_PINS - 1 downto 2 * NUM_DQ_PINS) <= (w1data(3 * NUM_DQ_PINS - 5 downto 2 * NUM_DQ_PINS) & w1data(3 * NUM_DQ_PINS - 1 downto 3 * NUM_DQ_PINS - 4));
w1data(2 * NUM_DQ_PINS - 1 downto 1 * NUM_DQ_PINS) <= (w1data(2 * NUM_DQ_PINS - 5 downto 1 * NUM_DQ_PINS) & w1data(2 * NUM_DQ_PINS - 1 downto 2 * NUM_DQ_PINS - 4));
w1data(1 * NUM_DQ_PINS - 1 downto 0 * NUM_DQ_PINS) <= (w1data(1 * NUM_DQ_PINS - 5 downto 0 * NUM_DQ_PINS) & w1data(1 * NUM_DQ_PINS - 1 downto 1 * NUM_DQ_PINS - 4));
end if;
end if;
end if;
end process;
end generate;
WALKING_ONE_80_PATTERN :
if (NUM_DQ_PINS = 80 and (DATA_PATTERN = "DGEN_WALKING1" or DATA_PATTERN = "DGEN_WALKING0" or DATA_PATTERN = "DGEN_NEIGHBOR" or DATA_PATTERN = "DGEN_ALL")) generate
process (clk_i)
begin
if (clk_i'event and clk_i = '1') then
if ( fifo_rdy_i = '1' or cmd_startC = '1') then
if (cmd_startC = '1') then
if (sel_w1gen_logic = '1') then
case addr_i(9 downto 5) is
when "00000" | "10100" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(0);
else
w1data <= Data_GenW0(0);
end if;
when "00001" | "10101" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(1);
else
w1data <= Data_GenW0(1);
end if;
when "00010" | "10110" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(2);
else
w1data <= Data_GenW0(2);
end if;
when "00011" | "10111" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(3);
else
w1data <= Data_GenW0(3);
end if;
when "00100" | "11000" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(4);
else
w1data <= Data_GenW0(4);
end if;
when "00101" | "11001" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(5);
else
w1data <= Data_GenW0(5);
end if;
when "00110" | "11010" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(6);
else
w1data <= Data_GenW0(6);
end if;
when "00111" | "11011" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(7);
else
w1data <= Data_GenW0(7);
end if;
when "01000" | "11100" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(8);
else
w1data <= Data_GenW0(8);
end if;
when "01001" | "11101" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(9);
else
w1data <= Data_GenW0(9);
end if;
when "01010" | "11110" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(10);
else
w1data <= Data_GenW0(10);
end if;
when "01011" | "11111" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(11);
else
w1data <= Data_GenW0(11);
end if;
when "01100" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(12);
else
w1data <= Data_GenW0(12);
end if;
when "01101" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(13);
else
w1data <= Data_GenW0(13);
end if;
when "01110" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(14);
else
w1data <= Data_GenW0(14);
end if;
when "01111" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(15);
else
w1data <= Data_GenW0(15);
end if;
when "10000" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(16);
else
w1data <= Data_GenW0(16);
end if;
when "10001" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(17);
else
w1data <= Data_GenW0(17);
end if;
when "10010" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(18);
else
w1data <= Data_GenW0(18);
end if;
when "10011" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(19);
else
w1data <= Data_GenW0(19);
end if;
when others =>
w1data <= (others => '0');
end case;
end if;
elsif (MEM_BURST_LEN = 8) then
w1data(4 * NUM_DQ_PINS - 1 downto 3 * NUM_DQ_PINS) <= (w1data(4 * NUM_DQ_PINS - 5 downto 3 * NUM_DQ_PINS) & w1data(4 * NUM_DQ_PINS - 1 downto 4 * NUM_DQ_PINS - 4));
w1data(3 * NUM_DQ_PINS - 1 downto 2 * NUM_DQ_PINS) <= (w1data(3 * NUM_DQ_PINS - 5 downto 2 * NUM_DQ_PINS) & w1data(3 * NUM_DQ_PINS - 1 downto 3 * NUM_DQ_PINS - 4));
w1data(2 * NUM_DQ_PINS - 1 downto 1 * NUM_DQ_PINS) <= (w1data(2 * NUM_DQ_PINS - 5 downto 1 * NUM_DQ_PINS) & w1data(2 * NUM_DQ_PINS - 1 downto 2 * NUM_DQ_PINS - 4));
w1data(1 * NUM_DQ_PINS - 1 downto 0 * NUM_DQ_PINS) <= (w1data(1 * NUM_DQ_PINS - 5 downto 0 * NUM_DQ_PINS) & w1data(1 * NUM_DQ_PINS - 1 downto 1 * NUM_DQ_PINS - 4));
end if;
end if;
end if;
end process;
end generate;
WALKING_ONE_88_PATTERN:
if (NUM_DQ_PINS = 88 and (DATA_PATTERN = "DGEN_WALKING1" or DATA_PATTERN = "DGEN_WALKING0" or DATA_PATTERN = "DGEN_NEIGHBOR" or DATA_PATTERN = "DGEN_ALL")) generate
process (clk_i)
begin
if (clk_i'event and clk_i = '1') then
if ( fifo_rdy_i = '1' or cmd_startC = '1') then
if (cmd_startC = '1') then
if (sel_w1gen_logic = '1') then
case addr_i(9 downto 5) is
when "00000" | "10110" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(0);
else
w1data <= Data_GenW0(0);
end if;
when "00001" | "10111" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(1);
else
w1data <= Data_GenW0(1);
end if;
when "00010" | "11000" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(2);
else
w1data <= Data_GenW0(2);
end if;
when "00011" | "11001" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(3);
else
w1data <= Data_GenW0(3);
end if;
when "00100" | "11010" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(4);
else
w1data <= Data_GenW0(4);
end if;
when "00101" | "11011" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(5);
else
w1data <= Data_GenW0(5);
end if;
when "00110" | "11100" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(6);
else
w1data <= Data_GenW0(6);
end if;
when "00111" | "11101" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(7);
else
w1data <= Data_GenW0(7);
end if;
when "01000" | "11110" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(8);
else
w1data <= Data_GenW0(8);
end if;
when "01001" | "11111" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(9);
else
w1data <= Data_GenW0(9);
end if;
when "01010" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(10);
else
w1data <= Data_GenW0(10);
end if;
when "01011" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(11);
else
w1data <= Data_GenW0(11);
end if;
when "01100" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(12);
else
w1data <= Data_GenW0(12);
end if;
when "01101" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(13);
else
w1data <= Data_GenW0(13);
end if;
when "01110" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(14);
else
w1data <= Data_GenW0(14);
end if;
when "01111" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(15);
else
w1data <= Data_GenW0(15);
end if;
when "10000" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(16);
else
w1data <= Data_GenW0(16);
end if;
when "10001" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(17);
else
w1data <= Data_GenW0(17);
end if;
when "10010" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(18);
else
w1data <= Data_GenW0(18);
end if;
when "10011" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(19);
else
w1data <= Data_GenW0(19);
end if;
when "10100" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(20);
else
w1data <= Data_GenW0(20);
end if;
when "10101" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(21);
else
w1data <= Data_GenW0(21);
end if;
when others =>
w1data <= (others => '0');
end case;
end if;
elsif (MEM_BURST_LEN = 8) then
w1data(4 * NUM_DQ_PINS - 1 downto 3 * NUM_DQ_PINS) <= (w1data(4 * NUM_DQ_PINS - 5 downto 3 * NUM_DQ_PINS) & w1data(4 * NUM_DQ_PINS - 1 downto 4 * NUM_DQ_PINS - 4));
w1data(3 * NUM_DQ_PINS - 1 downto 2 * NUM_DQ_PINS) <= (w1data(3 * NUM_DQ_PINS - 5 downto 2 * NUM_DQ_PINS) & w1data(3 * NUM_DQ_PINS - 1 downto 3 * NUM_DQ_PINS - 4));
w1data(2 * NUM_DQ_PINS - 1 downto 1 * NUM_DQ_PINS) <= (w1data(2 * NUM_DQ_PINS - 5 downto 1 * NUM_DQ_PINS) & w1data(2 * NUM_DQ_PINS - 1 downto 2 * NUM_DQ_PINS - 4));
w1data(1 * NUM_DQ_PINS - 1 downto 0 * NUM_DQ_PINS) <= (w1data(1 * NUM_DQ_PINS - 5 downto 0 * NUM_DQ_PINS) & w1data(1 * NUM_DQ_PINS - 1 downto 1 * NUM_DQ_PINS - 4));
end if;
end if;
end if;
end process;
end generate;
WALKING_ONE_96_PATTERN:
if (NUM_DQ_PINS = 96 and (DATA_PATTERN = "DGEN_WALKING1" or DATA_PATTERN = "DGEN_WALKING0" or DATA_PATTERN = "DGEN_NEIGHBOR" or DATA_PATTERN = "DGEN_ALL")) generate
process (clk_i)
begin
if (clk_i'event and clk_i = '1') then
if ( fifo_rdy_i = '1' or cmd_startC = '1') then
if (cmd_startC = '1') then
if (sel_w1gen_logic = '1') then
case addr_i(9 downto 5) is
when "00000" | "11000" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(0);
else
w1data <= Data_GenW0(0);
end if;
when "00001" | "11001" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(1);
else
w1data <= Data_GenW0(1);
end if;
when "00010" | "11010" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(2);
else
w1data <= Data_GenW0(2);
end if;
when "00011" | "11011" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(3);
else
w1data <= Data_GenW0(3);
end if;
when "00100" | "11100" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(4);
else
w1data <= Data_GenW0(4);
end if;
when "00101" | "11101" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(5);
else
w1data <= Data_GenW0(5);
end if;
when "00110" | "11110" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(6);
else
w1data <= Data_GenW0(6);
end if;
when "00111" | "11111" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(7);
else
w1data <= Data_GenW0(7);
end if;
when "01000" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(8);
else
w1data <= Data_GenW0(8);
end if;
when "01001" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(9);
else
w1data <= Data_GenW0(9);
end if;
when "01010" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(10);
else
w1data <= Data_GenW0(10);
end if;
when "01011" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(11);
else
w1data <= Data_GenW0(11);
end if;
when "01100" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(12);
else
w1data <= Data_GenW0(12);
end if;
when "01101" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(13);
else
w1data <= Data_GenW0(13);
end if;
when "01110" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(14);
else
w1data <= Data_GenW0(14);
end if;
when "01111" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(15);
else
w1data <= Data_GenW0(15);
end if;
when "10000" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(16);
else
w1data <= Data_GenW0(16);
end if;
when "10001" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(17);
else
w1data <= Data_GenW0(17);
end if;
when "10010" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(18);
else
w1data <= Data_GenW0(18);
end if;
when "10011" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(19);
else
w1data <= Data_GenW0(19);
end if;
when "10100" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(20);
else
w1data <= Data_GenW0(20);
end if;
when "10101" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(21);
else
w1data <= Data_GenW0(21);
end if;
when "10110" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(22);
else
w1data <= Data_GenW0(22);
end if;
when "10111" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(23);
else
w1data <= Data_GenW0(23);
end if;
when others =>
w1data <= (others => '0');
end case;
end if;
elsif (MEM_BURST_LEN = 8) then
w1data(4 * NUM_DQ_PINS - 1 downto 3 * NUM_DQ_PINS) <= (w1data(4 * NUM_DQ_PINS - 5 downto 3 * NUM_DQ_PINS) & w1data(4 * NUM_DQ_PINS - 1 downto 4 * NUM_DQ_PINS - 4));
w1data(3 * NUM_DQ_PINS - 1 downto 2 * NUM_DQ_PINS) <= (w1data(3 * NUM_DQ_PINS - 5 downto 2 * NUM_DQ_PINS) & w1data(3 * NUM_DQ_PINS - 1 downto 3 * NUM_DQ_PINS - 4));
w1data(2 * NUM_DQ_PINS - 1 downto 1 * NUM_DQ_PINS) <= (w1data(2 * NUM_DQ_PINS - 5 downto 1 * NUM_DQ_PINS) & w1data(2 * NUM_DQ_PINS - 1 downto 2 * NUM_DQ_PINS - 4));
w1data(1 * NUM_DQ_PINS - 1 downto 0 * NUM_DQ_PINS) <= (w1data(1 * NUM_DQ_PINS - 5 downto 0 * NUM_DQ_PINS) & w1data(1 * NUM_DQ_PINS - 1 downto 1 * NUM_DQ_PINS - 4));
end if;
end if;
end if;
end process;
end generate;
WALKING_ONE_104_PATTERN:
if (NUM_DQ_PINS = 104 and (DATA_PATTERN = "DGEN_WALKING1" or DATA_PATTERN = "DGEN_WALKING0" or DATA_PATTERN = "DGEN_NEIGHBOR" or DATA_PATTERN = "DGEN_ALL")) generate
process (clk_i)
begin
if (clk_i'event and clk_i = '1') then
if ( fifo_rdy_i = '1' or cmd_startC = '1') then
if (cmd_startC = '1') then
if (sel_w1gen_logic = '1') then
case addr_i(9 downto 5) is
when "00000" | "11010" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(0);
else
w1data <= Data_GenW0(0);
end if;
when "00001" | "11011" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(1);
else
w1data <= Data_GenW0(1);
end if;
when "00010" | "11100" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(2);
else
w1data <= Data_GenW0(2);
end if;
when "00011" | "11101" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(3);
else
w1data <= Data_GenW0(3);
end if;
when "00100" | "11110" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(4);
else
w1data <= Data_GenW0(4);
end if;
when "00101" | "11111" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(5);
else
w1data <= Data_GenW0(5);
end if;
when "00110" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(6);
else
w1data <= Data_GenW0(6);
end if;
when "00111" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(7);
else
w1data <= Data_GenW0(7);
end if;
when "01000" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(8);
else
w1data <= Data_GenW0(8);
end if;
when "01001" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(9);
else
w1data <= Data_GenW0(9);
end if;
when "01010" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(10);
else
w1data <= Data_GenW0(10);
end if;
when "01011" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(11);
else
w1data <= Data_GenW0(11);
end if;
when "01100" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(12);
else
w1data <= Data_GenW0(12);
end if;
when "01101" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(13);
else
w1data <= Data_GenW0(13);
end if;
when "01110" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(14);
else
w1data <= Data_GenW0(14);
end if;
when "01111" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(15);
else
w1data <= Data_GenW0(15);
end if;
when "10000" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(16);
else
w1data <= Data_GenW0(16);
end if;
when "10001" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(17);
else
w1data <= Data_GenW0(17);
end if;
when "10010" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(18);
else
w1data <= Data_GenW0(18);
end if;
when "10011" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(19);
else
w1data <= Data_GenW0(19);
end if;
when "10100" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(20);
else
w1data <= Data_GenW0(20);
end if;
when "10101" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(21);
else
w1data <= Data_GenW0(21);
end if;
when "10110" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(22);
else
w1data <= Data_GenW0(22);
end if;
when "10111" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(23);
else
w1data <= Data_GenW0(23);
end if;
when "11000" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(24);
else
w1data <= Data_GenW0(24);
end if;
when "11001" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(25);
else
w1data <= Data_GenW0(25);
end if;
when others =>
w1data <= (others => '0');
end case;
end if;
elsif (MEM_BURST_LEN = 8) then
w1data(4 * NUM_DQ_PINS - 1 downto 3 * NUM_DQ_PINS) <= (w1data(4 * NUM_DQ_PINS - 5 downto 3 * NUM_DQ_PINS) & w1data(4 * NUM_DQ_PINS - 1 downto 4 * NUM_DQ_PINS - 4));
w1data(3 * NUM_DQ_PINS - 1 downto 2 * NUM_DQ_PINS) <= (w1data(3 * NUM_DQ_PINS - 5 downto 2 * NUM_DQ_PINS) & w1data(3 * NUM_DQ_PINS - 1 downto 3 * NUM_DQ_PINS - 4));
w1data(2 * NUM_DQ_PINS - 1 downto 1 * NUM_DQ_PINS) <= (w1data(2 * NUM_DQ_PINS - 5 downto 1 * NUM_DQ_PINS) & w1data(2 * NUM_DQ_PINS - 1 downto 2 * NUM_DQ_PINS - 4));
w1data(1 * NUM_DQ_PINS - 1 downto 0 * NUM_DQ_PINS) <= (w1data(1 * NUM_DQ_PINS - 5 downto 0 * NUM_DQ_PINS) & w1data(1 * NUM_DQ_PINS - 1 downto 1 * NUM_DQ_PINS - 4));
end if;
end if;
end if;
end process;
end generate;
WALKING_ONE_112_PATTERN:
if (NUM_DQ_PINS = 112 and (DATA_PATTERN = "DGEN_WALKING1" or DATA_PATTERN = "DGEN_WALKING0" or DATA_PATTERN = "DGEN_NEIGHBOR" or DATA_PATTERN = "DGEN_ALL")) generate
process (clk_i)
begin
if (clk_i'event and clk_i = '1') then
if ( fifo_rdy_i = '1' or cmd_startC = '1') then
if (cmd_startC = '1') then
if (sel_w1gen_logic = '1') then
case addr_i(9 downto 5) is
when "00000" | "11100" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(0);
else
w1data <= Data_GenW0(0);
end if;
when "00001" | "11101" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(1);
else
w1data <= Data_GenW0(1);
end if;
when "00010" | "11110" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(2);
else
w1data <= Data_GenW0(2);
end if;
when "00011" | "11111" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(3);
else
w1data <= Data_GenW0(3);
end if;
when "00100" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(4);
else
w1data <= Data_GenW0(4);
end if;
when "00101" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(5);
else
w1data <= Data_GenW0(5);
end if;
when "00110" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(6);
else
w1data <= Data_GenW0(6);
end if;
when "00111" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(7);
else
w1data <= Data_GenW0(7);
end if;
when "01000" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(8);
else
w1data <= Data_GenW0(8);
end if;
when "01001" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(9);
else
w1data <= Data_GenW0(9);
end if;
when "01010" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(10);
else
w1data <= Data_GenW0(10);
end if;
when "01011" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(11);
else
w1data <= Data_GenW0(11);
end if;
when "01100" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(12);
else
w1data <= Data_GenW0(12);
end if;
when "01101" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(13);
else
w1data <= Data_GenW0(13);
end if;
when "01110" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(14);
else
w1data <= Data_GenW0(14);
end if;
when "01111" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(15);
else
w1data <= Data_GenW0(15);
end if;
when "10000" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(16);
else
w1data <= Data_GenW0(16);
end if;
when "10001" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(17);
else
w1data <= Data_GenW0(17);
end if;
when "10010" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(18);
else
w1data <= Data_GenW0(18);
end if;
when "10011" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(19);
else
w1data <= Data_GenW0(19);
end if;
when "10100" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(20);
else
w1data <= Data_GenW0(20);
end if;
when "10101" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(21);
else
w1data <= Data_GenW0(21);
end if;
when "10110" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(22);
else
w1data <= Data_GenW0(22);
end if;
when "10111" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(23);
else
w1data <= Data_GenW0(23);
end if;
when "11000" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(24);
else
w1data <= Data_GenW0(24);
end if;
when "11001" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(25);
else
w1data <= Data_GenW0(25);
end if;
when "11010" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(26);
else
w1data <= Data_GenW0(26);
end if;
when "11011" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(27);
else
w1data <= Data_GenW0(27);
end if;
when others =>
w1data <= (others => '0');
end case;
end if;
elsif (MEM_BURST_LEN = 8) then
w1data(4 * NUM_DQ_PINS - 1 downto 3 * NUM_DQ_PINS) <= (w1data(4 * NUM_DQ_PINS - 5 downto 3 * NUM_DQ_PINS) & w1data(4 * NUM_DQ_PINS - 1 downto 4 * NUM_DQ_PINS - 4));
w1data(3 * NUM_DQ_PINS - 1 downto 2 * NUM_DQ_PINS) <= (w1data(3 * NUM_DQ_PINS - 5 downto 2 * NUM_DQ_PINS) & w1data(3 * NUM_DQ_PINS - 1 downto 3 * NUM_DQ_PINS - 4));
w1data(2 * NUM_DQ_PINS - 1 downto 1 * NUM_DQ_PINS) <= (w1data(2 * NUM_DQ_PINS - 5 downto 1 * NUM_DQ_PINS) & w1data(2 * NUM_DQ_PINS - 1 downto 2 * NUM_DQ_PINS - 4));
w1data(1 * NUM_DQ_PINS - 1 downto 0 * NUM_DQ_PINS) <= (w1data(1 * NUM_DQ_PINS - 5 downto 0 * NUM_DQ_PINS) & w1data(1 * NUM_DQ_PINS - 1 downto 1 * NUM_DQ_PINS - 4));
end if;
end if;
end if;
end process;
end generate;
WALKING_ONE_120_PATTERN:
if (NUM_DQ_PINS = 120 and (DATA_PATTERN = "DGEN_WALKING1" or DATA_PATTERN = "DGEN_WALKING0" or DATA_PATTERN = "DGEN_NEIGHBOR" or DATA_PATTERN = "DGEN_ALL")) generate
process (clk_i)
begin
if (clk_i'event and clk_i = '1') then
if ( fifo_rdy_i = '1' or cmd_startC = '1') then
if (cmd_startC = '1') then
if (sel_w1gen_logic = '1') then
case addr_i(9 downto 5) is
when "00000" | "11110" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(0);
else
w1data <= Data_GenW0(0);
end if;
when "00001" | "11111" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(1);
else
w1data <= Data_GenW0(1);
end if;
when "00010" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(2);
else
w1data <= Data_GenW0(2);
end if;
when "00011" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(3);
else
w1data <= Data_GenW0(3);
end if;
when "00100" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(4);
else
w1data <= Data_GenW0(4);
end if;
when "00101" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(5);
else
w1data <= Data_GenW0(5);
end if;
when "00110" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(6);
else
w1data <= Data_GenW0(6);
end if;
when "00111" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(7);
else
w1data <= Data_GenW0(7);
end if;
when "01000" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(8);
else
w1data <= Data_GenW0(8);
end if;
when "01001" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(9);
else
w1data <= Data_GenW0(9);
end if;
when "01010" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(10);
else
w1data <= Data_GenW0(10);
end if;
when "01011" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(11);
else
w1data <= Data_GenW0(11);
end if;
when "01100" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(12);
else
w1data <= Data_GenW0(12);
end if;
when "01101" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(13);
else
w1data <= Data_GenW0(13);
end if;
when "01110" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(14);
else
w1data <= Data_GenW0(14);
end if;
when "01111" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(15);
else
w1data <= Data_GenW0(15);
end if;
when "10000" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(16);
else
w1data <= Data_GenW0(16);
end if;
when "10001" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(17);
else
w1data <= Data_GenW0(17);
end if;
when "10010" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(18);
else
w1data <= Data_GenW0(18);
end if;
when "10011" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(19);
else
w1data <= Data_GenW0(19);
end if;
when "10100" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(20);
else
w1data <= Data_GenW0(20);
end if;
when "10101" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(21);
else
w1data <= Data_GenW0(21);
end if;
when "10110" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(22);
else
w1data <= Data_GenW0(22);
end if;
when "10111" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(23);
else
w1data <= Data_GenW0(23);
end if;
when "11000" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(24);
else
w1data <= Data_GenW0(24);
end if;
when "11001" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(25);
else
w1data <= Data_GenW0(25);
end if;
when "11010" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(26);
else
w1data <= Data_GenW0(26);
end if;
when "11011" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(27);
else
w1data <= Data_GenW0(27);
end if;
when "11100" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(28);
else
w1data <= Data_GenW0(28);
end if;
when "11101" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(29);
else
w1data <= Data_GenW0(29);
end if;
when others =>
w1data <= (others => '0');
end case;
end if;
elsif (MEM_BURST_LEN = 8) then
w1data(4 * NUM_DQ_PINS - 1 downto 3 * NUM_DQ_PINS) <= (w1data(4 * NUM_DQ_PINS - 5 downto 3 * NUM_DQ_PINS) & w1data(4 * NUM_DQ_PINS - 1 downto 4 * NUM_DQ_PINS - 4));
w1data(3 * NUM_DQ_PINS - 1 downto 2 * NUM_DQ_PINS) <= (w1data(3 * NUM_DQ_PINS - 5 downto 2 * NUM_DQ_PINS) & w1data(3 * NUM_DQ_PINS - 1 downto 3 * NUM_DQ_PINS - 4));
w1data(2 * NUM_DQ_PINS - 1 downto 1 * NUM_DQ_PINS) <= (w1data(2 * NUM_DQ_PINS - 5 downto 1 * NUM_DQ_PINS) & w1data(2 * NUM_DQ_PINS - 1 downto 2 * NUM_DQ_PINS - 4));
w1data(1 * NUM_DQ_PINS - 1 downto 0 * NUM_DQ_PINS) <= (w1data(1 * NUM_DQ_PINS - 5 downto 0 * NUM_DQ_PINS) & w1data(1 * NUM_DQ_PINS - 1 downto 1 * NUM_DQ_PINS - 4));
end if;
end if;
end if;
end process;
end generate;
WALKING_ONE_128_PATTERN:
if (NUM_DQ_PINS = 128 and (DATA_PATTERN = "DGEN_WALKING1" or DATA_PATTERN = "DGEN_WALKING0" or DATA_PATTERN = "DGEN_NEIGHBOR" or DATA_PATTERN = "DGEN_ALL")) generate
process (clk_i)
begin
if (clk_i'event and clk_i = '1') then
if ( fifo_rdy_i = '1' or cmd_startC = '1') then
if (cmd_startC = '1') then
if (sel_w1gen_logic = '1') then
case addr_i(10 downto 6) is
when "00000" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(0);
else
w1data <= Data_GenW0(0);
end if;
when "00001" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(1);
else
w1data <= Data_GenW0(1);
end if;
when "00010" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(2);
else
w1data <= Data_GenW0(2);
end if;
when "00011" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(3);
else
w1data <= Data_GenW0(3);
end if;
when "00100" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(4);
else
w1data <= Data_GenW0(4);
end if;
when "00101" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(5);
else
w1data <= Data_GenW0(5);
end if;
when "00110" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(6);
else
w1data <= Data_GenW0(6);
end if;
when "00111" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(7);
else
w1data <= Data_GenW0(7);
end if;
when "01000" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(8);
else
w1data <= Data_GenW0(8);
end if;
when "01001" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(9);
else
w1data <= Data_GenW0(9);
end if;
when "01010" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(10);
else
w1data <= Data_GenW0(10);
end if;
when "01011" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(11);
else
w1data <= Data_GenW0(11);
end if;
when "01100" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(12);
else
w1data <= Data_GenW0(12);
end if;
when "01101" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(13);
else
w1data <= Data_GenW0(13);
end if;
when "01110" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(14);
else
w1data <= Data_GenW0(14);
end if;
when "01111" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(15);
else
w1data <= Data_GenW0(15);
end if;
when "10000" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(16);
else
w1data <= Data_GenW0(16);
end if;
when "10001" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(17);
else
w1data <= Data_GenW0(17);
end if;
when "10010" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(18);
else
w1data <= Data_GenW0(18);
end if;
when "10011" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(19);
else
w1data <= Data_GenW0(19);
end if;
when "10100" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(20);
else
w1data <= Data_GenW0(20);
end if;
when "10101" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(21);
else
w1data <= Data_GenW0(21);
end if;
when "10110" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(22);
else
w1data <= Data_GenW0(22);
end if;
when "10111" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(23);
else
w1data <= Data_GenW0(23);
end if;
when "11000" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(24);
else
w1data <= Data_GenW0(24);
end if;
when "11001" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(25);
else
w1data <= Data_GenW0(25);
end if;
when "11010" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(26);
else
w1data <= Data_GenW0(26);
end if;
when "11011" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(27);
else
w1data <= Data_GenW0(27);
end if;
when "11100" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(28);
else
w1data <= Data_GenW0(28);
end if;
when "11101" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(29);
else
w1data <= Data_GenW0(29);
end if;
when "11110" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(30);
else
w1data <= Data_GenW0(30);
end if;
when "11111" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(31);
else
w1data <= Data_GenW0(31);
end if;
when others =>
w1data <= (others => '0');
end case;
end if;
elsif (MEM_BURST_LEN = 8) then
w1data(4 * NUM_DQ_PINS - 1 downto 3 * NUM_DQ_PINS) <= (w1data(4 * NUM_DQ_PINS - 5 downto 3 * NUM_DQ_PINS) & w1data(4 * NUM_DQ_PINS - 1 downto 4 * NUM_DQ_PINS - 4));
w1data(3 * NUM_DQ_PINS - 1 downto 2 * NUM_DQ_PINS) <= (w1data(3 * NUM_DQ_PINS - 5 downto 2 * NUM_DQ_PINS) & w1data(3 * NUM_DQ_PINS - 1 downto 3 * NUM_DQ_PINS - 4));
w1data(2 * NUM_DQ_PINS - 1 downto 1 * NUM_DQ_PINS) <= (w1data(2 * NUM_DQ_PINS - 5 downto 1 * NUM_DQ_PINS) & w1data(2 * NUM_DQ_PINS - 1 downto 2 * NUM_DQ_PINS - 4));
w1data(1 * NUM_DQ_PINS - 1 downto 0 * NUM_DQ_PINS) <= (w1data(1 * NUM_DQ_PINS - 5 downto 0 * NUM_DQ_PINS) & w1data(1 * NUM_DQ_PINS - 1 downto 1 * NUM_DQ_PINS - 4));
end if;
end if;
end if;
end process;
end generate;
WALKING_ONE_136_PATTERN:
if (NUM_DQ_PINS = 136 and (DATA_PATTERN = "DGEN_WALKING1" or DATA_PATTERN = "DGEN_WALKING0" or DATA_PATTERN = "DGEN_NEIGHBOR" or DATA_PATTERN = "DGEN_ALL")) generate
process (clk_i)
begin
if (clk_i'event and clk_i = '1') then
if ( fifo_rdy_i = '1' or cmd_startC = '1') then
if (cmd_startC = '1') then
if (sel_w1gen_logic = '1') then
case addr_i(11 downto 6) is
when "000000" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(0);
else
w1data <= Data_GenW0(0);
end if;
when "000001" | "100011" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(1);
else
w1data <= Data_GenW0(1);
end if;
when "000010" | "100100" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(2);
else
w1data <= Data_GenW0(2);
end if;
when "000011" | "100101" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(3);
else
w1data <= Data_GenW0(3);
end if;
when "000100" | "100110" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(4);
else
w1data <= Data_GenW0(4);
end if;
when "000101" | "100111" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(5);
else
w1data <= Data_GenW0(5);
end if;
when "000110" | "101000" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(6);
else
w1data <= Data_GenW0(6);
end if;
when "000111" | "101001" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(7);
else
w1data <= Data_GenW0(7);
end if;
when "001000" | "101010" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(8);
else
w1data <= Data_GenW0(8);
end if;
when "001001" | "101011" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(9);
else
w1data <= Data_GenW0(9);
end if;
when "001010" | "101100" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(10);
else
w1data <= Data_GenW0(10);
end if;
when "001011" | "101101" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(11);
else
w1data <= Data_GenW0(11);
end if;
when "001100" | "101110" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(12);
else
w1data <= Data_GenW0(12);
end if;
when "001101" | "101111" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(13);
else
w1data <= Data_GenW0(13);
end if;
when "001110" | "110000" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(14);
else
w1data <= Data_GenW0(14);
end if;
when "001111" | "110001" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(15);
else
w1data <= Data_GenW0(15);
end if;
when "010000" | "110010" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(16);
else
w1data <= Data_GenW0(16);
end if;
when "010001" | "110011" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(17);
else
w1data <= Data_GenW0(17);
end if;
when "010010" | "110100" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(18);
else
w1data <= Data_GenW0(18);
end if;
when "010011" | "110101" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(19);
else
w1data <= Data_GenW0(19);
end if;
when "010100" | "110110" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(20);
else
w1data <= Data_GenW0(20);
end if;
when "010101" | "110111" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(21);
else
w1data <= Data_GenW0(21);
end if;
when "010110" | "111000" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(22);
else
w1data <= Data_GenW0(22);
end if;
when "010111" | "111001" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(23);
else
w1data <= Data_GenW0(23);
end if;
when "011000" | "111010" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(24);
else
w1data <= Data_GenW0(24);
end if;
when "011001" | "111011" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(25);
else
w1data <= Data_GenW0(25);
end if;
when "011010" | "111100" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(26);
else
w1data <= Data_GenW0(26);
end if;
when "011011" | "111101" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(27);
else
w1data <= Data_GenW0(27);
end if;
when "011100" | "111110" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(28);
else
w1data <= Data_GenW0(28);
end if;
when "011101" | "111111" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(29);
else
w1data <= Data_GenW0(29);
end if;
when "011110" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(30);
else
w1data <= Data_GenW0(30);
end if;
when "011111" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(31);
else
w1data <= Data_GenW0(31);
end if;
when "100000" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(32);
else
w1data <= Data_GenW0(32);
end if;
when "100001" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(33);
else
w1data <= Data_GenW0(33);
end if;
when others =>
w1data <= (others => '0');
end case;
end if;
elsif (MEM_BURST_LEN = 8) then
w1data(4 * NUM_DQ_PINS - 1 downto 3 * NUM_DQ_PINS) <= (w1data(4 * NUM_DQ_PINS - 5 downto 3 * NUM_DQ_PINS) & w1data(4 * NUM_DQ_PINS - 1 downto 4 * NUM_DQ_PINS - 4));
w1data(3 * NUM_DQ_PINS - 1 downto 2 * NUM_DQ_PINS) <= (w1data(3 * NUM_DQ_PINS - 5 downto 2 * NUM_DQ_PINS) & w1data(3 * NUM_DQ_PINS - 1 downto 3 * NUM_DQ_PINS - 4));
w1data(2 * NUM_DQ_PINS - 1 downto 1 * NUM_DQ_PINS) <= (w1data(2 * NUM_DQ_PINS - 5 downto 1 * NUM_DQ_PINS) & w1data(2 * NUM_DQ_PINS - 1 downto 2 * NUM_DQ_PINS - 4));
w1data(1 * NUM_DQ_PINS - 1 downto 0 * NUM_DQ_PINS) <= (w1data(1 * NUM_DQ_PINS - 5 downto 0 * NUM_DQ_PINS) & w1data(1 * NUM_DQ_PINS - 1 downto 1 * NUM_DQ_PINS - 4));
end if;
end if;
end if;
end process;
end generate;
WALKING_ONE_144_PATTERN:
if (NUM_DQ_PINS = 144 and (DATA_PATTERN = "DGEN_WALKING1" or DATA_PATTERN = "DGEN_WALKING0" or DATA_PATTERN = "DGEN_NEIGHBOR" or DATA_PATTERN = "DGEN_ALL")) generate
process (clk_i)
begin
if (clk_i'event and clk_i = '1') then
if ( fifo_rdy_i = '1' or cmd_startC = '1') then
if (cmd_startC = '1') then
if (sel_w1gen_logic = '1') then
case addr_i(11 downto 6) is
when "000000" | "100100" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(0);
else
w1data <= Data_GenW0(0);
end if;
when "000001" | "100101" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(1);
else
w1data <= Data_GenW0(1);
end if;
when "000010" | "100110" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(2);
else
w1data <= Data_GenW0(2);
end if;
when "000011" | "100111" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(3);
else
w1data <= Data_GenW0(3);
end if;
when "000100" | "101000" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(4);
else
w1data <= Data_GenW0(4);
end if;
when "000101" | "101001" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(5);
else
w1data <= Data_GenW0(5);
end if;
when "000110" | "101010" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(6);
else
w1data <= Data_GenW0(6);
end if;
when "000111" | "101011" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(7);
else
w1data <= Data_GenW0(7);
end if;
when "001000" | "101100" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(8);
else
w1data <= Data_GenW0(8);
end if;
when "001001" | "101101" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(9);
else
w1data <= Data_GenW0(9);
end if;
when "001010" | "101110" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(10);
else
w1data <= Data_GenW0(10);
end if;
when "001011" | "101111" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(11);
else
w1data <= Data_GenW0(11);
end if;
when "001100" | "110000" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(12);
else
w1data <= Data_GenW0(12);
end if;
when "001101" | "110001" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(13);
else
w1data <= Data_GenW0(13);
end if;
when "001110" | "110010" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(14);
else
w1data <= Data_GenW0(14);
end if;
when "001111" | "110011" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(15);
else
w1data <= Data_GenW0(15);
end if;
when "010000" | "110100" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(16);
else
w1data <= Data_GenW0(16);
end if;
when "010001" | "110101" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(17);
else
w1data <= Data_GenW0(17);
end if;
when "010010" | "110110" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(18);
else
w1data <= Data_GenW0(18);
end if;
when "010011" | "110111" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(19);
else
w1data <= Data_GenW0(19);
end if;
when "010100" | "111000" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(20);
else
w1data <= Data_GenW0(20);
end if;
when "010101" | "111001" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(21);
else
w1data <= Data_GenW0(21);
end if;
when "010110" | "111010" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(22);
else
w1data <= Data_GenW0(22);
end if;
when "010111" | "111011" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(23);
else
w1data <= Data_GenW0(23);
end if;
when "011000" | "111100" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(24);
else
w1data <= Data_GenW0(24);
end if;
when "011001" | "111101" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(25);
else
w1data <= Data_GenW0(25);
end if;
when "011010" | "111110" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(26);
else
w1data <= Data_GenW0(26);
end if;
when "011011" | "111111" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(27);
else
w1data <= Data_GenW0(27);
end if;
when "011100" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(28);
else
w1data <= Data_GenW0(28);
end if;
when "011101" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(29);
else
w1data <= Data_GenW0(29);
end if;
when "011110" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(30);
else
w1data <= Data_GenW0(30);
end if;
when "011111" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(31);
else
w1data <= Data_GenW0(31);
end if;
when "100000" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(32);
else
w1data <= Data_GenW0(32);
end if;
when "100001" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(33);
else
w1data <= Data_GenW0(33);
end if;
when "100010" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(34);
else
w1data <= Data_GenW0(34);
end if;
when "100011" =>
if (data_mode_i = "0101") then
w1data <= Data_Gen(35);
else
w1data <= Data_GenW0(35);
end if;
when others =>
w1data <= (others => '0');
end case;
end if;
elsif (MEM_BURST_LEN = 8) then
w1data(4 * NUM_DQ_PINS - 1 downto 3 * NUM_DQ_PINS) <= (w1data(4 * NUM_DQ_PINS - 5 downto 3 * NUM_DQ_PINS) & w1data(4 * NUM_DQ_PINS - 1 downto 4 * NUM_DQ_PINS - 4));
w1data(3 * NUM_DQ_PINS - 1 downto 2 * NUM_DQ_PINS) <= (w1data(3 * NUM_DQ_PINS - 5 downto 2 * NUM_DQ_PINS) & w1data(3 * NUM_DQ_PINS - 1 downto 3 * NUM_DQ_PINS - 4));
w1data(2 * NUM_DQ_PINS - 1 downto 1 * NUM_DQ_PINS) <= (w1data(2 * NUM_DQ_PINS - 5 downto 1 * NUM_DQ_PINS) & w1data(2 * NUM_DQ_PINS - 1 downto 2 * NUM_DQ_PINS - 4));
w1data(1 * NUM_DQ_PINS - 1 downto 0 * NUM_DQ_PINS) <= (w1data(1 * NUM_DQ_PINS - 5 downto 0 * NUM_DQ_PINS) & w1data(1 * NUM_DQ_PINS - 1 downto 1 * NUM_DQ_PINS - 4));
end if;
end if;
end if;
end process;
end generate;
process (clk_i)
begin
if (clk_i'event and clk_i = '1') then
for i in 0 to 4 * NUM_DQ_PINS - 1 loop
if (i = SEL_VICTIM_LINE or (i - NUM_DQ_PINS) = SEL_VICTIM_LINE or (i - (NUM_DQ_PINS * 2)) = SEL_VICTIM_LINE or (i - (NUM_DQ_PINS * 3)) = SEL_VICTIM_LINE) then
hdata(i) <= '1';
elsif (i >= 0 and i <= 1 * NUM_DQ_PINS - 1) then
hdata(i) <= '1';
elsif (i >= 1 * NUM_DQ_PINS and i <= 2 * NUM_DQ_PINS - 1) then
hdata(i) <= '0';
elsif (i >= 2 * NUM_DQ_PINS and i <= 3 * NUM_DQ_PINS - 1) then
hdata(i) <= '1';
elsif (i >= 3 * NUM_DQ_PINS and i <= 4 * NUM_DQ_PINS - 1) then
hdata(i) <= '0';
else
hdata(i) <= '1';
end if;
end loop;
end if;
end process;
process (w1data, hdata)
begin
for i in 0 to 4 * NUM_DQ_PINS - 1 loop
ndata(i) <= hdata(i) xor w1data(i);
end loop;
end process;
process (full_prbs_data, hdata)
begin
for i in 0 to 4 * NUM_DQ_PINS - 1 loop
if (i = SEL_VICTIM_LINE or (i - NUM_DQ_PINS) = SEL_VICTIM_LINE or (i - (NUM_DQ_PINS * 2)) = SEL_VICTIM_LINE or (i - (NUM_DQ_PINS * 3)) = SEL_VICTIM_LINE) then
h_prbsdata(i) <= full_prbs_data(SEL_VICTIM_LINE);
else
h_prbsdata(i) <= hdata(i);
end if;
end loop;
end process;
addr_pattern : if (DATA_PATTERN = "DGEN_ADDR" or DATA_PATTERN = "DGEN_ALL") generate
process (clk_i)
begin
if (clk_i'event and clk_i = '1') then
if (cmd_startD = '1') then
acounts <= ("0000" & addr_i);
elsif (fifo_rdy_i = '1' and data_rdy_i = '1' and MEM_BURST_LEN = 8 ) then
if (NUM_DQ_PINS = 8 ) then
acounts <= acounts + X"000000004";
elsif (NUM_DQ_PINS = 16 and NUM_DQ_PINS < 32) then
acounts <= acounts + X"000000008";
elsif (NUM_DQ_PINS >= 32 and NUM_DQ_PINS < 64) then
acounts <= acounts + X"000000010";
elsif (NUM_DQ_PINS >= 64 and NUM_DQ_PINS < 128) then
acounts <= acounts + X"000000020";
elsif (NUM_DQ_PINS >= 128 and NUM_DQ_PINS < 256) then
acounts <= acounts + X"000000040";
end if;
end if;
end if;
end process;
adata <= concat(DWIDTH/32,acounts(31 downto 0)); -- DWIDTH = 4 * NUM_DQ_PINS
end generate;
-- When doing eye_test, traffic gen only does write and want to
-- keep the prbs random and address is fixed at a location.
d_clk_en1 : if (EYE_TEST = "TRUE") generate
data_clk_en <= '1'; --fifo_rdy_i && data_rdy_i && user_burst_cnt > 6'd1;
end generate;
d_clk_en2 : if (EYE_TEST = "FALSE") generate
data_clk_en <= (fifo_rdy_i and data_rdy_i) when (user_burst_cnt > "0000001") else '0';
end generate;
prbs_pattern : if (DATA_PATTERN = "DGEN_PRBS" or DATA_PATTERN = "DGEN_ALL") generate
-- PRBS DATA GENERATION
-- xor all the tap positions before feedback to 1st stage.
prbs_seed_i <= (m_addr_i(6) & m_addr_i(31) & m_addr_i(8) & m_addr_i(22) & m_addr_i(9) & m_addr_i(24) & m_addr_i(21) & m_addr_i(23) & m_addr_i(18) & m_addr_i(10) & m_addr_i(20) & m_addr_i(17) & m_addr_i(13) & m_addr_i(16) & m_addr_i(12) & m_addr_i(4) & m_addr_i(15 downto 0)); --(m_addr_i[31:0]),
data_prbs_gen_inst : data_prbs_gen
generic map (
PRBS_WIDTH => 32,
SEED_WIDTH => 32,
EYE_TEST => EYE_TEST
)
port map (
clk_i => clk_i,
rst_i => rst_i,
clk_en => data_clk_en,
prbs_fseed_i => prbs_fseed_i,
prbs_seed_init => cmd_startE,
prbs_seed_i => prbs_seed_i,
prbs_o => prbs_data
);
end generate;
end architecture trans;
| gpl-3.0 | f87e1cc7ef9b8e9607615af4b96116e3 | 0.362187 | 4.104296 | false | false | false | false |
Yarr/Yarr-fw | syn/kintex7/bram_yarr.vhd | 1 | 25,035 | ----------------------------------------------------------------------------------
-- Company: LBNL
-- Engineer: Arnaud Sautaux
--
-- Create Date: 09/27/2016 04:46:45 PM
-- Design Name: YARR Top Level BRAM version
-- Module Name: top_level - Behavioral
-- Project Name: YARR
-- Target Devices: XC7k160T
-- Tool Versions: Vivado v2016.2 (64-bit)
-- Description: The YARR top level for the BRAM version
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VComponents.all;
library work;
use work.app_pkg.all;
use work.board_pkg.all;
entity top_level is
Port ( ---------------------------------------------------------------------------
-- Xilinx Hard IP Interface
-- . Clock and Resets
pcie_clk_p : in std_logic;
pcie_clk_n : in std_logic;
clk200_n : in STD_LOGIC;
clk200_p : in STD_LOGIC;
rst_n_i : in STD_LOGIC;
sys_rst_n_i : in STD_LOGIC;
-- . Serial I/F
pci_exp_txn : out std_logic_vector(4-1 downto 0);--output wire [4 -1:0] pci_exp_txn ,
pci_exp_txp : out std_logic_vector(4-1 downto 0);--output wire [4 -1:0] pci_exp_txp ,
pci_exp_rxn : in std_logic_vector(4-1 downto 0);--input wire [4 -1:0] pci_exp_rxn ,
pci_exp_rxp : in std_logic_vector(4-1 downto 0);
-- . IO
usr_sw_i : in STD_LOGIC_VECTOR (2 downto 0);
usr_led_o : out STD_LOGIC_VECTOR (2 downto 0);
--front_led_o : out STD_LOGIC_VECTOR (3 downto 0);
---------------------------------------------------------
-- FMC
---------------------------------------------------------
-- Trigger input
ext_trig_i_p : in std_logic_vector(0 downto 0);
ext_trig_i_n : in std_logic_vector(0 downto 0);
ext_busy_o_p : out std_logic;
ext_busy_o_n : out std_logic;
-- LVDS buffer
--pwdn_l : out std_logic_vector(2 downto 0);
-- GPIO
--io : inout std_logic_vector(2 downto 0);
-- FE-I4
fe_clk_p : out std_logic_vector(c_TX_CHANNELS-1 downto 0);
fe_clk_n : out std_logic_vector(c_TX_CHANNELS-1 downto 0);
fe_cmd_p : out std_logic_vector(c_TX_CHANNELS-1 downto 0);
fe_cmd_n : out std_logic_vector(c_TX_CHANNELS-1 downto 0);
fe_data_p : in std_logic_vector((c_RX_CHANNELS*c_RX_NUM_LANES)-1 downto 0);
fe_data_n : in std_logic_vector((c_RX_CHANNELS*c_RX_NUM_LANES)-1 downto 0);
-- I2c
--sda_io : inout std_logic;
--scl_io : inout std_logic;
-- EUDET TLU
--eudet_trig_p : in std_logic;
--eudet_trig_n : in std_logic;
--eudet_busy_p : out std_logic;
--eudet_busy_n : out std_logic;
--eudet_rst_p : in std_logic;
--eudet_rst_n : in std_logic;
--eudet_clk_p : out std_logic;
--eudet_clk_n : out std_logic;
-- SPI
scl_o : out std_logic;
sda_o : out std_logic;
sdi_i : in std_logic;
latch_o : out std_logic
-- scl2_o : out std_logic;
-- sda2_o : out std_logic;
-- latch2_o : out std_logic
-- . DDR3
-- ddr3_dq : inout std_logic_vector(63 downto 0);
-- ddr3_dqs_p : inout std_logic_vector(7 downto 0);
-- ddr3_dqs_n : inout std_logic_vector(7 downto 0);
-- ddr3_addr : out std_logic_vector(14 downto 0);
-- ddr3_ba : out std_logic_vector(2 downto 0);
-- ddr3_ras_n : out std_logic;
-- ddr3_cas_n : out std_logic;
-- ddr3_we_n : out std_logic;
-- ddr3_reset_n : out std_logic;
-- ddr3_ck_p : out std_logic_vector(0 downto 0);
-- ddr3_ck_n : out std_logic_vector(0 downto 0);
-- ddr3_cke : out std_logic_vector(0 downto 0);
-- ddr3_cs_n : out std_logic_vector(0 downto 0);
-- ddr3_dm : out std_logic_vector(7 downto 0);
-- ddr3_odt : out std_logic_vector(0 downto 0)
);
end top_level;
architecture Behavioral of top_level is
constant AXI_BUS_WIDTH : integer := 64;
COMPONENT pcie_7x_0
PORT (
pci_exp_txp : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
pci_exp_txn : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
pci_exp_rxp : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
pci_exp_rxn : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
user_clk_out : OUT STD_LOGIC;
user_reset_out : OUT STD_LOGIC;
user_lnk_up : OUT STD_LOGIC;
user_app_rdy : OUT STD_LOGIC;
tx_buf_av : OUT STD_LOGIC_VECTOR(5 DOWNTO 0);
tx_cfg_req : OUT STD_LOGIC;
tx_err_drop : OUT STD_LOGIC;
s_axis_tx_tready : OUT STD_LOGIC;
s_axis_tx_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axis_tx_tkeep : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_tx_tlast : IN STD_LOGIC;
s_axis_tx_tvalid : IN STD_LOGIC;
s_axis_tx_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_rx_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axis_rx_tkeep : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_rx_tlast : OUT STD_LOGIC;
m_axis_rx_tvalid : OUT STD_LOGIC;
m_axis_rx_tready : IN STD_LOGIC;
m_axis_rx_tuser : OUT STD_LOGIC_VECTOR(21 DOWNTO 0);
cfg_status : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
cfg_command : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
cfg_dstatus : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
cfg_dcommand : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
cfg_lstatus : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
cfg_lcommand : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
cfg_dcommand2 : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
cfg_pcie_link_state : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
cfg_pmcsr_pme_en : OUT STD_LOGIC;
cfg_pmcsr_powerstate : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
cfg_pmcsr_pme_status : OUT STD_LOGIC;
cfg_received_func_lvl_rst : OUT STD_LOGIC;
cfg_interrupt : IN STD_LOGIC;
cfg_interrupt_rdy : OUT STD_LOGIC;
cfg_interrupt_assert : IN STD_LOGIC;
cfg_interrupt_di : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
cfg_interrupt_do : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
cfg_interrupt_mmenable : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
cfg_interrupt_msienable : OUT STD_LOGIC;
cfg_interrupt_msixenable : OUT STD_LOGIC;
cfg_interrupt_msixfm : OUT STD_LOGIC;
cfg_interrupt_stat : IN STD_LOGIC;
cfg_pciecap_interrupt_msgnum : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
cfg_to_turnoff : OUT STD_LOGIC;
cfg_bus_number : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
cfg_device_number : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
cfg_function_number : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
cfg_msg_received : OUT STD_LOGIC;
cfg_msg_data : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
cfg_bridge_serr_en : OUT STD_LOGIC;
cfg_slot_control_electromech_il_ctl_pulse : OUT STD_LOGIC;
cfg_root_control_syserr_corr_err_en : OUT STD_LOGIC;
cfg_root_control_syserr_non_fatal_err_en : OUT STD_LOGIC;
cfg_root_control_syserr_fatal_err_en : OUT STD_LOGIC;
cfg_root_control_pme_int_en : OUT STD_LOGIC;
cfg_aer_rooterr_corr_err_reporting_en : OUT STD_LOGIC;
cfg_aer_rooterr_non_fatal_err_reporting_en : OUT STD_LOGIC;
cfg_aer_rooterr_fatal_err_reporting_en : OUT STD_LOGIC;
cfg_aer_rooterr_corr_err_received : OUT STD_LOGIC;
cfg_aer_rooterr_non_fatal_err_received : OUT STD_LOGIC;
cfg_aer_rooterr_fatal_err_received : OUT STD_LOGIC;
cfg_msg_received_err_cor : OUT STD_LOGIC;
cfg_msg_received_err_non_fatal : OUT STD_LOGIC;
cfg_msg_received_err_fatal : OUT STD_LOGIC;
cfg_msg_received_pm_as_nak : OUT STD_LOGIC;
cfg_msg_received_pm_pme : OUT STD_LOGIC;
cfg_msg_received_pme_to_ack : OUT STD_LOGIC;
cfg_msg_received_assert_int_a : OUT STD_LOGIC;
cfg_msg_received_assert_int_b : OUT STD_LOGIC;
cfg_msg_received_assert_int_c : OUT STD_LOGIC;
cfg_msg_received_assert_int_d : OUT STD_LOGIC;
cfg_msg_received_deassert_int_a : OUT STD_LOGIC;
cfg_msg_received_deassert_int_b : OUT STD_LOGIC;
cfg_msg_received_deassert_int_c : OUT STD_LOGIC;
cfg_msg_received_deassert_int_d : OUT STD_LOGIC;
cfg_msg_received_setslotpowerlimit : OUT STD_LOGIC;
cfg_vc_tcvc_map : OUT STD_LOGIC_VECTOR(6 DOWNTO 0);
sys_clk : IN STD_LOGIC;
sys_rst_n : IN STD_LOGIC
);
END COMPONENT;
component app is
Generic(
DEBUG_C : std_logic_vector(3 downto 0) := "0100";
address_mask_c : STD_LOGIC_VECTOR(32-1 downto 0) := X"000FFFFF";
DMA_MEMORY_SELECTED : string := "BRAM" -- DDR3, BRAM, DEMUX
);
Port ( clk_i : in STD_LOGIC;
sys_clk_n_i : IN STD_LOGIC;
sys_clk_p_i : IN STD_LOGIC;
rst_i : in STD_LOGIC;
user_lnk_up_i : in STD_LOGIC;
user_app_rdy_i : in STD_LOGIC;
-- AXI-Stream bus
m_axis_tx_tready_i : in STD_LOGIC;
m_axis_tx_tdata_o : out STD_LOGIC_VECTOR(AXI_BUS_WIDTH-1 DOWNTO 0);
m_axis_tx_tkeep_o : out STD_LOGIC_VECTOR(AXI_BUS_WIDTH/8-1 DOWNTO 0);
m_axis_tx_tlast_o : out STD_LOGIC;
m_axis_tx_tvalid_o : out STD_LOGIC;
m_axis_tx_tuser_o : out STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_rx_tdata_i : in STD_LOGIC_VECTOR(AXI_BUS_WIDTH-1 DOWNTO 0);
s_axis_rx_tkeep_i : in STD_LOGIC_VECTOR(AXI_BUS_WIDTH/8-1 DOWNTO 0);
s_axis_rx_tlast_i : in STD_LOGIC;
s_axis_rx_tvalid_i : in STD_LOGIC;
s_axis_rx_tready_o : out STD_LOGIC;
s_axis_rx_tuser_i : in STD_LOGIC_VECTOR(21 DOWNTO 0);
-- PCIe interrupt config
cfg_interrupt_o : out STD_LOGIC;
cfg_interrupt_rdy_i : in STD_LOGIC;
cfg_interrupt_assert_o : out STD_LOGIC;
cfg_interrupt_di_o : out STD_LOGIC_VECTOR(7 DOWNTO 0);
cfg_interrupt_do_i : in STD_LOGIC_VECTOR(7 DOWNTO 0);
cfg_interrupt_mmenable_i : in STD_LOGIC_VECTOR(2 DOWNTO 0);
cfg_interrupt_msienable_i : in STD_LOGIC;
cfg_interrupt_msixenable_i : in STD_LOGIC;
cfg_interrupt_msixfm_i : in STD_LOGIC;
cfg_interrupt_stat_o : out STD_LOGIC;
cfg_pciecap_interrupt_msgnum_o : out STD_LOGIC_VECTOR(4 DOWNTO 0);
-- PCIe ID
cfg_bus_number_i : in STD_LOGIC_VECTOR(7 DOWNTO 0);
cfg_device_number_i : in STD_LOGIC_VECTOR(4 DOWNTO 0);
cfg_function_number_i : in STD_LOGIC_VECTOR(2 DOWNTO 0);
-- PCIe debug
tx_err_drop_i : in STD_LOGIC;
cfg_dstatus_i : in STD_LOGIC_VECTOR(15 DOWNTO 0);
--DDR3
ddr3_dq_io : inout std_logic_vector(63 downto 0);
ddr3_dqs_p_io : inout std_logic_vector(7 downto 0);
ddr3_dqs_n_io : inout std_logic_vector(7 downto 0);
--init_calib_complete_o : out std_logic;
ddr3_addr_o : out std_logic_vector(14 downto 0);
ddr3_ba_o : out std_logic_vector(2 downto 0);
ddr3_ras_n_o : out std_logic;
ddr3_cas_n_o : out std_logic;
ddr3_we_n_o : out std_logic;
ddr3_reset_n_o : out std_logic;
ddr3_ck_p_o : out std_logic_vector(0 downto 0);
ddr3_ck_n_o : out std_logic_vector(0 downto 0);
ddr3_cke_o : out std_logic_vector(0 downto 0);
ddr3_cs_n_o : out std_logic_vector(0 downto 0);
ddr3_dm_o : out std_logic_vector(7 downto 0);
ddr3_odt_o : out std_logic_vector(0 downto 0);
---------------------------------------------------------
-- FMC
---------------------------------------------------------
-- Trigger input
ext_trig_i : in std_logic_vector(3 downto 0);
ext_busy_o : out std_logic;
-- LVDS buffer
pwdn_l : out std_logic_vector(2 downto 0);
-- GPIO
--io : inout std_logic_vector(2 downto 0);
-- FE-I4
fe_clk_p : out std_logic_vector(c_TX_CHANNELS-1 downto 0);
fe_clk_n : out std_logic_vector(c_TX_CHANNELS-1 downto 0);
fe_cmd_p : out std_logic_vector(c_TX_CHANNELS-1 downto 0);
fe_cmd_n : out std_logic_vector(c_TX_CHANNELS-1 downto 0);
fe_data_p : in std_logic_vector((c_RX_CHANNELS*c_RX_NUM_LANES)-1 downto 0);
fe_data_n : in std_logic_vector((c_RX_CHANNELS*c_RX_NUM_LANES)-1 downto 0);
-- I2c
sda_io : inout std_logic;
scl_io : inout std_logic;
-- EUDET
eudet_clk_o : out std_logic;
eudet_trig_i : in std_logic;
eudet_rst_i : in std_logic;
eudet_busy_o : out std_logic;
-- SPI
scl_o : out std_logic;
sda_o : out std_logic;
sdi_i : in std_logic;
latch_o : out std_logic;
--I/O
usr_sw_i : in STD_LOGIC_VECTOR (2 downto 0);
usr_led_o : out STD_LOGIC_VECTOR (3 downto 0);
front_led_o : out STD_LOGIC_VECTOR (3 downto 0)
);
end component;
--Clocks
signal sys_clk : STD_LOGIC;
--signal clk200 : STD_LOGIC;
signal aclk : STD_LOGIC;
signal arstn_s : STD_LOGIC;
signal rst_s : STD_LOGIC;
--Wishbone bus
signal usr_led_s : std_logic_vector(3 downto 0);
--signal count_s : STD_LOGIC_VECTOR (28 downto 0);
-- AXI-stream bus to PCIE
signal s_axis_tx_tready_s : STD_LOGIC;
signal s_axis_tx_tdata_s : STD_LOGIC_VECTOR(AXI_BUS_WIDTH-1 DOWNTO 0);
signal s_axis_tx_tkeep_s : STD_LOGIC_VECTOR(AXI_BUS_WIDTH/8-1 DOWNTO 0);
signal s_axis_tx_tlast_s : STD_LOGIC;
signal s_axis_tx_tvalid_s : STD_LOGIC;
signal s_axis_tx_tuser_s : STD_LOGIC_VECTOR(3 DOWNTO 0);
signal m_axis_rx_tdata_s : STD_LOGIC_VECTOR(AXI_BUS_WIDTH-1 DOWNTO 0);
signal m_axis_rx_tkeep_s : STD_LOGIC_VECTOR(AXI_BUS_WIDTH/8-1 DOWNTO 0);
signal m_axis_rx_tlast_s : STD_LOGIC;
signal m_axis_rx_tvalid_s : STD_LOGIC;
signal m_axis_rx_tready_s : STD_LOGIC;
signal m_axis_rx_tuser_s : STD_LOGIC_VECTOR(21 DOWNTO 0);
-- PCIE signals
signal user_lnk_up_s : STD_LOGIC;
signal user_app_rdy_s : STD_LOGIC;
signal tx_err_drop_s : STD_LOGIC;
signal cfg_interrupt_s : STD_LOGIC;
signal cfg_interrupt_rdy_s : STD_LOGIC;
signal cfg_interrupt_assert_s : STD_LOGIC;
signal cfg_interrupt_di_s : STD_LOGIC_VECTOR(7 DOWNTO 0);
signal cfg_interrupt_do_s : STD_LOGIC_VECTOR(7 DOWNTO 0);
signal cfg_interrupt_mmenable_s : STD_LOGIC_VECTOR(2 DOWNTO 0);
signal cfg_interrupt_msienable_s : STD_LOGIC;
signal cfg_interrupt_msixenable_s : STD_LOGIC;
signal cfg_interrupt_msixfm_s : STD_LOGIC;
signal cfg_interrupt_stat_s : STD_LOGIC;
signal cfg_pciecap_interrupt_msgnum_s : STD_LOGIC_VECTOR(4 DOWNTO 0);
-- PCIE ID
signal cfg_bus_number_s : STD_LOGIC_VECTOR(7 DOWNTO 0);
signal cfg_device_number_s : STD_LOGIC_VECTOR(4 DOWNTO 0);
signal cfg_function_number_s : STD_LOGIC_VECTOR(2 DOWNTO 0);
--PCIE debug
signal cfg_dstatus_s : STD_LOGIC_VECTOR(15 DOWNTO 0);
-- EUDET
signal eudet_clk_s : std_logic;
signal eudet_trig_s : std_logic;
signal eudet_busy_s : std_logic;
signal eudet_rst_s : std_logic;
signal ext_trig_i : std_logic_vector(3 downto 0);
signal ext_busy_o : std_logic;
signal scl : std_logic;
signal latch : std_logic;
begin
-- LVDS input to internal single
-- CLK_IBUFDS : IBUFDS
-- generic map(
-- IOSTANDARD => "DEFAULT"
-- )
-- port map(
-- I => clk200_p,
-- IB => clk200_n,
-- O => clk200
-- );
-- design_1_0: component design_1
-- port map (
-- CLK_IN_D_clk_n(0) => pcie_clk_n,
-- CLK_IN_D_clk_p(0) => pcie_clk_p,
-- IBUF_OUT(0) => sys_clk
-- );
-- sda2_o <= sdi_i;
-- scl2_o <= scl;
scl_o <= scl;
latch_o <= latch;
-- latch2_o <= latch;
-- EUDET buffer
--eudet_clk_buf : OBUFDS port map (O => eudet_clk_p, OB => eudet_clk_n, I => eudet_clk_s);
--eudet_busy_buf : OBUFDS port map (O => eudet_busy_p, OB => eudet_busy_n, I => eudet_busy_s);
--eudet_rst_buf : IBUFDS generic map(DIFF_TERM => FALSE, IBUF_LOW_PWR => FALSE) port map (O => eudet_rst_s, I => eudet_rst_p, IB => eudet_rst_n);
--eudet_trig_buf : IBUFDS generic map(DIFF_TERM =>FALSE, IBUF_LOW_PWR => FALSE) port map (O => eudet_trig_s, I => eudet_trig_p, IB => eudet_trig_n);
-- HitOr
ext_trig_buf_0 : IBUFDS generic map (DIFF_TERM => TRUE, IBUF_LOW_PWR => FALSE) port map (O => ext_trig_i(0), I => ext_trig_i_p(0), IB => ext_trig_i_n(0));
--ext_trig_buf_1 : IBUFDS generic map (DIFF_TERM => TRUE, IBUF_LOW_PWR => FALSE) port map (O => ext_trig_i(1), I => ext_trig_i_p(1), IB => ext_trig_i_n(1));
--ext_trig_buf_2 : IBUFDS generic map (DIFF_TERM => TRUE, IBUF_LOW_PWR => FALSE) port map (O => ext_trig_i(2), I => ext_trig_i_p(2), IB => ext_trig_i_n(2));
--ext_trig_buf_3 : IBUFDS generic map (DIFF_TERM => TRUE, IBUF_LOW_PWR => FALSE) port map (O => ext_trig_i(3), I => ext_trig_i_p(3), IB => ext_trig_i_n(3));
ext_busy_buf : OBUFDS port map (O => ext_busy_o_p, OB => ext_busy_o_n, I => ext_busy_o);
refclk_ibuf : IBUFDS_GTE2
port map(
O => sys_clk,
ODIV2 => open,
I => pcie_clk_p,
IB => pcie_clk_n,
CEB => '0');
rst_s <= not rst_n_i;
arstn_s <= sys_rst_n_i or rst_n_i;
pcie_0 : pcie_7x_0
PORT MAP (
pci_exp_txp => pci_exp_txp,
pci_exp_txn => pci_exp_txn,
pci_exp_rxp => pci_exp_rxp,
pci_exp_rxn => pci_exp_rxn,
user_clk_out => aclk,
user_reset_out => open, -- TODO
user_lnk_up => user_lnk_up_s,
user_app_rdy => user_app_rdy_s,
tx_err_drop => tx_err_drop_s,
s_axis_tx_tready => s_axis_tx_tready_s,
s_axis_tx_tdata => s_axis_tx_tdata_s,
s_axis_tx_tkeep => s_axis_tx_tkeep_s,
s_axis_tx_tlast => s_axis_tx_tlast_s,
s_axis_tx_tvalid => s_axis_tx_tvalid_s,
s_axis_tx_tuser => s_axis_tx_tuser_s,
m_axis_rx_tdata => m_axis_rx_tdata_s,
m_axis_rx_tkeep => m_axis_rx_tkeep_s,
m_axis_rx_tlast => m_axis_rx_tlast_s,
m_axis_rx_tvalid => m_axis_rx_tvalid_s,
m_axis_rx_tready => m_axis_rx_tready_s,
m_axis_rx_tuser => m_axis_rx_tuser_s,
cfg_interrupt => cfg_interrupt_s,
cfg_interrupt_rdy => cfg_interrupt_rdy_s,
cfg_interrupt_assert => cfg_interrupt_assert_s,
cfg_interrupt_di => cfg_interrupt_di_s,
cfg_interrupt_do => cfg_interrupt_do_s,
cfg_interrupt_mmenable => cfg_interrupt_mmenable_s,
cfg_interrupt_msienable => cfg_interrupt_msienable_s,
cfg_interrupt_msixenable => cfg_interrupt_msixenable_s,
cfg_interrupt_msixfm => cfg_interrupt_msixfm_s,
cfg_interrupt_stat => cfg_interrupt_stat_s,
cfg_pciecap_interrupt_msgnum => cfg_pciecap_interrupt_msgnum_s,
cfg_dstatus => cfg_dstatus_s,
cfg_bus_number => cfg_bus_number_s,
cfg_device_number => cfg_device_number_s,
cfg_function_number => cfg_function_number_s,
sys_clk => sys_clk,
sys_rst_n => sys_rst_n_i
);
app_0:app
Generic map(
DEBUG_C => "0100",
address_mask_c => X"000FFFFF",
DMA_MEMORY_SELECTED => "BRAM" -- DDR3, BRAM
)
port map(
clk_i => aclk,
sys_clk_n_i => clk200_n,
sys_clk_p_i => clk200_p,
rst_i => rst_s,
user_lnk_up_i => user_lnk_up_s,
user_app_rdy_i => user_app_rdy_s,
-- AXI-Stream bus
m_axis_tx_tready_i => s_axis_tx_tready_s,
m_axis_tx_tdata_o => s_axis_tx_tdata_s,
m_axis_tx_tkeep_o => s_axis_tx_tkeep_s,
m_axis_tx_tlast_o => s_axis_tx_tlast_s,
m_axis_tx_tvalid_o => s_axis_tx_tvalid_s,
m_axis_tx_tuser_o => s_axis_tx_tuser_s,
s_axis_rx_tdata_i => m_axis_rx_tdata_s,
s_axis_rx_tkeep_i => m_axis_rx_tkeep_s,
s_axis_rx_tlast_i => m_axis_rx_tlast_s,
s_axis_rx_tvalid_i => m_axis_rx_tvalid_s,
s_axis_rx_tready_o => m_axis_rx_tready_s,
s_axis_rx_tuser_i => m_axis_rx_tuser_s,
-- PCIe interrupt config
cfg_interrupt_o => cfg_interrupt_s,
cfg_interrupt_rdy_i => cfg_interrupt_rdy_s,
cfg_interrupt_assert_o => cfg_interrupt_assert_s,
cfg_interrupt_di_o => cfg_interrupt_di_s,
cfg_interrupt_do_i => cfg_interrupt_do_s,
cfg_interrupt_mmenable_i => cfg_interrupt_mmenable_s,
cfg_interrupt_msienable_i => cfg_interrupt_msienable_s,
cfg_interrupt_msixenable_i => cfg_interrupt_msixenable_s,
cfg_interrupt_msixfm_i => cfg_interrupt_msixfm_s,
cfg_interrupt_stat_o => cfg_interrupt_stat_s,
cfg_pciecap_interrupt_msgnum_o => cfg_pciecap_interrupt_msgnum_s,
-- PCIe ID
cfg_bus_number_i => cfg_bus_number_s,
cfg_device_number_i => cfg_device_number_s,
cfg_function_number_i => cfg_function_number_s,
-- PCIe debug
tx_err_drop_i => tx_err_drop_s,
cfg_dstatus_i => cfg_dstatus_s,
--DDR3
--ddr3_dq_io => ddr3_dq,
--ddr3_dqs_p_io => ddr3_dqs_p,
--ddr3_dqs_n_io => ddr3_dqs_n,
--init_calib_complete_o => init_calib_complete,
--ddr3_addr_o => ddr3_addr,
--ddr3_ba_o => ddr3_ba,
--ddr3_ras_n_o => ddr3_ras_n,
--ddr3_cas_n_o => ddr3_cas_n,
--ddr3_we_n_o => ddr3_we_n,
--ddr3_reset_n_o => ddr3_reset_n,
--ddr3_ck_p_o => ddr3_ck_p,
--ddr3_ck_n_o => ddr3_ck_n,
--ddr3_cke_o => ddr3_cke,
--ddr3_cs_n_o => ddr3_cs_n,
--ddr3_dm_o => ddr3_dm,
--ddr3_odt_o => ddr3_odt,
---------------------------------------------------------
-- FMC
---------------------------------------------------------
-- Trigger input
ext_trig_i => ext_trig_i,
ext_busy_o => ext_busy_o,
-- LVDS buffer
pwdn_l => open,
-- GPIO
--io => io,
-- FE-I4
fe_clk_p => fe_clk_p,
fe_clk_n => fe_clk_n,
fe_cmd_p => fe_cmd_p,
fe_cmd_n => fe_cmd_n,
fe_data_p => fe_data_p,
fe_data_n => fe_data_n,
-- I2c
--sda_io => sda_io,
--scl_io => scl_io,
--EUDET
eudet_clk_o => eudet_clk_s,
eudet_trig_i => eudet_trig_s,
eudet_rst_i => not eudet_rst_s,
eudet_busy_o => eudet_busy_s,
--SPI
scl_o => scl,
sda_o => sda_o,
sdi_i => sdi_i,
latch_o => latch,
--I/O
usr_sw_i => usr_sw_i,
usr_led_o => usr_led_s,
front_led_o => open--front_led_o
);
usr_led_o <= usr_led_s(2 downto 0);
end Behavioral;
| gpl-3.0 | 44476e020c7f5f34e87e84314064d7ca | 0.510965 | 3.281557 | false | false | false | false |
NicoLedwith/Dr.AluOpysel | RAT_MCU/ControlUnitFSM.vhd | 1 | 21,646 | ----------------------------------------------------------------------------------
-- Company: CPE 233 Productions partnered with Colto Ledstrom
-- Engineer: Various Engineers and Coltron Sundstrom, Nico Ledwith
--
-- Create Date: 20:59:29 02/04/2013
-- Design Name:
-- Module Name: RAT Control Unit
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description: Control unit (FSM) for RAT CPU
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
Entity CONTROL_UNIT is
Port ( CLK : in STD_LOGIC;
C : in STD_LOGIC;
Z : in STD_LOGIC;
INT : in STD_LOGIC;
RESET : in STD_LOGIC;
OPCODE_HI_5 : in STD_LOGIC_VECTOR (4 downto 0);
OPCODE_LO_2 : in STD_LOGIC_VECTOR (1 downto 0);
PC_LD : out STD_LOGIC;
PC_INC : out STD_LOGIC;
PC_MUX_SEL : out STD_LOGIC_VECTOR (1 downto 0);
PC_OE : out STD_LOGIC;
SP_LD : out STD_LOGIC;
SP_INCR : out STD_LOGIC;
SP_DECR : out STD_LOGIC;
RF_WR : out STD_LOGIC;
RF_WR_SEL : out STD_LOGIC_VECTOR (1 downto 0);
RF_OE : out STD_LOGIC;
ALU_OPY_SEL : out STD_LOGIC;
ALU_SEL : out STD_LOGIC_VECTOR (3 downto 0);
SCR_WR : out STD_LOGIC;
SCR_ADDR_SEL : out STD_LOGIC_VECTOR (1 downto 0);
SCR_OE : out STD_LOGIC;
FLG_C_LD : out STD_LOGIC;
FLG_C_SET : out STD_LOGIC;
FLG_C_CLR : out STD_LOGIC;
FLG_SHAD_LD : out STD_LOGIC;
FLG_LD_SEL : out STD_LOGIC;
FLG_Z_LD : out STD_LOGIC;
I_FLAG_SET : out STD_LOGIC;
I_FLAG_CLR : out STD_LOGIC;
RST : out STD_LOGIC;
IO_STRB : out STD_LOGIC);
end;
architecture Behavioral of CONTROL_UNIT is
type state_type is (ST_init, ST_fet, ST_exec, ST_Interrupt);
signal PS,NS : state_type;
signal sig_OPCODE_7: std_logic_vector (6 downto 0);
begin
-- concatenate the all opcodes into a 7-bit complete opcode for
-- easy instruction decoding.
sig_OPCODE_7 <= OPCODE_HI_5 & OPCODE_LO_2;
sync_p: process (CLK, NS, RESET)
begin
if (RESET = '1') then
PS <= ST_init;
elsif (rising_edge(CLK)) then
PS <= NS;
end if;
end process sync_p;
comb_p: process (sig_OPCODE_7, PS, NS, C, Z, INT)
begin
-- schedule everything to known values -----------------------
PC_LD <= '0';
PC_MUX_SEL <= "00";
PC_OE <= '0';
PC_INC <= '0';
SP_LD <= '0';
SP_INCR <= '0';
SP_DECR <= '0';
RF_WR <= '0';
RF_WR_SEL <= "00";
RF_OE <= '0';
ALU_OPY_SEL <= '0';
ALU_SEL <= "0000";
SCR_WR <= '0';
SCR_OE <= '0';
SCR_ADDR_SEL <= "00";
FLG_C_SET <= '0'; FLG_C_CLR <= '0';
FLG_C_LD <= '0'; FLG_Z_LD <= '0';
FLG_LD_SEL <= '0'; FLG_SHAD_LD <= '0';
I_FLAG_SET <= '0';
I_FLAG_CLR <= '0';
IO_STRB <= '0';
RST <= '0';
case PS is
-- STATE: the init cycle ------------------------------------
-- Initialize all control outputs to non-active states and
-- Reset the PC and SP to all zeros.
when ST_init =>
RST <= '1';
NS <= ST_fet;
-- STATE: the fetch cycle -----------------------------------
when ST_fet =>
RST <= '0';
NS <= ST_exec;
PC_INC <= '1'; -- increment PC
-- STATE: interrupt cycle ----------------------------------
when ST_Interrupt =>
PC_LD <= '1';
PC_INC <= '0';
PC_OE <= '1';
RST <= '0';
PC_MUX_SEL <= "10"; --3ff
SP_LD <= '0';
SP_INCR <= '0';
SP_DECR <= '1';
RST <= '0';
SCR_OE <= '0';
SCR_WR <= '1';
SCR_ADDR_SEL <= "11";
RF_OE <= '0';
I_FLAG_CLR <= '1';
I_FLAG_SET <= '0';
FLG_SHAD_LD <= '1';
NS <= ST_fet;
-- STATE: the execute cycle ---------------------------------
when ST_exec =>
if (INT = '1') then
NS <= ST_Interrupt;
else
NS <= ST_fet;
end if;
PC_INC <= '0'; -- don't increment PC
case sig_OPCODE_7 is
-- BRN -------------------
when "0010000" =>
PC_LD <= '1';
PC_INC <= '0';
PC_OE <= '0';
RST <= '0';
PC_MUX_SEL <= "00";
FLG_Z_LD <= '0';
FLG_C_LD <= '0';
FLG_C_SET <= '0';
FLG_C_CLR <= '0';
FLG_LD_SEL <= '0';
FLG_SHAD_LD <= '0';
-- SUB reg-reg --------
when "0000110" =>
ALU_OPY_SEL <= '0';
ALU_SEL <= "0010";
RF_OE <= '1';
RF_WR_SEL <= "00";
RF_WR <= '1';
FLG_Z_LD <= '1';
FLG_C_LD <= '1';
FLG_C_SET <= '0';
FLG_C_CLR <= '0';
FLG_LD_SEL <= '0';
FLG_SHAD_LD <= '0';
-- SUB reg-imm ----------
when "1011000" | "1011001" | "1011010" | "1011011" =>
ALU_OPY_SEL <= '1';
ALU_SEL <= "0010";
RF_OE <= '1';
RF_WR_SEL <= "00";
RF_WR <= '1';
FLG_Z_LD <= '1';
FLG_C_LD <= '1';
FLG_C_SET <= '0';
FLG_C_CLR <= '0';
FLG_LD_SEL <= '0';
FLG_SHAD_LD <= '0';
-- IN reg-immed ------
when "1100100" | "1100101" | "1100110" | "1100111" =>
RF_WR_SEL <= "11";
RF_WR <= '1';
RF_OE <= '0';
FLG_Z_LD <= '0';
FLG_C_LD <= '0';
FLG_C_SET <= '0';
FLG_C_CLR <= '0';
FLG_LD_SEL <= '0';
FLG_SHAD_LD <= '0';
-- OUT reg-immed ------
when "1101000" | "1101001" | "1101010" | "1101011" =>
RF_OE <= '1';
RF_WR <= '0';
RF_WR_SEL <= "10"; -- not used
IO_STRB <= '1';
FLG_Z_LD <= '0';
FLG_C_LD <= '0';
FLG_C_SET <= '0';
FLG_C_CLR <= '0';
FLG_LD_SEL <= '0';
FLG_SHAD_LD <= '0';
-- MOV reg-immed ------
when "1101100" | "1101101" | "1101110" | "1101111" =>
RF_WR <= '1';
RF_OE <= '0';
RF_WR_SEL <= "00";
ALU_OPY_SEL <= '1';
ALU_SEL <= "1110";
FLG_Z_LD <= '0';
FLG_C_LD <= '0';
FLG_C_SET <= '0';
FLG_C_CLR <= '0';
FLG_LD_SEL <= '0';
FLG_SHAD_LD <= '0';
-- MOV reg-reg -----
when "0001001" =>
RF_WR <= '1';
RF_OE <= '0';
RF_WR_SEL <= "00";
ALU_OPY_SEL <= '0';
ALU_SEL <= "1110";
FLG_Z_LD <= '0';
FLG_C_LD <= '0';
FLG_C_SET <= '0';
FLG_C_CLR <= '0';
FLG_LD_SEL <= '0';
FLG_SHAD_LD <= '0';
-- ADD reg-reg ------
when "0000100" =>
ALU_OPY_SEL <= '0';
ALU_SEL <= "0000";
RF_OE <= '1';
RF_WR_SEL <= "00";
RF_WR <= '1';
FLG_Z_LD <= '1';
FLG_C_LD <= '1';
FLG_C_SET <= '0';
FLG_C_CLR <= '0';
FLG_LD_SEL <= '0';
FLG_SHAD_LD <= '0';
-- ADD reg-imm ------
when "1010000" | "1010001" | "1010010" | "1010011" =>
ALU_OPY_SEL <= '1';
ALU_SEL <= "0000";
RF_OE <= '1';
RF_WR_SEL <= "00";
RF_WR <= '1';
FLG_Z_LD <= '1';
FLG_C_LD <= '1';
FLG_C_SET <= '0';
FLG_C_CLR <= '0';
FLG_LD_SEL <= '0';
FLG_SHAD_LD <= '0';
-- ADDC reg-reg ------
when "0000101" =>
ALU_OPY_SEL <= '0';
ALU_SEL <= "0001";
RF_OE <= '1';
RF_WR_SEL <= "00";
RF_WR <= '1';
FLG_Z_LD <= '1';
FLG_C_LD <= '1';
FLG_C_SET <= '0';
FLG_C_CLR <= '0';
FLG_LD_SEL <= '0';
FLG_SHAD_LD <= '0';
-- ADDC reg-imm ------
when "1010100" | "1010101" | "1010110" | "1010111" =>
ALU_OPY_SEL <= '1';
ALU_SEL <= "0001";
RF_OE <= '1';
RF_WR_SEL <= "00";
RF_WR <= '1';
FLG_Z_LD <= '1';
FLG_C_LD <= '1';
FLG_C_SET <= '0';
FLG_C_CLR <= '0';
FLG_LD_SEL <= '0';
FLG_SHAD_LD <= '0';
-- AND reg-reg -----
when "0000000" =>
ALU_OPY_SEL <= '0';
ALU_SEL <= "0101";
RF_OE <= '1';
RF_WR_SEL <= "00";
RF_WR <= '1';
FLG_Z_LD <= '1';
FLG_C_LD <= '1';
FLG_C_SET <= '0';
FLG_C_CLR <= '0';
FLG_LD_SEL <= '0';
FLG_SHAD_LD <= '0';
-- AND reg-imm -----
when "1000000" | "1000001" | "1000010" | "1000011" =>
ALU_OPY_SEL <= '1';
ALU_SEL <= "0101";
RF_OE <= '1';
RF_WR_SEL <= "00";
RF_WR <= '1';
FLG_Z_LD <= '1';
FLG_C_LD <= '1';
FLG_C_SET <= '0';
FLG_C_CLR <= '0';
FLG_LD_SEL <= '0';
FLG_SHAD_LD <= '0';
-- ASR reg -----
when "0100100" =>
ALU_OPY_SEL <= '0';
ALU_SEL <= "1101";
RF_OE <= '1';
RF_WR_SEL <= "00";
RF_WR <= '1';
FLG_Z_LD <= '1';
FLG_C_LD <= '1';
FLG_C_SET <= '0';
FLG_C_CLR <= '0';
FLG_LD_SEL <= '0';
FLG_SHAD_LD <= '0';
-- BRCC imm -----
when "0010101" =>
if( C = '0') then
PC_LD <= '1';
PC_INC <= '0';
PC_OE <= '0';
RST <= '0';
PC_MUX_SEL <= "00";
end if;
FLG_Z_LD <= '0';
FLG_C_LD <= '0';
FLG_C_SET <= '0';
FLG_C_CLR <= '0';
FLG_LD_SEL <= '0';
FLG_SHAD_LD <= '0';
-- BRCS imm -----
when "0010100" =>
if( C = '1') then
PC_LD <= '1';
PC_INC <= '0';
PC_OE <= '0';
RST <= '0';
PC_MUX_SEL <= "00";
end if;
FLG_Z_LD <= '0';
FLG_C_LD <= '0';
FLG_C_SET <= '0';
FLG_C_CLR <= '0';
FLG_LD_SEL <= '0';
FLG_SHAD_LD <= '0';
-- BREQ imm ------
when "0010010" =>
if( Z = '1') then
PC_LD <= '1';
PC_INC <= '0';
PC_OE <= '0';
RST <= '0';
PC_MUX_SEL <= "00";
end if;
FLG_Z_LD <= '0';
FLG_C_LD <= '0';
FLG_C_SET <= '0';
FLG_C_CLR <= '0';
FLG_LD_SEL <= '0';
FLG_SHAD_LD <= '0';
-- BRNE imm ------
when "0010011" =>
if( Z = '0') then
PC_LD <= '1';
PC_INC <= '0';
PC_OE <= '0';
RST <= '0';
PC_MUX_SEL <= "00";
end if;
FLG_Z_LD <= '0';
FLG_C_LD <= '0';
FLG_C_SET <= '0';
FLG_C_CLR <= '0';
FLG_LD_SEL <= '0';
FLG_SHAD_LD <= '0';
-- CALL imm -------
when "0010001" =>
PC_LD <= '1'; -- pc
PC_INC <= '0';
PC_OE <= '1';
RST <= '0'; -- PC <- imm
SCR_WR <= '1'; -- (SP-1) <- PC
SCR_OE <= '0';
SCR_ADDR_SEL <= "11";
SP_LD <= '0'; -- SP <- SP - 1
SP_INCR <= '0';
SP_DECR <= '1';
RST <= '0';
FLG_Z_LD <= '0';
FLG_C_LD <= '0';
FLG_C_SET <= '0';
FLG_C_CLR <= '0';
FLG_LD_SEL <= '0';
FLG_SHAD_LD <= '0';
-- CLC non ------
when "0110000" =>
FLG_C_CLR <= '1';
FLG_C_SET <= '0';
FLG_C_LD <= '0';
FLG_Z_LD <= '0';
FLG_LD_SEL <= '0';
FLG_SHAD_LD <= '0';
-- CLI non ------
when "0110101" =>
I_FLAG_SET <= '0';
I_FLAG_CLR <= '1';
-- CMP reg-reg ------
when "0001000" =>
ALU_OPY_SEL <= '0';
ALU_SEL <= "0100";
RF_OE <= '1';
RF_WR_SEL <= "00";
RF_WR <= '0';
FLG_Z_LD <= '1';
FLG_C_LD <= '1';
FLG_C_SET <= '0';
FLG_C_CLR <= '0';
FLG_LD_SEL <= '0';
FLG_SHAD_LD <= '0';
-- CMP reg-imm ------
when "1100000" | "1100001" | "1100010" | "1100011" =>
ALU_OPY_SEL <= '1';
ALU_SEL <= "0100";
RF_OE <= '1';
RF_WR_SEL <= "00";
RF_WR <= '0';
FLG_Z_LD <= '1';
FLG_C_LD <= '1';
FLG_C_SET <= '0';
FLG_C_CLR <= '0';
FLG_LD_SEL <= '0';
FLG_SHAD_LD <= '0';
-- EXOR reg-reg ----
when "0000010" =>
ALU_OPY_SEL <= '0';
ALU_SEL <= "0111";
RF_OE <= '1';
RF_WR_SEL <= "00";
RF_WR <= '1';
FLG_Z_LD <= '1';
FLG_C_LD <= '1';
FLG_C_SET <= '0';
FLG_C_CLR <= '0';
FLG_LD_SEL <= '0';
FLG_SHAD_LD <= '0';
-- EXOR reg-imm -----
when "1001000" | "1001001" | "1001010" | "1001011" =>
ALU_OPY_SEL <= '1';
ALU_SEL <= "0111";
RF_OE <= '1';
RF_WR_SEL <= "00";
RF_WR <= '1';
FLG_Z_LD <= '1';
FLG_C_LD <= '1';
FLG_C_SET <= '0';
FLG_C_CLR <= '0';
FLG_LD_SEL <= '0';
FLG_SHAD_LD <= '0';
-- LD reg-reg -----
when "0001010" =>
-- Rs <- (RD)
RF_WR_SEL <= "01";
RF_WR <= '1';
RF_OE <= '0';
SCR_WR <= '0';
SCR_OE <= '1';
SCR_ADDR_SEL <= "00";
FLG_Z_LD <= '0';
FLG_C_LD <= '0';
FLG_C_SET <= '0';
FLG_C_CLR <= '0';
FLG_LD_SEL <= '0';
FLG_SHAD_LD <= '0';
-- LD reg-imm -----
when "1110000" | "1110001" | "1110010" | "1110011" =>
-- Rs <- (imm)
RF_WR_SEL <= "01";
RF_WR <= '1';
RF_OE <= '0';
SCR_WR <= '0';
SCR_OE <= '1';
SCR_ADDR_SEL <= "01";
FLG_Z_LD <= '0';
FLG_C_LD <= '0';
FLG_C_SET <= '0';
FLG_C_CLR <= '0';
FLG_LD_SEL <= '0';
FLG_SHAD_LD <= '0';
-- LSL reg ------
when "0100000" =>
ALU_OPY_SEL <= '0';
ALU_SEL <= "1001";
RF_OE <= '1';
RF_WR_SEL <= "00";
RF_WR <= '1';
FLG_Z_LD <= '1';
FLG_C_LD <= '1';
FLG_C_SET <= '0';
FLG_C_CLR <= '0';
FLG_LD_SEL <= '0';
FLG_SHAD_LD <= '0';
-- LSR reg ------
when "0100001" =>
ALU_OPY_SEL <= '0';
ALU_SEL <= "1010";
RF_OE <= '1';
RF_WR_SEL <= "00";
RF_WR <= '1';
FLG_Z_LD <= '1';
FLG_C_LD <= '1';
FLG_C_SET <= '0';
FLG_C_CLR <= '0';
FLG_LD_SEL <= '0';
FLG_SHAD_LD <= '0';
-- OR reg-reg ----
when "0000001" =>
ALU_OPY_SEL <= '0';
ALU_SEL <= "0110";
RF_OE <= '1';
RF_WR_SEL <= "00";
RF_WR <= '1';
FLG_Z_LD <= '1';
FLG_C_LD <= '1';
FLG_C_SET <= '0';
FLG_C_CLR <= '0';
FLG_LD_SEL <= '0';
FLG_SHAD_LD <= '0';
-- OR reg-imm ----
when "1000100" | "1000101" | "1000110" | "1000111" =>
ALU_OPY_SEL <= '1';
ALU_SEL <= "0110";
RF_OE <= '1';
RF_WR_SEL <= "00";
RF_WR <= '1';
FLG_Z_LD <= '1';
FLG_C_LD <= '1';
FLG_C_SET <= '0';
FLG_C_CLR <= '0';
FLG_LD_SEL <= '0';
FLG_SHAD_LD <= '0';
-- POP reg ----
when "0100110" =>
SP_INCR <= '1';
SP_DECR <= '0';
SP_LD <= '0';
RST <= '0';
SCR_OE <= '1';
SCR_WR <= '0';
SCR_ADDR_SEL <= "10";
RF_WR_SEL <= "01";
RF_OE <= '0';
RF_WR <= '1';
FLG_Z_LD <= '0';
FLG_C_LD <= '0';
FLG_C_SET <= '0';
FLG_C_CLR <= '0';
FLG_LD_SEL <= '0';
FLG_SHAD_LD <= '0';
-- PUSH reg ----
when "0100101" =>
SCR_ADDR_SEL <= "11";
SCR_WR <= '1';
SCR_OE <= '0';
RF_OE <= '1';
RF_WR <= '0';
RF_WR_SEL <= "00";
SP_INCR <= '0';
SP_DECR <= '1';
SP_LD <= '0';
RST <= '0';
FLG_Z_LD <= '0';
FLG_C_LD <= '0';
FLG_C_SET <= '0';
FLG_C_CLR <= '0';
FLG_LD_SEL <= '0';
FLG_SHAD_LD <= '0';
-- RET non ----
when "0110010" =>
PC_LD <= '1';
PC_INC <= '0';
PC_OE <= '0';
PC_MUX_SEL <= "01";
SCR_ADDR_SEL <= "10";
SCR_OE <= '1';
SCR_WR <= '0';
SP_INCR <= '1';
SP_DECR <= '0';
SP_LD <= '0';
RST <= '0';
FLG_Z_LD <= '0';
FLG_C_LD <= '0';
FLG_C_SET <= '0';
FLG_C_CLR <= '0';
FLG_LD_SEL <= '0';
FLG_SHAD_LD <= '0';
-- RETID --
when "0110110" =>
PC_LD <= '1';
PC_INC <= '0';
PC_OE <= '0';
PC_MUX_SEL <= "01";
SCR_ADDR_SEL <= "10";
SCR_OE <= '1';
SCR_WR <= '0';
SP_INCR <= '1';
SP_DECR <= '0';
SP_LD <= '0';
RST <= '0';
FLG_Z_LD <= '1';
FLG_C_LD <= '1';
FLG_C_SET <= '0';
FLG_C_CLR <= '0';
FLG_LD_SEL <= '1';
FLG_SHAD_LD <= '0';
I_FLAG_SET <= '0';
I_FLAG_CLR <= '1';
-- RETIE --
when "0110111" =>
PC_LD <= '1';
PC_INC <= '0';
PC_OE <= '0';
PC_MUX_SEL <= "01";
SCR_ADDR_SEL <= "10";
SCR_OE <= '1';
SCR_WR <= '0';
SP_INCR <= '1';
SP_DECR <= '0';
SP_LD <= '0';
RST <= '0';
FLG_Z_LD <= '1';
FLG_C_LD <= '1';
FLG_C_SET <= '0';
FLG_C_CLR <= '0';
FLG_LD_SEL <= '1';
FLG_SHAD_LD <= '0';
I_FLAG_SET <= '1';
I_FLAG_CLR <= '0';
-- ROL reg ----
when "0100010" =>
ALU_OPY_SEL <= '0';
ALU_SEL <= "1011";
RF_OE <= '1';
RF_WR_SEL <= "00";
RF_WR <= '1';
FLG_Z_LD <= '1';
FLG_C_LD <= '1';
FLG_C_SET <= '0';
FLG_C_CLR <= '0';
FLG_LD_SEL <= '0';
FLG_SHAD_LD <= '0';
-- ROR reg ----
when "0100011" =>
ALU_OPY_SEL <= '0';
ALU_SEL <= "1100";
RF_OE <= '1';
RF_WR_SEL <= "00";
RF_WR <= '1';
FLG_Z_LD <= '1';
FLG_C_LD <= '1';
FLG_C_SET <= '0';
FLG_C_CLR <= '0';
FLG_LD_SEL <= '0';
FLG_SHAD_LD <= '0';
-- SEC non -----
when "0110001" =>
FLG_C_CLR <= '0';
FLG_C_SET <= '1';
FLG_C_LD <= '0';
FLG_Z_LD <= '0';
-- SEI
when "0110100" =>
I_FLAG_SET <= '1';
I_FLAG_CLR <= '0';
-- ST reg-reg ----
when "0001011" =>
RF_OE <= '1';
RF_WR <= '0';
RF_WR_SEL <= "00";
SCR_ADDR_SEL <= "00";
SCR_WR <= '1';
SCR_OE <= '0';
FLG_Z_LD <= '0';
FLG_C_LD <= '0';
FLG_C_SET <= '0';
FLG_C_CLR <= '0';
FLG_LD_SEL <= '0';
FLG_SHAD_LD <= '0';
-- ST reg-imm ----
when "1110100" | "1110101" | "1110110" | "1110111" =>
RF_OE <= '1';
RF_WR <= '0';
RF_WR_SEL <= "00";
SCR_ADDR_SEL <= "01";
SCR_WR <= '1';
SCR_OE <= '0';
FLG_Z_LD <= '0';
FLG_C_LD <= '0';
FLG_C_SET <= '0';
FLG_C_CLR <= '0';
FLG_LD_SEL <= '0';
FLG_SHAD_LD <= '0';
-- SUBC reg-reg ----
when "0000111" =>
ALU_OPY_SEL <= '0';
ALU_SEL <= "0011";
RF_OE <= '1';
RF_WR_SEL <= "00";
RF_WR <= '1';
-- SUBC reg-imm -----
when "1011100" | "1011101" | "1011110" | "1011111" =>
ALU_OPY_SEL <= '1';
ALU_SEL <= "0011";
RF_OE <= '1';
RF_WR_SEL <= "00";
RF_WR <= '1';
FLG_Z_LD <= '1';
FLG_C_LD <= '1';
FLG_C_SET <= '0';
FLG_C_CLR <= '0';
FLG_LD_SEL <= '0';
FLG_SHAD_LD <= '0';
-- TEST reg-reg ------
when "0000011" =>
ALU_OPY_SEL <= '0';
ALU_SEL <= "1000";
RF_OE <= '1';
RF_WR_SEL <= "00";
RF_WR <= '0';
FLG_Z_LD <= '1';
FLG_C_LD <= '1';
FLG_C_SET <= '0';
FLG_C_CLR <= '0';
FLG_LD_SEL <= '0';
FLG_SHAD_LD <= '0';
-- TEST reg-imm -----
when "1001100" | "1001101" | "1001110" | "1001111" =>
ALU_OPY_SEL <= '1';
ALU_SEL <= "1000";
RF_OE <= '1';
RF_WR_SEL <= "00";
RF_WR <= '0';
FLG_Z_LD <= '1';
FLG_C_LD <= '1';
FLG_C_SET <= '0';
FLG_C_CLR <= '0';
FLG_LD_SEL <= '0';
FLG_SHAD_LD <= '0';
-- WSP reg -----
when "0101000" =>
RF_OE <= '1';
RF_WR <= '0';
RF_WR_SEL <= "00";
SP_LD <= '1';
SP_INCR <= '0';
SP_DECR <= '0';
SCR_OE <= '0';
PC_OE <= '0';
FLG_Z_LD <= '0';
FLG_C_LD <= '0';
FLG_C_SET <= '0';
FLG_C_CLR <= '0';
FLG_LD_SEL <= '0';
FLG_SHAD_LD <= '0';
when others => -- for inner case
NS <= ST_fet;
end case; -- inner execute case statement
when others => -- for outer case
NS <= ST_fet;
end case; -- outer init/fetch/execute case
end process comb_p;
end Behavioral;
| mit | bdd2d58de6eb436752f2fac34f864ecd | 0.343066 | 2.772285 | false | false | false | false |
siavooshpayandehazad/TTU_CPU_Project | pico_CPU_pipelined_MIPS32/GPIO.vhd | 1 | 642 | library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.Numeric_Std.all;
use work.pico_cpu.all;
entity GPIO is
generic (BitWidth: integer);
port ( IO_sel: in std_logic;
IO: inout std_logic_vector (BitWidth-1 downto 0);
WrtData: in std_logic_vector (BitWidth-1 downto 0);
RdData: out std_logic_vector (BitWidth-1 downto 0)
);
end GPIO;
architecture behavioral of GPIO is
begin
IO_CONT:process(IO_sel, IO, WrtData)begin
if IO_sel = '0' then
IO <= (others => 'Z');
RdData <= IO;
else
IO <= WrtData;
end if;
end process;
end behavioral;
| gpl-2.0 | d08ff5d1045c4ff9689152fcd79061c5 | 0.595016 | 3.309278 | false | false | false | false |
lvd2/zxevo | unsupported/solegstar/fpga/current/sim_models/T80a.vhd | 2 | 7,682 | -- ****
-- T80(b) core. In an effort to merge and maintain bug fixes ....
--
--
-- Ver 300 started tidyup
-- MikeJ March 2005
-- Latest version from www.fpgaarcade.com (original www.opencores.org)
--
-- ****
--
-- Z80 compatible microprocessor core, asynchronous top level
--
-- Version : 0247
--
-- Copyright (c) 2001-2002 Daniel Wallner ([email protected])
--
-- All rights reserved
--
-- Redistribution and use in source and synthezised forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
--
-- Redistributions in synthesized form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- Neither the name of the author nor the names of other contributors may
-- be used to endorse or promote products derived from this software without
-- specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-- Please report bugs to the author, but before you do so, please
-- make sure that this is not a derivative work and that
-- you have the latest version of this file.
--
-- The latest version of this file can be found at:
-- http://www.opencores.org/cvsweb.shtml/t80/
--
-- Limitations :
--
-- File history :
--
-- 0208 : First complete release
--
-- 0211 : Fixed interrupt cycle
--
-- 0235 : Updated for T80 interface change
--
-- 0238 : Updated for T80 interface change
--
-- 0240 : Updated for T80 interface change
--
-- 0242 : Updated for T80 interface change
--
-- 0247 : Fixed bus req/ack cycle
--
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.T80_Pack.all;
entity T80a is
generic(
Mode : integer := 0 -- 0 => Z80, 1 => Fast Z80, 2 => 8080, 3 => GB
);
port(
RESET_n : in std_logic;
CLK_n : in std_logic;
WAIT_n : in std_logic;
INT_n : in std_logic;
NMI_n : in std_logic;
BUSRQ_n : in std_logic;
M1_n : out std_logic;
MREQ_n : out std_logic;
IORQ_n : out std_logic;
RD_n : out std_logic;
WR_n : out std_logic;
RFSH_n : out std_logic;
HALT_n : out std_logic;
BUSAK_n : out std_logic;
A : out std_logic_vector(15 downto 0);
D : inout std_logic_vector(7 downto 0);
D_I : in std_logic_vector(7 downto 0);
D_O : out std_logic_vector(7 downto 0)
);
end T80a;
architecture rtl of T80a is
signal CEN : std_logic;
signal Reset_s : std_logic;
signal IntCycle_n : std_logic;
signal IORQ : std_logic;
signal NoRead : std_logic;
signal Write : std_logic;
signal MREQ : std_logic;
signal MReq_Inhibit : std_logic;
signal Req_Inhibit : std_logic;
signal RD : std_logic;
signal MREQ_n_i : std_logic;
signal IORQ_n_i : std_logic;
signal RD_n_i : std_logic;
signal WR_n_i : std_logic;
signal RFSH_n_i : std_logic;
signal BUSAK_n_i : std_logic;
signal A_i : std_logic_vector(15 downto 0);
signal DO : std_logic_vector(7 downto 0);
signal DI_Reg : std_logic_vector (7 downto 0); -- Input synchroniser
signal Wait_s : std_logic;
signal MCycle : std_logic_vector(2 downto 0);
signal TState : std_logic_vector(2 downto 0);
begin
CEN <= '1';
BUSAK_n <= BUSAK_n_i;
MREQ_n_i <= not MREQ or (Req_Inhibit and MReq_Inhibit);
RD_n_i <= not RD or Req_Inhibit;
MREQ_n <= MREQ_n_i when BUSAK_n_i = '1' else 'Z';
IORQ_n <= IORQ_n_i when BUSAK_n_i = '1' else 'Z';
RD_n <= RD_n_i when BUSAK_n_i = '1' else 'Z';
WR_n <= WR_n_i when BUSAK_n_i = '1' else 'Z';
RFSH_n <= RFSH_n_i when BUSAK_n_i = '1' else 'Z';
A <= A_i when BUSAK_n_i = '1' else (others => 'Z');
D <= DO when Write = '1' and BUSAK_n_i = '1' else (others => 'Z');
D_O <= DO when Write = '1' and BUSAK_n_i = '1' else (others => 'Z');
process (RESET_n, CLK_n)
begin
if RESET_n = '0' then
Reset_s <= '0';
elsif CLK_n'event and CLK_n = '1' then
Reset_s <= '1';
end if;
end process;
u0 : T80
generic map(
Mode => Mode,
IOWait => 1)
port map(
CEN => CEN,
M1_n => M1_n,
IORQ => IORQ,
NoRead => NoRead,
Write => Write,
RFSH_n => RFSH_n_i,
HALT_n => HALT_n,
WAIT_n => Wait_s,
INT_n => INT_n,
NMI_n => NMI_n,
RESET_n => Reset_s,
BUSRQ_n => BUSRQ_n,
BUSAK_n => BUSAK_n_i,
CLK_n => CLK_n,
A => A_i,
DInst => D_I, -- D -> D_I
DI => DI_Reg,
DO => DO,
MC => MCycle,
TS => TState,
IntCycle_n => IntCycle_n);
process (CLK_n)
begin
if CLK_n'event and CLK_n = '0' then
Wait_s <= WAIT_n;
if TState = "011" and BUSAK_n_i = '1' then
DI_Reg <= to_x01(D_I); -- D -> D_I
end if;
end if;
end process;
process (Reset_s,CLK_n)
begin
if Reset_s = '0' then
WR_n_i <= '1';
elsif CLK_n'event and CLK_n = '1' then
WR_n_i <= '1';
if TState = "001" then -- To short for IO writes !!!!!!!!!!!!!!!!!!!
WR_n_i <= not Write;
end if;
end if;
end process;
process (Reset_s,CLK_n)
begin
if Reset_s = '0' then
Req_Inhibit <= '0';
elsif CLK_n'event and CLK_n = '1' then
if MCycle = "001" and TState = "010" then
Req_Inhibit <= '1';
else
Req_Inhibit <= '0';
end if;
end if;
end process;
process (Reset_s,CLK_n)
begin
if Reset_s = '0' then
MReq_Inhibit <= '0';
elsif CLK_n'event and CLK_n = '0' then
if MCycle = "001" and TState = "010" then
MReq_Inhibit <= '1';
else
MReq_Inhibit <= '0';
end if;
end if;
end process;
process(Reset_s,CLK_n)
begin
if Reset_s = '0' then
RD <= '0';
IORQ_n_i <= '1';
MREQ <= '0';
elsif CLK_n'event and CLK_n = '0' then
if MCycle = "001" then
if TState = "001" then
RD <= IntCycle_n;
MREQ <= IntCycle_n;
IORQ_n_i <= IntCycle_n;
end if;
if TState = "011" then
RD <= '0';
IORQ_n_i <= '1';
MREQ <= '1';
end if;
if TState = "100" then
MREQ <= '0';
end if;
else
if TState = "001" and NoRead = '0' then
RD <= not Write;
IORQ_n_i <= not IORQ;
MREQ <= not IORQ;
end if;
if TState = "011" then
RD <= '0';
IORQ_n_i <= '1';
MREQ <= '0';
end if;
end if;
end if;
end process;
end;
| gpl-3.0 | fa148c5e5c11b20fc4ac4660e68ad859 | 0.563916 | 3.030375 | false | false | false | false |
kjellhar/axi_mmc | src/vhdl/mmc_clk_manager.vhd | 1 | 2,281 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 12/01/2014 09:41:53 AM
-- Design Name:
-- Module Name: mmc_clk_manager - rtl
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity mmc_clk_manager is
Port ( clk : in std_logic;
clk_en : in std_logic;
reset : in std_logic;
prescaler : in std_logic_vector (7 downto 0);
mmc_clk : out std_logic;
mmc_clk_rise : out std_logic;
mmc_clk_fall : out std_logic);
end mmc_clk_manager;
architecture rtl of mmc_clk_manager is
signal mmc_clk_i : std_logic := '0';
signal mmc_clk_rise_i : std_logic := '0';
signal mmc_clk_fall_i : std_logic := '0';
begin
mmc_clk <= mmc_clk_i;
mmc_clk_rise <= mmc_clk_rise_i;
mmc_clk_fall <= mmc_clk_fall_i;
-- MMC clock manager
process
variable pre_counter : integer range 0 to 2**8-1 := 0;
begin
wait until rising_edge(clk);
if clk_en='1' then
mmc_clk_rise_i <= '0';
mmc_clk_fall_i <= '0';
if pre_counter=0 then
pre_counter := TO_INTEGER(unsigned(prescaler));
if mmc_clk_i='0' then
mmc_clk_rise_i <= '1';
else
mmc_clk_fall_i <= '1';
end if;
else
pre_counter := pre_counter - 1;
end if;
end if;
if mmc_clk_rise_i='1' then
mmc_clk_i <= '1';
elsif mmc_clk_fall_i='1' then
mmc_clk_i <='0';
end if;
end process;
end rtl;
| mit | 35d1b4f6cd25c7941bf91b9827c7eb09 | 0.491451 | 3.853041 | false | false | false | false |
rjarzmik/mips_processor | IF/Fetch.vhd | 1 | 6,986 | -------------------------------------------------------------------------------
-- Title : Instruction Fetch stage
-- Project :
-------------------------------------------------------------------------------
-- File : Fetch.vhd
-- Author : Robert Jarzmik <[email protected]>
-- Company :
-- Created : 2016-11-10
-- Last update: 2017-01-01
-- Platform :
-- Standard : VHDL'93/02
-------------------------------------------------------------------------------
-- Description: Fetch instruction from I-Cache and forward to Decode-Issue
-------------------------------------------------------------------------------
-- Copyright (c) 2016
-------------------------------------------------------------------------------
-- Revisions :
-- Date Version Author Description
-- 2016-11-10 1.0 rj Created
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.cpu_defs.all;
use work.cache_defs.all;
use work.instruction_defs.all;
use work.instruction_prediction.prediction_t;
-------------------------------------------------------------------------------
entity Fetch is
generic (
ADDR_WIDTH : integer := 32;
DATA_WIDTH : integer := 32;
STEP : natural := 4
);
port (
clk : in std_logic;
rst : in std_logic;
stall_req : in std_logic; -- stall current instruction
kill_req : in std_logic; -- kill current instruction
o_pc_instr : out std_logic_vector(ADDR_WIDTH - 1 downto 0);
o_instruction : out std_logic_vector(DATA_WIDTH - 1 downto 0);
o_instr_tag : out instr_tag_t;
o_mispredict_kill_pipeline : out std_logic;
-- L2 connections
o_creq : out cache_request_t;
i_cresp : in cache_response_t;
-- Writeback feedback signals
i_is_jump : in std_logic;
i_jump_target : in std_logic_vector(ADDR_WIDTH - 1 downto 0);
i_commited_instr_tag : in instr_tag_t;
-- Debug signals
o_dbg_if_pc : out std_logic_vector(ADDR_WIDTH - 1 downto 0);
o_dbg_if_fetching_pc : out std_logic_vector(ADDR_WIDTH - 1 downto 0);
o_dbg_if_fetching_instr_tag : out instr_tag_t;
o_dbg_prediction : out prediction_t
);
end entity Fetch;
-------------------------------------------------------------------------------
architecture rtl3 of Fetch is
subtype addr_t is std_logic_vector(ADDR_WIDTH - 1 downto 0);
subtype data_t is std_logic_vector(DATA_WIDTH - 1 downto 0);
constant nop_instruction : std_logic_vector(DATA_WIDTH - 1 downto 0) := (others => '0');
--- Control signal
signal kill_fetch : std_logic; -- Fetch stage is killed, wipe out.
signal do_stall_pc : std_logic;
--- Signal from program counter provider
signal pcprovider_pc : addr_t;
signal pcprovider_pc_instr_tag : instr_tag_t;
signal pcprovider_next_pc : addr_t;
signal pcprovider_next_pc_instr_tag : instr_tag_t;
signal pcprovider_mispredicted : std_logic;
--- Signals from instruction provider
signal iprovider_pc : addr_t;
signal iprovider_pc_instr_tag : instr_tag_t;
signal iprovider_data : data_t;
signal iprovider_data_valid : std_logic;
signal iprovider_do_step_pc : std_logic;
signal dbg_iprovider_fetching : addr_t;
signal dbg_iprovider_fetching_itag : instr_tag_t;
--- Outgoing to next pipeline stage instruction
signal out_pc : addr_t;
signal out_data : data_t;
signal out_itag : instr_tag_t;
--- Debug
signal dbg_pcprovider_prediction : prediction_t;
begin
iprovider : entity work.Instruction_Provider
generic map (
ADDR_WIDTH => ADDR_WIDTH,
DATA_WIDTH => DATA_WIDTH)
port map (
clk => clk,
rst => rst,
kill_req => kill_fetch,
stall_req => stall_req,
i_next_pc => pcprovider_pc,
i_next_pc_instr_tag => pcprovider_pc_instr_tag,
i_next_next_pc => pcprovider_next_pc,
i_next_next_pc_instr_tag => pcprovider_next_pc_instr_tag,
o_pc => iprovider_pc,
o_instr_tag => iprovider_pc_instr_tag,
o_data => iprovider_data,
o_valid => iprovider_data_valid,
o_do_step_pc => iprovider_do_step_pc,
o_creq => o_creq,
i_cresp => i_cresp,
o_dbg_fetching => dbg_iprovider_fetching,
o_dbg_fetching_itag => dbg_iprovider_fetching_itag);
pc_reg : entity work.PC_Register
generic map (
ADDR_WIDTH => ADDR_WIDTH,
STEP => STEP)
port map (
clk => clk,
rst => rst,
stall_pc => do_stall_pc,
jump_pc => i_is_jump,
jump_target => i_jump_target,
i_commited_instr_tag => i_commited_instr_tag,
o_current_pc => pcprovider_pc,
o_current_pc_instr_tag => pcprovider_pc_instr_tag,
o_next_pc => pcprovider_next_pc,
o_next_pc_instr_tag => pcprovider_next_pc_instr_tag,
o_mispredicted => pcprovider_mispredicted,
o_dbg_prediction => dbg_pcprovider_prediction);
--- PC stepper
do_stall_pc <= '1' when iprovider_do_step_pc = '0' else '0';
--- PC jump handler
kill_fetch <= kill_req; --RJK or i_is_jump;
--- When PC program mispredicted, signal to kill the pipeline
o_mispredict_kill_pipeline <= pcprovider_mispredicted;
--- Decode input provider
o_instruction <= out_data;
o_pc_instr <= out_pc;
o_instr_tag <= out_itag;
fetch_outputs_latcher : process(clk, rst, kill_fetch, stall_req)
begin
if rst = '1' then
out_pc <= (others => 'X');
out_data <= (others => '0');
out_itag <= INSTR_TAG_NONE;
elsif rising_edge(clk) then
if kill_fetch = '1' then
out_pc <= (others => 'X');
out_data <= nop_instruction;
out_itag <= INSTR_TAG_NONE;
elsif stall_req = '1' then
else
if iprovider_data_valid = '1' then
out_pc <= iprovider_pc;
out_data <= iprovider_data;
out_itag <= iprovider_pc_instr_tag;
else
out_pc <= (others => 'X');
out_data <= nop_instruction;
out_itag <= INSTR_TAG_NONE;
end if;
end if;
end if;
end process fetch_outputs_latcher;
--- Debug signals
o_dbg_if_pc <= out_pc;
o_dbg_if_fetching_pc <= dbg_iprovider_fetching;
o_dbg_if_fetching_instr_tag <= dbg_iprovider_fetching_itag;
o_dbg_prediction <= dbg_pcprovider_prediction;
end architecture rtl3;
| gpl-3.0 | d9532a5c712b5023aa4624e0603615ac | 0.512024 | 3.665268 | false | false | false | false |
Yarr/Yarr-fw | rtl/trigger-logic/wb_trigger_logic.vhd | 1 | 13,625 | -- ####################################
-- # Project: Yarr
-- # Author: Timon Heim
-- # E-Mail: timon.heim at cern.ch
-- # Comments: Trigger logic core
-- # Data: 09/2016
-- # Outputs are synchronous to clk_i
-- ####################################
-- # Adress Map:
-- #
-- # 0x0 - Trigger mask [3:0] ext, [4] eudet
-- # 0 = off
-- # 1 = on
-- # 0x1 - Trigger tag mode
-- # 0 = trigger counter
-- # 1 = clk_i timestamp
-- # 2 = eudet input
-- # 0x2 - Concidence/veto logic (entire config word used
-- # as selector of multiplexor)
-- # 0x3 - Trigger edge [3:0] ext, [:4] ignored
-- # 0 = rising
-- # 1 = falling
-- # 0x4..0x7 - Per-channel delay (clk_i cycles, max 8)
-- # 0x4 = ext[0] ... 0x7 = ext[3]
-- # 0x8 - deadtime (clk_i cycles)
-- # 0xFF - local reset (reset trigger tag values)
-- #
-- # See ./README.md for more detailed instructions
library IEEE;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity wb_trigger_logic is
port (
-- Sys connect
wb_clk_i : in std_logic;
rst_n_i : in std_logic;
-- Wishbone slave interface
wb_adr_i : in std_logic_vector(31 downto 0);
wb_dat_i : in std_logic_vector(31 downto 0);
wb_dat_o : out std_logic_vector(31 downto 0);
wb_cyc_i : in std_logic;
wb_stb_i : in std_logic;
wb_we_i : in std_logic;
wb_ack_o : out std_logic;
-- To/From outside world
ext_trig_i : in std_logic_vector(3 downto 0);
ext_trig_o : out std_logic;
ext_busy_i : in std_logic;
ext_busy_o : out std_logic;
-- Eudet TLU
eudet_clk_o : out std_logic;
eudet_busy_o : out std_logic;
eudet_trig_i : in std_logic;
eudet_rst_i : in std_logic;
-- To/From inside world
clk_i : in std_logic;
trig_tag : out std_logic_vector(31 downto 0);
debug_o : out std_logic_vector(31 downto 0)
);
end wb_trigger_logic;
architecture rtl of wb_trigger_logic is
-- Components
component edge_detector
port (
clk_i : in std_logic;
rst_n_i : in std_logic;
dat_i : in std_logic;
rising_o : out std_logic;
falling_o : out std_logic
);
end component;
component synchronizer
port (
-- Sys connect
clk_i : in std_logic;
rst_n_i : in std_logic;
-- Async input
async_in : in std_logic;
sync_out : out std_logic
);
end component;
component delayer
generic (N : integer);
port (
clk_i : in std_logic;
rst_n_i : in std_logic;
dat_i : in std_logic;
dat_o : out std_logic;
delay : in std_logic_vector
);
end component;
component eudet_tlu
port (
-- Sys connect
clk_i : IN std_logic;
rst_n_i : IN std_logic;
-- Eudet signals
eudet_trig_i : IN std_logic;
eudet_rst_i : IN std_logic;
eudet_busy_o : OUT std_logic;
eudet_clk_o : OUT std_logic;
-- From logic
busy_i : IN std_logic;
simple_mode_i : IN std_logic;
deadtime_i : IN std_logic_vector(15 downto 0);
-- To logic
trig_o : OUT std_logic;
rst_o : OUT std_logic;
trig_tag_o : OUT std_logic_vector(15 downto 0)
);
end component;
constant delay_width : integer := 3;
-- Registers
signal trig_mask : std_logic_vector(31 downto 0);
signal trig_tag_mode : std_logic_vector(7 downto 0);
signal trig_logic : std_logic_vector(31 downto 0);
signal trig_edge : std_logic_vector(3 downto 0);
signal ch0_delay : std_logic_vector(delay_width-1 downto 0);
signal ch1_delay : std_logic_vector(delay_width-1 downto 0);
signal ch2_delay : std_logic_vector(delay_width-1 downto 0);
signal ch3_delay : std_logic_vector(delay_width-1 downto 0);
signal deadtime : std_logic_vector(15 downto 0); -- clk_i cycles
-- Local signals
signal edge_r : std_logic_vector(3 downto 0);
signal edge_f : std_logic_vector(3 downto 0);
signal sync_ext_trig_i : std_logic_vector(3 downto 0);
signal edge_ext_trig_i : std_logic_vector(3 downto 0);
signal del_ext_trig_i : std_logic_vector(3 downto 0);
signal sync_ext_busy_i : std_logic;
signal master_trig_t : std_logic;
signal prev_master_trig_t : std_logic; -- delay output one clk to sync w/ busy signal
signal master_busy_t : std_logic;
signal lcl_eudet_trig_t : std_logic;
signal eudet_trig_tag_t : std_logic_vector(15 downto 0);
signal trig_counter : unsigned (31 downto 0);
signal timestamp_cnt : unsigned(31 downto 0);
signal local_reset : std_logic;
signal deadtime_cnt : unsigned(15 downto 0);
signal busy_t : std_logic;
begin
-- Debug port
debug_o(3 downto 0) <= ext_trig_i;
debug_o(7 downto 4) <= sync_ext_trig_i;
debug_o(11 downto 8) <= edge_ext_trig_i;
debug_o(15 downto 12) <= del_ext_trig_i;
debug_o(16) <= master_trig_t;
debug_o(17) <= master_busy_t;
debug_o(22 downto 18) <= trig_mask(4 downto 0);
debug_o(31 downto 23) <= trig_logic(8 downto 0);
-- WB interface
wb_proc: process(wb_clk_i, rst_n_i)
begin
if (rst_n_i = '0') then
wb_dat_o <= (others => '0');
wb_ack_o <= '0';
trig_mask <= x"00000001"; -- auto enable internal
trig_tag_mode <= x"01";
trig_logic <= (1 => '1', others => '0'); -- auto enable internal
trig_edge <= (others => '0');
ch0_delay <= (others => '0');
ch1_delay <= (others => '0');
ch2_delay <= (others => '0');
ch3_delay <= (others => '0');
deadtime <= std_logic_vector(to_unsigned(300, 16));
elsif rising_edge(wb_clk_i) then
wb_ack_o <= '0';
wb_dat_o <= (others => '0');
local_reset <= '0';
if (wb_cyc_i = '1' and wb_stb_i = '1') then
wb_ack_o <= '1';
if (wb_we_i = '1') then
case (wb_adr_i(7 downto 0)) is
when x"00" =>
trig_mask <= wb_dat_i;
when x"01" =>
trig_tag_mode <= wb_dat_i(7 downto 0);
when x"02" =>
trig_logic <= wb_dat_i;
when x"03" =>
trig_edge <= wb_dat_i(3 downto 0);
when x"04" =>
ch0_delay <= wb_dat_i(delay_width-1 downto 0);
when x"05" =>
ch1_delay <= wb_dat_i(delay_width-1 downto 0);
when x"06" =>
ch2_delay <= wb_dat_i(delay_width-1 downto 0);
when x"07" =>
ch3_delay <= wb_dat_i(delay_width-1 downto 0);
when x"08" =>
deadtime <= wb_dat_i(15 downto 0);
when x"FF" =>
local_reset <= '1'; -- Pulse local reset
when others =>
end case;
else
case (wb_adr_i(7 downto 0)) is
when x"00" =>
wb_dat_o <= trig_mask;
when x"01" =>
wb_dat_o <= std_logic_vector(resize(unsigned(trig_tag_mode), 32));
when x"02" =>
wb_dat_o <= trig_logic;
when x"03" =>
wb_dat_o <= std_logic_vector(resize(unsigned(trig_edge), 32));
when x"04" =>
wb_dat_o <= std_logic_vector(resize(unsigned(ch0_delay), 32));
when x"05" =>
wb_dat_o <= std_logic_vector(resize(unsigned(ch1_delay), 32));
when x"06" =>
wb_dat_o <= std_logic_vector(resize(unsigned(ch2_delay), 32));
when x"07" =>
wb_dat_o <= std_logic_vector(resize(unsigned(ch3_delay), 32));
when x"08" =>
wb_dat_o <= std_logic_vector(resize(unsigned(deadtime), 32));
when others =>
wb_dat_o <= x"DEADBEEF";
end case;
end if;
end if;
end if;
end process wb_proc;
-- Sync/edge detector inputs
trig_inputs: for I in 0 to 3 generate
begin
cmp_sync_trig: synchronizer
port map(clk_i => clk_i, rst_n_i => rst_n_i, async_in => ext_trig_i(I), sync_out => sync_ext_trig_i(I));
cmp_edge_trig: edge_detector
port map(clk_i => clk_i, rst_n_i => rst_n_i, dat_i => sync_ext_trig_i(I),
falling_o => edge_f(I), rising_o => edge_r(I) );
edge_ext_trig_i(I) <= edge_f(I) when trig_edge(I) = '1' else edge_r(I);
end generate trig_inputs;
cmp_delay_trig0: delayer
generic map(N => delay_width)
port map(clk_i => clk_i, rst_n_i => rst_n_i, dat_i => edge_ext_trig_i(0),
dat_o => del_ext_trig_i(0), delay => ch0_delay);
cmp_delay_trig1: delayer
generic map(N => delay_width)
port map(clk_i => clk_i, rst_n_i => rst_n_i, dat_i => edge_ext_trig_i(1),
dat_o => del_ext_trig_i(1), delay => ch1_delay);
cmp_delay_trig2: delayer
generic map(N => delay_width)
port map(clk_i => clk_i, rst_n_i => rst_n_i, dat_i => edge_ext_trig_i(2),
dat_o => del_ext_trig_i(2), delay => ch2_delay);
cmp_delay_trig3: delayer
generic map(N => delay_width)
port map(clk_i => clk_i, rst_n_i => rst_n_i, dat_i => edge_ext_trig_i(3),
dat_o => del_ext_trig_i(3), delay => ch3_delay);
cmp_sync_busy: synchronizer port map(clk_i => clk_i, rst_n_i => rst_n_i, async_in => ext_busy_i, sync_out => sync_ext_busy_i);
master_busy_t <= sync_ext_busy_i or busy_t;
ext_busy_o <= master_busy_t;
-- Apply coincidence/veto logic
master_trig_t <= trig_logic(to_integer(unsigned((lcl_eudet_trig_t & del_ext_trig_i) and trig_mask(4 downto 0))));
-- trig tag gen
trig_tag_proc: process(clk_i, rst_n_i)
begin
if (rst_n_i = '0') then
trig_tag <= (others => '0');
trig_counter <= (others => '0');
timestamp_cnt <= (others => '0');
elsif rising_edge(clk_i) then
-- TODO need reset
if (local_reset = '1') then
trig_counter <= (others => '0');
elsif (master_trig_t = '1') then
trig_counter <= trig_counter + 1;
end if;
if (local_reset = '1') then
timestamp_cnt <= (others => '0');
else
timestamp_cnt <= timestamp_cnt + 1;
end if;
if (master_trig_t = '1' and master_busy_t = '0') then
case (trig_tag_mode) is
when x"00" =>
trig_tag <= std_logic_vector(trig_counter);
when x"01" =>
trig_tag <= std_logic_vector(timestamp_cnt);
when x"02" =>
trig_tag <= x"0000" & eudet_trig_tag_t;
when others =>
trig_tag <= x"DEADBEEF";
end case;
end if;
end if;
end process trig_tag_proc;
-- Output proc
out_proc: process(clk_i, rst_n_i)
begin
if (rst_n_i = '0') then
ext_trig_o <= '0';
deadtime_cnt <= (others => '0');
busy_t <= '0';
prev_master_trig_t <= '0';
elsif rising_edge(clk_i) then
if (master_busy_t = '0') then
ext_trig_o <= prev_master_trig_t;
prev_master_trig_t <= master_trig_t;
end if;
if (prev_master_trig_t = '1') then
ext_trig_o <= '1' and not master_busy_t;
else
ext_trig_o <= '0';
end if;
if (deadtime_cnt > 0) then
-- This happens on the clk cycle after master_trig_t pulses (ie, when
-- ext_trig_o pulses), immediately setting ext_busy_o to '1'
deadtime_cnt <= deadtime_cnt - 1;
busy_t <= '1';
elsif (master_trig_t = '1') then
-- This happens on the clk cycle before ext_trig_o pulses
deadtime_cnt <= UNSIGNED(deadtime);
else
busy_t <= '0';
end if;
end if;
end process out_proc;
cmp_eudet_tlu: eudet_tlu
port map (
clk_i => clk_i,
rst_n_i => rst_n_i and (not local_reset),
eudet_trig_i => eudet_trig_i,
eudet_rst_i => eudet_rst_i,
eudet_busy_o => eudet_busy_o,
eudet_clk_o => eudet_clk_o,
busy_i => busy_t,
simple_mode_i => '0',
deadtime_i => deadtime,
trig_o => lcl_eudet_trig_t,
rst_o => open,
trig_tag_o => eudet_trig_tag_t
);
end rtl;
| gpl-3.0 | fbe93769ddad9bd08a1e179611848a50 | 0.473174 | 3.49359 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/if_statement/rule_036_test_input.vhd | 1 | 446 |
architecture RTL of FIFO is
begin
process
begin
if a = '1' then
b <= '0';
elsif c = '1' then
b <= '1';
end if;
-- Violations below
if a = '1'
then
b <= '0';
elsif c = '1'
then
b <= '1';
end if;
if a = '1' -- comment 1
then
b <= '0';
elsif c = '1' -- comment 2
-- comment 3
-- comment 4
then
b <= '1';
end if;
end process;
end architecture RTL;
| gpl-3.0 | 0e53ea3f233b8ba241b75c4228c64296 | 0.446188 | 3.118881 | false | false | false | false |
Nibble-Knowledge/peripheral-ethernet | vhdl-serial/periph2pc.vhd | 1 | 2,463 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 21:15:42 02/22/2016
-- Design Name:
-- Module Name: periph2pc - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity periph2pc is
Port ( clk_uart : in STD_LOGIC;
reset : in STD_LOGIC;
--rs232_dtr : in STD_LOGIC;
buff : in STD_LOGIC_VECTOR (7 downto 0);
buffok : in STD_LOGIC;
clrbuff : out STD_LOGIC;
rs232_rd : out STD_LOGIC);
end periph2pc;
architecture Behavioral of periph2pc is
signal buffpos : integer;
type PERIPHSTATE is (BUFFERING, STARTING, SENDING, STOPPING);
signal CurrState : PERIPHSTATE;
begin
process(clk_uart, reset)
begin
if reset = '1' then
CurrState <= BUFFERING;
rs232_rd <= '1'; --This is inverted by the CMOS circuitry, so in reality this signal is 0V
elsif rising_edge(clk_uart) then
case CurrState is
when BUFFERING => --Start transmitting when buffok is high and the peripheral is connected to the PC
clrbuff <= '0';
if buffok = '1' then --and rs232_dtr = '1' then
CurrState <= STARTING;
end if;
when STARTING => --Set rd low (i.e. 5V) and reset the buffpos counter to start with the LSB
rs232_rd <= '0';
buffpos <= 0;
CurrState <= SENDING;
when SENDING => --Send out the bit pointed by buffpos
rs232_rd <= buff(buffpos);
--If we've just sent out the MSB, send a stop signal
if buffpos = buff'length-1 then
CurrState <= STOPPING;
--Otherwise, increment the buffpos pointer
else
buffpos <= buffpos + 1;
end if;
when STOPPING => --Send the stop signal, which is high (i.e. 0V), then clear the buffok flag
rs232_rd <= '1';
clrbuff <= '1';
CurrState <= BUFFERING;
end case;
end if;
end process;
end Behavioral;
| unlicense | 374ccaa7b3f324b7498ab314c9915916 | 0.598457 | 3.548991 | false | false | false | false |
lvd2/zxevo | unsupported/solegstar/fpga/current/sim_models/T80_Reg.vhd | 15 | 4,020 | -- ****
-- T80(b) core. In an effort to merge and maintain bug fixes ....
--
--
-- Ver 300 started tidyup
-- MikeJ March 2005
-- Latest version from www.fpgaarcade.com (original www.opencores.org)
--
-- ****
--
-- T80 Registers, technology independent
--
-- Version : 0244
--
-- Copyright (c) 2002 Daniel Wallner ([email protected])
--
-- All rights reserved
--
-- Redistribution and use in source and synthezised forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
--
-- Redistributions in synthesized form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- Neither the name of the author nor the names of other contributors may
-- be used to endorse or promote products derived from this software without
-- specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-- Please report bugs to the author, but before you do so, please
-- make sure that this is not a derivative work and that
-- you have the latest version of this file.
--
-- The latest version of this file can be found at:
-- http://www.opencores.org/cvsweb.shtml/t51/
--
-- Limitations :
--
-- File history :
--
-- 0242 : Initial release
--
-- 0244 : Changed to single register file
--
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity T80_Reg is
port(
Clk : in std_logic;
CEN : in std_logic;
WEH : in std_logic;
WEL : in std_logic;
AddrA : in std_logic_vector(2 downto 0);
AddrB : in std_logic_vector(2 downto 0);
AddrC : in std_logic_vector(2 downto 0);
DIH : in std_logic_vector(7 downto 0);
DIL : in std_logic_vector(7 downto 0);
DOAH : out std_logic_vector(7 downto 0);
DOAL : out std_logic_vector(7 downto 0);
DOBH : out std_logic_vector(7 downto 0);
DOBL : out std_logic_vector(7 downto 0);
DOCH : out std_logic_vector(7 downto 0);
DOCL : out std_logic_vector(7 downto 0)
);
end T80_Reg;
architecture rtl of T80_Reg is
type Register_Image is array (natural range <>) of std_logic_vector(7 downto 0);
signal RegsH : Register_Image(0 to 7);
signal RegsL : Register_Image(0 to 7);
begin
process (Clk)
begin
if Clk'event and Clk = '1' then
if CEN = '1' then
if WEH = '1' then
RegsH(to_integer(unsigned(AddrA))) <= DIH;
end if;
if WEL = '1' then
RegsL(to_integer(unsigned(AddrA))) <= DIL;
end if;
end if;
end if;
end process;
DOAH <= RegsH(to_integer(unsigned(AddrA)));
DOAL <= RegsL(to_integer(unsigned(AddrA)));
DOBH <= RegsH(to_integer(unsigned(AddrB)));
DOBL <= RegsL(to_integer(unsigned(AddrB)));
DOCH <= RegsH(to_integer(unsigned(AddrC)));
DOCL <= RegsL(to_integer(unsigned(AddrC)));
end;
| gpl-3.0 | f2b7b890a705fbd2a27887f3d0efe778 | 0.647761 | 3.661202 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/variable_assignment/rule_006_test_input.vhd | 1 | 701 |
architecture RTL of FIFO is
begin
process
begin
SIMPLE_LABEL : x := z;
a := b;
CONDITIONAL_LABEL : x := z when b = 0 else y;
x := z when b = 0 else y;
SELECTED_LABEL : with some_expression select a := b when z = 1;
with some_expression select a := b when z = 1;
end process;
end architecture;
-- Violations below
architecture RTL of FIFO is
begin
process
begin
a := b or c -- comment
d and z
-- comment
w or x; -- This should stay
x := z when b = 0 else -- check for something
y;
with some_expression
--comment
select a := b
--comment
when z = 1;
end process;
end architecture;
| gpl-3.0 | 89a1220c2d483d79e13dd1aa5a391f54 | 0.566334 | 3.728723 | false | false | false | false |
Yarr/Yarr-fw | rtl/spartan6/ddr3-core/ip_cores/ddr3_ctrl_spec_bank3_64b_32b/user_design/sim/data_prbs_gen.vhd | 20 | 4,942 | --*****************************************************************************
-- (c) Copyright 2009 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--*****************************************************************************
-- ____ ____
-- / /\/ /
-- /___/ \ / Vendor: Xilinx
-- \ \ \/ Version: %version
-- \ \ Application: MIG
-- / / Filename: data_prbs_gen.vhd
-- /___/ /\ Date Last Modified: $Date: 2011/06/02 07:16:39 $
-- \ \ / \ Date Created: Jul 03 2009
-- \___\/\___\
--
-- Device: Spartan6
-- Design Name: DDR/DDR2/DDR3/LPDDR
-- Purpose: This module is used LFSR to generate random data for memory
-- data write or memory data read comparison.The first data is
-- seeded by the input prbs_seed_i which is connected to memory address.
-- Reference:
-- Revision History:
--*****************************************************************************
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
ENTITY data_prbs_gen IS
GENERIC (
EYE_TEST : STRING := "FALSE";
PRBS_WIDTH : INTEGER := 32;
SEED_WIDTH : INTEGER := 32
-- TAPS : STD_LOGIC_VECTOR(PRBS_WIDTH - 1 DOWNTO 0) := "10000000001000000000000001100010"
);
PORT (
clk_i : IN STD_LOGIC;
clk_en : IN STD_LOGIC;
rst_i : IN STD_LOGIC;
prbs_fseed_i : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
prbs_seed_init : IN STD_LOGIC;
prbs_seed_i : IN STD_LOGIC_VECTOR(PRBS_WIDTH - 1 DOWNTO 0);
prbs_o : OUT STD_LOGIC_VECTOR(PRBS_WIDTH - 1 DOWNTO 0)
);
END data_prbs_gen;
ARCHITECTURE trans OF data_prbs_gen IS
SIGNAL prbs : STD_LOGIC_VECTOR(PRBS_WIDTH - 1 DOWNTO 0);
SIGNAL lfsr_q : STD_LOGIC_VECTOR(PRBS_WIDTH DOWNTO 1);
SIGNAL i : INTEGER;
BEGIN
PROCESS (clk_i)
BEGIN
IF (clk_i'EVENT AND clk_i = '1') THEN
IF (((prbs_seed_init = '1') AND (EYE_TEST = "FALSE")) OR (rst_i = '1')) THEN
lfsr_q <= prbs_seed_i + prbs_fseed_i(31 DOWNTO 0) + "01010101010101010101010101010101";
ELSIF (clk_en = '1') THEN
lfsr_q(32 DOWNTO 9) <= lfsr_q(31 DOWNTO 8);
lfsr_q(8) <= lfsr_q(32) XOR lfsr_q(7);
lfsr_q(7) <= lfsr_q(32) XOR lfsr_q(6);
lfsr_q(6 DOWNTO 4) <= lfsr_q(5 DOWNTO 3);
lfsr_q(3) <= lfsr_q(32) XOR lfsr_q(2);
lfsr_q(2) <= lfsr_q(1);
lfsr_q(1) <= lfsr_q(32);
END IF;
END IF;
END PROCESS;
PROCESS (lfsr_q(PRBS_WIDTH DOWNTO 1))
BEGIN
prbs <= lfsr_q(PRBS_WIDTH DOWNTO 1);
END PROCESS;
prbs_o <= prbs;
END trans;
| gpl-3.0 | f5c0fce9ea06ba2adee6c64d6945db15 | 0.602388 | 4.017886 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/sequential/rule_400_test_input.fixed.vhd | 1 | 457 |
architecture rtl of fifo is begin
process is begin
s_foo <= (
item => 12,
another_item => 34
);
s_foo <= (
item => 12,
another_item => 34
);
s_foo <= ( item1 => 12,
item2 => f(a, b ,c),
item3 => 36
);
s_foo <= (a and
b and
c);
end process;
end architecture rtl;
| gpl-3.0 | d04017777b6658a2c344e71a4f5b8398 | 0.341357 | 4.311321 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/generic/rule_007_test_input.fixed_lower_with_upper_suffix.vhd | 1 | 1,897 |
entity FIFO is
generic (
g_width : integer := 256;
g_depth : integer := 32;
prefix_generic_SUFFIX : integer := 20
);
port (
I_PORT1 : in std_logic;
I_PORT2 : out std_logic
);
end entity FIFO;
entity FIFO is
generic (
g_width : integer := 256;
g_depth : integer := 32;
prefix_generic_SUFFIX : integer := 20
);
port (
I_PORT1 : in std_logic;
I_PORT2 : out std_logic
);
end entity FIFO;
entity FIFO is
generic (
g_width : integer := 256;
g_depth : integer := 32;
prefix_generic_SUFFIX : integer := 20
);
port (
I_PORT1 : in std_logic;
I_PORT2 : out std_logic
);
end entity FIFO;
entity FIFO is
generic (
g_width : integer := 256;
g_depth : integer := 32;
prefix_generic_SUFFIX : integer := 20
);
port (
I_PORT1 : in std_logic;
I_PORT2 : out std_logic
);
end entity FIFO;
entity FIFO is
generic(g_size : integer := 10;
g_width : integer := 256;
g_depth : integer := 32;
prefix_generic_SUFFIX : integer := 20
);
port (
i_port1 : in std_logic := '0';
i_port2 : out std_logic :='1'
);
end entity FIFO;
entity FIFO is
generic(g_size : integer := 10;
g_width : integer := 256;
g_depth : integer := 32;
prefix_generic_SUFFIX : integer := 20
);
port (
i_port1 : in std_logic := '0';
i_port2 : out std_logic :='1'
);
end entity FIFO;
entity FIFO is
generic(g_size : integer := 10;
g_width : integer := 256;
g_depth : integer := 32;
prefix_generic_SUFFIX : integer := 20
);
port (
i_port1 : in std_logic := '0';
i_port2 : out std_logic :='1'
);
end entity FIFO;
entity FIFO is
generic(g_size : integer := 10;
g_width : integer := 256;
g_depth : integer := 32;
prefix_generic_SUFFIX : integer := 20
);
port (
i_port1 : in std_logic := '0';
i_port2 : out std_logic :='1'
);
end entity FIFO;
| gpl-3.0 | d7a64cb5416d04b479d9b896e48acdcb | 0.573537 | 3.120066 | false | false | false | false |
Yarr/Yarr-fw | rtl/spartan6/ddr3-core/ddr3_ctrl.vhd | 2 | 28,748 | --==============================================================================
--! @file ddr3_ctrl.vhd
--==============================================================================
--! Standard library
library IEEE;
--! Standard packages
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
--! Specific packages
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- DDR3 Controller
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
--! @brief
--! Wishbone to DDR3 interface
--------------------------------------------------------------------------------
--! @details
--! Wishbone to DDR3 interface for Xilinx FPGA with MCB (Memory Controller
--! Block). This core is based on the code generated by Xilinx CoreGen for
--! the MCB. It is designed for 16-bit data bus DDR2 memories and has 2 WB
--! ports of 32-bit.
--------------------------------------------------------------------------------
--! @version
--! 0.1 | mc | 13.07.2011 | File creation and Doxygen comments
--!
--! @author
--! mc : Matthieu Cattin, CERN (BE-CO-HT)
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- GNU LESSER GENERAL PUBLIC LICENSE
--------------------------------------------------------------------------------
-- This source file is free software; you can redistribute it and/or modify it
-- under the terms of the GNU Lesser General Public License as published by the
-- Free Software Foundation; either version 2.1 of the License, or (at your
-- option) any later version. This source is distributed in the hope that it
-- will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty
-- of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.
-- See the GNU Lesser General Public License for more details. You should have
-- received a copy of the GNU Lesser General Public License along with this
-- source; if not, download it from http://www.gnu.org/licenses/lgpl-2.1.html
--------------------------------------------------------------------------------
--==============================================================================
--! Entity declaration for ddr3_ctrl
--==============================================================================
entity ddr3_ctrl is
generic(
--! Bank and port size selection
g_BANK_PORT_SELECT : string := "SPEC_BANK3_32B_32B";
--! Core's clock period in ps
g_MEMCLK_PERIOD : integer := 3000;
--! If TRUE, uses Xilinx calibration core (Input term, DQS centering)
g_CALIB_SOFT_IP : string := "TRUE";
--! User ports addresses maping (BANK_ROW_COLUMN or ROW_BANK_COLUMN)
g_MEM_ADDR_ORDER : string := "ROW_BANK_COLUMN";
--! Simulation mode
g_SIMULATION : string := "FALSE";
--! DDR3 data port width
g_NUM_DQ_PINS : integer := 16;
--! DDR3 address port width
g_MEM_ADDR_WIDTH : integer := 14;
--! DDR3 bank address width
g_MEM_BANKADDR_WIDTH : integer := 3;
--! Wishbone port 0 data mask size (8-bit granularity)
g_P0_MASK_SIZE : integer := 4;
--! Wishbone port 0 data width
g_P0_DATA_PORT_SIZE : integer := 32;
--! Port 0 byte address width
g_P0_BYTE_ADDR_WIDTH : integer := 30;
--! Wishbone port 1 data mask size (8-bit granularity)
g_P1_MASK_SIZE : integer := 4;
--! Wishbone port 1 data width
g_P1_DATA_PORT_SIZE : integer := 32;
--! Port 1 byte address width
g_P1_BYTE_ADDR_WIDTH : integer := 30
);
port(
----------------------------------------------------------------------------
-- Clock, control and status
----------------------------------------------------------------------------
--! Clock input
clk_i : in std_logic;
--! Reset input (active low)
rst_n_i : in std_logic;
--! Status output
status_o : out std_logic_vector(31 downto 0);
----------------------------------------------------------------------------
-- DDR3 interface
----------------------------------------------------------------------------
--! DDR3 data bus
ddr3_dq_b : inout std_logic_vector(g_NUM_DQ_PINS-1 downto 0);
--! DDR3 address bus
ddr3_a_o : out std_logic_vector(g_MEM_ADDR_WIDTH-1 downto 0);
--! DDR3 bank address
ddr3_ba_o : out std_logic_vector(g_MEM_BANKADDR_WIDTH-1 downto 0);
--! DDR3 row address strobe
ddr3_ras_n_o : out std_logic;
--! DDR3 column address strobe
ddr3_cas_n_o : out std_logic;
--! DDR3 write enable
ddr3_we_n_o : out std_logic;
--! DDR3 on-die termination
ddr3_odt_o : out std_logic;
--! DDR3 reset
ddr3_rst_n_o : out std_logic;
--! DDR3 clock enable
ddr3_cke_o : out std_logic;
--! DDR3 lower byte data mask
ddr3_dm_o : out std_logic;
--! DDR3 upper byte data mask
ddr3_udm_o : out std_logic;
--! DDR3 lower byte data strobe (pos)
ddr3_dqs_p_b : inout std_logic;
--! DDR3 lower byte data strobe (neg)
ddr3_dqs_n_b : inout std_logic;
--! DDR3 upper byte data strobe (pos)
ddr3_udqs_p_b : inout std_logic;
--! DDR3 upper byte data strobe (pos)
ddr3_udqs_n_b : inout std_logic;
--! DDR3 clock (pos)
ddr3_clk_p_o : out std_logic;
--! DDR3 clock (neg)
ddr3_clk_n_o : out std_logic;
--! MCB internal termination calibration resistor
ddr3_rzq_b : inout std_logic;
--! MCB internal termination calibration
ddr3_zio_b : inout std_logic;
----------------------------------------------------------------------------
-- Wishbone bus - Port 0
----------------------------------------------------------------------------
--! Wishbone bus clock
wb0_clk_i : in std_logic;
--! Wishbone bus byte select
wb0_sel_i : in std_logic_vector(g_P0_MASK_SIZE - 1 downto 0);
--! Wishbone bus cycle select
wb0_cyc_i : in std_logic;
--! Wishbone bus cycle strobe
wb0_stb_i : in std_logic;
--! Wishbone bus write enable
wb0_we_i : in std_logic;
--! Wishbone bus address
wb0_addr_i : in std_logic_vector(31 downto 0);
--! Wishbone bus data input
wb0_data_i : in std_logic_vector(g_P0_DATA_PORT_SIZE - 1 downto 0);
--! Wishbone bus data output
wb0_data_o : out std_logic_vector(g_P0_DATA_PORT_SIZE - 1 downto 0);
--! Wishbone bus acknowledge
wb0_ack_o : out std_logic;
--! Wishbone bus stall (for pipelined mode)
wb0_stall_o : out std_logic;
----------------------------------------------------------------------------
-- Status - Port 0
----------------------------------------------------------------------------
--! Command FIFO empty
p0_cmd_empty_o : out std_logic;
--! Command FIFO full
p0_cmd_full_o : out std_logic;
--! Read FIFO full
p0_rd_full_o : out std_logic;
--! Read FIFO empty
p0_rd_empty_o : out std_logic;
--! Read FIFO count
p0_rd_count_o : out std_logic_vector(6 downto 0);
--! Read FIFO overflow
p0_rd_overflow_o : out std_logic;
--! Read FIFO error (pointers unsynchronized, reset required)
p0_rd_error_o : out std_logic;
--! Write FIFO full
p0_wr_full_o : out std_logic;
--! Write FIFO empty
p0_wr_empty_o : out std_logic;
--! Write FIFO count
p0_wr_count_o : out std_logic_vector(6 downto 0);
--! Write FIFO underrun
p0_wr_underrun_o : out std_logic;
--! Write FIFO error (pointers unsynchronized, reset required)
p0_wr_error_o : out std_logic;
----------------------------------------------------------------------------
-- Wishbone bus - Port 1
----------------------------------------------------------------------------
--! Wishbone bus clock
wb1_clk_i : in std_logic;
--! Wishbone bus byte select
wb1_sel_i : in std_logic_vector(g_P1_MASK_SIZE - 1 downto 0);
--! Wishbone bus cycle select
wb1_cyc_i : in std_logic;
--! Wishbone bus cycle strobe
wb1_stb_i : in std_logic;
--! Wishbone bus write enable
wb1_we_i : in std_logic;
--! Wishbone bus address
wb1_addr_i : in std_logic_vector(31 downto 0);
--! Wishbone bus data input
wb1_data_i : in std_logic_vector(g_P1_DATA_PORT_SIZE - 1 downto 0);
--! Wishbone bus data output
wb1_data_o : out std_logic_vector(g_P1_DATA_PORT_SIZE - 1 downto 0);
--! Wishbone bus acknowledge
wb1_ack_o : out std_logic;
--! Wishbone bus stall (for pipelined mode)
wb1_stall_o : out std_logic;
----------------------------------------------------------------------------
-- Status - Port 1
----------------------------------------------------------------------------
--! Command FIFO empty
p1_cmd_empty_o : out std_logic;
--! Command FIFO full
p1_cmd_full_o : out std_logic;
--! Read FIFO full
p1_rd_full_o : out std_logic;
--! Read FIFO empty
p1_rd_empty_o : out std_logic;
--! Read FIFO count
p1_rd_count_o : out std_logic_vector(6 downto 0);
--! Read FIFO overflow
p1_rd_overflow_o : out std_logic;
--! Read FIFO error (pointers unsynchronized, reset required)
p1_rd_error_o : out std_logic;
--! Write FIFO full
p1_wr_full_o : out std_logic;
--! Write FIFO empty
p1_wr_empty_o : out std_logic;
--! Write FIFO count
p1_wr_count_o : out std_logic_vector(6 downto 0);
--! Write FIFO underrun
p1_wr_underrun_o : out std_logic;
--! Write FIFO error (pointers unsynchronized, reset required)
p1_wr_error_o : out std_logic
);
end entity ddr3_ctrl;
--==============================================================================
--! Architecure declaration for ddr3_ctrl
--==============================================================================
architecture rtl of ddr3_ctrl is
------------------------------------------------------------------------------
-- Components declaration
------------------------------------------------------------------------------
component ddr3_ctrl_wb
generic(
g_BYTE_ADDR_WIDTH : integer := 30;
g_MASK_SIZE : integer := 4;
g_DATA_PORT_SIZE : integer := 32
);
port(
rst_n_i : in std_logic;
ddr_cmd_clk_o : out std_logic;
ddr_cmd_en_o : out std_logic;
ddr_cmd_instr_o : out std_logic_vector(2 downto 0);
ddr_cmd_bl_o : out std_logic_vector(5 downto 0);
ddr_cmd_byte_addr_o : out std_logic_vector(g_BYTE_ADDR_WIDTH - 1 downto 0);
ddr_cmd_empty_i : in std_logic;
ddr_cmd_full_i : in std_logic;
ddr_wr_clk_o : out std_logic;
ddr_wr_en_o : out std_logic;
ddr_wr_mask_o : out std_logic_vector(g_MASK_SIZE - 1 downto 0);
ddr_wr_data_o : out std_logic_vector(g_DATA_PORT_SIZE - 1 downto 0);
ddr_wr_full_i : in std_logic;
ddr_wr_empty_i : in std_logic;
ddr_wr_count_i : in std_logic_vector(6 downto 0);
ddr_wr_underrun_i : in std_logic;
ddr_wr_error_i : in std_logic;
ddr_rd_clk_o : out std_logic;
ddr_rd_en_o : out std_logic;
ddr_rd_data_i : in std_logic_vector(g_DATA_PORT_SIZE - 1 downto 0);
ddr_rd_full_i : in std_logic;
ddr_rd_empty_i : in std_logic;
ddr_rd_count_i : in std_logic_vector(6 downto 0);
ddr_rd_overflow_i : in std_logic;
ddr_rd_error_i : in std_logic;
wb_clk_i : in std_logic;
wb_sel_i : in std_logic_vector(g_MASK_SIZE - 1 downto 0);
wb_cyc_i : in std_logic;
wb_stb_i : in std_logic;
wb_we_i : in std_logic;
wb_addr_i : in std_logic_vector(31 downto 0);
wb_data_i : in std_logic_vector(g_DATA_PORT_SIZE - 1 downto 0);
wb_data_o : out std_logic_vector(g_DATA_PORT_SIZE - 1 downto 0);
wb_ack_o : out std_logic;
wb_stall_o : out std_logic
);
end component ddr3_ctrl_wb;
component ddr3_ctrl_wrapper
generic(
g_BANK_PORT_SELECT : string := "SPEC_BANK3_32B_32B";
g_MEMCLK_PERIOD : integer := 3000;
g_CALIB_SOFT_IP : string := "TRUE";
g_MEM_ADDR_ORDER : string := "ROW_BANK_COLUMN";
g_SIMULATION : string := "FALSE";
g_NUM_DQ_PINS : integer := 16;
g_MEM_ADDR_WIDTH : integer := 14;
g_MEM_BANKADDR_WIDTH : integer := 3;
g_P0_MASK_SIZE : integer := 4;
g_P0_DATA_PORT_SIZE : integer := 32;
g_P0_BYTE_ADDR_WIDTH : integer := 30;
g_P1_MASK_SIZE : integer := 4;
g_P1_DATA_PORT_SIZE : integer := 32;
g_P1_BYTE_ADDR_WIDTH : integer := 30
);
port(
clk_i : in std_logic;
rst_n_i : in std_logic;
calib_done_o : out std_logic;
ddr3_dq_b : inout std_logic_vector(g_NUM_DQ_PINS-1 downto 0);
ddr3_a_o : out std_logic_vector(g_MEM_ADDR_WIDTH-1 downto 0);
ddr3_ba_o : out std_logic_vector(g_MEM_BANKADDR_WIDTH-1 downto 0);
ddr3_ras_n_o : out std_logic;
ddr3_cas_n_o : out std_logic;
ddr3_we_n_o : out std_logic;
ddr3_odt_o : out std_logic;
ddr3_rst_n_o : out std_logic;
ddr3_cke_o : out std_logic;
ddr3_dm_o : out std_logic;
ddr3_udm_o : out std_logic;
ddr3_dqs_p_b : inout std_logic;
ddr3_dqs_n_b : inout std_logic;
ddr3_udqs_p_b : inout std_logic;
ddr3_udqs_n_b : inout std_logic;
ddr3_clk_p_o : out std_logic;
ddr3_clk_n_o : out std_logic;
ddr3_rzq_b : inout std_logic;
ddr3_zio_b : inout std_logic;
p0_cmd_clk_i : in std_logic;
p0_cmd_en_i : in std_logic;
p0_cmd_instr_i : in std_logic_vector(2 downto 0);
p0_cmd_bl_i : in std_logic_vector(5 downto 0);
p0_cmd_byte_addr_i : in std_logic_vector(g_P0_BYTE_ADDR_WIDTH - 1 downto 0);
p0_cmd_empty_o : out std_logic;
p0_cmd_full_o : out std_logic;
p0_wr_clk_i : in std_logic;
p0_wr_en_i : in std_logic;
p0_wr_mask_i : in std_logic_vector(g_P0_MASK_SIZE - 1 downto 0);
p0_wr_data_i : in std_logic_vector(g_P0_DATA_PORT_SIZE - 1 downto 0);
p0_wr_full_o : out std_logic;
p0_wr_empty_o : out std_logic;
p0_wr_count_o : out std_logic_vector(6 downto 0);
p0_wr_underrun_o : out std_logic;
p0_wr_error_o : out std_logic;
p0_rd_clk_i : in std_logic;
p0_rd_en_i : in std_logic;
p0_rd_data_o : out std_logic_vector(g_P0_DATA_PORT_SIZE - 1 downto 0);
p0_rd_full_o : out std_logic;
p0_rd_empty_o : out std_logic;
p0_rd_count_o : out std_logic_vector(6 downto 0);
p0_rd_overflow_o : out std_logic;
p0_rd_error_o : out std_logic;
p1_cmd_clk_i : in std_logic;
p1_cmd_en_i : in std_logic;
p1_cmd_instr_i : in std_logic_vector(2 downto 0);
p1_cmd_bl_i : in std_logic_vector(5 downto 0);
p1_cmd_byte_addr_i : in std_logic_vector(g_P1_BYTE_ADDR_WIDTH - 1 downto 0);
p1_cmd_empty_o : out std_logic;
p1_cmd_full_o : out std_logic;
p1_wr_clk_i : in std_logic;
p1_wr_en_i : in std_logic;
p1_wr_mask_i : in std_logic_vector(g_P1_MASK_SIZE - 1 downto 0);
p1_wr_data_i : in std_logic_vector(g_P1_DATA_PORT_SIZE - 1 downto 0);
p1_wr_full_o : out std_logic;
p1_wr_empty_o : out std_logic;
p1_wr_count_o : out std_logic_vector(6 downto 0);
p1_wr_underrun_o : out std_logic;
p1_wr_error_o : out std_logic;
p1_rd_clk_i : in std_logic;
p1_rd_en_i : in std_logic;
p1_rd_data_o : out std_logic_vector(g_P1_DATA_PORT_SIZE - 1 downto 0);
p1_rd_full_o : out std_logic;
p1_rd_empty_o : out std_logic;
p1_rd_count_o : out std_logic_vector(6 downto 0);
p1_rd_overflow_o : out std_logic;
p1_rd_error_o : out std_logic
);
end component ddr3_ctrl_wrapper;
------------------------------------------------------------------------------
-- Signals declaration
------------------------------------------------------------------------------
signal p0_cmd_clk : std_logic;
signal p0_cmd_en : std_logic;
signal p0_cmd_instr : std_logic_vector(2 downto 0);
signal p0_cmd_bl : std_logic_vector(5 downto 0);
signal p0_cmd_byte_addr : std_logic_vector(g_P0_BYTE_ADDR_WIDTH - 1 downto 0);
signal p0_cmd_empty : std_logic;
signal p0_cmd_full : std_logic;
signal p0_wr_clk : std_logic;
signal p0_wr_en : std_logic;
signal p0_wr_mask : std_logic_vector(g_P0_MASK_SIZE - 1 downto 0);
signal p0_wr_data : std_logic_vector(g_P0_DATA_PORT_SIZE - 1 downto 0);
signal p0_wr_full : std_logic;
signal p0_wr_empty : std_logic;
signal p0_wr_count : std_logic_vector(6 downto 0);
signal p0_wr_underrun : std_logic;
signal p0_wr_error : std_logic;
signal p0_rd_clk : std_logic;
signal p0_rd_en : std_logic;
signal p0_rd_data : std_logic_vector(g_P0_DATA_PORT_SIZE - 1 downto 0);
signal p0_rd_full : std_logic;
signal p0_rd_empty : std_logic;
signal p0_rd_count : std_logic_vector(6 downto 0);
signal p0_rd_overflow : std_logic;
signal p0_rd_error : std_logic;
signal p1_cmd_clk : std_logic;
signal p1_cmd_en : std_logic;
signal p1_cmd_instr : std_logic_vector(2 downto 0);
signal p1_cmd_bl : std_logic_vector(5 downto 0);
signal p1_cmd_byte_addr : std_logic_vector(g_P1_BYTE_ADDR_WIDTH - 1 downto 0);
signal p1_cmd_empty : std_logic;
signal p1_cmd_full : std_logic;
signal p1_wr_clk : std_logic;
signal p1_wr_en : std_logic;
signal p1_wr_mask : std_logic_vector(g_P1_MASK_SIZE - 1 downto 0);
signal p1_wr_data : std_logic_vector(g_P1_DATA_PORT_SIZE - 1 downto 0);
signal p1_wr_full : std_logic;
signal p1_wr_empty : std_logic;
signal p1_wr_count : std_logic_vector(6 downto 0);
signal p1_wr_underrun : std_logic;
signal p1_wr_error : std_logic;
signal p1_rd_clk : std_logic;
signal p1_rd_en : std_logic;
signal p1_rd_data : std_logic_vector(g_P1_DATA_PORT_SIZE - 1 downto 0);
signal p1_rd_full : std_logic;
signal p1_rd_empty : std_logic;
signal p1_rd_count : std_logic_vector(6 downto 0);
signal p1_rd_overflow : std_logic;
signal p1_rd_error : std_logic;
--==============================================================================
--! Architecure begin
--==============================================================================
signal wb0_ack : std_logic;
signal wb0_stall : std_logic;
begin
wb0_ack_o <= wb0_ack;
wb0_stall_o <= wb0_stall;
status_o(5 downto 0) <= p0_cmd_bl;
status_o(11 downto 6) <= p1_cmd_bl;
status_o(12) <= p0_cmd_en;
status_o(13) <= p0_cmd_full;
status_o(14) <= p0_rd_en;
status_o(15) <= p0_rd_full;
status_o(16) <= p0_rd_error;
status_o(17) <= p0_rd_overflow;
status_o(18) <= p1_cmd_en;
status_o(19) <= p1_cmd_full;
status_o(20) <= p1_wr_en;
status_o(21) <= p1_wr_full;
status_o(22) <= p1_wr_error;
status_o(23) <= p0_wr_en;
status_o(24) <= p0_wr_full;
status_o(25) <= p0_rd_empty;
status_o(26) <= p1_wr_empty;
status_o(31 downto 27) <= (others => '0');
-- status_o(31 downto 1) <= (others => '0');
------------------------------------------------------------------------------
-- PORT 0
------------------------------------------------------------------------------
cmp_ddr3_ctrl_wb_0 : ddr3_ctrl_wb
generic map(
g_BYTE_ADDR_WIDTH => g_P0_BYTE_ADDR_WIDTH,
g_MASK_SIZE => g_P0_MASK_SIZE,
g_DATA_PORT_SIZE => g_P0_DATA_PORT_SIZE
)
port map(
rst_n_i => rst_n_i,
ddr_cmd_clk_o => p0_cmd_clk,
ddr_cmd_en_o => p0_cmd_en,
ddr_cmd_instr_o => p0_cmd_instr,
ddr_cmd_bl_o => p0_cmd_bl,
ddr_cmd_byte_addr_o => p0_cmd_byte_addr,
ddr_cmd_empty_i => p0_cmd_empty,
ddr_cmd_full_i => p0_cmd_full,
ddr_wr_clk_o => p0_wr_clk,
ddr_wr_en_o => p0_wr_en,
ddr_wr_mask_o => p0_wr_mask,
ddr_wr_data_o => p0_wr_data,
ddr_wr_full_i => p0_wr_full,
ddr_wr_empty_i => p0_wr_empty,
ddr_wr_count_i => p0_wr_count,
ddr_wr_underrun_i => p0_wr_underrun,
ddr_wr_error_i => p0_wr_error,
ddr_rd_clk_o => p0_rd_clk,
ddr_rd_en_o => p0_rd_en,
ddr_rd_data_i => p0_rd_data,
ddr_rd_full_i => p0_rd_full,
ddr_rd_empty_i => p0_rd_empty,
ddr_rd_count_i => p0_rd_count,
ddr_rd_overflow_i => p0_rd_overflow,
ddr_rd_error_i => p0_rd_error,
wb_clk_i => wb0_clk_i,
wb_sel_i => wb0_sel_i,
wb_cyc_i => wb0_cyc_i,
wb_stb_i => wb0_stb_i,
wb_we_i => wb0_we_i,
wb_addr_i => wb0_addr_i,
wb_data_i => wb0_data_i,
wb_data_o => wb0_data_o,
wb_ack_o => wb0_ack,
wb_stall_o => wb0_stall
);
------------------------------------------------------------------------------
-- PORT 1
------------------------------------------------------------------------------
cmp_ddr3_ctrl_wb_1 : ddr3_ctrl_wb
generic map(
g_BYTE_ADDR_WIDTH => g_P1_BYTE_ADDR_WIDTH,
g_MASK_SIZE => g_P1_MASK_SIZE,
g_DATA_PORT_SIZE => g_P1_DATA_PORT_SIZE
)
port map(
rst_n_i => rst_n_i,
ddr_cmd_clk_o => p1_cmd_clk,
ddr_cmd_en_o => p1_cmd_en,
ddr_cmd_instr_o => p1_cmd_instr,
ddr_cmd_bl_o => p1_cmd_bl,
ddr_cmd_byte_addr_o => p1_cmd_byte_addr,
ddr_cmd_empty_i => p1_cmd_empty,
ddr_cmd_full_i => p1_cmd_full,
ddr_wr_clk_o => p1_wr_clk,
ddr_wr_en_o => p1_wr_en,
ddr_wr_mask_o => p1_wr_mask,
ddr_wr_data_o => p1_wr_data,
ddr_wr_full_i => p1_wr_full,
ddr_wr_empty_i => p1_wr_empty,
ddr_wr_count_i => p1_wr_count,
ddr_wr_underrun_i => p1_wr_underrun,
ddr_wr_error_i => p1_wr_error,
ddr_rd_clk_o => p1_rd_clk,
ddr_rd_en_o => p1_rd_en,
ddr_rd_data_i => p1_rd_data,
ddr_rd_full_i => p1_rd_full,
ddr_rd_empty_i => p1_rd_empty,
ddr_rd_count_i => p1_rd_count,
ddr_rd_overflow_i => p1_rd_overflow,
ddr_rd_error_i => p1_rd_error,
wb_clk_i => wb1_clk_i,
wb_sel_i => wb1_sel_i,
wb_cyc_i => wb1_cyc_i,
wb_stb_i => wb1_stb_i,
wb_we_i => wb1_we_i,
wb_addr_i => wb1_addr_i,
wb_data_i => wb1_data_i,
wb_data_o => wb1_data_o,
wb_ack_o => wb1_ack_o,
wb_stall_o => wb1_stall_o
);
------------------------------------------------------------------------------
-- DDR controller wrapper
------------------------------------------------------------------------------
cmp_ddr3_ctrl_wrapper : ddr3_ctrl_wrapper
generic map(
g_BANK_PORT_SELECT => g_BANK_PORT_SELECT,
g_MEMCLK_PERIOD => g_MEMCLK_PERIOD,
g_CALIB_SOFT_IP => g_CALIB_SOFT_IP,
g_MEM_ADDR_ORDER => g_MEM_ADDR_ORDER,
g_SIMULATION => g_SIMULATION,
g_NUM_DQ_PINS => g_NUM_DQ_PINS,
g_MEM_ADDR_WIDTH => g_MEM_ADDR_WIDTH,
g_MEM_BANKADDR_WIDTH => g_MEM_BANKADDR_WIDTH,
g_P0_MASK_SIZE => g_P0_MASK_SIZE,
g_P0_DATA_PORT_SIZE => g_P0_DATA_PORT_SIZE,
g_P0_BYTE_ADDR_WIDTH => g_P0_BYTE_ADDR_WIDTH,
g_P1_MASK_SIZE => g_P1_MASK_SIZE,
g_P1_DATA_PORT_SIZE => g_P1_DATA_PORT_SIZE,
g_P1_BYTE_ADDR_WIDTH => g_P1_BYTE_ADDR_WIDTH
)
port map(
clk_i => clk_i,
rst_n_i => rst_n_i,
calib_done_o => open,
ddr3_dq_b => ddr3_dq_b,
ddr3_a_o => ddr3_a_o,
ddr3_ba_o => ddr3_ba_o,
ddr3_ras_n_o => ddr3_ras_n_o,
ddr3_cas_n_o => ddr3_cas_n_o,
ddr3_we_n_o => ddr3_we_n_o,
ddr3_odt_o => ddr3_odt_o,
ddr3_rst_n_o => ddr3_rst_n_o,
ddr3_cke_o => ddr3_cke_o,
ddr3_dm_o => ddr3_dm_o,
ddr3_udm_o => ddr3_udm_o,
ddr3_dqs_p_b => ddr3_dqs_p_b,
ddr3_dqs_n_b => ddr3_dqs_n_b,
ddr3_udqs_p_b => ddr3_udqs_p_b,
ddr3_udqs_n_b => ddr3_udqs_n_b,
ddr3_clk_p_o => ddr3_clk_p_o,
ddr3_clk_n_o => ddr3_clk_n_o,
ddr3_rzq_b => ddr3_rzq_b,
ddr3_zio_b => ddr3_zio_b,
p0_cmd_clk_i => p0_cmd_clk,
p0_cmd_en_i => p0_cmd_en,
p0_cmd_instr_i => p0_cmd_instr,
p0_cmd_bl_i => p0_cmd_bl,
p0_cmd_byte_addr_i => p0_cmd_byte_addr,
p0_cmd_empty_o => p0_cmd_empty,
p0_cmd_full_o => p0_cmd_full,
p0_wr_clk_i => p0_wr_clk,
p0_wr_en_i => p0_wr_en,
p0_wr_mask_i => p0_wr_mask,
p0_wr_data_i => p0_wr_data,
p0_wr_full_o => p0_wr_full,
p0_wr_empty_o => p0_wr_empty,
p0_wr_count_o => p0_wr_count,
p0_wr_underrun_o => p0_wr_underrun,
p0_wr_error_o => p0_wr_error,
p0_rd_clk_i => p0_rd_clk,
p0_rd_en_i => p0_rd_en,
p0_rd_data_o => p0_rd_data,
p0_rd_full_o => p0_rd_full,
p0_rd_empty_o => p0_rd_empty,
p0_rd_count_o => p0_rd_count,
p0_rd_overflow_o => p0_rd_overflow,
p0_rd_error_o => p0_rd_error,
p1_cmd_clk_i => p1_cmd_clk,
p1_cmd_en_i => p1_cmd_en,
p1_cmd_instr_i => p1_cmd_instr,
p1_cmd_bl_i => p1_cmd_bl,
p1_cmd_byte_addr_i => p1_cmd_byte_addr,
p1_cmd_empty_o => p1_cmd_empty,
p1_cmd_full_o => p1_cmd_full,
p1_wr_clk_i => p1_wr_clk,
p1_wr_en_i => p1_wr_en,
p1_wr_mask_i => p1_wr_mask,
p1_wr_data_i => p1_wr_data,
p1_wr_full_o => p1_wr_full,
p1_wr_empty_o => p1_wr_empty,
p1_wr_count_o => p1_wr_count,
p1_wr_underrun_o => p1_wr_underrun,
p1_wr_error_o => p1_wr_error,
p1_rd_clk_i => p1_rd_clk,
p1_rd_en_i => p1_rd_en,
p1_rd_data_o => p1_rd_data,
p1_rd_full_o => p1_rd_full,
p1_rd_empty_o => p1_rd_empty,
p1_rd_count_o => p1_rd_count,
p1_rd_overflow_o => p1_rd_overflow,
p1_rd_error_o => p1_rd_error
);
-- Status ports assignment
p0_cmd_full_o <= p0_cmd_full;
p0_cmd_empty_o <= p0_cmd_empty;
p0_rd_full_o <= p0_rd_full;
p0_rd_empty_o <= p0_rd_empty;
p0_rd_count_o <= p0_rd_count;
p0_rd_overflow_o <= p0_rd_overflow;
p0_rd_error_o <= p0_rd_error;
p0_wr_full_o <= p0_wr_full;
p0_wr_empty_o <= p0_wr_empty;
p0_wr_count_o <= p0_wr_count;
p0_wr_underrun_o <= p0_wr_underrun;
p0_wr_error_o <= p0_wr_error;
p1_cmd_full_o <= p1_cmd_full;
p1_cmd_empty_o <= p1_cmd_empty;
p1_rd_full_o <= p1_rd_full;
p1_rd_empty_o <= p1_rd_empty;
p1_rd_count_o <= p1_rd_count;
p1_rd_overflow_o <= p1_rd_overflow;
p1_rd_error_o <= p1_rd_error;
p1_wr_full_o <= p1_wr_full;
p1_wr_empty_o <= p1_wr_empty;
p1_wr_count_o <= p1_wr_count;
p1_wr_underrun_o <= p1_wr_underrun;
p1_wr_error_o <= p1_wr_error;
end architecture rtl;
--==============================================================================
--! Architecure end
--==============================================================================
| gpl-3.0 | db6ade23e0cf10dcc2f23117ed6dcac3 | 0.478851 | 3.067435 | false | false | false | false |
rjarzmik/mips_processor | EX/ALU_Divider.vhd | 1 | 2,999 | -------------------------------------------------------------------------------
-- Title : ALU divider
-- Project : Source files in two directories, custom library name, VHDL'87
-------------------------------------------------------------------------------
-- File : ALU_Divider.vhd
-- Author : Robert Jarzmik <[email protected]>
-- Company :
-- Created : 2016-12-06
-- Last update: 2016-12-06
-- Platform :
-- Standard : VHDL'93/02
-------------------------------------------------------------------------------
-- Description:
-------------------------------------------------------------------------------
-- Copyright (c) 2016
-------------------------------------------------------------------------------
-- Revisions :
-- Date Version Author Description
-- 2016-12-06 1.0 rj Created
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
-------------------------------------------------------------------------------
entity ALU_Divider is
generic (
DATA_WIDTH : integer
);
port (
i_ra : in unsigned(DATA_WIDTH - 1 downto 0);
i_rb : in unsigned(DATA_WIDTH - 1 downto 0);
i_div_by_0 : in std_logic;
o_q : out unsigned(DATA_WIDTH * 2 - 1 downto 0)
);
end entity ALU_Divider;
-------------------------------------------------------------------------------
architecture rtl of ALU_Divider is
-----------------------------------------------------------------------------
-- Internal signal declarations
-----------------------------------------------------------------------------
constant r_unknown : unsigned(DATA_WIDTH - 1 downto 0) := (others => 'X');
signal quotient : unsigned(DATA_WIDTH - 1 downto 0);
signal remain : unsigned(DATA_WIDTH - 1 downto 0);
signal div_by_0 : boolean := true;
function get_quotient(signal a : in unsigned(DATA_WIDTH - 1 downto 0);
signal b : in unsigned(DATA_WIDTH - 1 downto 0);
signal div_by_0 : in boolean)
return unsigned is
begin
if div_by_0 then
return to_unsigned(0, DATA_WIDTH);
else
return a / b;
end if;
end function get_quotient;
function get_remain(signal a : in unsigned(DATA_WIDTH - 1 downto 0);
signal b : in unsigned(DATA_WIDTH - 1 downto 0);
signal div_by_0 : in boolean)
return unsigned is
begin
if div_by_0 then
return to_unsigned(0, DATA_WIDTH);
else
return a rem b;
end if;
end function get_remain;
begin -- architecture rtl
div_by_0 <= false when i_div_by_0 = '0' else true;
remain <= get_remain(i_ra, i_rb, div_by_0);
quotient <= get_quotient(i_ra, i_rb, div_by_0);
o_q <= remain & quotient;
end architecture rtl;
-------------------------------------------------------------------------------
| gpl-3.0 | b1279886d5804ada573f212bdf610e20 | 0.417806 | 4.628086 | false | false | false | false |
tdaede/daala_zynq | daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/fifo_generator_v11_0/common/synchronizer_ff.vhd | 2 | 8,637 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
WbP3IH4AypnUZev3kp6bXAxsXyLYceDVNdj9dEuYygvIIQn3tDJGUuxvXmomGjPaVOyrXtgK3csC
TaxkT8MO1A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
boVNoJIaTkJAIaDUuEyG+1gWeaxHRaN4BLAZZubWks1g1E46A5K140PSYVTavH6cpPz5I82UGLnt
LO8H0TtcvntRsp8jUranzzkqyqJArfXTC4faRJ85CiZL+Ejdefx//j0VKaiShs3NqQ043msT/8OD
9FxHL+Ny94kVkFo8dWo=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
dnPtlfSgGrEkCmF3LpfFUvkOgXLCC47wfVGn99sxnSQ3XfDWvD82VdFVC6CUMjdQN2yzXLnbBw/B
bRikavDs0RzXOFJ2GRvPdyqVKHtsW8RKsDOURfUuYa/KEhoTpmHTiG7NNYRHn+dnBhy0zqd46ONM
nMn+o1vjNloVByAi8G9d4YCck2f15+0ncK/bklBrUA3BFjwQsbHjklpWQKIszoN+Ez19KCPgTWpE
jFB083/TeiMu17e+WDef9DfbF8GVcwD0uU5q17X18th1QblwZ2fHNYZbjU5xabKH/2nn60tKrwCT
tL+OL5lrovAy2w9xIggGQNMciTmsl8+MSGR4Fw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
a0cbAW9udcU5nh/+KkH4VvG3I65uSWsgeZmPth73uknP8lmVqdV/UVKEZQ2gjA47LbJ6I8xKwqQc
KV5CIRiRzwjemhXGtE7UT5If78zYjQtX8taVtArwUxUkMtnkBiJkyhXpgOEeMU4afLwIckcyzWFI
KzjEPpLzzAcjlp9YIew=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ZDmm3wdx+qLlKA06cxh+cuOS+RKijCrPW1sLbayjlZ9AX61wo3vq2exf2qTeDugwfZgGl0Jytkru
olZaweEsX1fI/8W/w5h4lREMdkfXcDLiAXMFTNWvQVQgrMaeUIKz+R+Sr0EN/KKhUmh+AfbqXWmF
Lqmzj127HAFJci9DAl+tMBL0BMiziwD8GZLD52HrtnaykCCe9OI7Zi8nOqghMum1cUo7VHnoZQVP
cGllK/7DqNWsyJUWlVHK5fOwM3Af+PKm55Ml4F0JjFl2xYElHm9Krucm0ho1hnt9TFJ5rfYZ87P3
lo1PG37wU1Vk/UejePNDA58sr+IdZKylbDK7Jw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4656)
`protect data_block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`protect end_protected
| bsd-2-clause | 735037a7c52e9a24f6eb2faea9189bbd | 0.91768 | 1.91211 | false | false | false | false |
tdaede/daala_zynq | daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/axi_sg_v4_1/hdl/src/vhdl/axi_sg_ftch_q_mngr.vhd | 1 | 45,570 | -- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_sg_ftch_queue.vhd
-- Description: This entity is the descriptor fetch queue interface
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library axi_sg_v4_1;
use axi_sg_v4_1.axi_sg_pkg.all;
library proc_common_v4_0;
use proc_common_v4_0.sync_fifo_fg;
use proc_common_v4_0.proc_common_pkg.all;
-------------------------------------------------------------------------------
entity axi_sg_ftch_q_mngr is
generic (
C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32;
-- Master AXI Memory Map Address Width
C_M_AXIS_SG_TDATA_WIDTH : integer range 32 to 32 := 32;
-- Master AXI Stream Data width
C_AXIS_IS_ASYNC : integer range 0 to 1 := 0;
-- Channel 1 is async to sg_aclk
-- 0 = Synchronous to SG ACLK
-- 1 = Asynchronous to SG ACLK
C_ASYNC : integer range 0 to 1 := 0;
-- Channel 1 is async to sg_aclk
-- 0 = Synchronous to SG ACLK
-- 1 = Asynchronous to SG ACLK
C_SG_FTCH_DESC2QUEUE : integer range 0 to 8 := 0;
-- Number of descriptors to fetch and queue for each channel.
-- A value of zero excludes the fetch queues.
C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0;
C_SG_CH1_WORDS_TO_FETCH : integer range 4 to 16 := 8;
-- Number of words to fetch for channel 1
C_SG_CH2_WORDS_TO_FETCH : integer range 4 to 16 := 8;
-- Number of words to fetch for channel 1
C_SG_CH1_ENBL_STALE_ERROR : integer range 0 to 1 := 1;
-- Enable or disable stale descriptor check
-- 0 = Disable stale descriptor error check
-- 1 = Enable stale descriptor error check
C_SG_CH2_ENBL_STALE_ERROR : integer range 0 to 1 := 1;
-- Enable or disable stale descriptor check
-- 0 = Disable stale descriptor error check
-- 1 = Enable stale descriptor error check
C_INCLUDE_CH1 : integer range 0 to 1 := 1;
-- Include or Exclude channel 1 scatter gather engine
-- 0 = Exclude Channel 1 SG Engine
-- 1 = Include Channel 1 SG Engine
C_INCLUDE_CH2 : integer range 0 to 1 := 1;
-- Include or Exclude channel 2 scatter gather engine
-- 0 = Exclude Channel 2 SG Engine
-- 1 = Include Channel 2 SG Engine
C_ENABLE_CDMA : integer range 0 to 1 := 0;
C_FAMILY : string := "virtex7"
-- Device family used for proper BRAM selection
);
port (
-----------------------------------------------------------------------
-- AXI Scatter Gather Interface
-----------------------------------------------------------------------
m_axi_sg_aclk : in std_logic ; --
m_axi_mm2s_aclk : in std_logic ; --
m_axi_sg_aresetn : in std_logic ; --
p_reset_n : in std_logic ;
ch2_sg_idle : in std_logic ;
--
-- Channel 1 Control --
ch1_desc_flush : in std_logic ; --
ch1_cyclic : in std_logic ; --
ch1_cntrl_strm_stop : in std_logic ;
ch1_ftch_active : in std_logic ; --
ch1_nxtdesc_wren : out std_logic ; --
ch1_ftch_queue_empty : out std_logic ; --
ch1_ftch_queue_full : out std_logic ; --
ch1_ftch_pause : out std_logic ; --
--
-- Channel 2 Control --
ch2_desc_flush : in std_logic ; --
ch2_cyclic : in std_logic ; --
ch2_ftch_active : in std_logic ; --
ch2_nxtdesc_wren : out std_logic ; --
ch2_ftch_queue_empty : out std_logic ; --
ch2_ftch_queue_full : out std_logic ; --
ch2_ftch_pause : out std_logic ; --
nxtdesc : out std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
-- DataMover Command --
ftch_cmnd_wr : in std_logic ; --
ftch_cmnd_data : in std_logic_vector --
((C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0); --
ftch_stale_desc : out std_logic ; --
--
-- MM2S Stream In from DataMover --
m_axis_mm2s_tdata : in std_logic_vector --
(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) ; --
m_axis_mm2s_tkeep : in std_logic_vector --
((C_M_AXIS_SG_TDATA_WIDTH/8)-1 downto 0); --
m_axis_mm2s_tlast : in std_logic ; --
m_axis_mm2s_tvalid : in std_logic ; --
m_axis_mm2s_tready : out std_logic ; --
--
--
-- Channel 1 AXI Fetch Stream Out --
m_axis_ch1_ftch_aclk : in std_logic ;
m_axis_ch1_ftch_tdata : out std_logic_vector --
(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0); --
m_axis_ch1_ftch_tvalid : out std_logic ; --
m_axis_ch1_ftch_tready : in std_logic ; --
m_axis_ch1_ftch_tlast : out std_logic ; --
m_axis_ch1_ftch_tdata_new : out std_logic_vector --
(96+31*C_ENABLE_CDMA downto 0); --
m_axis_ch1_ftch_tdata_mcdma_new : out std_logic_vector --
(63 downto 0); --
m_axis_ch1_ftch_tvalid_new : out std_logic ; --
m_axis_ftch1_desc_available : out std_logic ;
--
m_axis_ch2_ftch_tdata_new : out std_logic_vector --
(96+31*C_ENABLE_CDMA downto 0); --
m_axis_ch2_ftch_tdata_mcdma_new : out std_logic_vector --
(63 downto 0); --
m_axis_ch2_ftch_tdata_mcdma_nxt : out std_logic_vector --
(31 downto 0); --
m_axis_ch2_ftch_tvalid_new : out std_logic ; --
m_axis_ftch2_desc_available : out std_logic ;
--
-- Channel 2 AXI Fetch Stream Out --
m_axis_ch2_ftch_aclk : in std_logic ; --
m_axis_ch2_ftch_tdata : out std_logic_vector --
(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) ; --
m_axis_ch2_ftch_tvalid : out std_logic ; --
m_axis_ch2_ftch_tready : in std_logic ; --
m_axis_ch2_ftch_tlast : out std_logic ; --
m_axis_mm2s_cntrl_tdata : out std_logic_vector --
(31 downto 0); --
m_axis_mm2s_cntrl_tkeep : out std_logic_vector --
(3 downto 0); --
m_axis_mm2s_cntrl_tvalid : out std_logic ; --
m_axis_mm2s_cntrl_tready : in std_logic := '0'; --
m_axis_mm2s_cntrl_tlast : out std_logic --
);
end axi_sg_ftch_q_mngr;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_sg_ftch_q_mngr is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
attribute mark_debug : string;
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
-- Determine the maximum word count for use in setting the word counter width
-- Set bit width on max num words to fetch
constant FETCH_COUNT : integer := max2(C_SG_CH1_WORDS_TO_FETCH
,C_SG_CH2_WORDS_TO_FETCH);
-- LOG2 to get width of counter
constant WORDS2FETCH_BITWIDTH : integer := clog2(FETCH_COUNT);
-- Zero value for counter
constant WORD_ZERO : std_logic_vector(WORDS2FETCH_BITWIDTH-1 downto 0)
:= (others => '0');
-- One value for counter
constant WORD_ONE : std_logic_vector(WORDS2FETCH_BITWIDTH-1 downto 0)
:= std_logic_vector(to_unsigned(1,WORDS2FETCH_BITWIDTH));
-- Seven value for counter
constant WORD_SEVEN : std_logic_vector(WORDS2FETCH_BITWIDTH-1 downto 0)
:= std_logic_vector(to_unsigned(7,WORDS2FETCH_BITWIDTH));
constant USE_LOGIC_FIFOS : integer := 0; -- Use Logic FIFOs
constant USE_BRAM_FIFOS : integer := 1; -- Use BRAM FIFOs
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
signal m_axis_mm2s_tready_i : std_logic := '0';
signal ch1_ftch_tready : std_logic := '0';
signal ch2_ftch_tready : std_logic := '0';
-- Misc Signals
signal writing_curdesc : std_logic := '0';
signal fetch_word_count : std_logic_vector
(WORDS2FETCH_BITWIDTH-1 downto 0) := (others => '0');
signal msb_curdesc : std_logic_vector(31 downto 0) := (others => '0');
signal lsbnxtdesc_tready : std_logic := '0';
signal msbnxtdesc_tready : std_logic := '0';
signal nxtdesc_tready : std_logic := '0';
signal ch1_writing_curdesc : std_logic := '0';
signal ch2_writing_curdesc : std_logic := '0';
signal m_axis_ch2_ftch_tvalid_1 : std_logic := '0';
-- KAPIL
signal ch_desc_flush : std_logic := '0';
signal m_axis_ch_ftch_tready : std_logic := '0';
signal ch_ftch_queue_empty : std_logic := '0';
signal ch_ftch_queue_full : std_logic := '0';
signal ch_ftch_pause : std_logic := '0';
signal ch_writing_curdesc : std_logic := '0';
signal ch_ftch_tready : std_logic := '0';
signal m_axis_ch_ftch_tdata : std_logic_vector (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0');
signal m_axis_ch_ftch_tvalid : std_logic := '0';
signal m_axis_ch_ftch_tlast : std_logic := '0';
signal data_concat : std_logic_vector (95 downto 0) := (others => '0');
signal data_concat_mcdma : std_logic_vector (63 downto 0) := (others => '0');
signal next_bd : std_logic_vector (31 downto 0) := (others => '0');
signal data_concat_valid, tvalid_new : std_logic;
attribute mark_debug of data_concat_valid : signal is "true";
attribute mark_debug of tvalid_new : signal is "true";
signal data_concat_tlast, tlast_new : std_logic;
attribute mark_debug of data_concat_tlast : signal is "true";
attribute mark_debug of tlast_new : signal is "true";
signal counter : std_logic_vector (C_SG_CH1_WORDS_TO_FETCH-1 downto 0);
attribute mark_debug of counter : signal is "true";
signal sof_ftch_desc : std_logic;
signal nxtdesc_int : std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
attribute mark_debug of nxtdesc_int : signal is "true";
signal cyclic_enable : std_logic := '0';
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
cyclic_enable <= ch1_cyclic when ch1_ftch_active = '1' else
ch2_cyclic;
nxtdesc <= nxtdesc_int;
TLAST_GEN : if (C_SG_CH1_WORDS_TO_FETCH = 13) generate
-- TLAST is generated when 8th beat is received
tlast_new <= counter (7) and m_axis_mm2s_tvalid;
tvalid_new <= counter (7) and m_axis_mm2s_tvalid;
SOF_CHECK : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or (m_axis_mm2s_tvalid = '1' and m_axis_mm2s_tlast = '1'))then
sof_ftch_desc <= '0';
elsif(counter (6) = '1'
and m_axis_mm2s_tready_i = '1'
and m_axis_mm2s_tdata(27) = '1' )then
sof_ftch_desc <= '1';
end if;
end if;
end process SOF_CHECK;
end generate TLAST_GEN;
NOTLAST_GEN : if (C_SG_CH1_WORDS_TO_FETCH /= 13) generate
sof_ftch_desc <= '0';
CDMA : if C_ENABLE_CDMA = 1 generate
-- For CDMA TLAST is generated when 7th beat is received
-- because last one is not needed
tlast_new <= counter (6) and m_axis_mm2s_tvalid;
tvalid_new <=counter (6) and m_axis_mm2s_tvalid;
end generate CDMA;
NOCDMA : if C_ENABLE_CDMA = 0 generate
-- For DMA tlast is generated with 8th beat
tlast_new <= counter (7) and m_axis_mm2s_tvalid;
tvalid_new <= counter (7) and m_axis_mm2s_tvalid;
end generate NOCDMA;
end generate NOTLAST_GEN;
-- Following shift register keeps track of number of data beats
-- of BD that is being read
DATA_BEAT_REG : process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (m_axi_sg_aresetn = '0' or (m_axis_mm2s_tlast = '1' and m_axis_mm2s_tvalid = '1')) then
counter (0) <= '1';
counter (C_SG_CH1_WORDS_TO_FETCH-1 downto 1) <= (others => '0');
Elsif (m_axis_mm2s_tvalid = '1') then
counter (C_SG_CH1_WORDS_TO_FETCH-1 downto 1) <= counter (C_SG_CH1_WORDS_TO_FETCH-2 downto 0);
counter (0) <= '0';
end if;
end if;
end process DATA_BEAT_REG;
-- Registering the Buffer address from BD, 3rd beat
-- Common for DMA, CDMA
DATA_REG1 : process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (m_axi_sg_aresetn = '0') then
data_concat (31 downto 0) <= (others => '0');
Elsif (counter (2) = '1') then
data_concat (31 downto 0) <= m_axis_mm2s_tdata;
end if;
end if;
end process DATA_REG1;
DMA_REG2 : if C_ENABLE_CDMA = 0 generate
begin
-- For DMA, the 7th beat has the control information
DATA_REG2 : process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (m_axi_sg_aresetn = '0') then
data_concat (63 downto 32) <= (others => '0');
Elsif (counter (6) = '1') then
data_concat (63 downto 32) <= m_axis_mm2s_tdata;
end if;
end if;
end process DATA_REG2;
end generate DMA_REG2;
CDMA_REG2 : if C_ENABLE_CDMA = 1 generate
begin
-- For CDMA, the 5th beat has the DA information
DATA_REG2 : process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (m_axi_sg_aresetn = '0') then
data_concat (63 downto 32) <= (others => '0');
Elsif (counter (4) = '1') then
data_concat (63 downto 32) <= m_axis_mm2s_tdata;
end if;
end if;
end process DATA_REG2;
end generate CDMA_REG2;
NOFLOP_FOR_QUEUE : if C_SG_CH1_WORDS_TO_FETCH = 8 generate
begin
-- Last beat is directly concatenated and passed to FIFO
-- Masking the CMPLT bit with cyclic_enable
data_concat (95 downto 64) <= (m_axis_mm2s_tdata(31) and (not cyclic_enable)) & m_axis_mm2s_tdata (30 downto 0);
data_concat_valid <= tvalid_new;
data_concat_tlast <= tlast_new;
end generate NOFLOP_FOR_QUEUE;
-- In absence of queuing option the last beat needs to be floped
FLOP_FOR_NOQUEUE : if C_SG_CH1_WORDS_TO_FETCH = 13 generate
begin
NO_FETCH_Q : if C_SG_FTCH_DESC2QUEUE = 0 generate
DATA_REG3 : process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (m_axi_sg_aresetn = '0') then
data_concat (95 downto 64) <= (others => '0');
Elsif (counter (7) = '1') then
data_concat (95 downto 64) <= (m_axis_mm2s_tdata(31) and (not cyclic_enable)) & m_axis_mm2s_tdata (30 downto 0);
end if;
end if;
end process DATA_REG3;
end generate NO_FETCH_Q;
FETCH_Q : if C_SG_FTCH_DESC2QUEUE /= 0 generate
DATA_REG3 : process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (m_axi_sg_aresetn = '0') then
data_concat (95) <= '0';
Elsif (counter (7) = '1') then
data_concat (95) <= m_axis_mm2s_tdata (31) and (not cyclic_enable);
end if;
end if;
end process DATA_REG3;
data_concat (94 downto 64) <= (others => '0');
end generate FETCH_Q;
DATA_CNTRL : process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (m_axi_sg_aresetn = '0') then
data_concat_valid <= '0';
data_concat_tlast <= '0';
Else
data_concat_valid <= tvalid_new;
data_concat_tlast <= tlast_new;
end if;
end if;
end process DATA_CNTRL;
end generate FLOP_FOR_NOQUEUE;
-- Since the McDMA BD has two more fields to be captured
-- following procedures are needed
NOMCDMA_FTECH : if C_ENABLE_MULTI_CHANNEL = 0 generate
begin
data_concat_mcdma <= (others => '0');
end generate NOMCDMA_FTECH;
MCDMA_BD_FETCH : if C_ENABLE_MULTI_CHANNEL = 1 generate
begin
DATA_MCDMA_REG1 : process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (m_axi_sg_aresetn = '0') then
data_concat_mcdma (31 downto 0) <= (others => '0');
Elsif (counter (4) = '1') then
data_concat_mcdma (31 downto 0) <= m_axis_mm2s_tdata;
end if;
end if;
end process DATA_MCDMA_REG1;
DATA_MCDMA_REG2 : process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (m_axi_sg_aresetn = '0') then
data_concat_mcdma (63 downto 32) <= (others => '0');
Elsif (counter (5) = '1') then
data_concat_mcdma (63 downto 32) <= m_axis_mm2s_tdata;
end if;
end if;
end process DATA_MCDMA_REG2;
end generate MCDMA_BD_FETCH;
---------------------------------------------------------------------------
-- For 32-bit SG addresses then drive zero on msb
---------------------------------------------------------------------------
GEN_CURDESC_32 : if C_M_AXI_SG_ADDR_WIDTH = 32 generate
begin
msb_curdesc <= (others => '0');
end generate GEN_CURDESC_32;
---------------------------------------------------------------------------
-- For 64-bit SG addresses then capture upper order adder to msb
---------------------------------------------------------------------------
GEN_CURDESC_64 : if C_M_AXI_SG_ADDR_WIDTH = 64 generate
begin
CAPTURE_CURADDR : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
msb_curdesc <= (others => '0');
elsif(ftch_cmnd_wr = '1')then
msb_curdesc <= ftch_cmnd_data(DATAMOVER_CMD_ADDRMSB_BOFST
+ C_M_AXI_SG_ADDR_WIDTH
downto DATAMOVER_CMD_ADDRMSB_BOFST
+ DATAMOVER_CMD_ADDRLSB_BIT + 1);
end if;
end if;
end process CAPTURE_CURADDR;
end generate GEN_CURDESC_64;
---------------------------------------------------------------------------
-- Write lower order Next Descriptor Pointer out to pntr_mngr
---------------------------------------------------------------------------
REG_LSB_NXTPNTR : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' )then
nxtdesc_int(31 downto 0) <= (others => '0');
-- On valid and word count at 0 and channel active capture LSB next pointer
elsif(m_axis_mm2s_tvalid = '1' and counter (0) = '1')then
nxtdesc_int(31 downto 6) <= m_axis_mm2s_tdata (31 downto 6);
-- BD addresses are always 16 word 32-bit aligned
nxtdesc_int(5 downto 0) <= (others => '0');
end if;
end if;
end process REG_LSB_NXTPNTR;
lsbnxtdesc_tready <= '1' when m_axis_mm2s_tvalid = '1'
and counter (0) = '1' --etch_word_count = WORD_ZERO
else '0';
---------------------------------------------------------------------------
-- 64 Bit Scatter Gather addresses enabled
---------------------------------------------------------------------------
GEN_UPPER_MSB_NXTDESC : if C_M_AXI_SG_ADDR_WIDTH = 64 generate
begin
---------------------------------------------------------------------------
-- Write upper order Next Descriptor Pointer out to pntr_mngr
---------------------------------------------------------------------------
REG_MSB_NXTPNTR : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' )then
nxtdesc_int(63 downto 32) <= (others => '0');
ch1_nxtdesc_wren <= '0';
ch2_nxtdesc_wren <= '0';
-- Capture upper pointer, drive ready to progress DataMover
-- and also write nxtdesc out
elsif(m_axis_mm2s_tvalid = '1' and counter (1) = '1') then -- etch_word_count = WORD_ONE)then
nxtdesc_int(63 downto 32) <= m_axis_mm2s_tdata;
ch1_nxtdesc_wren <= ch1_ftch_active;
ch2_nxtdesc_wren <= ch2_ftch_active;
-- Assert tready/wren for only 1 clock
else
ch1_nxtdesc_wren <= '0';
ch2_nxtdesc_wren <= '0';
end if;
end if;
end process REG_MSB_NXTPNTR;
msbnxtdesc_tready <= '1' when m_axis_mm2s_tvalid = '1'
and counter (1) = '1' --fetch_word_count = WORD_ONE
else '0';
end generate GEN_UPPER_MSB_NXTDESC;
---------------------------------------------------------------------------
-- 32 Bit Scatter Gather addresses enabled
---------------------------------------------------------------------------
GEN_NO_UPR_MSB_NXTDESC : if C_M_AXI_SG_ADDR_WIDTH = 32 generate
begin
-----------------------------------------------------------------------
-- No upper order therefore dump fetched word and write pntr lower next
-- pointer to pntr mngr
-----------------------------------------------------------------------
REG_MSB_NXTPNTR : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' )then
ch1_nxtdesc_wren <= '0';
ch2_nxtdesc_wren <= '0';
-- Throw away second word but drive ready to progress DataMover
-- and also write nxtdesc out
elsif(m_axis_mm2s_tvalid = '1' and counter (1) = '1') then --fetch_word_count = WORD_ONE)then
ch1_nxtdesc_wren <= ch1_ftch_active;
ch2_nxtdesc_wren <= ch2_ftch_active;
-- Assert for only 1 clock
else
ch1_nxtdesc_wren <= '0';
ch2_nxtdesc_wren <= '0';
end if;
end if;
end process REG_MSB_NXTPNTR;
msbnxtdesc_tready <= '1' when m_axis_mm2s_tvalid = '1'
and counter (1) = '1' --fetch_word_count = WORD_ONE
else '0';
end generate GEN_NO_UPR_MSB_NXTDESC;
-- Drive ready to DataMover for ether lsb or msb capture
nxtdesc_tready <= msbnxtdesc_tready or lsbnxtdesc_tready;
-- Generate logic for checking stale descriptor
GEN_STALE_DESC_CHECK : if C_SG_CH1_ENBL_STALE_ERROR = 1 or C_SG_CH2_ENBL_STALE_ERROR = 1 generate
begin
---------------------------------------------------------------------------
-- Examine Completed BIT to determine if stale descriptor fetched
---------------------------------------------------------------------------
CMPLTD_CHECK : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' )then
ftch_stale_desc <= '0';
-- On valid and word count at 0 and channel active capture LSB next pointer
elsif(m_axis_mm2s_tvalid = '1' and counter (7) = '1' --fetch_word_count = WORD_SEVEN
and m_axis_mm2s_tready_i = '1'
and m_axis_mm2s_tdata(DESC_STS_CMPLTD_BIT) = '1' )then
ftch_stale_desc <= '1' and (not cyclic_enable);
else
ftch_stale_desc <= '0';
end if;
end if;
end process CMPLTD_CHECK;
end generate GEN_STALE_DESC_CHECK;
-- No needed logic for checking stale descriptor
GEN_NO_STALE_CHECK : if C_SG_CH1_ENBL_STALE_ERROR = 0 and C_SG_CH2_ENBL_STALE_ERROR = 0 generate
begin
ftch_stale_desc <= '0';
end generate GEN_NO_STALE_CHECK;
---------------------------------------------------------------------------
-- SG Queueing therefore pass stream signals to
-- FIFO
---------------------------------------------------------------------------
GEN_QUEUE : if C_SG_FTCH_DESC2QUEUE /= 0 generate
begin
-- Instantiate the queue version
FTCH_QUEUE_I : entity axi_sg_v4_1.axi_sg_ftch_queue
generic map(
C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH ,
C_M_AXIS_SG_TDATA_WIDTH => C_M_AXIS_SG_TDATA_WIDTH ,
C_SG_FTCH_DESC2QUEUE => C_SG_FTCH_DESC2QUEUE ,
C_SG_WORDS_TO_FETCH => C_SG_CH1_WORDS_TO_FETCH ,
C_AXIS_IS_ASYNC => C_AXIS_IS_ASYNC ,
C_ASYNC => C_ASYNC ,
C_FAMILY => C_FAMILY ,
C_SG2_WORDS_TO_FETCH => C_SG_CH2_WORDS_TO_FETCH ,
C_INCLUDE_MM2S => C_INCLUDE_CH1,
C_INCLUDE_S2MM => C_INCLUDE_CH2,
C_ENABLE_CDMA => C_ENABLE_CDMA,
C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL
)
port map(
-----------------------------------------------------------------------
-- AXI Scatter Gather Interface
-----------------------------------------------------------------------
m_axi_sg_aclk => m_axi_sg_aclk ,
m_axi_primary_aclk => m_axi_mm2s_aclk ,
m_axi_sg_aresetn => m_axi_sg_aresetn ,
p_reset_n => p_reset_n ,
ch2_sg_idle => '0' ,
-- Channel Control
desc1_flush => ch1_desc_flush ,
desc2_flush => ch2_desc_flush ,
ch1_cntrl_strm_stop => ch1_cntrl_strm_stop ,
ftch1_active => ch1_ftch_active ,
ftch2_active => ch2_ftch_active ,
ftch1_queue_empty => ch1_ftch_queue_empty ,
ftch2_queue_empty => ch2_ftch_queue_empty ,
ftch1_queue_full => ch1_ftch_queue_full ,
ftch2_queue_full => ch2_ftch_queue_full ,
ftch1_pause => ch1_ftch_pause ,
ftch2_pause => ch2_ftch_pause ,
writing_nxtdesc_in => nxtdesc_tready ,
writing1_curdesc_out => ch1_writing_curdesc ,
writing2_curdesc_out => ch2_writing_curdesc ,
-- DataMover Command
ftch_cmnd_wr => ftch_cmnd_wr ,
ftch_cmnd_data => ftch_cmnd_data ,
-- MM2S Stream In from DataMover
m_axis_mm2s_tdata => m_axis_mm2s_tdata ,
m_axis_mm2s_tlast => m_axis_mm2s_tlast ,
m_axis_mm2s_tvalid => m_axis_mm2s_tvalid ,
sof_ftch_desc => sof_ftch_desc ,
next_bd => nxtdesc_int ,
data_concat => data_concat,
data_concat_mcdma => data_concat_mcdma,
data_concat_valid => data_concat_valid,
data_concat_tlast => data_concat_tlast,
m_axis1_mm2s_tready => ch1_ftch_tready ,
m_axis2_mm2s_tready => ch2_ftch_tready ,
-- Channel 1 AXI Fetch Stream Out
m_axis_ftch_aclk => m_axi_sg_aclk, --m_axis_ch_ftch_aclk ,
m_axis_ftch1_tdata => m_axis_ch1_ftch_tdata ,
m_axis_ftch1_tvalid => m_axis_ch1_ftch_tvalid ,
m_axis_ftch1_tready => m_axis_ch1_ftch_tready ,
m_axis_ftch1_tlast => m_axis_ch1_ftch_tlast ,
m_axis_ftch1_tdata_new => m_axis_ch1_ftch_tdata_new ,
m_axis_ftch1_tdata_mcdma_new => m_axis_ch1_ftch_tdata_mcdma_new ,
m_axis_ftch1_tvalid_new => m_axis_ch1_ftch_tvalid_new ,
m_axis_ftch1_desc_available => m_axis_ftch1_desc_available ,
m_axis_ftch2_tdata_new => m_axis_ch2_ftch_tdata_new ,
m_axis_ftch2_tdata_mcdma_new => m_axis_ch2_ftch_tdata_mcdma_new ,
m_axis_ftch2_tvalid_new => m_axis_ch2_ftch_tvalid_new ,
m_axis_ftch2_desc_available => m_axis_ftch2_desc_available ,
m_axis_ftch2_tdata => m_axis_ch2_ftch_tdata ,
m_axis_ftch2_tvalid => m_axis_ch2_ftch_tvalid ,
m_axis_ftch2_tready => m_axis_ch2_ftch_tready ,
m_axis_ftch2_tlast => m_axis_ch2_ftch_tlast ,
m_axis_mm2s_cntrl_tdata => m_axis_mm2s_cntrl_tdata ,
m_axis_mm2s_cntrl_tkeep => m_axis_mm2s_cntrl_tkeep ,
m_axis_mm2s_cntrl_tvalid => m_axis_mm2s_cntrl_tvalid ,
m_axis_mm2s_cntrl_tready => m_axis_mm2s_cntrl_tready ,
m_axis_mm2s_cntrl_tlast => m_axis_mm2s_cntrl_tlast
);
m_axis_ch2_ftch_tdata_mcdma_nxt <= (others => '0');
end generate GEN_QUEUE;
-- No SG Queueing therefore pass stream signals straight
-- out channel port
GEN_NO_QUEUE : if C_SG_FTCH_DESC2QUEUE = 0 generate
begin
-- Instantiate the No queue version
NO_FTCH_QUEUE_I : entity axi_sg_v4_1.axi_sg_ftch_noqueue
generic map (
C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH,
C_M_AXIS_SG_TDATA_WIDTH => C_M_AXIS_SG_TDATA_WIDTH,
C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL,
C_AXIS_IS_ASYNC => C_AXIS_IS_ASYNC ,
C_ASYNC => C_ASYNC ,
C_FAMILY => C_FAMILY ,
C_SG_WORDS_TO_FETCH => C_SG_CH1_WORDS_TO_FETCH ,
C_ENABLE_CH1 => C_INCLUDE_CH1
)
port map(
-----------------------------------------------------------------------
-- AXI Scatter Gather Interface
-----------------------------------------------------------------------
m_axi_sg_aclk => m_axi_sg_aclk ,
m_axi_primary_aclk => m_axi_mm2s_aclk ,
m_axi_sg_aresetn => m_axi_sg_aresetn ,
p_reset_n => p_reset_n ,
-- Channel Control
desc_flush => ch1_desc_flush ,
ch1_cntrl_strm_stop => ch1_cntrl_strm_stop ,
ftch_active => ch1_ftch_active ,
ftch_queue_empty => ch1_ftch_queue_empty ,
ftch_queue_full => ch1_ftch_queue_full ,
desc2_flush => ch2_desc_flush ,
ftch2_active => ch2_ftch_active ,
ftch2_queue_empty => ch2_ftch_queue_empty ,
ftch2_queue_full => ch2_ftch_queue_full ,
writing_nxtdesc_in => nxtdesc_tready ,
writing_curdesc_out => ch1_writing_curdesc ,
writing2_curdesc_out => ch2_writing_curdesc ,
-- DataMover Command
ftch_cmnd_wr => ftch_cmnd_wr ,
ftch_cmnd_data => ftch_cmnd_data ,
-- MM2S Stream In from DataMover
m_axis_mm2s_tdata => m_axis_mm2s_tdata ,
m_axis_mm2s_tlast => m_axis_mm2s_tlast ,
m_axis_mm2s_tvalid => m_axis_mm2s_tvalid ,
m_axis_mm2s_tready => ch1_ftch_tready ,
m_axis2_mm2s_tready => ch2_ftch_tready ,
sof_ftch_desc => sof_ftch_desc ,
next_bd => nxtdesc_int ,
data_concat => data_concat,
data_concat_mcdma => data_concat_mcdma,
data_concat_valid => data_concat_valid,
data_concat_tlast => data_concat_tlast,
-- Channel 1 AXI Fetch Stream Out
m_axis_ftch_tdata => m_axis_ch1_ftch_tdata ,
m_axis_ftch_tvalid => m_axis_ch1_ftch_tvalid ,
m_axis_ftch_tready => m_axis_ch1_ftch_tready ,
m_axis_ftch_tlast => m_axis_ch1_ftch_tlast ,
m_axis_ftch_tdata_new => m_axis_ch1_ftch_tdata_new ,
m_axis_ftch_tdata_mcdma_new => m_axis_ch1_ftch_tdata_mcdma_new ,
m_axis_ftch_tvalid_new => m_axis_ch1_ftch_tvalid_new ,
m_axis_ftch_desc_available => m_axis_ftch1_desc_available ,
m_axis2_ftch_tdata_new => m_axis_ch2_ftch_tdata_new ,
m_axis2_ftch_tdata_mcdma_new => m_axis_ch2_ftch_tdata_mcdma_new ,
m_axis2_ftch_tdata_mcdma_nxt => m_axis_ch2_ftch_tdata_mcdma_nxt ,
m_axis2_ftch_tvalid_new => m_axis_ch2_ftch_tvalid_new ,
m_axis2_ftch_desc_available => m_axis_ftch2_desc_available ,
m_axis2_ftch_tdata => m_axis_ch2_ftch_tdata ,
m_axis2_ftch_tvalid => m_axis_ch2_ftch_tvalid ,
m_axis2_ftch_tready => m_axis_ch2_ftch_tready ,
m_axis2_ftch_tlast => m_axis_ch2_ftch_tlast ,
m_axis_mm2s_cntrl_tdata => m_axis_mm2s_cntrl_tdata ,
m_axis_mm2s_cntrl_tkeep => m_axis_mm2s_cntrl_tkeep ,
m_axis_mm2s_cntrl_tvalid => m_axis_mm2s_cntrl_tvalid ,
m_axis_mm2s_cntrl_tready => m_axis_mm2s_cntrl_tready ,
m_axis_mm2s_cntrl_tlast => m_axis_mm2s_cntrl_tlast
);
ch1_ftch_pause <= '0';
ch2_ftch_pause <= '0';
end generate GEN_NO_QUEUE;
-------------------------------------------------------------------------------
-- DataMover TREADY MUX
-------------------------------------------------------------------------------
writing_curdesc <= ch1_writing_curdesc or ch2_writing_curdesc or ftch_cmnd_wr;
TREADY_MUX : process(writing_curdesc,
fetch_word_count,
nxtdesc_tready,
-- channel 1 signals
ch1_ftch_active,
ch1_desc_flush,
ch1_ftch_tready,
-- channel 2 signals
ch2_ftch_active,
ch2_desc_flush,
counter(0),
counter(1),
ch2_ftch_tready)
begin
-- If commmanded to flush descriptor then assert ready
-- to datamover until active de-asserts. this allows
-- any commanded fetches to complete.
if( (ch1_desc_flush = '1' and ch1_ftch_active = '1')
or(ch2_desc_flush = '1' and ch2_ftch_active = '1'))then
m_axis_mm2s_tready_i <= '1';
-- NOT ready if cmnd being written because
-- curdesc gets written to queue
elsif(writing_curdesc = '1')then
m_axis_mm2s_tready_i <= '0';
-- First two words drive ready from internal logic
elsif(counter(0) = '1' or counter(1)='1')then
m_axis_mm2s_tready_i <= nxtdesc_tready;
-- Remainder stream words drive ready from channel input
else
m_axis_mm2s_tready_i <= (ch1_ftch_active and ch1_ftch_tready)
or (ch2_ftch_active and ch2_ftch_tready);
end if;
end process TREADY_MUX;
m_axis_mm2s_tready <= m_axis_mm2s_tready_i;
end implementation;
| bsd-2-clause | e9da89705b2a67b0a0eee6aebee8c565 | 0.433531 | 4.25371 | false | false | false | false |
tdaede/daala_zynq | daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_rd_status_cntl.vhd | 1 | 19,598 | -------------------------------------------------------------------------------
-- axi_datamover_rd_status_cntl.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_datamover_rd_status_cntl.vhd
--
-- Description:
-- This file implements the DataMover Master Read Status Controller.
--
--
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- axi_datamover_rd_status_cntl.vhd
--
-------------------------------------------------------------------------------
-- Revision History:
--
--
-- Author: DET
--
-- History:
-- DET 04/19/2011 Initial Version for EDK 13.3
--
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
-------------------------------------------------------------------------------
entity axi_datamover_rd_status_cntl is
generic (
C_STS_WIDTH : Integer := 8;
-- sets the width of the Status ports
C_TAG_WIDTH : Integer range 1 to 8 := 4
-- Sets the width of the Tag field in the Status reply
);
port (
-- Clock and Reset input --------------------------------------
--
primary_aclk : in std_logic; --
-- Primary synchronization clock for the Master side --
-- interface and internal logic. It is also used --
-- for the User interface synchronization when --
-- C_STSCMD_IS_ASYNC = 0. --
--
-- Reset input --
mmap_reset : in std_logic; --
-- Reset used for the internal master logic --
---------------------------------------------------------------
-- Command Calculator Status Interface ---------------------------
--
calc2rsc_calc_error : in std_logic ; --
-- Indication from the Command Calculator that a calculation --
-- error has occured. --
-------------------------------------------------------------------
-- Address Controller Status Interface ----------------------------
--
addr2rsc_calc_error : In std_logic ; --
-- Indication from the Data Channel Controller FIFO that it --
-- is empty (no commands pending) --
--
addr2rsc_fifo_empty : In std_logic ; --
-- Indication from the Address Controller FIFO that it --
-- is empty (no commands pending) --
-------------------------------------------------------------------
-- Data Controller Status Interface ---------------------------------------------
--
data2rsc_tag : In std_logic_vector(C_TAG_WIDTH-1 downto 0); --
-- The command tag --
--
data2rsc_calc_error : In std_logic ; --
-- Indication from the Data Channel Controller FIFO that it --
-- is empty (no commands pending) --
--
data2rsc_okay : In std_logic ; --
-- Indication that the AXI Read transfer completed with OK status --
--
data2rsc_decerr : In std_logic ; --
-- Indication that the AXI Read transfer completed with decode error status --
--
data2rsc_slverr : In std_logic ; --
-- Indication that the AXI Read transfer completed with slave error status --
--
data2rsc_cmd_cmplt : In std_logic ; --
-- Indication by the Data Channel Controller that the --
-- corresponding status is the last status for a parent command --
-- pulled from the command FIFO --
--
rsc2data_ready : Out std_logic; --
-- Handshake bit from the Read Status Controller Module indicating --
-- that the it is ready to accept a new Read status transfer --
--
data2rsc_valid : in std_logic ; --
-- Handshake bit output to the Read Status Controller Module --
-- indicating that the Data Controller has valid tag and status --
-- indicators to transfer --
----------------------------------------------------------------------------------
-- Command/Status Module Interface ----------------------------------------------
--
rsc2stat_status : Out std_logic_vector(C_STS_WIDTH-1 downto 0); --
-- Read Status value collected during a Read Data transfer --
-- Output to the Command/Status Module --
--
stat2rsc_status_ready : In std_logic; --
-- Input from the Command/Status Module indicating that the --
-- Status Reg/FIFO is ready to accept a transfer --
--
rsc2stat_status_valid : Out std_logic ; --
-- Control Signal to the Status Reg/FIFO indicating a new status --
-- output value is valid and ready for transfer --
---------------------------------------------------------------------------------
-- Address and Data Controller Pipe halt ----------------------------------
--
rsc2mstr_halt_pipe : Out std_logic --
-- Indication to Halt the Data and Address Command pipeline due --
-- to the Status FIFO going full or an internal error being logged --
---------------------------------------------------------------------------
);
end entity axi_datamover_rd_status_cntl;
architecture implementation of axi_datamover_rd_status_cntl is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-- Constant Declarations --------------------------------------------
Constant OKAY : std_logic_vector(1 downto 0) := "00";
Constant EXOKAY : std_logic_vector(1 downto 0) := "01";
Constant SLVERR : std_logic_vector(1 downto 0) := "10";
Constant DECERR : std_logic_vector(1 downto 0) := "11";
Constant STAT_RSVD : std_logic_vector(3 downto 0) := "0000";
Constant TAG_WIDTH : integer := C_TAG_WIDTH;
Constant STAT_REG_TAG_WIDTH : integer := 4;
-- Signal Declarations --------------------------------------------
signal sig_tag2status : std_logic_vector(TAG_WIDTH-1 downto 0) := (others => '0');
signal sig_rsc2status_valid : std_logic := '0';
signal sig_rsc2data_ready : std_logic := '0';
signal sig_rd_sts_okay_reg : std_logic := '0';
signal sig_rd_sts_interr_reg : std_logic := '0';
signal sig_rd_sts_decerr_reg : std_logic := '0';
signal sig_rd_sts_slverr_reg : std_logic := '0';
signal sig_rd_sts_tag_reg : std_logic_vector(TAG_WIDTH-1 downto 0) := (others => '0');
signal sig_pop_rd_sts_reg : std_logic := '0';
signal sig_push_rd_sts_reg : std_logic := '0';
Signal sig_rd_sts_push_ok : std_logic := '0';
signal sig_rd_sts_reg_empty : std_logic := '0';
signal sig_rd_sts_reg_full : std_logic := '0';
begin --(architecture implementation)
-- Assign the status write output control
rsc2stat_status_valid <= sig_rsc2status_valid ;
sig_rsc2status_valid <= sig_rd_sts_reg_full;
-- Formulate the status outout value (assumes an 8-bit status width)
rsc2stat_status <= sig_rd_sts_okay_reg &
sig_rd_sts_slverr_reg &
sig_rd_sts_decerr_reg &
sig_rd_sts_interr_reg &
sig_tag2status;
-- Detect that a push of a new status word is completing
sig_rd_sts_push_ok <= sig_rsc2status_valid and
stat2rsc_status_ready;
-- Signal a halt to the execution pipe if new status
-- is valid but the Status FIFO is not accepting it
rsc2mstr_halt_pipe <= sig_rsc2status_valid and
(not(stat2rsc_status_ready) );
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_TAG_LE_STAT
--
-- If Generate Description:
-- Populates the TAG bits into the availble Status bits when
-- the TAG width is less than or equal to the available number
-- of bits in the Status word.
--
------------------------------------------------------------
GEN_TAG_LE_STAT : if (TAG_WIDTH <= STAT_REG_TAG_WIDTH) generate
-- local signals
signal lsig_temp_tag_small : std_logic_vector(STAT_REG_TAG_WIDTH-1 downto 0) := (others => '0');
begin
sig_tag2status <= lsig_temp_tag_small;
-------------------------------------------------------------
-- Combinational Process
--
-- Label: POPULATE_SMALL_TAG
--
-- Process Description:
--
--
-------------------------------------------------------------
POPULATE_SMALL_TAG : process (sig_rd_sts_tag_reg)
begin
-- Set default value
lsig_temp_tag_small <= (others => '0');
-- Now overload actual TAG bits
lsig_temp_tag_small(TAG_WIDTH-1 downto 0) <= sig_rd_sts_tag_reg;
end process POPULATE_SMALL_TAG;
end generate GEN_TAG_LE_STAT;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_TAG_GT_STAT
--
-- If Generate Description:
-- Populates the TAG bits into the availble Status bits when
-- the TAG width is greater than the available number of
-- bits in the Status word. The upper bits of the TAG are
-- clipped off (discarded).
--
------------------------------------------------------------
GEN_TAG_GT_STAT : if (TAG_WIDTH > STAT_REG_TAG_WIDTH) generate
-- local signals
signal lsig_temp_tag_big : std_logic_vector(STAT_REG_TAG_WIDTH-1 downto 0);
begin
sig_tag2status <= lsig_temp_tag_big;
-------------------------------------------------------------
-- Combinational Process
--
-- Label: POPULATE_BIG_TAG
--
-- Process Description:
--
--
-------------------------------------------------------------
POPULATE_SMALL_TAG : process (sig_rd_sts_tag_reg)
begin
-- Set default value
lsig_temp_tag_big <= (others => '0');
-- Now overload actual TAG bits
lsig_temp_tag_big <= sig_rd_sts_tag_reg(STAT_REG_TAG_WIDTH-1 downto 0);
end process POPULATE_SMALL_TAG;
end generate GEN_TAG_GT_STAT;
------- Read Status Collection Logic --------------------------------
rsc2data_ready <= sig_rsc2data_ready ;
sig_rsc2data_ready <= sig_rd_sts_reg_empty;
sig_push_rd_sts_reg <= data2rsc_valid and
sig_rsc2data_ready;
sig_pop_rd_sts_reg <= sig_rd_sts_push_ok;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: RD_STATUS_FIFO_REG
--
-- Process Description:
-- Implement Read status FIFO register.
-- This register holds the Read status from the Data Controller
-- until it is transfered to the Status FIFO.
--
-------------------------------------------------------------
RD_STATUS_FIFO_REG : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1' or
sig_pop_rd_sts_reg = '1') then
sig_rd_sts_tag_reg <= (others => '0');
sig_rd_sts_interr_reg <= '0';
sig_rd_sts_decerr_reg <= '0';
sig_rd_sts_slverr_reg <= '0';
sig_rd_sts_okay_reg <= '1'; -- set back to default of "OKAY"
sig_rd_sts_reg_full <= '0';
sig_rd_sts_reg_empty <= '1';
Elsif (sig_push_rd_sts_reg = '1') Then
sig_rd_sts_tag_reg <= data2rsc_tag;
sig_rd_sts_interr_reg <= data2rsc_calc_error or
sig_rd_sts_interr_reg;
sig_rd_sts_decerr_reg <= data2rsc_decerr or sig_rd_sts_decerr_reg;
sig_rd_sts_slverr_reg <= data2rsc_slverr or sig_rd_sts_slverr_reg;
sig_rd_sts_okay_reg <= data2rsc_okay and
not(data2rsc_decerr or
sig_rd_sts_decerr_reg or
data2rsc_slverr or
sig_rd_sts_slverr_reg or
data2rsc_calc_error or
sig_rd_sts_interr_reg
);
sig_rd_sts_reg_full <= data2rsc_cmd_cmplt or
data2rsc_calc_error;
sig_rd_sts_reg_empty <= not(data2rsc_cmd_cmplt or
data2rsc_calc_error);
else
null; -- hold current state
end if;
end if;
end process RD_STATUS_FIFO_REG;
end implementation;
| bsd-2-clause | e59ef23da81c505e2dba946822a05afb | 0.402745 | 5.634848 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/styles/code_examples/graphicsaccelerator/FrameBuffer2.vhd | 1 | 1,367 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
use IEEE.std_logic_unsigned.all;
use IEEE.STD_LOGIC_ARITH.ALL;
entity FrameBuffer is
Port ( inX : in STD_LOGIC_VECTOR (9 downto 0);
inY : in STD_LOGIC_VECTOR (8 downto 0);
outX : in STD_LOGIC_VECTOR (9 downto 0);
outY : in STD_LOGIC_VECTOR (8 downto 0);
outColor : out STD_LOGIC_VECTOR (2 downto 0);
inColor : in STD_LOGIC_VECTOR (2 downto 0);
BufferWrite : in STD_LOGIC;
Clk : in STD_LOGIC);
end FrameBuffer;
architecture Behavioral of FrameBuffer is
type FBuffer is array (0 to 524288/16-1) of std_logic_vector (2 downto 0);
impure function initFB return FBuffer is
variable temp : FBuffer;
variable i : integer;
begin
for i in 0 to 524288/16-1 loop
temp(i) := "000";
end loop;
return temp;
end initFB;
signal mybuffer : FBuffer := initFB;
signal addressWrite,addressRead : STD_LOGIC_VECTOR (14 downto 0);
signal temp : STD_LOGIC_VECTOR (2 downto 0);
begin
addressWrite <= inX(9 downto 2) & inY(8 downto 2);
addressRead <= outX(9 downto 2) & outY(8 downto 2);
outColor <= temp;
process (clk) begin
if (rising_edge(Clk)) then
if (BufferWrite = '1') then
mybuffer(conv_integer(addressWrite)) <= inColor;
end if;
temp <= mybuffer(conv_integer(addressRead));
end if;
end process;
end Behavioral; | gpl-3.0 | eb994a51299e39ad16f7c4a20b442757 | 0.675201 | 3.142529 | false | false | false | false |
tdaede/daala_zynq | daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_bram_ctrl_0_0/axi_bram_ctrl_v3_0/hdl/vhdl/checkbit_handler_64.vhd | 1 | 78,226 | -------------------------------------------------------------------------------
-- checkbit_handler_64.vhd
-------------------------------------------------------------------------------
--
--
-- (c) Copyright [2010 - 2011] Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--
-------------------------------------------------------------------------------
-- Filename: checkbit_handler_64.vhd
--
-- Description: Generates the ECC checkbits for the input vector of
-- 64-bit data widths.
--
-- VHDL-Standard: VHDL'93/02
--
-------------------------------------------------------------------------------
-- Structure:
-- axi_bram_ctrl.vhd (v1_03_a)
-- |
-- |-- full_axi.vhd
-- | -- sng_port_arb.vhd
-- | -- lite_ecc_reg.vhd
-- | -- axi_lite_if.vhd
-- | -- wr_chnl.vhd
-- | -- wrap_brst.vhd
-- | -- ua_narrow.vhd
-- | -- checkbit_handler.vhd
-- | -- xor18.vhd
-- | -- parity.vhd
-- | -- checkbit_handler_64.vhd
-- | -- (same helper components as checkbit_handler)
-- | -- parity.vhd
-- | -- correct_one_bit.vhd
-- | -- correct_one_bit_64.vhd
-- |
-- | -- rd_chnl.vhd
-- | -- wrap_brst.vhd
-- | -- ua_narrow.vhd
-- | -- checkbit_handler.vhd
-- | -- xor18.vhd
-- | -- parity.vhd
-- | -- checkbit_handler_64.vhd
-- | -- (same helper components as checkbit_handler)
-- | -- parity.vhd
-- | -- correct_one_bit.vhd
-- | -- correct_one_bit_64.vhd
-- |
-- |-- axi_lite.vhd
-- | -- lite_ecc_reg.vhd
-- | -- axi_lite_if.vhd
-- | -- checkbit_handler.vhd
-- | -- xor18.vhd
-- | -- parity.vhd
-- | -- checkbit_handler_64.vhd
-- | -- (same helper components as checkbit_handler)
-- | -- correct_one_bit.vhd
-- | -- correct_one_bit_64.vhd
--
--
-------------------------------------------------------------------------------
--
-- History:
--
-- ^^^^^^
-- JLJ 2/2/2011 v1.03a
-- ~~~~~~
-- Migrate to v1.03a.
-- Plus minor code cleanup.
-- ^^^^^^
--
--
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
entity checkbit_handler_64 is
generic (
C_ENCODE : boolean := true;
C_REG : boolean := false;
C_USE_LUT6 : boolean := true);
port (
Clk : in std_logic;
DataIn : in std_logic_vector (63 downto 0);
CheckIn : in std_logic_vector (7 downto 0);
CheckOut : out std_logic_vector (7 downto 0);
Syndrome : out std_logic_vector (7 downto 0);
Syndrome_7 : out std_logic_vector (11 downto 0);
Syndrome_Chk : in std_logic_vector (0 to 7);
Enable_ECC : in std_logic;
UE_Q : in std_logic;
CE_Q : in std_logic;
UE : out std_logic;
CE : out std_logic
);
end entity checkbit_handler_64;
library unisim;
use unisim.vcomponents.all;
-- library axi_bram_ctrl_v1_02_a;
-- use axi_bram_ctrl_v1_02_a.all;
architecture IMP of checkbit_handler_64 is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of IMP : architecture is "yes";
component XOR18 is
generic (
C_USE_LUT6 : boolean);
port (
InA : in std_logic_vector(0 to 17);
res : out std_logic);
end component XOR18;
component Parity is
generic (
C_USE_LUT6 : boolean;
C_SIZE : integer);
port (
InA : in std_logic_vector(0 to C_SIZE - 1);
Res : out std_logic);
end component Parity;
-- component ParityEnable
-- generic (
-- C_USE_LUT6 : boolean;
-- C_SIZE : integer);
-- port (
-- InA : in std_logic_vector(0 to C_SIZE - 1);
-- Enable : in std_logic;
-- Res : out std_logic);
-- end component ParityEnable;
signal data_chk0 : std_logic_vector(0 to 34);
signal data_chk1 : std_logic_vector(0 to 34);
signal data_chk2 : std_logic_vector(0 to 34);
signal data_chk3 : std_logic_vector(0 to 30);
signal data_chk4 : std_logic_vector(0 to 30);
signal data_chk5 : std_logic_vector(0 to 30);
signal data_chk6 : std_logic_vector(0 to 6);
signal data_chk6_xor : std_logic;
-- signal data_chk7_a : std_logic_vector(0 to 17);
-- signal data_chk7_b : std_logic_vector(0 to 17);
-- signal data_chk7_i : std_logic;
-- signal data_chk7_xor : std_logic;
-- signal data_chk7_i_xor : std_logic;
-- signal data_chk7_a_xor : std_logic;
-- signal data_chk7_b_xor : std_logic;
begin -- architecture IMP
-- Add bits for 64-bit ECC
-- 0 <= 0 1 3 4 6 8 10 11 13 17 19 21 23 25 26 28 30
-- 32 34 36 38 40 42 44 46 48 50 52 54 56 57 59 61 63
data_chk0 <= DataIn(0) & DataIn(1) & DataIn(3) & DataIn(4) & DataIn(6) & DataIn(8) & DataIn(10) &
DataIn(11) & DataIn(13) & DataIn(15) & DataIn(17) & DataIn(19) & DataIn(21) &
DataIn(23) & DataIn(25) & DataIn(26) & DataIn(28) & DataIn(30) &
DataIn(32) & DataIn(34) & DataIn(36) & DataIn(38) & DataIn(40) &
DataIn(42) & DataIn(44) & DataIn(46) & DataIn(48) & DataIn(50) &
DataIn(52) & DataIn(54) & DataIn(56) & DataIn(57) & DataIn(59) &
DataIn(61) & DataIn(63) ;
-- 18 + 17 = 35
---------------------------------------------------------------------------
-- 1 <= 0 2 3 5 6 9 10 12 13 16 17 20 21 24 25 27 28 31
-- 32 35 36 39 40 43 44 47 48 51 52 55 56 58 59 62 63
data_chk1 <= DataIn(0) & DataIn(2) & DataIn(3) & DataIn(5) & DataIn(6) & DataIn(9) & DataIn(10) &
DataIn(12) & DataIn(13) & DataIn(16) & DataIn(17) & DataIn(20) & DataIn(21) &
DataIn(24) & DataIn(25) & DataIn(27) & DataIn(28) & DataIn(31) &
DataIn(32) & DataIn(35) & DataIn(36) & DataIn(39) & DataIn(40) &
DataIn(43) & DataIn(44) & DataIn(47) & DataIn(48) & DataIn(51) &
DataIn(52) & DataIn(55) & DataIn(56) & DataIn(58) & DataIn(59) &
DataIn(62) & DataIn(63) ;
-- 18 + 17 = 35
---------------------------------------------------------------------------
-- 2 <= 1 2 3 7 8 9 10 14 15 16 17 22 23 24 25 29 30 31
-- 32 37 38 39 40 45 46 47 48 53 54 55 56 60 61 62 63
data_chk2 <= DataIn(1) & DataIn(2) & DataIn(3) & DataIn(7) & DataIn(8) & DataIn(9) & DataIn(10) &
DataIn(14) & DataIn(15) & DataIn(16) & DataIn(17) & DataIn(22) & DataIn(23) & DataIn(24) &
DataIn(25) & DataIn(29) & DataIn(30) & DataIn(31) &
DataIn(32) & DataIn(37) & DataIn(38) & DataIn(39) & DataIn(40) & DataIn(45) &
DataIn(46) & DataIn(47) & DataIn(48) & DataIn(53) & DataIn(54) & DataIn(55) &
DataIn(56) & DataIn(60) & DataIn(61) & DataIn(62) & DataIn(63) ;
-- 18 + 17 = 35
---------------------------------------------------------------------------
-- 3 <= 4 5 6 7 8 9 10 18 19 20 21 22 23 24 25
-- 33 34 35 36 37 38 39 40 49 50 51 52 53 54 55 56
data_chk3 <= DataIn(4) & DataIn(5) & DataIn(6) & DataIn(7) & DataIn(8) & DataIn(9) & DataIn(10) &
DataIn(18) & DataIn(19) & DataIn(20) & DataIn(21) & DataIn(22) & DataIn(23) & DataIn(24) &
DataIn(25) &
DataIn(33) & DataIn(34) & DataIn(35) & DataIn(36) & DataIn(37) & DataIn(38) & DataIn(39) &
DataIn(40) & DataIn(49) & DataIn(50) & DataIn(51) & DataIn(52) & DataIn(53) & DataIn(54) &
DataIn(55) & DataIn(56) ;
-- 15 + 16 = 31
---------------------------------------------------------------------------
-- 4 <= 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25
-- 41-56
data_chk4 <= DataIn(11) & DataIn(12) & DataIn(13) & DataIn(14) & DataIn(15) & DataIn(16) & DataIn(17) &
DataIn(18) & DataIn(19) & DataIn(20) & DataIn(21) & DataIn(22) & DataIn(23) & DataIn(24) &
DataIn(25) &
DataIn(41) & DataIn(42) & DataIn(43) & DataIn(44) & DataIn(45) & DataIn(46) & DataIn(47) &
DataIn(48) & DataIn(49) & DataIn(50) & DataIn(51) & DataIn(52) & DataIn(53) & DataIn(54) &
DataIn(55) & DataIn(56) ;
-- 15 + 16 = 31
---------------------------------------------------------------------------
-- 5 <= 26 - 31
-- 32 - 56
data_chk5 <= DataIn(26) & DataIn(27) & DataIn(28) & DataIn(29) & DataIn(30) & DataIn(31) &
DataIn(32) & DataIn(33) & DataIn(34) & DataIn(35) & DataIn(36) & DataIn(37) &
DataIn(38) & DataIn(39) & DataIn(40) & DataIn(41) & DataIn(42) & DataIn(43) &
DataIn(44) & DataIn(45) & DataIn(46) & DataIn(47) & DataIn(48) & DataIn(49) &
DataIn(50) & DataIn(51) & DataIn(52) & DataIn(53) & DataIn(54) & DataIn(55) &
DataIn(56) ;
-- 18 + 13 = 31
---------------------------------------------------------------------------
-- New additional checkbit for 64-bit data
-- 6 <= 57 - 63
data_chk6 <= DataIn(57) & DataIn(58) & DataIn(59) & DataIn(60) & DataIn(61) & DataIn(62) &
DataIn(63) ;
-- Encode bits for writing data
Encode_Bits : if (C_ENCODE) generate
-- signal data_chk0_i : std_logic_vector(0 to 17);
-- signal data_chk0_xor : std_logic;
-- signal data_chk0_i_xor : std_logic;
-- signal data_chk1_i : std_logic_vector(0 to 17);
-- signal data_chk1_xor : std_logic;
-- signal data_chk1_i_xor : std_logic;
-- signal data_chk2_i : std_logic_vector(0 to 17);
-- signal data_chk2_xor : std_logic;
-- signal data_chk2_i_xor : std_logic;
-- signal data_chk3_i : std_logic_vector(0 to 17);
-- signal data_chk3_xor : std_logic;
-- signal data_chk3_i_xor : std_logic;
-- signal data_chk4_i : std_logic_vector(0 to 17);
-- signal data_chk4_xor : std_logic;
-- signal data_chk4_i_xor : std_logic;
-- signal data_chk5_i : std_logic_vector(0 to 17);
-- signal data_chk5_xor : std_logic;
-- signal data_chk5_i_xor : std_logic;
-- signal data_chk6_i : std_logic;
-- signal data_chk0_xor_reg : std_logic;
-- signal data_chk0_i_xor_reg : std_logic;
-- signal data_chk1_xor_reg : std_logic;
-- signal data_chk1_i_xor_reg : std_logic;
-- signal data_chk2_xor_reg : std_logic;
-- signal data_chk2_i_xor_reg : std_logic;
-- signal data_chk3_xor_reg : std_logic;
-- signal data_chk3_i_xor_reg : std_logic;
-- signal data_chk4_xor_reg : std_logic;
-- signal data_chk4_i_xor_reg : std_logic;
-- signal data_chk5_xor_reg : std_logic;
-- signal data_chk5_i_xor_reg : std_logic;
-- signal data_chk6_i_reg : std_logic;
-- signal data_chk7_a_xor_reg : std_logic;
-- signal data_chk7_b_xor_reg : std_logic;
-- Checkbit (0)
signal data_chk0_a : std_logic_vector (0 to 5);
signal data_chk0_b : std_logic_vector (0 to 5);
signal data_chk0_c : std_logic_vector (0 to 5);
signal data_chk0_d : std_logic_vector (0 to 5);
signal data_chk0_e : std_logic_vector (0 to 5);
signal data_chk0_f : std_logic_vector (0 to 4);
signal data_chk0_a_xor : std_logic;
signal data_chk0_b_xor : std_logic;
signal data_chk0_c_xor : std_logic;
signal data_chk0_d_xor : std_logic;
signal data_chk0_e_xor : std_logic;
signal data_chk0_f_xor : std_logic;
signal data_chk0_a_xor_reg : std_logic;
signal data_chk0_b_xor_reg : std_logic;
signal data_chk0_c_xor_reg : std_logic;
signal data_chk0_d_xor_reg : std_logic;
signal data_chk0_e_xor_reg : std_logic;
signal data_chk0_f_xor_reg : std_logic;
-- Checkbit (1)
signal data_chk1_a : std_logic_vector (0 to 5);
signal data_chk1_b : std_logic_vector (0 to 5);
signal data_chk1_c : std_logic_vector (0 to 5);
signal data_chk1_d : std_logic_vector (0 to 5);
signal data_chk1_e : std_logic_vector (0 to 5);
signal data_chk1_f : std_logic_vector (0 to 4);
signal data_chk1_a_xor : std_logic;
signal data_chk1_b_xor : std_logic;
signal data_chk1_c_xor : std_logic;
signal data_chk1_d_xor : std_logic;
signal data_chk1_e_xor : std_logic;
signal data_chk1_f_xor : std_logic;
signal data_chk1_a_xor_reg : std_logic;
signal data_chk1_b_xor_reg : std_logic;
signal data_chk1_c_xor_reg : std_logic;
signal data_chk1_d_xor_reg : std_logic;
signal data_chk1_e_xor_reg : std_logic;
signal data_chk1_f_xor_reg : std_logic;
-- Checkbit (2)
signal data_chk2_a : std_logic_vector (0 to 5);
signal data_chk2_b : std_logic_vector (0 to 5);
signal data_chk2_c : std_logic_vector (0 to 5);
signal data_chk2_d : std_logic_vector (0 to 5);
signal data_chk2_e : std_logic_vector (0 to 5);
signal data_chk2_f : std_logic_vector (0 to 4);
signal data_chk2_a_xor : std_logic;
signal data_chk2_b_xor : std_logic;
signal data_chk2_c_xor : std_logic;
signal data_chk2_d_xor : std_logic;
signal data_chk2_e_xor : std_logic;
signal data_chk2_f_xor : std_logic;
signal data_chk2_a_xor_reg : std_logic;
signal data_chk2_b_xor_reg : std_logic;
signal data_chk2_c_xor_reg : std_logic;
signal data_chk2_d_xor_reg : std_logic;
signal data_chk2_e_xor_reg : std_logic;
signal data_chk2_f_xor_reg : std_logic;
-- Checkbit (3)
signal data_chk3_a : std_logic_vector (0 to 5);
signal data_chk3_b : std_logic_vector (0 to 5);
signal data_chk3_c : std_logic_vector (0 to 5);
signal data_chk3_d : std_logic_vector (0 to 5);
signal data_chk3_e : std_logic_vector (0 to 5);
signal data_chk3_a_xor : std_logic;
signal data_chk3_b_xor : std_logic;
signal data_chk3_c_xor : std_logic;
signal data_chk3_d_xor : std_logic;
signal data_chk3_e_xor : std_logic;
signal data_chk3_f_xor : std_logic;
signal data_chk3_a_xor_reg : std_logic;
signal data_chk3_b_xor_reg : std_logic;
signal data_chk3_c_xor_reg : std_logic;
signal data_chk3_d_xor_reg : std_logic;
signal data_chk3_e_xor_reg : std_logic;
signal data_chk3_f_xor_reg : std_logic;
-- Checkbit (4)
signal data_chk4_a : std_logic_vector (0 to 5);
signal data_chk4_b : std_logic_vector (0 to 5);
signal data_chk4_c : std_logic_vector (0 to 5);
signal data_chk4_d : std_logic_vector (0 to 5);
signal data_chk4_e : std_logic_vector (0 to 5);
signal data_chk4_a_xor : std_logic;
signal data_chk4_b_xor : std_logic;
signal data_chk4_c_xor : std_logic;
signal data_chk4_d_xor : std_logic;
signal data_chk4_e_xor : std_logic;
signal data_chk4_f_xor : std_logic;
signal data_chk4_a_xor_reg : std_logic;
signal data_chk4_b_xor_reg : std_logic;
signal data_chk4_c_xor_reg : std_logic;
signal data_chk4_d_xor_reg : std_logic;
signal data_chk4_e_xor_reg : std_logic;
signal data_chk4_f_xor_reg : std_logic;
-- Checkbit (5)
signal data_chk5_a : std_logic_vector (0 to 5);
signal data_chk5_b : std_logic_vector (0 to 5);
signal data_chk5_c : std_logic_vector (0 to 5);
signal data_chk5_d : std_logic_vector (0 to 5);
signal data_chk5_e : std_logic_vector (0 to 5);
signal data_chk5_a_xor : std_logic;
signal data_chk5_b_xor : std_logic;
signal data_chk5_c_xor : std_logic;
signal data_chk5_d_xor : std_logic;
signal data_chk5_e_xor : std_logic;
signal data_chk5_f_xor : std_logic;
signal data_chk5_a_xor_reg : std_logic;
signal data_chk5_b_xor_reg : std_logic;
signal data_chk5_c_xor_reg : std_logic;
signal data_chk5_d_xor_reg : std_logic;
signal data_chk5_e_xor_reg : std_logic;
signal data_chk5_f_xor_reg : std_logic;
-- Checkbit (6)
signal data_chk6_a : std_logic;
signal data_chk6_b : std_logic;
signal data_chk6_a_reg : std_logic;
signal data_chk6_b_reg : std_logic;
-- Checkbit (7)
signal data_chk7_a : std_logic_vector (0 to 5);
signal data_chk7_b : std_logic_vector (0 to 5);
signal data_chk7_c : std_logic_vector (0 to 5);
signal data_chk7_d : std_logic_vector (0 to 5);
signal data_chk7_e : std_logic_vector (0 to 5);
signal data_chk7_f : std_logic_vector (0 to 4);
signal data_chk7_a_xor : std_logic;
signal data_chk7_b_xor : std_logic;
signal data_chk7_c_xor : std_logic;
signal data_chk7_d_xor : std_logic;
signal data_chk7_e_xor : std_logic;
signal data_chk7_f_xor : std_logic;
signal data_chk7_a_xor_reg : std_logic;
signal data_chk7_b_xor_reg : std_logic;
signal data_chk7_c_xor_reg : std_logic;
signal data_chk7_d_xor_reg : std_logic;
signal data_chk7_e_xor_reg : std_logic;
signal data_chk7_f_xor_reg : std_logic;
begin
-----------------------------------------------------------------------------
-- For timing improvements, if check bit XOR logic
-- needs to be pipelined. Add register level here
-- after 1st LUT level.
REG_BITS : if (C_REG) generate
begin
REG_CHK: process (Clk)
begin
if (Clk'event and Clk = '1' ) then
-- Checkbit (0)
-- data_chk0_xor_reg <= data_chk0_xor;
-- data_chk0_i_xor_reg <= data_chk0_i_xor;
data_chk0_a_xor_reg <= data_chk0_a_xor;
data_chk0_b_xor_reg <= data_chk0_b_xor;
data_chk0_c_xor_reg <= data_chk0_c_xor;
data_chk0_d_xor_reg <= data_chk0_d_xor;
data_chk0_e_xor_reg <= data_chk0_e_xor;
data_chk0_f_xor_reg <= data_chk0_f_xor;
-- Checkbit (1)
-- data_chk1_xor_reg <= data_chk1_xor;
-- data_chk1_i_xor_reg <= data_chk1_i_xor;
data_chk1_a_xor_reg <= data_chk1_a_xor;
data_chk1_b_xor_reg <= data_chk1_b_xor;
data_chk1_c_xor_reg <= data_chk1_c_xor;
data_chk1_d_xor_reg <= data_chk1_d_xor;
data_chk1_e_xor_reg <= data_chk1_e_xor;
data_chk1_f_xor_reg <= data_chk1_f_xor;
-- Checkbit (2)
-- data_chk2_xor_reg <= data_chk2_xor;
-- data_chk2_i_xor_reg <= data_chk2_i_xor;
data_chk2_a_xor_reg <= data_chk2_a_xor;
data_chk2_b_xor_reg <= data_chk2_b_xor;
data_chk2_c_xor_reg <= data_chk2_c_xor;
data_chk2_d_xor_reg <= data_chk2_d_xor;
data_chk2_e_xor_reg <= data_chk2_e_xor;
data_chk2_f_xor_reg <= data_chk2_f_xor;
-- Checkbit (3)
-- data_chk3_xor_reg <= data_chk3_xor;
-- data_chk3_i_xor_reg <= data_chk3_i_xor;
data_chk3_a_xor_reg <= data_chk3_a_xor;
data_chk3_b_xor_reg <= data_chk3_b_xor;
data_chk3_c_xor_reg <= data_chk3_c_xor;
data_chk3_d_xor_reg <= data_chk3_d_xor;
data_chk3_e_xor_reg <= data_chk3_e_xor;
data_chk3_f_xor_reg <= data_chk3_f_xor;
-- Checkbit (4)
-- data_chk4_xor_reg <= data_chk4_xor;
-- data_chk4_i_xor_reg <= data_chk4_i_xor;
data_chk4_a_xor_reg <= data_chk4_a_xor;
data_chk4_b_xor_reg <= data_chk4_b_xor;
data_chk4_c_xor_reg <= data_chk4_c_xor;
data_chk4_d_xor_reg <= data_chk4_d_xor;
data_chk4_e_xor_reg <= data_chk4_e_xor;
data_chk4_f_xor_reg <= data_chk4_f_xor;
-- Checkbit (5)
-- data_chk5_xor_reg <= data_chk5_xor;
-- data_chk5_i_xor_reg <= data_chk5_i_xor;
data_chk5_a_xor_reg <= data_chk5_a_xor;
data_chk5_b_xor_reg <= data_chk5_b_xor;
data_chk5_c_xor_reg <= data_chk5_c_xor;
data_chk5_d_xor_reg <= data_chk5_d_xor;
data_chk5_e_xor_reg <= data_chk5_e_xor;
data_chk5_f_xor_reg <= data_chk5_f_xor;
-- Checkbit (6)
-- data_chk6_i_reg <= data_chk6_i;
data_chk6_a_reg <= data_chk6_a;
data_chk6_b_reg <= data_chk6_b;
-- Checkbit (7)
-- data_chk7_a_xor_reg <= data_chk7_a_xor;
-- data_chk7_b_xor_reg <= data_chk7_b_xor;
data_chk7_a_xor_reg <= data_chk7_a_xor;
data_chk7_b_xor_reg <= data_chk7_b_xor;
data_chk7_c_xor_reg <= data_chk7_c_xor;
data_chk7_d_xor_reg <= data_chk7_d_xor;
data_chk7_e_xor_reg <= data_chk7_e_xor;
data_chk7_f_xor_reg <= data_chk7_f_xor;
end if;
end process REG_CHK;
-- Perform the last XOR after the register stage
-- CheckOut(0) <= data_chk0_xor_reg xor data_chk0_i_xor_reg;
CheckOut(0) <= data_chk0_a_xor_reg xor
data_chk0_b_xor_reg xor
data_chk0_c_xor_reg xor
data_chk0_d_xor_reg xor
data_chk0_e_xor_reg xor
data_chk0_f_xor_reg;
-- CheckOut(1) <= data_chk1_xor_reg xor data_chk1_i_xor_reg;
CheckOut(1) <= data_chk1_a_xor_reg xor
data_chk1_b_xor_reg xor
data_chk1_c_xor_reg xor
data_chk1_d_xor_reg xor
data_chk1_e_xor_reg xor
data_chk1_f_xor_reg;
-- CheckOut(2) <= data_chk2_xor_reg xor data_chk2_i_xor_reg;
CheckOut(2) <= data_chk2_a_xor_reg xor
data_chk2_b_xor_reg xor
data_chk2_c_xor_reg xor
data_chk2_d_xor_reg xor
data_chk2_e_xor_reg xor
data_chk2_f_xor_reg;
-- CheckOut(3) <= data_chk3_xor_reg xor data_chk3_i_xor_reg;
CheckOut(3) <= data_chk3_a_xor_reg xor
data_chk3_b_xor_reg xor
data_chk3_c_xor_reg xor
data_chk3_d_xor_reg xor
data_chk3_e_xor_reg xor
data_chk3_f_xor_reg;
-- CheckOut(4) <= data_chk4_xor_reg xor data_chk4_i_xor_reg;
CheckOut(4) <= data_chk4_a_xor_reg xor
data_chk4_b_xor_reg xor
data_chk4_c_xor_reg xor
data_chk4_d_xor_reg xor
data_chk4_e_xor_reg xor
data_chk4_f_xor_reg;
-- CheckOut(5) <= data_chk5_xor_reg xor data_chk5_i_xor_reg;
CheckOut(5) <= data_chk5_a_xor_reg xor
data_chk5_b_xor_reg xor
data_chk5_c_xor_reg xor
data_chk5_d_xor_reg xor
data_chk5_e_xor_reg xor
data_chk5_f_xor_reg;
-- CheckOut(6) <= data_chk6_i_reg;
CheckOut(6) <= data_chk6_a_reg xor data_chk6_b_reg;
-- CheckOut(7) <= data_chk7_a_xor_reg xor data_chk7_b_xor_reg;
CheckOut(7) <= data_chk7_a_xor_reg xor
data_chk7_b_xor_reg xor
data_chk7_c_xor_reg xor
data_chk7_d_xor_reg xor
data_chk7_e_xor_reg xor
data_chk7_f_xor_reg;
end generate REG_BITS;
NO_REG_BITS: if (not C_REG) generate
begin
-- CheckOut(0) <= data_chk0_xor xor data_chk0_i_xor;
CheckOut(0) <= data_chk0_a_xor xor
data_chk0_b_xor xor
data_chk0_c_xor xor
data_chk0_d_xor xor
data_chk0_e_xor xor
data_chk0_f_xor;
-- CheckOut(1) <= data_chk1_xor xor data_chk1_i_xor;
CheckOut(1) <= data_chk1_a_xor xor
data_chk1_b_xor xor
data_chk1_c_xor xor
data_chk1_d_xor xor
data_chk1_e_xor xor
data_chk1_f_xor;
-- CheckOut(2) <= data_chk2_xor xor data_chk2_i_xor;
CheckOut(2) <= data_chk2_a_xor xor
data_chk2_b_xor xor
data_chk2_c_xor xor
data_chk2_d_xor xor
data_chk2_e_xor xor
data_chk2_f_xor;
-- CheckOut(3) <= data_chk3_xor xor data_chk3_i_xor;
CheckOut(3) <= data_chk3_a_xor xor
data_chk3_b_xor xor
data_chk3_c_xor xor
data_chk3_d_xor xor
data_chk3_e_xor xor
data_chk3_f_xor;
-- CheckOut(4) <= data_chk4_xor xor data_chk4_i_xor;
CheckOut(4) <= data_chk4_a_xor xor
data_chk4_b_xor xor
data_chk4_c_xor xor
data_chk4_d_xor xor
data_chk4_e_xor xor
data_chk4_f_xor;
-- CheckOut(5) <= data_chk5_xor xor data_chk5_i_xor;
CheckOut(5) <= data_chk5_a_xor xor
data_chk5_b_xor xor
data_chk5_c_xor xor
data_chk5_d_xor xor
data_chk5_e_xor xor
data_chk5_f_xor;
-- CheckOut(6) <= data_chk6_i;
CheckOut(6) <= data_chk6_a xor data_chk6_b;
-- CheckOut(7) <= data_chk7_a_xor xor data_chk7_b_xor;
CheckOut(7) <= data_chk7_a_xor xor
data_chk7_b_xor xor
data_chk7_c_xor xor
data_chk7_d_xor xor
data_chk7_e_xor xor
data_chk7_f_xor;
end generate NO_REG_BITS;
-----------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Checkbit 0 built up using 2x XOR18
-------------------------------------------------------------------------------
-- XOR18_I0_A : XOR18
-- generic map (
-- C_USE_LUT6 => C_USE_LUT6) -- [boolean]
-- port map (
-- InA => data_chk0 (0 to 17), -- [in std_logic_vector(0 to 17)]
-- res => data_chk0_xor); -- [out std_logic]
--
-- data_chk0_i <= data_chk0 (18 to 34) & '0';
--
-- XOR18_I0_B : XOR18
-- generic map (
-- C_USE_LUT6 => C_USE_LUT6) -- [boolean]
-- port map (
-- InA => data_chk0_i, -- [in std_logic_vector(0 to 17)]
-- res => data_chk0_i_xor); -- [out std_logic]
--
-- -- CheckOut(0) <= data_chk0_xor xor data_chk0_i_xor;
-- Push register stage to earlier in ECC XOR logic stages (when enabled, C_REG)
data_chk0_a <= data_chk0 (0 to 5);
data_chk0_b <= data_chk0 (6 to 11);
data_chk0_c <= data_chk0 (12 to 17);
data_chk0_d <= data_chk0 (18 to 23);
data_chk0_e <= data_chk0 (24 to 29);
data_chk0_f <= data_chk0 (30 to 34);
PARITY_CHK0_A : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk0_a (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk0_a_xor ); -- [out std_logic]
PARITY_CHK0_B : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk0_b (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk0_b_xor ); -- [out std_logic]
PARITY_CHK0_C : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk0_c (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk0_c_xor ); -- [out std_logic]
PARITY_CHK0_D : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk0_d (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk0_d_xor ); -- [out std_logic]
PARITY_CHK0_E : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk0_e (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk0_e_xor ); -- [out std_logic]
PARITY_CHK0_F : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 5)
port map (
InA => data_chk0_f (0 to 4), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk0_f_xor ); -- [out std_logic]
-------------------------------------------------------------------------------
-- Checkbit 1 built up using 2x XOR18
-------------------------------------------------------------------------------
-- XOR18_I1_A : XOR18
-- generic map (
-- C_USE_LUT6 => C_USE_LUT6) -- [boolean]
-- port map (
-- InA => data_chk1 (0 to 17), -- [in std_logic_vector(0 to 17)]
-- res => data_chk1_xor); -- [out std_logic]
--
-- data_chk1_i <= data_chk1 (18 to 34) & '0';
--
-- XOR18_I1_B : XOR18
-- generic map (
-- C_USE_LUT6 => C_USE_LUT6) -- [boolean]
-- port map (
-- InA => data_chk1_i, -- [in std_logic_vector(0 to 17)]
-- res => data_chk1_i_xor); -- [out std_logic]
--
-- -- CheckOut(1) <= data_chk1_xor xor data_chk1_i_xor;
-- Push register stage to earlier in ECC XOR logic stages (when enabled, C_REG)
data_chk1_a <= data_chk1 (0 to 5);
data_chk1_b <= data_chk1 (6 to 11);
data_chk1_c <= data_chk1 (12 to 17);
data_chk1_d <= data_chk1 (18 to 23);
data_chk1_e <= data_chk1 (24 to 29);
data_chk1_f <= data_chk1 (30 to 34);
PARITY_chk1_A : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk1_a (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk1_a_xor ); -- [out std_logic]
PARITY_chk1_B : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk1_b (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk1_b_xor ); -- [out std_logic]
PARITY_chk1_C : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk1_c (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk1_c_xor ); -- [out std_logic]
PARITY_chk1_D : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk1_d (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk1_d_xor ); -- [out std_logic]
PARITY_chk1_E : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk1_e (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk1_e_xor ); -- [out std_logic]
PARITY_chk1_F : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 5)
port map (
InA => data_chk1_f (0 to 4), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk1_f_xor ); -- [out std_logic]
------------------------------------------------------------------------------------------------
-- Checkbit 2 built up using 2x XOR18
------------------------------------------------------------------------------------------------
-- XOR18_I2_A : XOR18
-- generic map (
-- C_USE_LUT6 => C_USE_LUT6) -- [boolean]
-- port map (
-- InA => data_chk2 (0 to 17), -- [in std_logic_vector(0 to 17)]
-- res => data_chk2_xor); -- [out std_logic]
--
-- data_chk2_i <= data_chk2 (18 to 34) & '0';
--
-- XOR18_I2_B : XOR18
-- generic map (
-- C_USE_LUT6 => C_USE_LUT6) -- [boolean]
-- port map (
-- InA => data_chk2_i, -- [in std_logic_vector(0 to 17)]
-- res => data_chk2_i_xor); -- [out std_logic]
--
-- -- CheckOut(2) <= data_chk2_xor xor data_chk2_i_xor;
-- Push register stage to earlier in ECC XOR logic stages (when enabled, C_REG)
data_chk2_a <= data_chk2 (0 to 5);
data_chk2_b <= data_chk2 (6 to 11);
data_chk2_c <= data_chk2 (12 to 17);
data_chk2_d <= data_chk2 (18 to 23);
data_chk2_e <= data_chk2 (24 to 29);
data_chk2_f <= data_chk2 (30 to 34);
PARITY_chk2_A : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk2_a (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk2_a_xor ); -- [out std_logic]
PARITY_chk2_B : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk2_b (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk2_b_xor ); -- [out std_logic]
PARITY_chk2_C : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk2_c (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk2_c_xor ); -- [out std_logic]
PARITY_chk2_D : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk2_d (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk2_d_xor ); -- [out std_logic]
PARITY_chk2_E : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk2_e (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk2_e_xor ); -- [out std_logic]
PARITY_chk2_F : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 5)
port map (
InA => data_chk2_f (0 to 4), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk2_f_xor ); -- [out std_logic]
------------------------------------------------------------------------------------------------
-- Checkbit 3 built up using 2x XOR18
------------------------------------------------------------------------------------------------
-- XOR18_I3_A : XOR18
-- generic map (
-- C_USE_LUT6 => C_USE_LUT6) -- [boolean]
-- port map (
-- InA => data_chk3 (0 to 17), -- [in std_logic_vector(0 to 17)]
-- res => data_chk3_xor); -- [out std_logic]
--
-- data_chk3_i <= data_chk3 (18 to 30) & "00000";
--
-- XOR18_I3_B : XOR18
-- generic map (
-- C_USE_LUT6 => C_USE_LUT6) -- [boolean]
-- port map (
-- InA => data_chk3_i, -- [in std_logic_vector(0 to 17)]
-- res => data_chk3_i_xor); -- [out std_logic]
--
-- -- CheckOut(3) <= data_chk3_xor xor data_chk3_i_xor;
-- Push register stage to earlier in ECC XOR logic stages (when enabled, C_REG)
data_chk3_a <= data_chk3 (0 to 5);
data_chk3_b <= data_chk3 (6 to 11);
data_chk3_c <= data_chk3 (12 to 17);
data_chk3_d <= data_chk3 (18 to 23);
data_chk3_e <= data_chk3 (24 to 29);
data_chk3_f_xor <= data_chk3 (30);
PARITY_chk3_A : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk3_a (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk3_a_xor ); -- [out std_logic]
PARITY_chk3_B : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk3_b (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk3_b_xor ); -- [out std_logic]
PARITY_chk3_C : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk3_c (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk3_c_xor ); -- [out std_logic]
PARITY_chk3_D : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk3_d (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk3_d_xor ); -- [out std_logic]
PARITY_chk3_E : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk3_e (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk3_e_xor ); -- [out std_logic]
------------------------------------------------------------------------------------------------
-- Checkbit 4 built up using 2x XOR18
------------------------------------------------------------------------------------------------
-- XOR18_I4_A : XOR18
-- generic map (
-- C_USE_LUT6 => C_USE_LUT6) -- [boolean]
-- port map (
-- InA => data_chk4 (0 to 17), -- [in std_logic_vector(0 to 17)]
-- res => data_chk4_xor); -- [out std_logic]
--
-- data_chk4_i <= data_chk4 (18 to 30) & "00000";
--
-- XOR18_I4_B : XOR18
-- generic map (
-- C_USE_LUT6 => C_USE_LUT6) -- [boolean]
-- port map (
-- InA => data_chk4_i, -- [in std_logic_vector(0 to 17)]
-- res => data_chk4_i_xor); -- [out std_logic]
--
-- -- CheckOut(4) <= data_chk4_xor xor data_chk4_i_xor;
-- Push register stage to earlier in ECC XOR logic stages (when enabled, C_REG)
data_chk4_a <= data_chk4 (0 to 5);
data_chk4_b <= data_chk4 (6 to 11);
data_chk4_c <= data_chk4 (12 to 17);
data_chk4_d <= data_chk4 (18 to 23);
data_chk4_e <= data_chk4 (24 to 29);
data_chk4_f_xor <= data_chk4 (30);
PARITY_chk4_A : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk4_a (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk4_a_xor ); -- [out std_logic]
PARITY_chk4_B : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk4_b (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk4_b_xor ); -- [out std_logic]
PARITY_chk4_C : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk4_c (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk4_c_xor ); -- [out std_logic]
PARITY_chk4_D : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk4_d (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk4_d_xor ); -- [out std_logic]
PARITY_chk4_E : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk4_e (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk4_e_xor ); -- [out std_logic]
------------------------------------------------------------------------------------------------
-- Checkbit 5 built up using 2x XOR18
------------------------------------------------------------------------------------------------
-- XOR18_I5_A : XOR18
-- generic map (
-- C_USE_LUT6 => C_USE_LUT6) -- [boolean]
-- port map (
-- InA => data_chk5 (0 to 17), -- [in std_logic_vector(0 to 17)]
-- res => data_chk5_xor); -- [out std_logic]
--
-- data_chk5_i <= data_chk5 (18 to 30) & "00000";
--
-- XOR18_I5_B : XOR18
-- generic map (
-- C_USE_LUT6 => C_USE_LUT6) -- [boolean]
-- port map (
-- InA => data_chk5_i, -- [in std_logic_vector(0 to 17)]
-- res => data_chk5_i_xor); -- [out std_logic]
--
-- -- CheckOut(5) <= data_chk5_xor xor data_chk5_i_xor;
-- Push register stage to earlier in ECC XOR logic stages (when enabled, C_REG)
data_chk5_a <= data_chk5 (0 to 5);
data_chk5_b <= data_chk5 (6 to 11);
data_chk5_c <= data_chk5 (12 to 17);
data_chk5_d <= data_chk5 (18 to 23);
data_chk5_e <= data_chk5 (24 to 29);
data_chk5_f_xor <= data_chk5 (30);
PARITY_chk5_A : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk5_a (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk5_a_xor ); -- [out std_logic]
PARITY_chk5_B : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk5_b (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk5_b_xor ); -- [out std_logic]
PARITY_chk5_C : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk5_c (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk5_c_xor ); -- [out std_logic]
PARITY_chk5_D : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk5_d (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk5_d_xor ); -- [out std_logic]
PARITY_chk5_E : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk5_e (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk5_e_xor ); -- [out std_logic]
------------------------------------------------------------------------------------------------
-- Checkbit 6 built up from 1 LUT6 + 1 XOR
------------------------------------------------------------------------------------------------
Parity_chk6_I : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk6 (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk6_xor); -- [out std_logic]
-- data_chk6_i <= data_chk6_xor xor data_chk6(6);
-- Push register stage to 1st ECC XOR logic stage (when enabled, C_REG)
data_chk6_a <= data_chk6_xor;
data_chk6_b <= data_chk6(6);
-- CheckOut(6) <= data_chk6_xor xor data_chk6(6);
-- CheckOut(6) <= data_chk6_i;
-- Overall checkbit
-- New checkbit (7) for 64-bit ECC
-- 7 <= 0 1 2 4 5 7 10 11 12 14 17 18 21 23 24 26 27 29
-- 32 33 36 38 39 41 44 46 47 50 51 53 56 57 58 60 63
------------------------------------------------------------------------------------------------
-- Checkbit 6 built up from 2x XOR18
------------------------------------------------------------------------------------------------
-- data_chk7_a <= DataIn(0) & DataIn(1) & DataIn(2) & DataIn(4) & DataIn(5) & DataIn(7) & DataIn(10) &
-- DataIn(11) & DataIn(12) & DataIn(14) & DataIn(17) & DataIn(18) & DataIn(21) &
-- DataIn(23) & DataIn(24) & DataIn(26) & DataIn(27) & DataIn(29) ;
--
-- data_chk7_b <= DataIn(32) & DataIn(33) & DataIn(36) & DataIn(38) & DataIn(39) &
-- DataIn(41) & DataIn(44) & DataIn(46) & DataIn(47) & DataIn(50) &
-- DataIn(51) & DataIn(53) & DataIn(56) & DataIn(57) & DataIn(58) &
-- DataIn(60) & DataIn(63) & '0';
--
-- XOR18_I7_A : XOR18
-- generic map (
-- C_USE_LUT6 => C_USE_LUT6) -- [boolean]
-- port map (
-- InA => data_chk7_a, -- [in std_logic_vector(0 to 17)]
-- res => data_chk7_a_xor); -- [out std_logic]
--
--
-- XOR18_I7_B : XOR18
-- generic map (
-- C_USE_LUT6 => C_USE_LUT6) -- [boolean]
-- port map (
-- InA => data_chk7_b, -- [in std_logic_vector(0 to 17)]
-- res => data_chk7_b_xor); -- [out std_logic]
-- Move register stage to earlier in LUT XOR logic when enabled (for C_ENCODE only)
-- Break up data_chk7_a & data_chk7_b into the following 6-input LUT XOR combinations.
data_chk7_a <= DataIn(0) & DataIn(1) & DataIn(2) & DataIn(4) & DataIn(5) & DataIn(7);
data_chk7_b <= DataIn(10) & DataIn(11) & DataIn(12) & DataIn(14) & DataIn(17) & DataIn(18);
data_chk7_c <= DataIn(21) & DataIn(23) & DataIn(24) & DataIn(26) & DataIn(27) & DataIn(29);
data_chk7_d <= DataIn(32) & DataIn(33) & DataIn(36) & DataIn(38) & DataIn(39) & DataIn(41);
data_chk7_e <= DataIn(44) & DataIn(46) & DataIn(47) & DataIn(50) & DataIn(51) & DataIn(53);
data_chk7_f <= DataIn(56) & DataIn(57) & DataIn(58) & DataIn(60) & DataIn(63);
PARITY_CHK7_A : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk7_a (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk7_a_xor ); -- [out std_logic]
PARITY_CHK7_B : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk7_b (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk7_b_xor ); -- [out std_logic]
PARITY_CHK7_C : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk7_c (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk7_c_xor ); -- [out std_logic]
PARITY_CHK7_D : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk7_d (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk7_d_xor ); -- [out std_logic]
PARITY_CHK7_E : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk7_e (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk7_e_xor ); -- [out std_logic]
PARITY_CHK7_F : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 5)
port map (
InA => data_chk7_f (0 to 4), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => data_chk7_f_xor ); -- [out std_logic]
-- Merge all data bits
-- CheckOut(7) <= data_chk7_xor xor data_chk7_i_xor;
-- data_chk7_i <= data_chk7_a_xor xor data_chk7_b_xor;
-- CheckOut(7) <= data_chk7_i;
end generate Encode_Bits;
--------------------------------------------------------------------------------------------------
-- Decode bits to get syndrome and UE/CE signals
--------------------------------------------------------------------------------------------------
Decode_Bits : if (not C_ENCODE) generate
signal syndrome_i : std_logic_vector(0 to 7) := (others => '0');
-- Unused signal syndrome_int_7 : std_logic;
signal chk0_1 : std_logic_vector(0 to 6);
signal chk1_1 : std_logic_vector(0 to 6);
signal chk2_1 : std_logic_vector(0 to 6);
signal data_chk3_i : std_logic_vector(0 to 31);
signal chk3_1 : std_logic_vector(0 to 3);
signal data_chk4_i : std_logic_vector(0 to 31);
signal chk4_1 : std_logic_vector(0 to 3);
signal data_chk5_i : std_logic_vector(0 to 31);
signal chk5_1 : std_logic_vector(0 to 3);
signal data_chk6_i : std_logic_vector(0 to 7);
signal data_chk7 : std_logic_vector(0 to 71);
signal chk7_1 : std_logic_vector(0 to 11);
-- signal syndrome7_a : std_logic;
-- signal syndrome7_b : std_logic;
signal syndrome_0_to_2 : std_logic_vector(0 to 2);
signal syndrome_3_to_6 : std_logic_vector(3 to 6);
signal syndrome_3_to_6_multi : std_logic;
signal syndrome_3_to_6_zero : std_logic;
signal ue_i_0 : std_logic;
signal ue_i_1 : std_logic;
begin
------------------------------------------------------------------------------------------------
-- Syndrome bit 0 built up from 5 LUT6, 1 LUT5 and 1 7-bit XOR
------------------------------------------------------------------------------------------------
-- chk0_1(3) <= CheckIn(0);
chk0_1(6) <= CheckIn(0); -- 64-bit ECC
Parity_chk0_1 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk0(0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk0_1(0)); -- [out std_logic]
Parity_chk0_2 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk0(6 to 11), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk0_1(1)); -- [out std_logic]
Parity_chk0_3 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk0(12 to 17), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk0_1(2)); -- [out std_logic]
-- Checkbit 0
-- 18-bit for 32-bit data
-- 35-bit for 64-bit data
Parity_chk0_4 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk0(18 to 23), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk0_1(3)); -- [out std_logic]
Parity_chk0_5 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk0(24 to 29), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk0_1(4)); -- [out std_logic]
Parity_chk0_6 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 5)
port map (
InA => data_chk0(30 to 34), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk0_1(5)); -- [out std_logic]
-- Parity_chk0_7 : ParityEnable
-- generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 7)
-- port map (
-- InA => chk0_1, -- [in std_logic_vector(0 to C_SIZE - 1)]
-- Enable => Enable_ECC, -- [in std_logic]
-- Res => syndrome_i(0)); -- [out std_logic]
Parity_chk0_7 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 7)
port map (
InA => chk0_1, -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => syndrome_i(0)); -- [out std_logic]
------------------------------------------------------------------------------------------------
-- Syndrome bit 1 built up from 5 LUT6, 1 LUT5 and 1 7-bit XOR
------------------------------------------------------------------------------------------------
-- chk1_1(3) <= CheckIn(1);
chk1_1(6) <= CheckIn(1); -- 64-bit ECC
Parity_chk1_1 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk1(0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk1_1(0)); -- [out std_logic]
Parity_chk1_2 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk1(6 to 11), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk1_1(1)); -- [out std_logic]
Parity_chk1_3 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk1(12 to 17), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk1_1(2)); -- [out std_logic]
-- Checkbit 1
-- 18-bit for 32-bit data
-- 35-bit for 64-bit data
Parity_chk1_4 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk1(18 to 23), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk1_1(3)); -- [out std_logic]
Parity_chk1_5 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk1(24 to 29), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk1_1(4)); -- [out std_logic]
Parity_chk1_6 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 5)
port map (
InA => data_chk1(30 to 34), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk1_1(5)); -- [out std_logic]
-- Parity_chk1_7 : ParityEnable
-- generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 7)
-- port map (
-- InA => chk1_1, -- [in std_logic_vector(0 to C_SIZE - 1)]
-- Enable => Enable_ECC, -- [in std_logic]
-- Res => syndrome_i(1)); -- [out std_logic]
Parity_chk1_7 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 7)
port map (
InA => chk1_1, -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => syndrome_i(1)); -- [out std_logic]
------------------------------------------------------------------------------------------------
-- Syndrome bit 2 built up from 5 LUT6, 1 LUT5 and 1 7-bit XOR
------------------------------------------------------------------------------------------------
-- chk2_1(3) <= CheckIn(2);
chk2_1(6) <= CheckIn(2); -- 64-bit ECC
Parity_chk2_1 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk2(0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk2_1(0)); -- [out std_logic]
Parity_chk2_2 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk2(6 to 11), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk2_1(1)); -- [out std_logic]
Parity_chk2_3 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk2(12 to 17), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk2_1(2)); -- [out std_logic]
-- Checkbit 2
-- 18-bit for 32-bit data
-- 35-bit for 64-bit data
Parity_chk2_4 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk2(18 to 23), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk2_1(3)); -- [out std_logic]
Parity_chk2_5 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk2(24 to 29), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk2_1(4)); -- [out std_logic]
Parity_chk2_6 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 5)
port map (
InA => data_chk2(30 to 34), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk2_1(5)); -- [out std_logic]
-- Parity_chk2_7 : ParityEnable
-- generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 7)
-- port map (
-- InA => chk2_1, -- [in std_logic_vector(0 to C_SIZE - 1)]
-- Enable => Enable_ECC, -- [in std_logic]
-- Res => syndrome_i(2)); -- [out std_logic]
Parity_chk2_7 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 7)
port map (
InA => chk2_1, -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => syndrome_i(2)); -- [out std_logic]
------------------------------------------------------------------------------------------------
-- Syndrome bit 3 built up from 4 LUT8 and 1 LUT4
------------------------------------------------------------------------------------------------
data_chk3_i <= data_chk3 & CheckIn(3);
Parity_chk3_1 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 8)
port map (
InA => data_chk3_i(0 to 7), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk3_1(0)); -- [out std_logic]
Parity_chk3_2 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 8)
port map (
InA => data_chk3_i(8 to 15), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk3_1(1)); -- [out std_logic]
-- 15-bit for 32-bit ECC
-- 31-bit for 64-bit ECC
Parity_chk3_3 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 8)
port map (
InA => data_chk3_i(16 to 23), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk3_1(2)); -- [out std_logic]
Parity_chk3_4 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 8)
port map (
InA => data_chk3_i(24 to 31), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk3_1(3)); -- [out std_logic]
-- Parity_chk3_5 : ParityEnable
-- generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 4)
-- port map (
-- InA => chk3_1, -- [in std_logic_vector(0 to C_SIZE - 1)]
-- Enable => Enable_ECC, -- [in std_logic]
-- Res => syndrome_i(3)); -- [out std_logic]
Parity_chk3_5 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 4)
port map (
InA => chk3_1, -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => syndrome_i(3)); -- [out std_logic]
------------------------------------------------------------------------------------------------
-- Syndrome bit 4 built up from 4 LUT8 and 1 LUT4
------------------------------------------------------------------------------------------------
data_chk4_i <= data_chk4 & CheckIn(4);
-- 15-bit for 32-bit ECC
-- 31-bit for 64-bit ECC
Parity_chk4_1 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 8)
port map (
InA => data_chk4_i(0 to 7), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk4_1(0)); -- [out std_logic]
Parity_chk4_2 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 8)
port map (
InA => data_chk4_i(8 to 15), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk4_1(1)); -- [out std_logic]
Parity_chk4_3 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 8)
port map (
InA => data_chk4_i(16 to 23), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk4_1(2)); -- [out std_logic]
Parity_chk4_4 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 8)
port map (
InA => data_chk4_i(24 to 31), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk4_1(3)); -- [out std_logic]
Parity_chk4_5 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 4)
port map (
InA => chk4_1, -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => syndrome_i(4)); -- [out std_logic]
------------------------------------------------------------------------------------------------
-- Syndrome bit 5 built up from 4 LUT8 and 1 LUT4
------------------------------------------------------------------------------------------------
data_chk5_i <= data_chk5 & CheckIn(5);
-- 15-bit for 32-bit ECC
-- 31-bit for 64-bit ECC
Parity_chk5_1 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 8)
port map (
InA => data_chk5_i(0 to 7), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk5_1(0)); -- [out std_logic]
Parity_chk5_2 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 8)
port map (
InA => data_chk5_i(8 to 15), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk5_1(1)); -- [out std_logic]
Parity_chk5_3 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 8)
port map (
InA => data_chk5_i(16 to 23), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk5_1(2)); -- [out std_logic]
Parity_chk5_4 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 8)
port map (
InA => data_chk5_i(24 to 31), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk5_1(3)); -- [out std_logic]
Parity_chk5_5 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 4)
port map (
InA => chk5_1, -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => syndrome_i(5)); -- [out std_logic]
------------------------------------------------------------------------------------------------
-- Syndrome bit 6 built up from 1 LUT8
------------------------------------------------------------------------------------------------
data_chk6_i <= data_chk6 & CheckIn(6);
Parity_chk6_1 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 8)
port map (
InA => data_chk6_i, -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => syndrome_i(6)); -- [out std_logic]
------------------------------------------------------------------------------------------------
-- Syndrome bit 7 built up from 3 LUT7 and 8 LUT6 and 1 LUT3 (12 total) + 2 LUT6 + 1 2-bit XOR
------------------------------------------------------------------------------------------------
-- 32-bit ECC uses DataIn(0:31) and Checkin (0 to 6)
-- 64-bit ECC will use DataIn(0:63) and Checkin (0 to 7)
data_chk7 <= DataIn(0) & DataIn(1) & DataIn(2) & DataIn(3) & DataIn(4) & DataIn(5) & DataIn(6) & DataIn(7) &
DataIn(8) & DataIn(9) & DataIn(10) & DataIn(11) & DataIn(12) & DataIn(13) & DataIn(14) &
DataIn(15) & DataIn(16) & DataIn(17) & DataIn(18) & DataIn(19) & DataIn(20) & DataIn(21) &
DataIn(22) & DataIn(23) & DataIn(24) & DataIn(25) & DataIn(26) & DataIn(27) & DataIn(28) &
DataIn(29) & DataIn(30) & DataIn(31) &
DataIn(32) & DataIn(33) & DataIn(34) & DataIn(35) & DataIn(36) & DataIn(37) &
DataIn(38) & DataIn(39) & DataIn(40) & DataIn(41) & DataIn(42) & DataIn(43) &
DataIn(44) & DataIn(45) & DataIn(46) & DataIn(47) & DataIn(48) & DataIn(49) &
DataIn(50) & DataIn(51) & DataIn(52) & DataIn(53) & DataIn(54) & DataIn(55) &
DataIn(56) & DataIn(57) & DataIn(58) & DataIn(59) & DataIn(60) & DataIn(61) &
DataIn(62) & DataIn(63) &
CheckIn(6) & CheckIn(5) & CheckIn(4) & CheckIn(3) & CheckIn(2) &
CheckIn(1) & CheckIn(0) & CheckIn(7);
Parity_chk7_1 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk7(0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk7_1(0)); -- [out std_logic]
Parity_chk7_2 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk7(6 to 11), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk7_1(1)); -- [out std_logic]
Parity_chk7_3 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk7(12 to 17), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk7_1(2)); -- [out std_logic]
Parity_chk7_4 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 7)
port map (
InA => data_chk7(18 to 24), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk7_1(3)); -- [out std_logic]
Parity_chk7_5 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 7)
port map (
InA => data_chk7(25 to 31), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk7_1(4)); -- [out std_logic]
Parity_chk7_6 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 7)
port map (
InA => data_chk7(32 to 38), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk7_1(5)); -- [out std_logic]
Parity_chk7_7 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk7(39 to 44), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk7_1(6)); -- [out std_logic]
Parity_chk7_8 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk7(45 to 50), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk7_1(7)); -- [out std_logic]
Parity_chk7_9 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk7(51 to 56), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk7_1(8)); -- [out std_logic]
Parity_chk7_10 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk7(57 to 62), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk7_1(9)); -- [out std_logic]
Parity_chk7_11 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk7(63 to 68), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk7_1(10)); -- [out std_logic]
Parity_chk7_12 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 3)
port map (
InA => data_chk7(69 to 71), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk7_1(11)); -- [out std_logic]
-- Unused
-- Parity_chk7_13 : Parity
-- generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
-- port map (
-- InA => chk7_1 (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
-- Res => syndrome7_a); -- [out std_logic]
--
--
-- Parity_chk7_14 : Parity
-- generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
-- port map (
-- InA => chk7_1 (6 to 11), -- [in std_logic_vector(0 to C_SIZE - 1)]
-- Res => syndrome7_b); -- [out std_logic]
-- Unused syndrome_i(7) <= syndrome7_a xor syndrome7_b;
-- Unused syndrome_i (7) <= syndrome7_a;
-- syndrome_i (7) is not used here. Final XOR stage is done outside this module with Syndrome_7 vector output.
-- Clean up this statement.
syndrome_i (7) <= '0';
-- Unused syndrome_int_7 <= syndrome7_a xor syndrome7_b;
-- Unused Syndrome_7_b <= syndrome7_b;
Syndrome <= syndrome_i;
-- Bring out seperate output to do final XOR stage on Syndrome (7) after
-- the pipeline stage.
Syndrome_7 <= chk7_1 (0 to 11);
---------------------------------------------------------------------------
-- With final syndrome registered outside this module for pipeline balancing
-- Use registered syndrome to generate any error flags.
-- Use input signal, Syndrome_Chk which is the registered Syndrome used to
-- correct any single bit errors.
syndrome_0_to_2 <= Syndrome_Chk(0) & Syndrome_Chk(1) & Syndrome_Chk(2);
-- syndrome_3_to_6 <= syndrome_i(3) & syndrome_i(4) & syndrome_i(5) & syndrome_i(6);
syndrome_3_to_6 <= Syndrome_Chk(3) & Syndrome_Chk(4) & Syndrome_Chk(5) & Syndrome_Chk(6);
syndrome_3_to_6_zero <= '1' when syndrome_3_to_6 = "0000" else '0';
-- Syndrome bits (3:6) can indicate a double bit error if
-- Syndrome (6) = '1' AND any bits of Syndrome(3:5) are equal to a '1'.
syndrome_3_to_6_multi <= '1' when (syndrome_3_to_6 = "1111" or -- 15
syndrome_3_to_6 = "1101" or -- 13
syndrome_3_to_6 = "1011" or -- 11
syndrome_3_to_6 = "1001" or -- 9
syndrome_3_to_6 = "0111" or -- 7
syndrome_3_to_6 = "0101" or -- 5
syndrome_3_to_6 = "0011") -- 3
else '0';
-- A single bit error is detectable if
-- Syndrome (7) = '1' and a double bit error is not detectable in Syndrome (3:6)
-- CE <= Enable_ECC and (syndrome_i(7) or CE_Q) when (syndrome_3_to_6_multi = '0')
-- CE <= Enable_ECC and (syndrome_int_7 or CE_Q) when (syndrome_3_to_6_multi = '0')
-- CE <= Enable_ECC and (Syndrome_Chk(7) or CE_Q) when (syndrome_3_to_6_multi = '0')
-- else CE_Q and Enable_ECC;
-- Ensure that CE flag is only asserted for a single clock cycle (and does not keep
-- registered output value)
CE <= (Enable_ECC and Syndrome_Chk(7)) when (syndrome_3_to_6_multi = '0') else '0';
-- Uncorrectable error if Syndrome(7) = '0' and any other bits are = '1'.
-- ue_i_0 <= Enable_ECC when (syndrome_3_to_6_zero = '0') or (syndrome_i(0 to 2) /= "000")
-- else UE_Q and Enable_ECC;
-- ue_i_0 <= Enable_ECC when (syndrome_3_to_6_zero = '0') or (syndrome_0_to_2 /= "000")
-- else UE_Q and Enable_ECC;
--
-- ue_i_1 <= Enable_ECC and (syndrome_3_to_6_multi or UE_Q);
-- Similar edit from CE flag. Ensure that UE flags are only asserted for a single
-- clock cycle. The flags are registered outside this module for detection in
-- register module.
ue_i_0 <= Enable_ECC when (syndrome_3_to_6_zero = '0') or (syndrome_0_to_2 /= "000") else '0';
ue_i_1 <= Enable_ECC and (syndrome_3_to_6_multi);
Use_LUT6: if (C_USE_LUT6) generate
UE_MUXF7 : MUXF7
port map (
I0 => ue_i_0,
I1 => ue_i_1,
-- S => syndrome_i(7),
-- S => syndrome_int_7,
S => Syndrome_Chk(7),
O => UE );
end generate Use_LUT6;
Use_RTL: if (not C_USE_LUT6) generate
-- bit 6 in 32-bit ECC
-- bit 7 in 64-bit ECC
-- UE <= ue_i_1 when syndrome_i(7) = '1' else ue_i_0;
-- UE <= ue_i_1 when syndrome_int_7 = '1' else ue_i_0;
UE <= ue_i_1 when Syndrome_Chk(7) = '1' else ue_i_0;
end generate Use_RTL;
end generate Decode_Bits;
end architecture IMP;
| bsd-2-clause | fdd2f9628b2bad56aa01dc847a17c4d0 | 0.453187 | 3.3299 | false | false | false | false |
tdaede/daala_zynq | daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_dma_0_0/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_mm2s_basic_wrap.vhd | 1 | 44,989 | -------------------------------------------------------------------------------
-- axi_datamover_mm2s_basic_wrap.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_datamover_mm2s_basic_wrap.vhd
--
-- Description:
-- This file implements the DataMover MM2S Basic Wrapper.
--
--
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- axi_datamover_mm2s_basic_wrap.vhd
-- |
-- |-- axi_datamover_reset.vhd
-- |-- axi_datamover_cmd_status.vhd
-- |-- axi_datamover_scc.vhd
-- |-- axi_datamover_addr_cntl.vhd
-- |-- axi_datamover_rddata_cntl.vhd
-- | |
-- | |-- axi_datamover_rdmux.vhd
-- |
-- |-- axi_datamover_rd_status_cntl.vhd
-- |-- axi_datamover_skid_buf.vhd
--
-------------------------------------------------------------------------------
-- Revision History:
--
--
-- Author: DET
--
-- History:
-- DET 04/19/2011 Initial Version for EDK 13.3
--
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
-- axi_datamover Library Modules
library axi_datamover_v5_1;
use axi_datamover_v5_1.axi_datamover_reset;
use axi_datamover_v5_1.axi_datamover_cmd_status;
use axi_datamover_v5_1.axi_datamover_scc;
use axi_datamover_v5_1.axi_datamover_addr_cntl;
use axi_datamover_v5_1.axi_datamover_rddata_cntl;
use axi_datamover_v5_1.axi_datamover_rd_status_cntl;
use axi_datamover_v5_1.axi_datamover_skid_buf;
-------------------------------------------------------------------------------
entity axi_datamover_mm2s_basic_wrap is
generic (
C_INCLUDE_MM2S : Integer range 0 to 2 := 2;
-- Specifies the type of MM2S function to include
-- 0 = Omit MM2S functionality
-- 1 = Full MM2S Functionality
-- 2 = Basic MM2S functionality
C_MM2S_ARID : Integer range 0 to 255 := 8;
-- Specifies the constant value to output on
-- the ARID output port
C_MM2S_ID_WIDTH : Integer range 1 to 8 := 4;
-- Specifies the width of the MM2S ID port
C_MM2S_ADDR_WIDTH : Integer range 32 to 64 := 32;
-- Specifies the width of the MMap Read Address Channel
-- Address bus
C_MM2S_MDATA_WIDTH : Integer range 32 to 64 := 32;
-- Specifies the width of the MMap Read Data Channel
-- data bus
C_MM2S_SDATA_WIDTH : Integer range 8 to 64 := 32;
-- Specifies the width of the MM2S Master Stream Data
-- Channel data bus
C_INCLUDE_MM2S_STSFIFO : Integer range 0 to 1 := 1;
-- Specifies if a Status FIFO is to be implemented
-- 0 = Omit MM2S Status FIFO
-- 1 = Include MM2S Status FIFO
C_MM2S_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 1;
-- Specifies the depth of the MM2S Command FIFO and the
-- optional Status FIFO
-- Valid values are 1,4,8,16
C_MM2S_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0;
-- Specifies if the Status and Command interfaces need to
-- be asynchronous to the primary data path clocking
-- 0 = Use same clocking as data path
-- 1 = Use special Status/Command clock for the interfaces
C_INCLUDE_MM2S_DRE : Integer range 0 to 1 := 0;
-- Specifies if DRE is to be included in the MM2S function
-- 0 = Omit DRE
-- 1 = Include DRE
C_MM2S_BURST_SIZE : Integer range 2 to 64 := 16;
-- Specifies the max number of databeats to use for MMap
-- burst transfers by the MM2S function
C_MM2S_BTT_USED : Integer range 8 to 23 := 16;
-- Specifies the number of bits used from the BTT field
-- of the input Command Word of the MM2S Command Interface
C_MM2S_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 1;
-- This parameter specifies the depth of the MM2S internal
-- child command queues in the Read Address Controller and
-- the Read Data Controller. Increasing this value will
-- allow more Read Addresses to be issued to the AXI4 Read
-- Address Channel before receipt of the associated read
-- data on the Read Data Channel.
C_ENABLE_CACHE_USER : Integer range 0 to 1 := 1;
C_ENABLE_SKID_BUF : string := "11111";
C_MICRO_DMA : integer range 0 to 1 := 0;
C_TAG_WIDTH : Integer range 1 to 8 := 4 ;
-- Width of the TAG field
C_FAMILY : String := "virtex7"
-- Specifies the target FPGA family type
);
port (
-- MM2S Primary Clock and Reset inputs -----------------------
mm2s_aclk : in std_logic; --
-- Primary synchronization clock for the Master side --
-- interface and internal logic. It is also used --
-- for the User interface synchronization when --
-- C_STSCMD_IS_ASYNC = 0. --
--
-- MM2S Primary Reset input --
mm2s_aresetn : in std_logic; --
-- Reset used for the internal master logic --
--------------------------------------------------------------
-- MM2S Halt request input control ---------------------------
mm2s_halt : in std_logic; --
-- Active high soft shutdown request --
--
-- MM2S Halt Complete status flag --
mm2s_halt_cmplt : Out std_logic; --
-- Active high soft shutdown complete status --
--------------------------------------------------------------
-- Error discrete output -------------------------------------
mm2s_err : Out std_logic; --
-- Composite Error indication --
--------------------------------------------------------------
-- Optional MM2S Command and Status Clock and Reset ----------
-- These are used when C_MM2S_STSCMD_IS_ASYNC = 1 --
mm2s_cmdsts_awclk : in std_logic; --
-- Secondary Clock input for async CMD/Status interface --
--
mm2s_cmdsts_aresetn : in std_logic; --
-- Secondary Reset input for async CMD/Status interface --
--------------------------------------------------------------
-- User Command Interface Ports (AXI Stream) -------------------------------------------------
mm2s_cmd_wvalid : in std_logic; --
mm2s_cmd_wready : out std_logic; --
mm2s_cmd_wdata : in std_logic_vector((C_TAG_WIDTH+(8*C_ENABLE_CACHE_USER)+C_MM2S_ADDR_WIDTH+36)-1 downto 0); --
----------------------------------------------------------------------------------------------
-- User Status Interface Ports (AXI Stream) -----------------
mm2s_sts_wvalid : out std_logic; --
mm2s_sts_wready : in std_logic; --
mm2s_sts_wdata : out std_logic_vector(7 downto 0); --
mm2s_sts_wstrb : out std_logic_vector(0 downto 0); --
mm2s_sts_wlast : out std_logic; --
-------------------------------------------------------------
-- Address Posting contols ----------------------------------
mm2s_allow_addr_req : in std_logic; --
mm2s_addr_req_posted : out std_logic; --
mm2s_rd_xfer_cmplt : out std_logic; --
-------------------------------------------------------------
-- MM2S AXI Address Channel I/O --------------------------------------
mm2s_arid : out std_logic_vector(C_MM2S_ID_WIDTH-1 downto 0); --
-- AXI Address Channel ID output --
--
mm2s_araddr : out std_logic_vector(C_MM2S_ADDR_WIDTH-1 downto 0); --
-- AXI Address Channel Address output --
--
mm2s_arlen : out std_logic_vector(7 downto 0); --
-- AXI Address Channel LEN output --
-- Sized to support 256 data beat bursts --
--
mm2s_arsize : out std_logic_vector(2 downto 0); --
-- AXI Address Channel SIZE output --
--
mm2s_arburst : out std_logic_vector(1 downto 0); --
-- AXI Address Channel BURST output --
--
mm2s_arprot : out std_logic_vector(2 downto 0); --
-- AXI Address Channel PROT output --
--
mm2s_arcache : out std_logic_vector(3 downto 0); --
-- AXI Address Channel CACHE output --
mm2s_aruser : out std_logic_vector(3 downto 0); --
-- AXI Address Channel USER output --
--
mm2s_arvalid : out std_logic; --
-- AXI Address Channel VALID output --
--
mm2s_arready : in std_logic; --
-- AXI Address Channel READY input --
-----------------------------------------------------------------------
-- Currently unsupported AXI Address Channel output signals -------
-- addr2axi_alock : out std_logic_vector(2 downto 0); --
-- addr2axi_acache : out std_logic_vector(4 downto 0); --
-- addr2axi_aqos : out std_logic_vector(3 downto 0); --
-- addr2axi_aregion : out std_logic_vector(3 downto 0); --
-------------------------------------------------------------------
-- MM2S AXI MMap Read Data Channel I/O ------------------------------------------
mm2s_rdata : In std_logic_vector(C_MM2S_MDATA_WIDTH-1 downto 0); --
mm2s_rresp : In std_logic_vector(1 downto 0); --
mm2s_rlast : In std_logic; --
mm2s_rvalid : In std_logic; --
mm2s_rready : Out std_logic; --
----------------------------------------------------------------------------------
-- MM2S AXI Master Stream Channel I/O -----------------------------------------------
mm2s_strm_wdata : Out std_logic_vector(C_MM2S_SDATA_WIDTH-1 downto 0); --
mm2s_strm_wstrb : Out std_logic_vector((C_MM2S_SDATA_WIDTH/8)-1 downto 0); --
mm2s_strm_wlast : Out std_logic; --
mm2s_strm_wvalid : Out std_logic; --
mm2s_strm_wready : In std_logic; --
--------------------------------------------------------------------------------------
-- Testing Support I/O --------------------------------------------
mm2s_dbg_sel : in std_logic_vector( 3 downto 0); --
mm2s_dbg_data : out std_logic_vector(31 downto 0) --
-------------------------------------------------------------------
);
end entity axi_datamover_mm2s_basic_wrap;
architecture implementation of axi_datamover_mm2s_basic_wrap is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-- Function Declarations ----------------------------------------
-------------------------------------------------------------------
-- Function
--
-- Function Name: func_calc_rdmux_sel_bits
--
-- Function Description:
-- This function calculates the number of address bits needed for
-- the Read data mux select control.
--
-------------------------------------------------------------------
function func_calc_rdmux_sel_bits (mmap_dwidth_value : integer) return integer is
Variable num_addr_bits_needed : Integer range 1 to 5 := 1;
begin
case mmap_dwidth_value is
when 32 =>
num_addr_bits_needed := 2;
when 64 =>
num_addr_bits_needed := 3;
when 128 =>
num_addr_bits_needed := 4;
when others => -- 256 bits
num_addr_bits_needed := 5;
end case;
Return (num_addr_bits_needed);
end function func_calc_rdmux_sel_bits;
-- Constant Declarations ----------------------------------------
Constant LOGIC_LOW : std_logic := '0';
Constant LOGIC_HIGH : std_logic := '1';
Constant INCLUDE_MM2S : integer range 0 to 2 := 2;
Constant MM2S_ARID_VALUE : integer range 0 to 255 := C_MM2S_ARID;
Constant MM2S_ARID_WIDTH : integer range 1 to 8 := C_MM2S_ID_WIDTH;
Constant MM2S_ADDR_WIDTH : integer range 32 to 64 := C_MM2S_ADDR_WIDTH;
Constant MM2S_MDATA_WIDTH : integer range 32 to 256 := C_MM2S_MDATA_WIDTH;
Constant MM2S_SDATA_WIDTH : integer range 8 to 256 := C_MM2S_SDATA_WIDTH;
Constant MM2S_CMD_WIDTH : integer := (C_TAG_WIDTH+C_MM2S_ADDR_WIDTH+32);
Constant MM2S_STS_WIDTH : integer := 8; -- always 8 for MM2S
Constant INCLUDE_MM2S_STSFIFO : integer range 0 to 1 := 1;
Constant MM2S_STSCMD_FIFO_DEPTH : integer range 1 to 64 := C_MM2S_STSCMD_FIFO_DEPTH;
Constant MM2S_STSCMD_IS_ASYNC : integer range 0 to 1 := C_MM2S_STSCMD_IS_ASYNC;
Constant INCLUDE_MM2S_DRE : integer range 0 to 1 := 0;
Constant DRE_ALIGN_WIDTH : integer range 1 to 3 := 2;
Constant MM2S_BURST_SIZE : integer range 16 to 256 := 16;
Constant RD_ADDR_CNTL_FIFO_DEPTH : integer range 1 to 30 := C_MM2S_ADDR_PIPE_DEPTH;
Constant RD_DATA_CNTL_FIFO_DEPTH : integer range 1 to 30 := C_MM2S_ADDR_PIPE_DEPTH;
Constant SEL_ADDR_WIDTH : integer := func_calc_rdmux_sel_bits(MM2S_MDATA_WIDTH);
Constant DRE_ALIGN_ZEROS : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0');
-- obsoleted Constant DISABLE_WAIT_FOR_DATA : integer := 0;
-- Signal Declarations ------------------------------------------
signal sig_cmd_stat_rst_user : std_logic := '0';
signal sig_cmd_stat_rst_int : std_logic := '0';
signal sig_mmap_rst : std_logic := '0';
signal sig_stream_rst : std_logic := '0';
signal sig_mm2s_cmd_wdata : std_logic_vector(MM2S_CMD_WIDTH-1 downto 0);
signal sig_mm2s_cache_data : std_logic_vector(7 downto 0);
signal sig_cmd2mstr_command : std_logic_vector(MM2S_CMD_WIDTH-1 downto 0) := (others => '0');
signal sig_cmd2mstr_cmd_valid : std_logic := '0';
signal sig_mst2cmd_cmd_ready : std_logic := '0';
signal sig_mstr2addr_addr : std_logic_vector(MM2S_ADDR_WIDTH-1 downto 0) := (others => '0');
signal sig_mstr2addr_len : std_logic_vector(7 downto 0) := (others => '0');
signal sig_mstr2addr_size : std_logic_vector(2 downto 0) := (others => '0');
signal sig_mstr2addr_burst : std_logic_vector(1 downto 0) := (others => '0');
signal sig_mstr2addr_cache : std_logic_vector(3 downto 0) := (others => '0');
signal sig_mstr2addr_user : std_logic_vector(3 downto 0) := (others => '0');
signal sig_mstr2addr_cmd_cmplt : std_logic := '0';
signal sig_mstr2addr_calc_error : std_logic := '0';
signal sig_mstr2addr_cmd_valid : std_logic := '0';
signal sig_addr2mstr_cmd_ready : std_logic := '0';
signal sig_mstr2data_saddr_lsb : std_logic_vector(SEL_ADDR_WIDTH-1 downto 0) := (others => '0');
signal sig_mstr2data_len : std_logic_vector(7 downto 0) := (others => '0');
signal sig_mstr2data_strt_strb : std_logic_vector((MM2S_SDATA_WIDTH/8)-1 downto 0) := (others => '0');
signal sig_mstr2data_last_strb : std_logic_vector((MM2S_SDATA_WIDTH/8)-1 downto 0) := (others => '0');
signal sig_mstr2data_drr : std_logic := '0';
signal sig_mstr2data_eof : std_logic := '0';
signal sig_mstr2data_sequential : std_logic := '0';
signal sig_mstr2data_calc_error : std_logic := '0';
signal sig_mstr2data_cmd_cmplt : std_logic := '0';
signal sig_mstr2data_cmd_valid : std_logic := '0';
signal sig_data2mstr_cmd_ready : std_logic := '0';
signal sig_addr2data_addr_posted : std_logic := '0';
signal sig_data2all_dcntlr_halted : std_logic := '0';
signal sig_addr2rsc_calc_error : std_logic := '0';
signal sig_addr2rsc_cmd_fifo_empty : std_logic := '0';
signal sig_data2rsc_tag : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0');
signal sig_data2rsc_calc_err : std_logic := '0';
signal sig_data2rsc_okay : std_logic := '0';
signal sig_data2rsc_decerr : std_logic := '0';
signal sig_data2rsc_slverr : std_logic := '0';
signal sig_data2rsc_cmd_cmplt : std_logic := '0';
signal sig_rsc2data_ready : std_logic := '0';
signal sig_data2rsc_valid : std_logic := '0';
signal sig_calc2dm_calc_err : std_logic := '0';
signal sig_data2skid_wvalid : std_logic := '0';
signal sig_data2skid_wready : std_logic := '0';
signal sig_data2skid_wdata : std_logic_vector(MM2S_SDATA_WIDTH-1 downto 0) := (others => '0');
signal sig_data2skid_wstrb : std_logic_vector((MM2S_SDATA_WIDTH/8)-1 downto 0) := (others => '0');
signal sig_data2skid_wlast : std_logic := '0';
signal sig_rsc2stat_status : std_logic_vector(MM2S_STS_WIDTH-1 downto 0) := (others => '0');
signal sig_stat2rsc_status_ready : std_logic := '0';
signal sig_rsc2stat_status_valid : std_logic := '0';
signal sig_rsc2mstr_halt_pipe : std_logic := '0';
signal sig_mstr2data_tag : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0');
signal sig_mstr2addr_tag : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0');
signal sig_dbg_data_mux_out : std_logic_vector(31 downto 0) := (others => '0');
signal sig_dbg_data_0 : std_logic_vector(31 downto 0) := (others => '0');
signal sig_dbg_data_1 : std_logic_vector(31 downto 0) := (others => '0');
signal sig_rst2all_stop_request : std_logic := '0';
signal sig_data2rst_stop_cmplt : std_logic := '0';
signal sig_addr2rst_stop_cmplt : std_logic := '0';
signal sig_data2addr_stop_req : std_logic := '0';
signal sig_data2skid_halt : std_logic := '0';
signal sig_cache2mstr_command : std_logic_vector (7 downto 0);
signal mm2s_arcache_int : std_logic_vector (3 downto 0);
begin --(architecture implementation)
-- Debug Support ------------------------------------------
mm2s_dbg_data <= sig_dbg_data_mux_out;
-- Note that only the mm2s_dbg_sel(0) is used at this time
sig_dbg_data_mux_out <= sig_dbg_data_1
When (mm2s_dbg_sel(0) = '1')
else sig_dbg_data_0 ;
sig_dbg_data_0 <= X"BEEF2222" ; -- 32 bit Constant indicating MM2S Basic type
sig_dbg_data_1(0) <= sig_cmd_stat_rst_user ;
sig_dbg_data_1(1) <= sig_cmd_stat_rst_int ;
sig_dbg_data_1(2) <= sig_mmap_rst ;
sig_dbg_data_1(3) <= sig_stream_rst ;
sig_dbg_data_1(4) <= sig_cmd2mstr_cmd_valid ;
sig_dbg_data_1(5) <= sig_mst2cmd_cmd_ready ;
sig_dbg_data_1(6) <= sig_stat2rsc_status_ready;
sig_dbg_data_1(7) <= sig_rsc2stat_status_valid;
sig_dbg_data_1(11 downto 8) <= sig_data2rsc_tag ; -- Current TAG of active data transfer
sig_dbg_data_1(15 downto 12) <= sig_rsc2stat_status(3 downto 0); -- Internal status tag field
sig_dbg_data_1(16) <= sig_rsc2stat_status(4) ; -- Internal error
sig_dbg_data_1(17) <= sig_rsc2stat_status(5) ; -- Decode Error
sig_dbg_data_1(18) <= sig_rsc2stat_status(6) ; -- Slave Error
sig_dbg_data_1(19) <= sig_rsc2stat_status(7) ; -- OKAY
sig_dbg_data_1(20) <= sig_stat2rsc_status_ready ; -- Status Ready Handshake
sig_dbg_data_1(21) <= sig_rsc2stat_status_valid ; -- Status Valid Handshake
-- Spare bits in debug1
sig_dbg_data_1(31 downto 22) <= (others => '0') ; -- spare bits
GEN_CACHE : if (C_ENABLE_CACHE_USER = 0) generate
begin
-- Cache signal tie-off
mm2s_arcache <= "0011"; -- Per Interface-X guidelines for Masters
mm2s_aruser <= "0000"; -- Per Interface-X guidelines for Masters
sig_mm2s_cache_data <= (others => '0'); --mm2s_cmd_wdata(103 downto 96);
end generate GEN_CACHE;
GEN_CACHE2 : if (C_ENABLE_CACHE_USER = 1) generate
begin
-- Cache signal tie-off
mm2s_arcache <= "0011"; --sg_ctl (3 downto 0); -- SG Cache from register
mm2s_aruser <= "0000";--sg_ctl (7 downto 4); -- Per Interface-X guidelines for Masters
-- sig_mm2s_cache_data <= mm2s_cmd_wdata(103 downto 96);
sig_mm2s_cache_data <= mm2s_cmd_wdata(79 downto 72);
end generate GEN_CACHE2;
-- Cache signal tie-off
-- Internal error output discrete ------------------------------
mm2s_err <= sig_calc2dm_calc_err;
-- Rip the used portion of the Command Interface Command Data
-- and throw away the padding
sig_mm2s_cmd_wdata <= mm2s_cmd_wdata(MM2S_CMD_WIDTH-1 downto 0);
------------------------------------------------------------
-- Instance: I_RESET
--
-- Description:
-- Reset Block
--
------------------------------------------------------------
I_RESET : entity axi_datamover_v5_1.axi_datamover_reset
generic map (
C_STSCMD_IS_ASYNC => MM2S_STSCMD_IS_ASYNC
)
port map (
primary_aclk => mm2s_aclk ,
primary_aresetn => mm2s_aresetn ,
secondary_awclk => mm2s_cmdsts_awclk ,
secondary_aresetn => mm2s_cmdsts_aresetn ,
halt_req => mm2s_halt ,
halt_cmplt => mm2s_halt_cmplt ,
flush_stop_request => sig_rst2all_stop_request ,
data_cntlr_stopped => sig_data2rst_stop_cmplt ,
addr_cntlr_stopped => sig_addr2rst_stop_cmplt ,
aux1_stopped => LOGIC_HIGH ,
aux2_stopped => LOGIC_HIGH ,
cmd_stat_rst_user => sig_cmd_stat_rst_user ,
cmd_stat_rst_int => sig_cmd_stat_rst_int ,
mmap_rst => sig_mmap_rst ,
stream_rst => sig_stream_rst
);
------------------------------------------------------------
-- Instance: I_CMD_STATUS
--
-- Description:
-- Command and Status Interface Block
--
------------------------------------------------------------
I_CMD_STATUS : entity axi_datamover_v5_1.axi_datamover_cmd_status
generic map (
C_ADDR_WIDTH => MM2S_ADDR_WIDTH ,
C_INCLUDE_STSFIFO => INCLUDE_MM2S_STSFIFO ,
C_STSCMD_FIFO_DEPTH => MM2S_STSCMD_FIFO_DEPTH ,
C_STSCMD_IS_ASYNC => MM2S_STSCMD_IS_ASYNC ,
C_CMD_WIDTH => MM2S_CMD_WIDTH ,
C_STS_WIDTH => MM2S_STS_WIDTH ,
C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER ,
C_FAMILY => C_FAMILY
)
port map (
primary_aclk => mm2s_aclk ,
secondary_awclk => mm2s_cmdsts_awclk ,
user_reset => sig_cmd_stat_rst_user ,
internal_reset => sig_cmd_stat_rst_int ,
cmd_wvalid => mm2s_cmd_wvalid ,
cmd_wready => mm2s_cmd_wready ,
cmd_wdata => sig_mm2s_cmd_wdata ,
cache_data => sig_mm2s_cache_data ,
sts_wvalid => mm2s_sts_wvalid ,
sts_wready => mm2s_sts_wready ,
sts_wdata => mm2s_sts_wdata ,
sts_wstrb => mm2s_sts_wstrb ,
sts_wlast => mm2s_sts_wlast ,
cmd2mstr_command => sig_cmd2mstr_command ,
mst2cmd_cmd_valid => sig_cmd2mstr_cmd_valid ,
cmd2mstr_cmd_ready => sig_mst2cmd_cmd_ready ,
mstr2stat_status => sig_rsc2stat_status ,
stat2mstr_status_ready => sig_stat2rsc_status_ready ,
mst2stst_status_valid => sig_rsc2stat_status_valid
);
------------------------------------------------------------
-- Instance: I_RD_STATUS_CNTLR
--
-- Description:
-- Read Status Controller Block
--
------------------------------------------------------------
I_RD_STATUS_CNTLR : entity axi_datamover_v5_1.axi_datamover_rd_status_cntl
generic map (
C_STS_WIDTH => MM2S_STS_WIDTH ,
C_TAG_WIDTH => C_TAG_WIDTH
)
port map (
primary_aclk => mm2s_aclk ,
mmap_reset => sig_mmap_rst ,
calc2rsc_calc_error => sig_calc2dm_calc_err ,
addr2rsc_calc_error => sig_addr2rsc_calc_error ,
addr2rsc_fifo_empty => sig_addr2rsc_cmd_fifo_empty ,
data2rsc_tag => sig_data2rsc_tag ,
data2rsc_calc_error => sig_data2rsc_calc_err ,
data2rsc_okay => sig_data2rsc_okay ,
data2rsc_decerr => sig_data2rsc_decerr ,
data2rsc_slverr => sig_data2rsc_slverr ,
data2rsc_cmd_cmplt => sig_data2rsc_cmd_cmplt ,
rsc2data_ready => sig_rsc2data_ready ,
data2rsc_valid => sig_data2rsc_valid ,
rsc2stat_status => sig_rsc2stat_status ,
stat2rsc_status_ready => sig_stat2rsc_status_ready ,
rsc2stat_status_valid => sig_rsc2stat_status_valid ,
rsc2mstr_halt_pipe => sig_rsc2mstr_halt_pipe
);
------------------------------------------------------------
-- Instance: I_MSTR_SCC
--
-- Description:
-- Simple Command Calculator Block
--
------------------------------------------------------------
I_MSTR_SCC : entity axi_datamover_v5_1.axi_datamover_scc
generic map (
C_SEL_ADDR_WIDTH => SEL_ADDR_WIDTH ,
C_ADDR_WIDTH => MM2S_ADDR_WIDTH ,
C_STREAM_DWIDTH => MM2S_SDATA_WIDTH ,
C_MAX_BURST_LEN => C_MM2S_BURST_SIZE ,
C_CMD_WIDTH => MM2S_CMD_WIDTH ,
C_MICRO_DMA => C_MICRO_DMA ,
C_TAG_WIDTH => C_TAG_WIDTH
)
port map (
-- Clock input
primary_aclk => mm2s_aclk ,
mmap_reset => sig_mmap_rst ,
cmd2mstr_command => sig_cmd2mstr_command ,
cache2mstr_command => sig_cache2mstr_command ,
cmd2mstr_cmd_valid => sig_cmd2mstr_cmd_valid ,
mst2cmd_cmd_ready => sig_mst2cmd_cmd_ready ,
mstr2addr_tag => sig_mstr2addr_tag ,
mstr2addr_addr => sig_mstr2addr_addr ,
mstr2addr_len => sig_mstr2addr_len ,
mstr2addr_size => sig_mstr2addr_size ,
mstr2addr_burst => sig_mstr2addr_burst ,
mstr2addr_calc_error => sig_mstr2addr_calc_error ,
mstr2addr_cmd_cmplt => sig_mstr2addr_cmd_cmplt ,
mstr2addr_cmd_valid => sig_mstr2addr_cmd_valid ,
addr2mstr_cmd_ready => sig_addr2mstr_cmd_ready ,
mstr2data_tag => sig_mstr2data_tag ,
mstr2data_saddr_lsb => sig_mstr2data_saddr_lsb ,
mstr2data_len => sig_mstr2data_len ,
mstr2data_strt_strb => sig_mstr2data_strt_strb ,
mstr2data_last_strb => sig_mstr2data_last_strb ,
mstr2data_sof => sig_mstr2data_drr ,
mstr2data_eof => sig_mstr2data_eof ,
mstr2data_calc_error => sig_mstr2data_calc_error ,
mstr2data_cmd_cmplt => sig_mstr2data_cmd_cmplt ,
mstr2data_cmd_valid => sig_mstr2data_cmd_valid ,
data2mstr_cmd_ready => sig_data2mstr_cmd_ready ,
calc_error => sig_calc2dm_calc_err
);
------------------------------------------------------------
-- Instance: I_ADDR_CNTL
--
-- Description:
-- Address Controller Block
--
------------------------------------------------------------
I_ADDR_CNTL : entity axi_datamover_v5_1.axi_datamover_addr_cntl
generic map (
-- obsoleted C_ENABlE_WAIT_FOR_DATA => DISABLE_WAIT_FOR_DATA ,
--C_ADDR_FIFO_DEPTH => MM2S_STSCMD_FIFO_DEPTH ,
C_ADDR_FIFO_DEPTH => RD_ADDR_CNTL_FIFO_DEPTH ,
C_ADDR_WIDTH => MM2S_ADDR_WIDTH ,
C_ADDR_ID => MM2S_ARID_VALUE ,
C_ADDR_ID_WIDTH => MM2S_ARID_WIDTH ,
C_TAG_WIDTH => C_TAG_WIDTH ,
C_FAMILY => C_FAMILY
)
port map (
primary_aclk => mm2s_aclk ,
mmap_reset => sig_mmap_rst ,
addr2axi_aid => mm2s_arid ,
addr2axi_aaddr => mm2s_araddr ,
addr2axi_alen => mm2s_arlen ,
addr2axi_asize => mm2s_arsize ,
addr2axi_aburst => mm2s_arburst ,
addr2axi_aprot => mm2s_arprot ,
addr2axi_avalid => mm2s_arvalid ,
addr2axi_acache => open ,
addr2axi_auser => open ,
axi2addr_aready => mm2s_arready ,
mstr2addr_tag => sig_mstr2addr_tag ,
mstr2addr_addr => sig_mstr2addr_addr ,
mstr2addr_len => sig_mstr2addr_len ,
mstr2addr_size => sig_mstr2addr_size ,
mstr2addr_burst => sig_mstr2addr_burst ,
mstr2addr_cache => sig_mstr2addr_cache ,
mstr2addr_user => sig_mstr2addr_user ,
mstr2addr_cmd_cmplt => sig_mstr2addr_cmd_cmplt ,
mstr2addr_calc_error => sig_mstr2addr_calc_error ,
mstr2addr_cmd_valid => sig_mstr2addr_cmd_valid ,
addr2mstr_cmd_ready => sig_addr2mstr_cmd_ready ,
addr2rst_stop_cmplt => sig_addr2rst_stop_cmplt ,
allow_addr_req => mm2s_allow_addr_req ,
addr_req_posted => mm2s_addr_req_posted ,
addr2data_addr_posted => sig_addr2data_addr_posted ,
data2addr_data_rdy => LOGIC_LOW ,
data2addr_stop_req => sig_data2addr_stop_req ,
addr2stat_calc_error => sig_addr2rsc_calc_error ,
addr2stat_cmd_fifo_empty => sig_addr2rsc_cmd_fifo_empty
);
------------------------------------------------------------
-- Instance: I_RD_DATA_CNTL
--
-- Description:
-- Read Data Controller Block
--
------------------------------------------------------------
I_RD_DATA_CNTL : entity axi_datamover_v5_1.axi_datamover_rddata_cntl
generic map (
C_INCLUDE_DRE => INCLUDE_MM2S_DRE ,
C_ALIGN_WIDTH => DRE_ALIGN_WIDTH ,
C_SEL_ADDR_WIDTH => SEL_ADDR_WIDTH ,
C_DATA_CNTL_FIFO_DEPTH => RD_DATA_CNTL_FIFO_DEPTH ,
C_MMAP_DWIDTH => MM2S_MDATA_WIDTH ,
C_STREAM_DWIDTH => MM2S_SDATA_WIDTH ,
C_TAG_WIDTH => C_TAG_WIDTH ,
C_FAMILY => C_FAMILY
)
port map (
-- Clock and Reset -----------------------------------
primary_aclk => mm2s_aclk ,
mmap_reset => sig_mmap_rst ,
-- Soft Shutdown Interface -----------------------------
rst2data_stop_request => sig_rst2all_stop_request ,
data2addr_stop_req => sig_data2addr_stop_req ,
data2rst_stop_cmplt => sig_data2rst_stop_cmplt ,
-- External Address Pipelining Contol support
mm2s_rd_xfer_cmplt => mm2s_rd_xfer_cmplt ,
-- AXI Read Data Channel I/O -------------------------------
mm2s_rdata => mm2s_rdata ,
mm2s_rresp => mm2s_rresp ,
mm2s_rlast => mm2s_rlast ,
mm2s_rvalid => mm2s_rvalid ,
mm2s_rready => mm2s_rready ,
-- MM2S DRE Control -----------------------------------
mm2s_dre_new_align => open ,
mm2s_dre_use_autodest => open ,
mm2s_dre_src_align => open ,
mm2s_dre_dest_align => open ,
mm2s_dre_flush => open ,
-- AXI Master Stream -----------------------------------
mm2s_strm_wvalid => sig_data2skid_wvalid ,
mm2s_strm_wready => sig_data2skid_wready ,
mm2s_strm_wdata => sig_data2skid_wdata ,
mm2s_strm_wstrb => sig_data2skid_wstrb ,
mm2s_strm_wlast => sig_data2skid_wlast ,
-- MM2S Store and Forward Supplimental Control -----------
mm2s_data2sf_cmd_cmplt => open ,
-- Command Calculator Interface --------------------------
mstr2data_tag => sig_mstr2data_tag ,
mstr2data_saddr_lsb => sig_mstr2data_saddr_lsb ,
mstr2data_len => sig_mstr2data_len ,
mstr2data_strt_strb => sig_mstr2data_strt_strb ,
mstr2data_last_strb => sig_mstr2data_last_strb ,
mstr2data_drr => sig_mstr2data_drr ,
mstr2data_eof => sig_mstr2data_eof ,
mstr2data_sequential => LOGIC_LOW ,
mstr2data_calc_error => sig_mstr2data_calc_error ,
mstr2data_cmd_cmplt => sig_mstr2data_cmd_cmplt ,
mstr2data_cmd_valid => sig_mstr2data_cmd_valid ,
data2mstr_cmd_ready => sig_data2mstr_cmd_ready ,
mstr2data_dre_src_align => DRE_ALIGN_ZEROS ,
mstr2data_dre_dest_align => DRE_ALIGN_ZEROS ,
-- Address Controller Interface --------------------------
addr2data_addr_posted => sig_addr2data_addr_posted ,
-- Data Controller Halted Status
data2all_dcntlr_halted => sig_data2all_dcntlr_halted,
-- Output Stream Skid Buffer Halt control
data2skid_halt => sig_data2skid_halt ,
-- Read Status Controller Interface --------------------------
data2rsc_tag => sig_data2rsc_tag ,
data2rsc_calc_err => sig_data2rsc_calc_err ,
data2rsc_okay => sig_data2rsc_okay ,
data2rsc_decerr => sig_data2rsc_decerr ,
data2rsc_slverr => sig_data2rsc_slverr ,
data2rsc_cmd_cmplt => sig_data2rsc_cmd_cmplt ,
rsc2data_ready => sig_rsc2data_ready ,
data2rsc_valid => sig_data2rsc_valid ,
rsc2mstr_halt_pipe => sig_rsc2mstr_halt_pipe
);
ENABLE_AXIS_SKID : if C_ENABLE_SKID_BUF(5) = '1' generate
begin
------------------------------------------------------------
-- Instance: I_MM2S_SKID_BUF
--
-- Description:
-- Instance for the MM2S Skid Buffer which provides for
-- registerd Master Stream outputs and supports bi-dir
-- throttling.
--
------------------------------------------------------------
I_MM2S_SKID_BUF : entity axi_datamover_v5_1.axi_datamover_skid_buf
generic map (
C_WDATA_WIDTH => MM2S_SDATA_WIDTH
)
port map (
-- System Ports
aclk => mm2s_aclk ,
arst => sig_stream_rst ,
-- Shutdown control (assert for 1 clk pulse)
skid_stop => sig_data2skid_halt ,
-- Slave Side (Stream Data Input)
s_valid => sig_data2skid_wvalid ,
s_ready => sig_data2skid_wready ,
s_data => sig_data2skid_wdata ,
s_strb => sig_data2skid_wstrb ,
s_last => sig_data2skid_wlast ,
-- Master Side (Stream Data Output
m_valid => mm2s_strm_wvalid ,
m_ready => mm2s_strm_wready ,
m_data => mm2s_strm_wdata ,
m_strb => mm2s_strm_wstrb ,
m_last => mm2s_strm_wlast
);
end generate ENABLE_AXIS_SKID;
DISABLE_AXIS_SKID : if C_ENABLE_SKID_BUF(5) = '0' generate
begin
mm2s_strm_wvalid <= sig_data2skid_wvalid;
sig_data2skid_wready <= mm2s_strm_wready;
mm2s_strm_wdata <= sig_data2skid_wdata;
mm2s_strm_wstrb <= sig_data2skid_wstrb;
mm2s_strm_wlast <= sig_data2skid_wlast;
end generate DISABLE_AXIS_SKID;
end implementation;
| bsd-2-clause | d8e32c7cc931d3f599e753f67e679baf | 0.446754 | 4.15526 | false | false | false | false |
rjarzmik/mips_processor | ProgramCounter/Instruction_Misprediction.vhd | 1 | 6,773 | -------------------------------------------------------------------------------
-- Title : PC flow misprediciton module
-- Project : Source files in two directories, custom library name, VHDL'87
-------------------------------------------------------------------------------
-- File : Instruction_Misprediction.vhd
-- Author : Robert Jarzmik <[email protected]>
-- Company :
-- Created : 2016-12-10
-- Last update: 2016-12-11
-- Platform :
-- Standard : VHDL'93/02
-------------------------------------------------------------------------------
-- Description:
-------------------------------------------------------------------------------
-- Copyright (c) 2016
-------------------------------------------------------------------------------
-- Revisions :
-- Date Version Author Description
-- 2016-12-10 1.0 rj Created
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.cpu_defs.all;
use work.instruction_defs.all;
use work.instruction_record.all;
-------------------------------------------------------------------------------
entity Instruction_Misprediction is
generic (
ADDR_WIDTH : integer;
STEP : integer
);
port (
clk : in std_logic;
rst : in std_logic;
-- Input from instruction tracker
i_commited_instr_record : in instr_record;
i_commited_instr_tag : in instr_tag_t;
i_commited_jump_target : in std_logic_vector(ADDR_WIDTH - 1 downto 0);
-- Misprediction outputs
o_mispredict : out std_logic;
o_mispredict_correct_pc : out std_logic_vector(ADDR_WIDTH - 1 downto 0);
o_wrongly_taken_branch : out boolean;
o_wrongly_not_taken_branch : out boolean;
o_wrongly_taken_jump : out boolean;
o_wrongly_not_taken_jump : out boolean;
o_wrongly_pc_disrupt : out boolean;
o_wrongly_predicted_is_branch : out boolean;
o_wrongly_predicted_is_jump : out boolean;
o_wrongly_predicted_is_stepped : out boolean
);
end entity Instruction_Misprediction;
-------------------------------------------------------------------------------
architecture rtl of Instruction_Misprediction is
subtype addr_t is std_logic_vector(ADDR_WIDTH - 1 downto 0);
-----------------------------------------------------------------------------
-- Internal signal declarations
-----------------------------------------------------------------------------
signal irecord : instr_record;
signal itag : instr_tag_t;
signal pc_commited_stepped : addr_t;
signal pc_corrected_next : addr_t;
signal mispredicted : boolean;
signal same_kind : boolean;
signal commited_pc_disrupt : boolean;
signal predict_pc_disrupt : boolean;
signal wrong_branch_decision : boolean;
signal wrongly_taken_branch : boolean;
signal wrongly_not_taken_branch : boolean;
signal wrong_pc_disrupt : boolean;
signal wrong_jump_target : boolean;
signal wrongly_predicted_is_branch : boolean;
signal wrongly_predicted_is_jump : boolean;
signal wrongly_predicted_is_stepped : boolean;
begin -- architecture rtl
-----------------------------------------------------------------------------
-- Component instantiations
-----------------------------------------------------------------------------
pc_stepped_commited : entity work.PC_Adder
generic map (
ADDR_WIDTH => ADDR_WIDTH,
STEP => STEP)
port map (
current_pc => i_commited_instr_record.pc,
next_pc => pc_commited_stepped);
-- Inputs
irecord <= i_commited_instr_record;
itag <= i_commited_instr_tag;
mispredicted <= itag.valid and
((itag.is_branch_taken /= irecord.predict_take_branch) or
wrong_pc_disrupt);
-- Misprediction of kind of move : branch, ja, jr or casual next stepped instruction
same_kind <= (irecord.predict_is_branch = itag.is_branch) and
(irecord.predict_is_ja = itag.is_ja) and
(irecord.predict_is_jr = itag.is_jr);
commited_pc_disrupt <= itag.is_ja or itag.is_jr or
(itag.is_branch and itag.is_branch_taken);
predict_pc_disrupt <= irecord.predict_is_ja or irecord.predict_is_jr or
(irecord.predict_is_branch and irecord.predict_take_branch);
-- Branch mispredictions
wrong_branch_decision <= itag.is_branch and irecord.predict_is_branch and
itag.is_branch_taken /= irecord.predict_take_branch;
wrongly_taken_branch <= wrong_branch_decision and
not itag.is_branch_taken;
wrongly_not_taken_branch <= wrong_branch_decision and
itag.is_branch_taken;
wrongly_predicted_is_branch <= itag.is_branch /= irecord.predict_is_branch;
-- Jump mispredictions
wrong_pc_disrupt <= (commited_pc_disrupt and
irecord.predict_next_pc /= i_commited_jump_target) or
commited_pc_disrupt /= predict_pc_disrupt;
wrongly_predicted_is_jump <= (itag.is_ja or itag.is_jr) /=
(irecord.predict_is_ja or irecord.predict_is_jr);
-- Stepped misprediction
wrongly_predicted_is_stepped <= (not itag.is_ja and not itag.is_jr and not itag.is_branch) /=
(not irecord.predict_is_ja and not irecord.predict_is_jr and not
irecord.predict_is_branch);
-- Jump target correction
pc_corrected_next <= i_commited_jump_target when commited_pc_disrupt else
pc_commited_stepped;
-- Outputs
o_mispredict <= '1' when mispredicted else '0';
o_mispredict_correct_pc <= pc_corrected_next;
o_wrongly_taken_branch <= wrongly_taken_branch;
o_wrongly_not_taken_branch <= wrongly_not_taken_branch;
o_wrongly_taken_jump <= not same_kind and
(irecord.predict_is_ja or irecord.predict_is_jr);
o_wrongly_not_taken_jump <= not same_kind and not
(irecord.predict_is_ja or irecord.predict_is_jr);
o_wrongly_pc_disrupt <= wrong_pc_disrupt;
o_wrongly_predicted_is_branch <= wrongly_predicted_is_branch;
o_wrongly_predicted_is_jump <= wrongly_predicted_is_jump;
o_wrongly_predicted_is_stepped <= wrongly_predicted_is_stepped;
end architecture rtl;
-------------------------------------------------------------------------------
| gpl-3.0 | faff8463bba1667f3aa40861eec48f1c | 0.518972 | 4.212065 | false | false | false | false |
cwilkens/ecen4024-microphone-array | microphone-array/microphone-array.srcs/sources_1/ip/lp_FIR/fir_compiler_v7_1/hdl/halfband_interpolation.vhd | 2 | 301,231 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Tb4//Di0thu0ndDXiD1EZAjXW+dggqQr+K8r8OwpLz1aEq/Hxj5XRaJ4B7/wjMenOrnsD6t0vTGh
Xt0XEDjeXQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
kSrZDeaxuREa0DUih4yuKYn/X+6u3DE832T/UJPAow1DD3fGNFCB50zKGtF6U7hKlrGB/bBwCgtc
GvylREBJOPsksiw4pl+9/dvADRNjL6zQt0EP/muhb5oTnpIbSDpfRpfMhZ5Ge6xePADUScjvmEhl
pT4XTK5MX6VlyzSfJt0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bLEbMQyL7n47zrfuVbph6qdR2tKuBpBgSgEPQ+Kp2dqpgnxtkQUCKaiHzMskTIB5imN4X/MjXkpe
q29YzcR0ekMLpleEsPIYPySxBzFfEN1W2kA1f76e9udkb7Kmr1sjtdQosnbH1f2YaYk/ph7tGIR+
wBy9QcERkzOn0cdMvyZgpoi18Y9+tL0zXxZNF0EzlOI893T/s0RgidZvWi27fb+lXjHlQJE7gKqw
8a8QT1XoKSmJYjgtJvypwwriv9on0jKsPoFMFmGxwkfeDmNYOLCy2doiMD7TAU9l2hcxwz3TB2+6
9NpcwIHxFzSn1oLL+Rq4IJeobgNG9ugWAXE6ng==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
C2S7TSEWWzRHhtmVyEnFWL8o0V3lK/cOS2zsyqxdnFhC6apja+BV51fJiU5lp5k8D/0JCm8Szikf
GnVwp3BGPHq4Qam3l+WYMCsfWmI/JKjHT/LN/PXuooDfuX7bReyhNUqmndX8Zwxymva12LWaz0dM
Z6y8kjyhicNcjhQMFbk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
LfVE57PY89RfOE2/uEzSNZQkSi5GtpOe2B+5uwZTuAVrEqGR8u8CelUdIJCFXBlUFifWyRF3Y8de
x5CWIDvOkUOJj2F6WqNTC7lhymhQ1nsCkBY1pGjyRHk2abSO8la2EX1USiLozr/2ubNU1tDAqyig
lqp9ZSCqeFZRzipRBKUqqbIkOXo7abosXih/T/a6AdGlgF51Uby8hoZ3lhqTBImtCZQCeJAnc+3A
UQmymYtB9dHSITo9c3yWXTYuqIS6wjHFD6y4MUHnMg6B8vuzPP8VTCYOlxmlQu94Ai3MSDHbiKcH
LAOF6XB8VW0hUmK1fSMx0OTwVCDIWzEmeFbnBA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 221248)
`protect data_block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`protect end_protected
| mit | 78fb1dbb177c697e95ec1e335e9d612a | 0.954932 | 1.807404 | false | false | false | false |
Logistic1994/CPU | module_CPU.vhd | 1 | 11,422 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 11:35:09 06/03/2015
-- Design Name:
-- Module Name: module_CPU - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity module_CPU is
port (
clk: in std_logic; -- ʱÖÓ
nreset: in std_logic;
io_input: in std_logic_vector(7 downto 0);
io_output: out std_logic_vector(7 downto 0);
data_test: out std_logic_vector(7 downto 0);
ar_test: out std_logic_vector(6 downto 0);
cm_test: out std_logic_vector(47 downto 0);
addr_test: out std_logic_vector(11 downto 0);
ir_test: out std_logic_vector(7 downto 0);
pc_test: out std_logic_vector(11 downto 0);
c1_test, c2_test, n1_test, n2_test, w0_test, w1_test, w2_test, w3_test: out std_logic;
ir_ctest: out std_logic;
rs_test, rd_test: out std_logic;
pc_ntest: out std_logic;
nload_test, x_test, z_test: out std_logic;
count_test: out integer);
end module_CPU;
architecture Behavioral of module_CPU is
-- ÉêÃ÷ËùÓеÄÄ£¿é
-- ¶þ·ÖƵÆ÷
component module_Divider
port(
clk: in std_logic;
nreset: in std_logic;
clk2: out std_logic);
end component;
-- MC
component module_MC
port(
clk_MC: in std_logic;
nreset: in std_logic;
IR: in std_logic_vector(7 downto 2);
M_uA: in std_logic; -- ΢µØÖ·¿ØÖÆÐźÅ
CMROM_CS: in std_logic; -- ¿ØÖÆ´æ´¢Æ÷ѡͨÐźÅ
CM: out std_logic_vector(47 downto 0)); -- ΢¿ØÖÆÊä³ö
end component;
-- ROM
component module_ROM
port (
clk_ROM: in std_logic;
M_ROM: in std_logic;
nROM_EN: in std_logic;
addr: in std_logic_vector(11 downto 0);
datao: out std_logic_vector(7 downto 0);
do: out std_logic);
end component;
-- IR
component module_IR
port (
clk_IR: in std_logic;
nreset: in std_logic;
LD_IR1, LD_IR2, LD_IR3: in std_logic; -- ¿ØÖÆÐźÅ
nARen: in std_logic; -- ramµØÖ·¿ØÖÆÐźÅ
datai: in std_logic_vector(7 downto 0);
IR: out std_logic_vector(7 downto 0); -- IRÖ¸Áî±àÂë
PC: out std_logic_vector(11 downto 0); -- PCеØÖ·
ARo: out std_logic_vector(6 downto 0); -- RAM¶ÁдµØÖ·
ao: out std_logic;
RS, RD: out std_logic); -- Ô´¼Ä´æÆ÷ºÍÄ¿µÄ¼Ä´æÆ÷
end component;
-- PC
component module_PC
port (
clk_PC: in std_logic; -- ʱÖÓ
nreset: in std_logic; -- È«¾Ö¸´Î»ÐźÅ
nLD_PC: in std_logic; -- µØÖ·¸üÐÂ
M_PC: in std_logic; -- PC¼ÓÒ»
nPCH: in std_logic; -- PCÊä³öµ½×ÜÏߵĿØÖÆÐźÅ
nPCL: in std_logic; -- PCÊä³öµ½×ÜÏߵĿØÖÆÐźÅ
PC: in std_logic_vector(11 downto 0); -- 12λµÄPC
ADDR: out std_logic_vector(11 downto 0); -- ROM¶ÁµØÖ·Êä³ö
datao: out std_logic_vector(7 downto 0);-- PCÊýÖµÊä³öµ½Êý¾Ý×ÜÏß
do: out std_logic);
end component;
-- P0
component module_P0
port(
clk_P0: in std_logic;
nreset: in std_logic;
P0_CS: in std_logic;
nP0_IEN: in std_logic; --ÊäÈëʹÄÜ
nP0_OEN: in std_logic; --Êä³öʹÄÜ
P0_IN: in std_logic_vector(7 downto 0);
P0_OUT: out std_logic_vector(7 downto 0);
datai: in std_logic_vector(7 downto 0);
datao: out std_logic_vector(7 downto 0);
do: out std_logic);
end component;
-- SP
component module_SP
port(
clk_SP: in std_logic;
nreset: in std_logic;
SP_CS: in std_logic; --Ƭѡ
SP_UP: in std_logic; -- +1£¬¼´³öÕ»
SP_DN: in std_logic; -- -1£¬¼´ÈëÕ»
nSP_EN: in std_logic; --µ±Õâ¸öΪ0ʱ£¬upÓëdownÓÐЧ£»µ±Õâ¸öΪ1ʱ±íʾÐèÒª¸üÐÂSPÁË
ARo: out std_logic_vector(6 downto 0);
ao: out std_logic;
datai: in std_logic_vector(7 downto 0));
end component;
-- RAM
component module_RAM
port(
clk_RAM: in std_logic;
nreset: in std_logic;
RAM_CS: in std_logic; -- RAMƬѡ
nRAM_EN: in std_logic; -- RAMÊä³öʹÄÜ
WR_nRD: in std_logic; -- 1Ϊд£¬0Ϊ¶Á
ARi: in std_logic_vector(6 downto 0); -- RAMµØÖ·ÐźÅ
datai: in std_logic_vector(7 downto 0);
datao: out std_logic_vector(7 downto 0); -- Êý¾Ý×ÜÏß
do: out std_logic);
end component;
-- RN
component module_Rn
port(
clk_RN: in std_logic;
nreset: in std_logic;
Rn_CS: in std_logic; -- µ±Rn_CS='0'²¢ÇÒ´¦ÓÚ¶ÁµÄʱºò£¬¶ÁÈ¡RDÀïÃæµÄÊý¾Ý
nRi_EN: in std_logic; -- µÍµçƽÓÐЧ
RDRi, WRRi: in std_logic; -- ¸ßµçƽÓÐЧ
RS: in std_logic;
RD: in std_logic;
datai: in std_logic_vector(7 downto 0);
datao: out std_logic_vector(7 downto 0);
do: out std_logic);
end component;
-- ALU
component module_ALU
port(
clk_ALU: in std_logic;
nreset: in std_logic;
M_A, M_B: in std_logic; -- ÔÝ´æÆ÷¿ØÖÆÐźÅ
M_F: in std_logic; -- ÒÆÎ»µÄ¿ØÖÆÐźÅ
nALU_EN: in std_logic; -- ALU½á¹ûÊä³öʹÄÜ
nPSW_EN: in std_logic; -- PSWÊä³öʹÄÜ
C0: in std_logic; -- ½øÎ»ÊäÈë
S: in std_logic_vector(4 downto 0); -- ÔËËãÀàÐͺͲÙ×÷Ñ¡Ôñ
F_in: in std_logic_vector(1 downto 0); -- ÒÆÎ»¹¦ÄÜÑ¡Ôñ
datai: in std_logic_vector(7 downto 0); -- Êý¾Ý
datao: out std_logic_vector(7 downto 0);
do: out std_logic;
AC: out std_logic;
CY: out std_logic;
ZN: out std_logic;
OV: out std_logic);
end component;
-- CLK
component module_CLK
port (
clk: in std_logic;
nreset: in std_logic;
clk1, nclk1: out std_logic;
clk2, nclk2: out std_logic;
w0, w1, w2, w3: out std_logic);
end component;
-- signals
signal IR: std_logic_vector(7 downto 0);
signal CM: std_logic_vector(47 downto 0);
signal ADDR: std_logic_vector(11 downto 0);
signal DATA: std_logic_vector(7 downto 0); -- Õâ¸öÐźſÉÒÔÓÃÓÚËùÓеÄÊäÈë
signal PC: std_logic_vector(11 downto 0);
signal AR: std_logic_vector(6 downto 0);
signal RS, RD: std_logic;
signal AC, CY, ZN, OV: std_logic; -- AC°ë£¬CYÈ«£¬ZN0£¬OVÒç³ö
signal PC_nload: std_logic;
signal clk1, clk2, nclk1, nclk2, w0, w1, w2, w3: std_logic;
signal clk_double: std_logic;
signal clk_MC, clk_ROM, clk_IR, clk_PC, clk_P0, clk_SP, clk_RAM, clk_Rn, clk_ALU: std_logic;
signal d_ROM, d_PC, d_P0, d_RAM, d_Rn, d_ALU: std_logic_vector(7 downto 0); -- ÕâЩÐźÅÓÃÓÚÊä³ö
signal do_ROM, do_PC, do_P0, do_RAM, do_Rn, do_ALU: std_logic;
signal a_IR, a_SP: std_logic_vector(6 downto 0);
signal ao_IR, ao_SP: std_logic;
signal clk_count: std_logic;
signal count: integer;
begin
-- ʱÖÓ
clk_MC <= w0;
clk_ROM <= nclk1 and w0 and clk2;
clk_IR <= nclk2 and w0;
clk_PC <= nclk1 and w0 and nclk2;
clk_P0 <= w1;
clk_SP <= nclk1 and w1 and clk2;
clk_RAM <= nclk1 and w1 and nclk2;
clk_Rn <= nclk1 and w2 and clk2;
clk_ALU <= nclk1 and w2 and nclk2;
clk_count <= clk and (w0 or w1 or w2 or w3);
PC_nload <= (CM(22) or ((not CM(12)) and ZN));
-- for test
data_test <= DATA;
ar_test <= AR;
cm_test <= CM;
addr_test <= ADDR;
ir_test <= IR;
pc_test <= PC;
c1_test <= clk1;
c2_test <= clk2;
n1_test <= nclk1;
n2_test <= nclk2;
w0_test <= w0;w1_test <= w1;w2_test <= w2;w3_test <= w3;
count_test <= count;
ir_ctest <= clk_IR;
rs_test <= RS;
rd_test <= RD;
pc_ntest <= PC_nload;
nload_test <= CM(22);
x_test <= CM(12);
z_test <= ZN;
process(nreset, clk_count) -- ½øÐÐÊý¾ÝÑ¡Ôñ
begin
if nreset = '0' then
count <= 0;
elsif falling_edge(clk_count) then
if count = 1 then -- for update ROM
if do_ROM = '1' then
DATA <= d_ROM;
AR <= AR;
else
DATA <= DATA;
AR <= AR;
end if;
elsif count = 2 then -- for update IR
if ao_IR = '1' then
AR <= a_IR;
DATA <= DATA;
else
DATA <= DATA;
AR <= AR;
end if;
elsif count = 3 then -- for update PC
if do_PC = '1' then
DATA <= d_PC;
AR <= AR;
else
DATA <= DATA;
AR <= AR;
end if;
elsif count = 4 then -- for update P0
if do_P0 = '1' then
DATA <= d_P0;
AR <= AR;
else
DATA <= DATA;
AR <= AR;
end if;
elsif count = 5 then -- for update SP
if ao_SP = '1' then
AR <= a_SP;
DATA <= DATA;
else
DATA <= DATA;
AR <= AR;
end if;
elsif count = 7 then -- for update RAM
if do_RAM = '1' then
DATA <= d_RAM;
AR <= AR;
else
DATA <= DATA;
AR <= AR;
end if;
elsif count = 9 then -- for update Rn
if do_Rn = '1' then
DATA <= d_Rn;
AR <= AR;
else
DATA <= DATA;
AR <= AR;
end if;
elsif count = 11 then -- for update ALU
if do_ALU = '1' then
DATA <= d_ALU;
AR <= AR;
else
DATA <= DATA;
AR <= AR;
end if;
else
DATA <= DATA;
AR <= AR;
end if;
if count = 15 then
count <= 0;
else
count <= count + 1;
end if;
end if;
end process;
U_MC:
module_MC
port map(
clk_MC => clk_MC,
nreset => nreset,
IR => IR(7 downto 2),
M_uA => CM(9),
CMROM_CS => CM(8),
CM => CM);
U_ROM:
module_ROM
port map(
clk_ROM => clk_ROM,
M_ROM => CM(11),
nROM_EN => CM(10),
addr => ADDR,
datao => d_ROM,
do => do_ROM);
U_IR:
module_IR
port map(
clk_IR => clk_IR,
nreset => nreset,
LD_IR1 => CM(27),
LD_IR2 => CM(26),
LD_IR3 => CM(25),
nARen => CM(24),
datai => DATA,
IR => IR,
PC => PC,
ARo => a_IR,
ao => ao_IR,
RS => RS,
RD => RD);
U_PC:
module_PC
port map(
clk_PC => clk_PC,
nreset => nreset,
nLD_PC => PC_nload,
M_PC => CM(23),
nPCH => CM(21),
nPCL => CM(20),
PC => PC,
ADDR => ADDR,
datao => d_PC,
do => do_PC);
U_P0:
module_P0
port map(
clk_P0 => clk_P0,
nreset => nreset,
P0_CS => CM(15),
nP0_IEN => CM(14),
nP0_OEN => CM(13),
P0_IN => io_input,
P0_OUT => io_output,
datai => DATA,
datao => d_P0,
do => do_P0);
U_SP:
module_SP
port map(
clk_SP => clk_SP,
nreset => nreset,
SP_CS => CM(17),
SP_UP => CM(19),
SP_DN => CM(18),
nSP_EN => CM(16),
ARo => a_SP,
ao => ao_SP,
datai => DATA);
U_RAM:
module_RAM
port map(
clk_RAM => clk_RAM,
nreset => nreset,
RAM_CS => CM(34),
nRAM_EN => CM(32),
WR_nRD => CM(33),
ARi => AR,
datai => DATA,
datao => d_RAM,
do => do_RAM);
U_Rn:
module_Rn
port map(
clk_RN => clk_Rn,
nreset => nreset,
Rn_CS => CM(31),
nRi_EN => CM(28),
RDRi => CM(30),
WRRi => CM(29),
RS => RS,
RD => RD,
datai => DATA,
datao => d_Rn,
do => do_Rn);
U_ALU:
module_ALU
port map(
clk_ALU => clk_ALU,
nreset => nreset,
M_A => CM(47),
M_B => CM(46),
M_F => CM(45),
nALU_EN => CM(37),
nPSW_EN => CM(36),
C0 => CM(35),
S(4) => CM(44),
S(3) => CM(43),
S(2) => CM(42),
S(1) => CM(41),
S(0) => CM(40),
F_in(1) => CM(39),
F_in(0) => CM(38),
datai => DATA,
datao => d_ALU,
do => do_ALU,
AC => AC,
CY => CY,
ZN => ZN,
OV => OV);
U_CLK:
module_CLK
port map(
clk => clk_double,
nreset => nreset,
clk1 => clk1,
nclk1 => nclk1,
clk2 => clk2,
nclk2 => nclk2,
w0 => w0,
w1 => w1,
w2 => w2,
w3 => w3);
U_Divider:
module_Divider
port map(
clk => clk,
nreset => nreset,
clk2 => clk_double);
end Behavioral;
| gpl-2.0 | 262363f90a22ac841a46118383ab4ced | 0.567239 | 2.338657 | false | true | false | false |
rjarzmik/mips_processor | Caches/tags_data_mem.vhd | 1 | 8,821 | -------------------------------------------------------------------------------
-- Title : Cache tags data memory
-- Project : Source files in two directories, custom library name, VHDL'87
-------------------------------------------------------------------------------
-- File : tags_data_mem.vhd
-- Author : Robert Jarzmik <[email protected]>
-- Company :
-- Created : 2016-12-15
-- Last update: 2017-01-01
-- Platform :
-- Standard : VHDL'93/02
-------------------------------------------------------------------------------
-- Description:
-------------------------------------------------------------------------------
-- Copyright (c) 2016
-------------------------------------------------------------------------------
-- Revisions :
-- Date Version Author Description
-- 2016-12-15 1.0 rj Created
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;
use work.cache_defs.all;
-------------------------------------------------------------------------------
entity tags_data_mem is
generic
(
DEBUG : boolean := false
);
port (
clk : in std_logic;
-- Reader
i_re : in std_logic;
i_raddr : in addr_t;
o_tag_found : out boolean;
o_way_found : out natural range 0 to NB_WAYS - 1;
--- Combinational : read_tag_entries(i_raddr)(way)
o_tag_entry : out tag_entry_t;
o_data_valid : out std_logic;
o_way_evict : out natural range 0 to NB_WAYS - 1;
o_evict_dirty : out boolean;
o_evict_tag_entry : out tag_entry_t;
-- Writer
--- i_update_addr will be the one latched when i_prepare_we = 1
--- base data for update will be the one latched from mem_read_data the
--- cycle _after_ i_prepare_we = 1
i_waddr : in addr_t;
i_we : in std_logic;
i_update_way : in natural range 0 to NB_WAYS - 1;
i_wtag_entry : in tag_entry_t;
--- i_way_alloc triggers a new election of the next to evict line
i_evict_compute : in std_logic
);
end entity tags_data_mem;
architecture str of tags_data_mem is
-- Entry anatomy, ie. entry for a given address index
subtype counter_t is natural range 0 to NB_WAYS - 1;
-- Tag Data Memory interface
subtype mem_addr_t is std_logic_vector(INDEX_WIDTH - 1 downto 0);
signal mem_raddr : mem_addr_t := (others => '0');
signal mem_read_data : tag_entry_vector(0 to NB_WAYS - 1) := (others => TAG_ENTRY_EMPTY);
signal mem_bypassed_rdata : tag_entry_vector(0 to NB_WAYS - 1) := (others => TAG_ENTRY_EMPTY);
signal mem_rren : way_selector_t := (others => '0');
signal mem_waddr : mem_addr_t;
signal mem_we : std_logic;
signal mem_wway : natural range 0 to NB_WAYS - 1;
signal mem_wren : way_selector_t := (others => '0');
signal mem_write_data : tag_entry_vector(0 to NB_WAYS - 1) := (others => TAG_ENTRY_EMPTY);
-- Tag Allocate interface
signal evict_rdata : alloc_entry_t := (others => '0');
signal evict_wdata : alloc_entry_t := (others => '0');
signal evict_bypassed_rdata : alloc_entry_t := (others => '0');
signal evict_wren : std_logic := '0';
signal evict_way : natural range 0 to NB_WAYS - 1;
signal mem_read_alloc_counter : alloc_entry_t;
-- Debug signals
-- Latched data for memory read + search
signal searched_addr : addr_t := (others => '0');
signal mem_w_bypass : boolean := false;
signal mem_te : tag_entry_t := TAG_ENTRY_EMPTY;
signal mem_searched_addr : mem_addr_t := (others => '0');
signal mem_way_found : natural range 0 to NB_WAYS - 1;
----------------------------------------------
-- Functions for combinational memory logic --
----------------------------------------------
function get_is_way_found(addr : addr_t;
tev : tag_entry_vector(0 to NB_WAYS - 1))
return boolean is
variable needle : tag_t;
variable way_found : boolean := false;
begin
needle := get_address_tag(addr);
for way in tev'range loop
if tev(way).tag = needle and tev(way).valids /= TAG_ENTRY_EMPTY.valids then
way_found := true;
end if;
end loop;
return way_found;
end function get_is_way_found;
function get_way_found(addr : addr_t;
tev : tag_entry_vector(0 to NB_WAYS - 1))
return natural is
variable needle : tag_t;
variable oway : natural range 0 to NB_WAYS - 1 := 0;
begin
needle := get_address_tag(addr);
for way in tev'range loop
if tev(way).tag = needle and tev(way).valids /= TAG_ENTRY_EMPTY.valids then
oway := way;
end if;
end loop;
return oway;
end function get_way_found;
function get_alloc_counter(ae : alloc_entry_t; step : natural)
return natural is
variable ac : natural;
begin
ac := to_integer(unsigned(ae));
ac := (ac + step) mod NB_WAYS;
return ac;
end function get_alloc_counter;
function get_updated_tag_entry(addr : addr_t;
a : tag_entry_t;
data_in_line : natural range 0 to DATAS_PER_LINE;
valid : std_ulogic;
dirty : std_ulogic;
ctxt : tag_context_t)
return tag_entry_t is
variable te : tag_entry_t;
begin
te.ctxt := ctxt;
te.valids(data_in_line) := valid;
te.dirtys(data_in_line) := dirty;
return te;
end function get_updated_tag_entry;
begin -- architecture str
-- Memories instances
tmem : for i in 0 to NB_WAYS - 1 generate
tdata : entity work.memory_tagmem_internal
generic map (ADDR_WIDTH => INDEX_WIDTH, DEBUG_IDX => i, DEBUG => DEBUG)
port map (clk, mem_raddr, mem_waddr, mem_write_data(i), i_re,
mem_wren(i), mem_read_data(i));
end generate tmem;
evictm : entity work.memory_eviction_internal
generic map (ADDR_WIDTH => INDEX_WIDTH, DEBUG => DEBUG)
port map (clk, mem_raddr, mem_waddr, evict_wdata, i_re,
evict_wren, evict_rdata);
mem_raddr <= std_logic_vector(to_unsigned(get_address_index(i_raddr), mem_raddr'length));
reader : process(clk, i_raddr)
begin
if rising_edge(clk) then
searched_addr <= i_raddr;
mem_searched_addr <= mem_raddr;
end if;
end process reader;
mem_w_bypass <= mem_searched_addr = mem_waddr and mem_we = '1';
gmbypassrdata : for way in mem_bypassed_rdata'range generate
mem_bypassed_rdata(way) <= mem_write_data(way) when mem_w_bypass and way = mem_wway
else mem_read_data(way);
end generate;
evict_bypassed_rdata <= evict_wdata when evict_wren = '1' and mem_waddr = mem_searched_addr else evict_rdata;
-- mem_way_found <= get_way_found(searched_addr, mem_read_data);
mem_way_found <= get_way_found(searched_addr, mem_bypassed_rdata);
mem_te <= mem_bypassed_rdata(mem_way_found);
evict_way <= get_alloc_counter(evict_bypassed_rdata, 0);
o_tag_found <= get_is_way_found(searched_addr, mem_bypassed_rdata);
o_way_found <= mem_way_found;
o_way_evict <= evict_way;
o_data_valid <= data_is_valid(searched_addr, mem_te);
o_tag_entry_mux : entity work.tag_entry_mux
generic map (NB => NB_WAYS)
port map (mem_way_found, mem_bypassed_rdata, o_tag_entry);
o_evict_dirty <= dataline_is_dirty(mem_bypassed_rdata(evict_way));
o_evict_tag_entry_mux : entity work.tag_entry_mux
generic map (NB => NB_WAYS)
port map (evict_way, mem_bypassed_rdata, o_evict_tag_entry);
writer : process(clk, mem_searched_addr, i_we, i_update_way, i_waddr)
begin
if rising_edge(clk) then
mem_waddr <= std_logic_vector(to_unsigned(get_address_index(i_waddr), mem_waddr'length));
mem_we <= i_we;
mem_wway <= i_update_way;
for way in mem_wren'range loop
if i_we = '1' and i_update_way = way then
mem_wren(way) <= '1';
else
mem_wren(way) <= '0';
end if;
end loop;
for way in mem_write_data'range loop
mem_write_data(way) <= i_wtag_entry;
end loop;
end if;
end process writer;
evicter : process(clk, mem_searched_addr, i_evict_compute)
begin
if rising_edge(clk) then
evict_wren <= i_evict_compute;
evict_wdata <=
std_logic_vector(to_unsigned(get_alloc_counter(evict_rdata, 1), evict_wdata'length));
end if;
end process evicter;
end architecture str;
| gpl-3.0 | 8e61108e8a17eb01267d90fe2fbbbb7b | 0.548237 | 3.475571 | false | false | false | false |
tdaede/daala_zynq | daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_bram_ctrl_0_bram_0/daala_zynq_axi_bram_ctrl_0_bram_0/simulation/bmg_tb_pkg.vhd | 1 | 6,006 |
--------------------------------------------------------------------------------
--
-- BLK MEM GEN v8_0 Core - Testbench Package
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: bmg_tb_pkg.vhd
--
-- Description:
-- BMG Testbench Package files
--
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: Sep 12, 2011 - First Release
--------------------------------------------------------------------------------
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
PACKAGE BMG_TB_PKG IS
FUNCTION DIVROUNDUP (
DATA_VALUE : INTEGER;
DIVISOR : INTEGER)
RETURN INTEGER;
------------------------
FUNCTION IF_THEN_ELSE (
CONDITION : BOOLEAN;
TRUE_CASE : STD_LOGIC_VECTOR;
FALSE_CASE : STD_LOGIC_VECTOR)
RETURN STD_LOGIC_VECTOR;
------------------------
FUNCTION IF_THEN_ELSE (
CONDITION : BOOLEAN;
TRUE_CASE : STRING;
FALSE_CASE :STRING)
RETURN STRING;
------------------------
FUNCTION IF_THEN_ELSE (
CONDITION : BOOLEAN;
TRUE_CASE : STD_LOGIC;
FALSE_CASE :STD_LOGIC)
RETURN STD_LOGIC;
------------------------
FUNCTION IF_THEN_ELSE (
CONDITION : BOOLEAN;
TRUE_CASE : INTEGER;
FALSE_CASE : INTEGER)
RETURN INTEGER;
------------------------
FUNCTION LOG2ROUNDUP (
DATA_VALUE : INTEGER)
RETURN INTEGER;
END BMG_TB_PKG;
PACKAGE BODY BMG_TB_PKG IS
FUNCTION DIVROUNDUP (
DATA_VALUE : INTEGER;
DIVISOR : INTEGER)
RETURN INTEGER IS
VARIABLE DIV : INTEGER;
BEGIN
DIV := DATA_VALUE/DIVISOR;
IF ( (DATA_VALUE MOD DIVISOR) /= 0) THEN
DIV := DIV+1;
END IF;
RETURN DIV;
END DIVROUNDUP;
---------------------------------
FUNCTION IF_THEN_ELSE (
CONDITION : BOOLEAN;
TRUE_CASE : STD_LOGIC_VECTOR;
FALSE_CASE : STD_LOGIC_VECTOR)
RETURN STD_LOGIC_VECTOR IS
BEGIN
IF NOT CONDITION THEN
RETURN FALSE_CASE;
ELSE
RETURN TRUE_CASE;
END IF;
END IF_THEN_ELSE;
---------------------------------
FUNCTION IF_THEN_ELSE (
CONDITION : BOOLEAN;
TRUE_CASE : STD_LOGIC;
FALSE_CASE : STD_LOGIC)
RETURN STD_LOGIC IS
BEGIN
IF NOT CONDITION THEN
RETURN FALSE_CASE;
ELSE
RETURN TRUE_CASE;
END IF;
END IF_THEN_ELSE;
---------------------------------
FUNCTION IF_THEN_ELSE (
CONDITION : BOOLEAN;
TRUE_CASE : INTEGER;
FALSE_CASE : INTEGER)
RETURN INTEGER IS
VARIABLE RETVAL : INTEGER := 0;
BEGIN
IF CONDITION=FALSE THEN
RETVAL:=FALSE_CASE;
ELSE
RETVAL:=TRUE_CASE;
END IF;
RETURN RETVAL;
END IF_THEN_ELSE;
---------------------------------
FUNCTION IF_THEN_ELSE (
CONDITION : BOOLEAN;
TRUE_CASE : STRING;
FALSE_CASE : STRING)
RETURN STRING IS
BEGIN
IF NOT CONDITION THEN
RETURN FALSE_CASE;
ELSE
RETURN TRUE_CASE;
END IF;
END IF_THEN_ELSE;
-------------------------------
FUNCTION LOG2ROUNDUP (
DATA_VALUE : INTEGER)
RETURN INTEGER IS
VARIABLE WIDTH : INTEGER := 0;
VARIABLE CNT : INTEGER := 1;
BEGIN
IF (DATA_VALUE <= 1) THEN
WIDTH := 1;
ELSE
WHILE (CNT < DATA_VALUE) LOOP
WIDTH := WIDTH + 1;
CNT := CNT *2;
END LOOP;
END IF;
RETURN WIDTH;
END LOG2ROUNDUP;
END BMG_TB_PKG;
| bsd-2-clause | 56b1e4ade9edabe9ad924e4563b312aa | 0.577589 | 4.609363 | false | false | false | false |
Yarr/Yarr-fw | rtl/common/frr_arbiter.vhd | 1 | 1,852 | -- ####################################
-- # Project: Yarr
-- # Author: Timon Heim
-- # E-Mail: timon.heim at cern.ch
-- # Comments: Forced Round robin arbiter
-- ####################################
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.numeric_std.all;
entity frr_arbiter is
generic (
g_CHANNELS : integer := 16
);
port (
-- sys connect
clk_i : in std_logic;
rst_i : in std_logic;
-- requests
req_i : in std_logic_vector(g_CHANNELS-1 downto 0);
en_i : in std_logic_vector(g_CHANNELS-1 downto 0);
-- grant
gnt_o : out std_logic_vector(g_CHANNELS-1 downto 0)
);
end frr_arbiter;
architecture behavioral of frr_arbiter is
constant c_ALL_ZEROS : std_logic_vector(g_CHANNELS-1 downto 0) := (others => '0');
constant c_ALL_ONES : std_logic_vector(g_CHANNELS-1 downto 0) := (others => '1');
signal gnt_t : std_logic_vector(g_CHANNELS-1 downto 0);
signal dis_t : std_logic_vector(g_CHANNELS-1 downto 0);
signal req_d0 : std_logic_vector(g_CHANNELS-1 downto 0);
signal req_d1 : std_logic_vector(g_CHANNELS-1 downto 0);
begin
gnt_o <= gnt_t;
dis_t <= not en_i;
arb_proc : process(clk_i, rst_i, req_i, gnt_t)
begin
if (rst_i = '1') then
gnt_t <= (others => '0');
req_d0 <= (others => '0');
req_d1 <= (others => '0');
elsif rising_edge(clk_i) then
if (((en_i and req_i) /= c_ALL_ZEROS) and
((gnt_t = c_ALL_ZEROS))) then --or ((en_i and std_logic_vector(unsigned(dis_t) + unsigned(gnt_t(g_CHANNELS-2 downto 0) & '0'))) = c_ALL_ZEROS) )) then
gnt_t <=en_i and std_logic_vector( unsigned(dis_t) + unsigned(c_ALL_ZEROS(g_CHANNELS-2 downto 0) & '1'));
elsif (gnt_t /= c_ALL_ZEROS) then
gnt_t <=en_i and std_logic_vector( unsigned(dis_t) + unsigned(gnt_t(g_CHANNELS-2 downto 0) & '0'));
end if;
end if;
end process arb_proc;
end behavioral;
| gpl-3.0 | 717b8230cfaf72feafb3d7b08d5847de | 0.598812 | 2.747774 | false | false | false | false |
tdaede/daala_zynq | daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/fifo_generator_v11_0/ramfifo/rd_logic_pkt_fifo.vhd | 2 | 44,022 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
S37EjkT5lXzV9dESAdP9L4jyHRPN37wricWunjDlrnbK9XnIagPKnzlrEF+FwMa9YtXcWT8BIeoN
+8bImuVlgA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ppTxrVvlMmLAGMpzsA9fy+9VBiIgPaMr9F2GZ+HQISJGLHmdyWfu8gnbz1Lec5lg0NU0YTqmtznP
Qvo0A9VAOhSFPn3d+8vhZuxl95rCtyjASFF1fhTEf70b0tBFTxwi92Kw8je4UtoA24NSzsuNDCD6
nTkqTha/9f4ttm5NG6M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
lCvG+onDTB7eG54HeP3kywwaJLvD21q0v+dJ8u4nfmB/y11vhZ8OcroMa9my6nEToKpAiR5mmQQp
QN7AZZ/b+xcuUYUGf5nHD7a9rA5NFLMYXcuPcO0yqclmfEgyY81lNrbCnq6Ucb/HFG9sYznTO8MM
i7nocUwM20LNrT6n5p6DxbgUrZXltQ+Alpt22fEsId/Fu2SPi5aHMACVNWvChcfD72297AeNVXzw
1hY8BadV+7L8sEUEgLeAdeiH9Gik0PMKoQ/OA8Ew7Lx9+JodupqMN8Bt2ygbaEsiXdVyj9JsKpE6
7dKLQdPGUoIrp/MRw3/6KPhXDfPBZWnDyXpUcw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
GhWtKQ1xwcpro6VFYz0DSmMEoma2rxlfu8f/mv5SfKI6znnu7YBIRxWD65XmWA59zFROSy457dvN
06UX81NhM1VoBnQvwLg+MIo9ysw0ZRkTZd4kEsapweFijkVwsc3EA2+giIjoJKNZAZ3Y7h75fDoK
4kwFDJljRf7OBP7+uz8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
b3hwv1mXG8W049uc/IVamTk9gOca+ObIB4hsxoMK6lkxqLRvPrsmxoeoTeIz7fYlI9fa0dd4uL8/
03v3Y0hmNvb74W3hM/WvLtq4OOXtSjMV0d1Ex9eocM+Agdq0J9J1nc8kKIF8U5N+lDt8o/IfR0nx
R2zaIy5ZTtBXcurRAG0F9hQ+sYu9sdtY62V0GDnnnXY5+Hr43AnNXks9EMqGP2GGIZxie0Ql7+LV
rSb9yzb44P6CARNj3xOz11K7BuAOXVD7HxJpTsrmjO7BUKmrpFFxcLQy4VID8G4n9Bvdt8bS/hQs
S8HiQ8m2g3gW5gJU6KeZOMpy6MPgiGqmoMBhaQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 30848)
`protect data_block
ZwA7nlrvTsDd9J44esDCxndcvC6MHipzq7qYNndgH7NlWhPN1Eh4uFbEhKlMMx8tvOkdIQ9DLqfr
ObN5hdPoVJQDII1brc9z/91wfictPRx7+WR+hk4kbl90+UFcaAhqJc+K3sPN8nFJ67PjyKLmpyvp
cMhkcjDmoumwEA6SQr9CA26QZ7ur51WggZb6xEmmghEo+LZ2QpxVZlV0F/fx+qXCIWOHjt6pPr5V
3W+yxc+EbrlZqwlhiIwGIrXOA0ZGf4p/AW/Os5UYG1fyxBk5EUikxAW9S2oxbcd20hLLr3j3N7sx
qwH0uEjzSpmj31EelByEhgdN6KurcnplOVrooVTHeC+zY3zKGT8wfvOlDbJr+3MyK2vxf2yOuWLZ
QYzkCfIWpbXL0tKgg1kjPA3A2PeAPL6H40qcOa7FedXjxmUXQT1QesmehMu0N7EMxqaQ8Ru/R6Mq
enkGZL1bMiCzIJKAopujFsCAwrVBkm0b5Rbica3SNw/3dGiLWou42HKio1tUXvR3PfwvwPH4TWJF
dlMhM3WI+1ITezTMytM35yEg7O0h2LKeuDLXxlPnK8Bq/eCv19iGomjeFxmlWVLJwx10twT0p3XO
VfWQBwZXqSGkb5ILVAkxorEFP3hUuCeJvAIMGGJ60Vd0mdRh8KuEtWLENzsI9N1Z0oNpuZnsA/lZ
nVQpYJD9BC5MmauamdXxy5SHoEHk70oihRRdNldHOzO7haQkIGGL2u0/wbfGdUJ0PZfbW3bLdf7T
kKOZvWUAsq+289eKXZYCD6fc210Rw//oA7sxBMiJ7fK481+fYySUV2zt+tc1R+ilUAW3v1X8xILx
DxkmTzNcQp2RNisII2zT/9Qkhjnwf3yNBXhMyXC/iEaOb7V1XD74KefYIM6dz+PnpaVtsKLLYgyh
TJirev3S9G5lfIXpWgHpjUh1Y5HV/hR+Q0HU0Xdiid79Ni1Pr9BsOys6Lqx5DIZFmXmxxYsvLnaV
PtMZGDdR/7SmmUonxOjs3zZ6p0SvTu24CajsNY0TEORP8aI1+FN9e8q9NGQXsb+gX5YZ6Z+jkXa5
9mIcCmsFb5zhXMrA8g6exV7TdaKax5T0anaNltlWrZaSMUlsyRSSp3OEB8hBywaFsMninlF9Amp7
r8CSCGi0s5iGWC6t8KFZPcHBpM2rApI5lKtZE8Xm+LPVF4m5l0pAbkejujUpvdmcwDBEp6qK5y80
e5Lyk40IJiPuuvYbD5OOY/ljJvamlHr3idoxKy344ghrkxZIoBWnvSC4AVp04DJ7untQffFlCATy
3qtpnKLTRtJzW6mCJCfLWV10Y4ggvIY6stb4PghH/GNSWnOBX/PMT/dSR1l4u8sQCVPZ3FnSPRBT
MHIQacEUqZ6XLon+AR01oVDzyVZpOYK1Qx+4X49oaW+e/Ow776Ywja9csZdtIIZiHNasaQ6R0emE
NwiN1/SCOIg7n74zGRhqZb7swo8JGsALO+/ddacXG9P9lA5CuDSqES+d2lx3VwsHIAV0IiEBwwnv
rdvJ9XrxeNb5+6Kwk+aCD3zUVYk7staiNBI2SrYn//4xjZe1K3bTNw5YDHopr6Bbi3q+Xf9lymA0
G0p9obImAjoir77ddWc/DaJ86VmoLmeKxuOcYpwcqqV5AHH/ekJmYU9MBMsNuN1ixLZDMJ1Ln6eC
ej0LtrPfFjPbtlMRYTxGb1UX7dhR789vZdcU0DTipXfytVSZBJzOONRxXXOeJVa14yVbgxvS2B+d
8MrLZ5OWRhJanH9NfWuxf3ELxs7xPzU/cbUgTYhsyncXsLXz7k86TUIba4PplgnDmbanN+uF5zs/
+UY3wOv8VnTd96GSVYP7pimC7JIjmKM54GXwOn1boHjuaJMiQCKnXqbhSPD4wtJDCDFr3wjISEva
zVlDXQm3IYKgWGBHppKhfZJQYnu7BKgF8ciXPNzECBhrh8QE51sTg3aHFLLXlPDSwI0WlMiYWcij
dqitYsUGrqYbFoTBrAlBUqig1gKK7MGlfhVVQ7wkhuoUitpaIcTjs8pl643iXVF2KikMyqdW8VvL
Aj5FFZitWDvQLi6yXWHHmwHR5XMNHb2Pu/CqXG7owo4TVHCdrKSueFRn+qGaLLW+pItWwRPBRcPw
Z3TEwlRIHw8YyixiFJBmRow2DQNRTpGLzIdlbor1so2v954NYPdowrlg0CgkfQEzCL9gT0JWm9uI
QAVP//pAh2uwBvJuAM6S0yDm2V+tSMXuhHGHJBOWqvyiYy8vCxWwDS2Ae1QEzuzdEkA6tTKyx8gj
cAf9mMA0bjI1nPG4v3dfbAWgrYSRXILux8uMAhPsSMJEAG9h1WNV5o4uHPvrl2ZjolD0udazHxh5
FI3evwiYejfRMZMwPRcZH0nPt4YHv8K38J5D3zQsyZlBXAytfEsA+D8n+ysxZb6thcyVP4ryGJal
CsOzRWwrbVCjJNm8wUHUWzkWUaH1BKVjFssrCHRQbdN3uuZPo4DcF1uk5+ocDu/AX4DCo8svVLzL
tC2uShq5oL9YIzcgU0XfJup8mqxnDc6AjL2N4lgdXQF+X5afsddesZpzQybbmWDRoII8wHOog823
8fsX1jC2obV2joLcJzPHWjad70oqTF9gSG1QfM43+CyKY+MMwskcQ3/FITHUllhZVdAhV8GR634f
oCcU/+J+DHfrtbRGZbU//MHE9PU/51lrjqJGQEW2g3V6J0XXRfDIBRwlH1yzBAHCgya3fmYpbUqG
Rxc1yrfVGvB8r9O8Se4ynmMIE8pWvGcKQFUO8Ov+U2ozPqsG/5FJ1X4rSv2Ug2Dhr07HqYEavD2V
pVswNQPGpML+U9sS33eMa6wJG+T/wjZqfi7zJtGQedMVdDQ4ysDloTINmeo9jyOZmbpZ1yhGbUO0
ifAhHkuQyWz0aEz8R3757YrBWGDYKlL3No5dtSGJWoIjpD3Pb2SbmjQoNaf0G/2zWY4nc8uV9uEQ
Y1pepMzfjB1vu4LoP8HqXU/d9SIJgvKwQEo0qjk3PkW8yU+KPVBmr7R/zQoVEZ0bHGr28Xig6eUC
b3Aak1SrzqSH8FYtzQy8AuwwOANesDcJ2kXPvA33fBoRK/MWtYr/1r0sJyho3a48DpaygXz6Kg3b
vRarOvQwHEgbXLMcF0KoI8jcUl78vKmF7GvwzhNUjR6IcDTvsWE/6VyKU00mFqW1fCtTP6Z6WKEN
iZJmg378bTRxh9p1K4cHS9Z4Bo9OVlZKNGEG9HCDTi5KqiPfpf/ESF6fveczn8ZzNqTRyRK6G2yW
Y5r1kDJjI1zWuM438oRwTCeAAFQyYnN79/fWBv0495Wy1lNTalWXiZC+bNBdSSX8Xv8sBe1ebE8E
ABAp7nkFcyPa7wgzmHTC/TWkaPoxhYwyr4+FP1qzCNyYcc5R4LIzq5mGHV4gGC8D3DzNfMr4zSNe
ewFT9GUNC4w+gw6RfkKlCFicTPtrnGjI/Xuwj/aDwsmcxg6uO+fB1B2623Xn8XDQa+A1kuZsO1w+
hXsZXarnNZkflhpFlcmjiFQAJQT5tXRnTVp95dyKW66lvjyo1JQLODw+3lcbJ09dJ2KSMTprOD+0
3T/ZT9D7TU2ocIVRYCeXAvPWtbX3SD1DpDZFDcyiHQLfmVlqmXXKSOC1xLY3TIdyoP/aIyARZipC
NtlgL5X8MZD5V5LVS9apfHF48LP3AW9MQ5C0jSUq9BaqQceKlsNLqV3PJsWTuecnrcfaL9MWzppo
0B4bgZQgUP+luyJvS+wGsVbD/ldsMXhpD4MRaExALdvmhVYp1zBqS+S5rhtQlyzEJ2cEPgTy+4RP
UT3MONM8irB78IvH77ZGvufFof10rTZPU2055tDFv0JAGS85lixIW4YHPD/i+ruKlSOBYYMeCwa6
9H8m/PFTmlWWg+JT6zhsOWm6pRSvM4Bfkrn63vuGoCecDMZbYhjpATfEYOhP3JY3sZ+rYhxgJh/5
WNa6FIBGJ6eeIFT5GjFqiF2WIyyf1h2GzW8bq5u0wRjarJqoW55XcC4cP7YkKnxAp5+elUrfafWv
EzV1tw/UyO/QqrHJRowxUEB55QK006WWZJHYkAtbzN3kGOKw/gDC5TkAgOlKdthQasgA8wfON+Q/
Lg6jrM0zZwrgqezSJTeNdmR2PfeAhIS0jbyPyR7duidLZ2NPBIDkffGGoVLq7OKTvOtQCV6Suosk
KROIaWtv5t+Jjb6CB3838nZIoadMbDuJApNEtgl7pk13PxFQnpy3f1xQ+NPCZxCYulnBbzDOALyC
SP/iaOOofVvEgK1k7Oo3bc6tYPyMzvB6RPcRplAOVOVGa3PMM5aE4keHXNdnEW5cCGrskqNbfqqJ
3fobdPLkl/rKRmw+H/Qe43iD0ZNI6JCNvYp4mcWTskyr0v1TkwNAMCCM9o4V6ie18nOdw5EaNlIg
z/gBK2iA3kkoQ4HH+lJhvKpJvSKycc5iYfmEvESGvC4HZc9D21mb7Oa+LYG505Yh9x+YkJxpA+0I
ICYVIKLquBWjzWvfTQalutaUdtJYKMfAfIBTKeWJQ7W0ua3XFOqYMSoq/U6d6E3QqizI9QNpa/p/
+iWfTKF4odZy77Yz/JMzvdYbu2hF3hDIss+hswVtzN1mdmC95HpBqMC8zX8drXRl+gFb4wvqvTOl
NnAXx6qo+2SCU48qRBH3/oVO0kW0Ynss1xcelL4dIgC4UapLc67llTXV0QPd0WDFcJeeSDVyDWud
QyuBEP8W6z/WTSGGi7lm2zGHQH6nipx227JjYNRUa3OVxPz7KR1Bd6Ms91vkF4UF+WZQds7qCtJS
Q94WUW5MgnU+lUSLIecQie+9Xu6FqzxphtwqZQTiQcKx6kvL84hdppPsDrIzh6SCQ+wOXC5QZrg3
igFSDoPufI65WDtAn4azbbEc/Uca95CuEckzh9aVh4yWxhc+3DiWxfhPl5UgjlCDA3ZwixspNcDW
XsaXYGROgH8ScOcV7j/L1u1dyFfAAHVgSUvpo/ADwvtnJgLR82Gb8Ps61Oc46K41CJro/lyjdlLf
1FBuITw7pgIsTMHikcoIaV25RMD6K4RVBntwkq5SbKJwpHIYb3vINIiU5L9bJ7e7o3RbWspgnMSl
OXgyJzUTIPk+ocgacS3LbiZtOuCKBesNlUYZ+WVkg9WQcKlUJ2ZL5hRIoloqtr7SgVWzyXzPEm38
s5OXpW7f2pmgzkPE73TMw7miudVI4JlXIHRSN0OcOOnLF/VoteWMLyDND1CjZ5IccrUy3W5LXnxg
cNGRKW0TI9nQ86g2XGP5zuo9dpITFK1qOmVtWa77QNLcWG7NATUh9J3gpIqHNf/L1cEuRerdwJRQ
seGcldzpwHColID9Y5X0XspQ7379Xvylk0FCaMBBSWJ4jL6LyLKhXuB/g5Bq93hliWMrDkN9/Kyn
Jr7pAd16/81o16+Jd60/d6jgQozY+PtVenK84bxlYR5kel8hyEsM2a26fLfrMMsrng0gBYgbNlGr
4bGjRjsyk1YFA2fzblA0iI/N/qsT+FYRJkW/71CYN8B6XAohmuUTT24HyKWunN4ceuS1M3Rj9VZ+
pAd6teNawJwn6AtprJu7RaBFtdav5A06II0+PaPYSXilzSkBWxaGw7j6uW8QHt4iSghJP8y6lW0A
i4QH4hgyTXkxC3njDC/0lPXweFFPXptSBENdoT/S+ZtLKt9Rlz8OvWl0frac2AUX4abMvpm3oi0H
KHx4jcwZdwepgsLBtV3HjQujBV9cLgOcqM74cTSIoS6Fewf4r2gVj786Mq28fF2dB89006TpsRS0
xjSy48boJvTnKDnGPBg/Ui+KyW798cFf1sSNxDvyhknjCt9ffx5VMvX+qSYvueNV6TnWk/75q0tJ
gF7co0n34nspE93PN9qUNeNNKWjF7MDvhH4qZhoPxxyUnq5NRNYNhI0LJXj9Y9InpCPOi7bbG6rZ
EgSu+0hirnR7xSJKE2ZIR1IqEezRpXEcEGO9jIdWnW3K2RcoYWCgq3WRnNgIomocuRCpkeSQCt9/
dbMBz9fvadvMhxv7gBCWhOL7FDS45VOpwiLYKpILK3hkNJxU1gEBuLGlDTKX8Q7d8kpFQyrefxhq
1RQqDdNvV8mjRq60EkVpOj/PSFu96qmWipw5vynLaKI/KtVQDDan5SfTBJzedXfjOSVwbjmB2qYg
YLIjK5rQ1aVcNhIKoD8p/p5AcpndEsL/c9P0K0JCXyBmZwSCCvuU6U/OOnMa/5fttKgPgr6/KRXy
BCTj/zP3gaX4kjG5xe9hBclfCoRNq35d4YsO4pb2JWisPZNTMqjF2hNqGFTz/2saYd3/KwON1JNX
JVLmmLD27iMgir4uiLYNRvzmOuZiNz9+SXXp8Vf0fi+QwC7YY+QzDN77J14KVHhRnlxvrt2PCXVY
sqWEoalzZEZUDVBwNq6tP+e8NKUkJDjDvLaTp/YnKF0zBuhXnDnsuBVxWjp5XzXzGsomjgt/5iqM
wcYwVPJPMNwFPeOekEucgqyfOfKGf7V/z2zzP1aXj5m1YBR53qhBnIlDT21YmvuGHRSD5DmydhXp
l2IWCc+eh/ERbXFOsDk5x1Q0tLvY3gE8Fx8LNdZs9EpcDqfRgcgW9FLllHNbl/lSOUELwIWqgnEf
MEI5gOULsG68W2Ia7TPpwhFhHh5hGVcjYeXJF9DroFmDArPExn1NSteMINZzEJK8e2eC0LQnuIxO
wFEdIA443WuBNfKvpzr/PsobzvBh3OFsIcs+fY6JAYt9bP0ZzjK3RSfOy+asKnXUhsd8IsiQhfGH
qa2CWWWv5wmolQiSGJ1jiQc1GWdocSxstJHLVTxHBwUnBRKRVKdKCXD2smKlMPnUFS6YEIPmbDgh
EoO0CVhpE2GcFPw06DwzHrsFmuAS3DlirEM7CofEw7QZitku1Lbbmazv1Xt3f9iDtAcfnm1KNuJ5
KSc4gEUOdGUWm1Dqu5Zt/R5F8covgov9eNzUz6/l57NmGb9e6eWTpCEOwJD7QbvMYtAAVFyHZKwM
QMy78Yc0La5KdAGPZr78KqMS6ToIy8k5WGzWnwXcouDy8LCZMHH5xqdyqLmV0ySU477CzFWVqg9S
rQHnaBqoVYod9Jl2PhaiookPev9LXB+VY9jdLEqs6oL8gPyhx+9hwjwU6lBY02ZHI51esrznTz3K
RVV3pQi6l6Dc8AIZfCbv+n4pM9QtF7hQZ+SWYuVqI6LXNeFlpKNC+VsU0I025Y/DjoqPNzUDhBWh
20UZPx14r0gUMZvMmlVRb0N/fA9B5Ze79LXgcUKuWdOKXw8TokUszxLwaCOjD5jjg37fuj/ZGg2j
+t5Oa6l6xDtjBYwvYuYbLLbQsFdATHRJNI3GZKhpJY2Pszmxi242pfg++aMnvb4BE2CHbXxIkact
CycUn1Th7vCDiQRO59lliRVNjumCsxT5bCUYycKEkwyOaOFpwbpEC1V0Belxxia7znfTu7GAP6lj
8FtpgKa9Ekyv6s0YEnSZ1LRxLmwCTQbPQQJ6Aop/2m42XqlS/VtoQLVbnx4uttSmTmLnhG+ZkH/u
gEQiaAaDBkMwjfC6Ad1SDJzz5Ywx7BWwHnUwdw8w6IiChca3fHDbtAkAEu+6whBfQV80krtq0kMa
lgfedqJO6rQ4P4O+vIQLJ0D9CSeYqy2WQdbJiQEtKKnL6xuMvfkSwPaW2jLutZyjgHSHrB48hwSh
DiqgRW7RxV1F72hS4FTwOBCtIs7kQM482INXt2zloGGF+Pp8yDDyZnl+w+TpAGfntzdTd37Kmpym
eSG38VQOleMcF7otElbuNbjCSzEXhtD8JaN3EJB04kRhclWKvj1ZssJAAWHjXi4p9Rt1BHW98maE
nHPyTNbWUOy854Bu3WrN8vks0aQokRnyRoUtBBPVdhluTW8A3A0GuRi70IGmyokOBH40eGXz3aWZ
ZC0pA6SIXBMImuuGZg+AC8RErNJz1vIKREluu9hsZPwB78VkX+WedJe5zrnLoeqctYgkWkwokxuk
uLvEPnv8z4uHyu+RAiDindn4UIYMkuHq/wkZvq40bzOS2KFcoEE6b7R4jGpwC9/2k/fjsgtpKpuv
OCHGzONb2UPDASdiTeFL1CQpwaYilYH5zJDGWTJYR1KuFLZ6ZVDaK72zuj6Kfwj+xD2Yc0Prjybj
maD96S3UgFKL8MLk1BUZOF3pdh/prLj3zJ8n6qAkTh9u1nUJI7zXYXcoXAHIwzI4wIdEzC4eySsU
CoIsl65V12Fe/zoe0PTfi2iZnbyM9pWT9oK0Ye/2px/EG4dipK579mH9ZLZHOj8endQqMua8/Y7a
k0oAxnoIuRDfQ11hkoUMju1+Wc1TlPEAMjUXj8LWLxqV9eirMRSCZv2VjNRUwc8UJ2sUYIYr44QN
IiMbeR/uRuQiqbiQsRrXD27o3W1ofei03u3jBFvrCIe+5O+WrTtX4gEYoHXaN474Lks1ZIBLqKhc
L+6rlBD8N9rQv8iEyzEvHWFxNBwZUC7hyZq8lV3qU234sqcagpyH6wxC/9JsCi1RMb3FBtxQu5sF
l9xB9BmCCTS3fwm1HVzt20d0zQNOeZdikqjMhHDwCuf0bYk+fPIAuliLuncsrJXmaheBrEdd1KSh
GUEcEixIJ0WEYOiAmSy/ipeYIvFavLxSZ52NUqvHaMWo+8VqSNMMaegihqETFGj+ETsQBlW/pa6S
PwPiU5G01UUE6Et3l/MSr5rYLitBMy3tB7Dg7CWK3qRguYwDt00ISbnJDhq7A62HymFW/XKV74nu
1/CkpFiP2Kg5pFwhHqnUZLpoIWlvco1l3EUCpwOuJubp8sHhnzBTa1KM6EMZt7TWZqSs1GZ5dcq2
YzU5TQH7zVF+7PH1yukzony5+FIMQ+6rEtRIBF/ZKk5muc9N3kMjJ3MECfkxJl/BHy0CCmYp8GHU
1uM693LV+kalO3uqLy+pGqrnTgMzMabjg2FirtQx4Lqv8g7VzEkOubqr4oHR62sTyYN7NsOiAzhZ
WOpa9ly8dM0i2RIqo+g0ZJTgxCDM4kxknyLLNgsVLYHHnZW4ypvXwo32Ti/+7L3XxvCm6AL135l3
JyyMSljiknAbry3PfDjt5dqknW/Y4oZS4C3UsKE/Ev4taKZXz1jAVDTkcHylHxWsnkE9CXIPqqIU
3+xdV2IQn0z8xfm12rHjSoBHJnWRuQVCNhb4eg/BMfglYXx3a107rU3Qguz4yddGbbNFgSWaH3zF
big8mkjk12qL7vESr4qxXB7SKHqO2GurhEXrmwKsOPd4sXABngyeR1m+fR8N6AOFIf4wiASyCreE
CJU8o4HOXMEnpNC/2ziiiis3m5HZtC+cZTEuEFtY/Yn3fQlSQx8Fw/Gwx0zoYs1Ofs+t97Yexxu5
0DBNcBzaVJ9DYC9p5Dm8e4ve8qwape8ywhuOI94CRfTAra1P5eUANu5rQhHPP04wz4DWd/DpILl2
KeOPS6mXyq6FDIYflIhdQ6PR3xkq63JfyeYDbjh7KxC4LjtmI1n2tuiL5ZQ1ngL/nFmwnDd5KUdg
rfAamz/KxQD34G2gMlgPo7amWx5Xy8etjzPEWbotCZwtqZEKUuS6w+/WvrzBeHbJ4SzoAnts6v2m
DR/zgKdjA3DEv6dTT+hyKiNLm0lgFC5GmEEg3Gb8Gmkbv/m6Aer2Mnw6CnbPYRd2x1hyo8L6hHRM
yS6+987Nm5BY96Q36ft0rNcDvhQ9xGf+m1QPVLF2sTg8GQ+i7waT6zRPIRE5t2So91axLxArWr0b
+xg3IBBXv/MTXhl6oY3q5qACEM+UX1TdHsPfelBoYnqz3p/xJvFSRiLfIduY+afmgzVV5F7lGw16
oteaJ6pgFLGCYnPsuVf55T4Am+Zdiw4c/9VjtfFB1epl7Qc6lUgD/xH86qJzrQarEORzuJcnmoeE
U80yYQoXr741fS9Tr48QVkgrgzKQiYGQMYNIArUYH4MEUGDRQTmV1wMHqd11CpThN/ElpyGLuNJY
DW9shsH+jE93YrO9zWvSrDNjMPXQ/Q8Y04/afTfVWQbjbK4WugIaeuPFrKrdsddwKDGBwoxB8JQJ
1GpLoAnCiDHLF8tX0a5Gix94nqjlTY+HgrjhYrcqr7YHkFMzjyA8mBtY6Gbb5zgp/91ZhfQ6ZXkW
pzRlHF4Wa6lzQ0YwAPV48c+K3ikolKamY6fSjFyW7BkAehTXQ78JxVA3cEnXvnP2opgd4jMyJHK4
kOzNC60td4fBdByXQHM905EPZdwftCucVzIGWexYEsvUl+Dg6szoFw5oiOqXPqbHTsrYmP8oYSwu
ijzbkFbFQqoff4E72gJtgVKwMIsF3TwHeCJkRFARU7ajAHZt6YolEHIzI/E5KEzF0Bx6QgTaYi3m
JNc1tJwggQcS8hTteJjjL1BjCR3kE8rerNAeQC6wbBMCeF0Qke4KL+GKUX27VfYtf5oH1gW58TbE
evS2P2UU5qbGNVCh18hTItm9OPPHFKXhcCYkBdf42h/0OoqXmHtFA7FRm4O27wWAwxKeIHh6QeC9
RYJeuS+qWlyKwiK0rUfD33TLMUE3seYTGTDJxA64JjR9DVMbB78/rlFdK9B/kNi3dFaIZ4v8xWXH
cdBFGSrlzuGEsovKBS6bEY1iQM9KsoRsDnM3zb5k+blrtPZLJHqHMkAPCLRLOCrLrkofrmX8GmTS
VQaI4tfImN1pK1zAURVWypELHe42y/1nJgsX58pu2X4vksO5RZLpgrb+aY8hRHurSTdNpXXSfclA
8Y/rx6BskQryz2H8jEksOGoAHgPAagquoon5M+irr/gxXjKw4vc3ehl7wkMeB4kPBy8cPABzjP5+
AAbIQcM/+QExMuWWsJQ3rGDG1z1Vd7hkBG9FsKqgttsD5GEQLS85T0cyRyK+DyFs1y+0X594bjKv
ZmeyD9kRd34JQ6S+PpdG76yNvSjKacL1LLbcUZzbryWEdeQoRGBGxSR/BM0oR1lppyXNrMs6PxXg
7s3tszHEr/2FQKzVqIkT2KgQgnGpuFFC0VI7YYDb5cq7NVAUEAEZCO2arbdka1agJ5RHt02exql6
wpn7NPtM6P5LveQqqgmV9eycuC7s9+rGfbNwamZdCoH6aQcQIeKoKyLdXOPk1uykBvxT0HyQMiN1
X4okP+LdZrA/WMnLVsQOx2t7KmbK4TboOxxzN604/089uZpBYFNLU0+UEfJpSnsnJsKmXGXo3e4c
lHVKy1a+swqps8RoTDDW6k5mgv6/u3hxlGi3P7pqOLtY3v1oqk6xYHPqb6W1Abvvb4wNijtq/aPI
dod3V7Uzeng4SnaaEzBfmKRMw2pIWyH7XROnONkXCjDkB6F+RiQXfQPO3XA5GHfQtxy37PI2m37i
GOF8HdgyAFKBxrbm3QXiixsvzEB2voeTdNfXXMGgk87D7jxamXTxRyo0HITnP4TGhhk/+b+3mG1k
t1vpKchYa6VWzaNtoT7zLGgUyHAArAytYuaqgiosmA8y/yF9gNu0iTAp1vHXaWzZTaDQ/eXMQuzj
mku1U+0+72wthJGfZYaQ8RYx1JEXWGIkx4hghqFXRk+xNGJ09DCC1Dy4rxxj6NHJmhYn+YnVyFvb
j98iUIRFadkm09hp/V5FWPqQWhpWJG5YNxtlYfzXlHNva97Lj+EOvMSpHorGSwmS8hY6+AUj8CKb
OHlhccE/VyHKkpDhp/BKNSxQaSFcQIBXaBou3exNwrBd01cVPlU5y5ocE7RmoxOuWw8Bbrvzq9z7
V9dxTCpWCMKBy/n4/Yxaji3UVEcN5lJSMoOyA9sioN90buANfMdvLa0HxTHhE740+Kj4AWQvbRjy
TPh2OxaVI8dYSroNqo7dxZIACzRNYAOZeqbJ+KB3whPqrQdT3+2NbwIvk/H0Mng+fMnypWMQeQjC
NtDFZc4EKyehgm5vZb6Z18q/my9ed2zOgxR3Euit/zQ4+hrJU2PabFHZrq5kZAS96OhhcZaP6Dcb
pZ13kjDIxWg6z4iq4u6uwwaryjXilYzB4MOqfrI3zH/1vooxlOFHW3hqruwb0rC4rL3d87Uy7ilW
7eDST1WaZiFYNlNvPI043AmrUNPU/4MoR/u8pWhts8CCpoSg6WvQp1iILmsqQpBDin6NHJmqrBnm
lVGih72xkNDWsmGYhpFX/m/eausi6oLoQ8JBrWYNLWYN+YX+Ul50kCiTmjZDfQlvVwhYS78Ij7n4
e3bRkgql3PDb3/x3VwKTDNOxmPqnz6UJfHkjXhWf8tEdHW7BbdWClA9NXoswhf5xm9aQSD2kHoOU
HVcv3Z4/euc9cXJY3opGVRdJtlFbjLkZ6r63f4LPt0ZOxvWEBWet6FS3DDp2mBI5bDODmR291Ig1
VyeQqr0M03uISo3Xt6GoJugNcai7eTbRq8K91n0bUCLsKBcq5PNcVWavh/efA/MO3Y1yZV6DHxIZ
VpMigAP7gbVHCoKWYGq/iuA2m+mnBYALsB4Jg+aS7lqfvX7UR5A3uXF+yxpQcEnfphJddaqp9wUd
hCE0AB+9vnitPl2/Bw9gwZKM8oH4rqQZ7qOFY0CZIWrpDIXPeFE7V1KUt0cN7eT/3J6akk4Z79P8
qM2jx4CB9fRduXtbWfKkGzCc/KMmdrritsc8m/6lBrTViPevTA1dFabKAy6FUKG+br8KQJOXs9JS
UAVySrmgWfbvYmWblznaqUB+dMksdhyUNL9Kj91ziIz6AFhr1dhe8Z6bM46XAjjht11vzObNGE2I
Mezv4mzKuSIK8gMGD4UzqJaXSVPYwjgYfOpew0jhpHc/KWj6M68qVQrOSHyiYW9kLkuCgGuK8YLS
XJ9BFESxVBPnfS/dxQssNx8IN2obczuCSBW1MRPo5+9m8tzklNehoKgloo6yyce1nP8H/xg7ciJB
InfVoC9mSx+XDZb5GzZwg1s37w0T4a9ozGu3ZXl9E7t6gu1gOU1mvpaH7ZucfGkDk/+wcdZZqm0Q
7IbRj4G9FE/+rPPO9Zl6LZysJlZkXNtDfiF4xu06O23nOomLS1EpJ6RnC/zNiyN4r1sceTorO1lk
WMz79pgcZQmyFYiqOljHIezrrdc/2i2a02y0jecy/G3hoDTKgIMYGIj4efEVuieUxqCQehH98USI
O+V212JoAozR796ajear+6a7kH2cakRO1VxkKkzaoQdM6YAmnth1a5TaKgRrvSuT1AMeO+4i+rkv
BvPrnIgV9Fhuf1u8V3NNRuFEloUszbbS3HFEmRRy5u2bQmH0wQ+zxnh7qUSys4yMjRYHZJ5geM1o
5RDP81A5gH7xQ2v6KBYi4Zp+sINu4NBIOjXUCQBOSbYC0sLWQHR5G2Isip3anMGnHJLLsyoM+dpC
DhUGY4EKLini7n5+5Okm81jkx1o7ID0RoopReoVo01hu6LjI/XnqMAHYT+BTWhFKYU4ZQmtMw1vO
XlgVLBANAsgxC71rjavF+hDF8hYj199gRk7JZPHXqzhObtP1G6NFHWw2Xwj4ei26SXc98Mt6Eyo9
mIhpB1sTnlUTbVv5+At0e/7GEenCoGRyJ8mNymwFsHJdnDD9BZpnMVgaZYAFHRcTkx6WsbRsylbQ
yn6fxXM+3WJFDjFJKkNbNWj9wAdG+JN7ZEvofQFJn7boSWHsp001V11JanQEhutnxFbJVgy9mjoG
cnMItULJvrvb9Fl/XwEIftpg2J+EFMIeLNNCyODhlYGp+0wum8IdoYlzm4IcG/rYsF4WcDLM6e6h
AymTBoGhdJ2n8T/IxOA6avFZP3m37lr7fLdKszrlJ6SuWARaLGA1eCNPKCZYioN/gkREoNJWMe9a
kMlUYBmRVaqpgDZ3CdtDagOmG/hOxSaZZbc7YFaAb3NiNF0/npmzqEJ6gx7z1Oh1ut2wNY91noMQ
yfiTSxl7TRMncb0eHfE2WbLnbi+lcXneNX7sXdkJm2EfF0qzadB28xU438Xa/hNfYxjoyWeHBQir
yM6NoawWgEmNYQSLN7K2s7J505Su2K+maMPhWOmWhIXSgeCFjQdd6RtsrYcSb05d/rbs60j5Oace
mu/rZU3lfE17yPtcNnyEG3CRXoX/sHKnyDvFw4JbCh7c68EUdy6Ph/cDNZL/2SeIffJTjln2FDVc
tPGFy0emGh15MePZinCVx2KOpZQFqGMFnkRB1IqfYfRazHrPoUz/pHTY5q3gpTGAsLaOn2MeRrq7
FKrsQFRtExa2Q2esyxKztUtUnHgpWyQ47FKqBgQeanKxITSbNKJKAAVisSYyatoWg3K0qOLbNIeW
udfSH1SZFuZ0MxQVv3sjLzTZYLfz8IPqD9MI5tSOkK0GS/zi4fdl2Ht8qZ2TSMdaf7opheaiYRoa
GBfbgUtYzUVZecuscQIee1lA+007ZfAw6HIP6WBVItfCBlEuGiiQT5z3kFIjxiDiLpDdPJ8hH7H6
6RXY1Vbc32Tlq5Q3kNT/0s91/I1lpz47eNenxpKvF/NGqPARyZANy5unI/t7rS/uCB440NyPa2Of
GT4dQRZ+Ahe1Swm7k8bvrX7Sb1QKGOtSugT6on3FI0yid2R/DOu2yBDSXckgFgtykaHnUCTWiHbK
rb0gS2Ftsb/zRoZI87iefUzL2S+gC2TP0VvUWcjZ4hn56m4UWc8x5VCiLjCUXdOpevt1Mi0czUq0
G0knmphN98lm9OK7CMdWpcr0o+qtkGseQ4MferI/3jjbckJsLm8zyHpRbdgA9Q++ktBF1v32J+ba
SKxdSh+EMWyPBE43POSVc+xxTpBNQczV33cVTGEGG7rQMbl8j/PSFyA5MUuZCiaBFIlnunK1DlId
Rhp4TOWV/Aj2RIPZAfZTNpo8kAeHiZJNgX0FJYOSOMbQiCBob/8q9rrP7vQ4Jr3S0vjhe/dKBqOE
HpqqtdegRgdwuT9Iiu1Mb2h/Mt2v7IgiidEoKXgTUCr6vW5sPZY9JUlo3Kj/QxvsqdBhdf3EJ48l
UUFKDvei3pkAP79kVdisjYidZpYimoapSIIGzckDRVq5FEgAVXHBYso6CM3peV2r9AaIfg58hxQA
4hcFqn4SdxSAOxtLWN61GE+3A8PFaj49t5hY5G5HQcchvX5PKOBh4d/6+GLNIeGZR5+X1QawpGxY
I1zwv2/Qx//lSMrZrZOpkD/d6G/pMDtXSu3LrxYXWPKEE90VeLyOPbTpqE7GwM6eMpYneoQ7tWnx
nSBLLGn+367//FLEt2lQpdOKG1ArImaLlSagh5go68ZP+StmdHzN4JOaO3lrPto0U787FdtVMSq9
CnCmg/dw/55sXffHfXusWFRNq39ylMiCrAt+AV7r+brsIfjqIC3H7V7v9hFHo66W/cKjdYc5pJ2A
ydpci2lbYVoH11P9C4WhUjSdJ5C2Eqrqpn/WYBy74qv9QbjnjQVkSTkfGsPkyaBgTY+4sUyQaEoS
JYQg81E+0nADDaPimUyrIfPW1N+PX3E2PGuiAFksb8PdrnF3v+znLwY1SPa1VUtotsmfsYSjFaTZ
4WGntptZLEx44PWVed/a5aLXTmm6o+82p6aJUtMN2l+QIVH2r7W6QtcO79u/45l7cG92AEkm8mtE
LgTnJhiE3fjegYb9JYcW1Y2gKnRC1iCWfuaeV80MBQHXAGaKbcYbiFYjgj5Monc8MNa4/95if4k6
TkqalaLRppdmH24NxQYzAO3LxwWt1v5LpYXWCPWojupZnUA406ayINOokVBhkU7wtBnUnAOkcG+2
HbXgL4fLz1UsOSMwj9l9hF33iFNmyWY/hhg+aJtayARZL7l8PpB3FC5kaTvk5aEteOTdEqmysJB/
NdWmN9D9RQWO1eOFXfx8Ql+4hsxRFmNOGWFph9g/3cCpWt13Y10o0IZs3KGr1jAfrSaTYU9sNihG
K/fNwJVvAnV5Bh5BFWwHdrzX90mschYOzNjmG6FmYhL/jLHpB73VsLGHwUZm0xvahfHqu7DkpYIv
X3m0GVFuJA6ROGmXfsJ3G29bGqlp9MQH6ieEZQ7DXG/NCBEnV7bTUh/MoPYr1U13mBA40gN1huxL
z3QVb8cdxbnN+rNchzf5FLItK1/EbXRjEGwpjMvinBA07HCCWVkDieQr7UV9rTrjo4Hvg3OEg+2V
GiZJbakJtSQn1JKLwErQAj6Kqs7SZ2LoQPYmkZm0Uy0OX17MvrdKFht7j/W43COUGARWtp7P39Aj
gA6SjyLxez8A4NJJUHH/yid3hDsgz58ahfVqwJCRVpnDq4eRL18esjlvG+W5tUll2sGg9UkZa3UY
f5xtbwi/4XEN+xNqg3fUiEdbmyDYvDjBDHPQBtmOXfIZcicvGjv7PIf44cYK9LU6j83h169Xvm0i
Xs81o30KWvaVyzZf6MsvYZJvncY4u2D1ruNMc4lg7CH6LjqKqGaCkgzFAuidvPgSUSHuXUzL513q
u8BRoDxAZ/htr0RCPNNMUR0aMc6b0ymPJU3BLpqbHv1zfL5BujGpwu6/Fi4Ih9jyEpsNPEi638Bi
kvNONe1l3B5CZpwhM/S4f8RiP7wVwXZVkcgSWY+WksBnUWk7GKIf8mzSD5w/MZ3nZO79oXNM46es
kyWOUbCBUGF7BBRc4fZLNs6GlQqBq7uWmuHHZAsab6youQ+Cq5P6CrR8u0H+lueyD/RE00hsCQEc
1WBJxcOuhwLcQaISQU88fz/iAGbDelZkx6mxxrvWhy/hbUKETZe2qWF5gjb1yuwOuorM5nSXmpkd
Hqq/3SUA66ikhdHqqjfyuT99UkrnN9wSCiY6MZi7CH/xB5EHl5GxtFKEWcRW6jfuV/K4kVwoivnY
2rCAnAnRNt069JKm2o+bYiVzqQv7k0wJeiN5KEutJaAD7RTP8uwP8rrPRmnqiE1c6SVou/zHW2CC
yUGjqGlorE1yPmFYew60ISEcHmPS39Gsk2GFa/djiO/VgFcnmv1XVKduNfgvrp8EUDKfUTH0Vlqp
s6bCg8/BSmU1mgZFhgti18qc/eVdGbW9oR22c2eZ+kblCAE3idstExuOaY69LnV9wkQul552v/lt
qS37p3NHFUFU/aiAwcmuu1KOWJm43Dgn9rZL/WILT/3/RRXTPdpdbMkcq3ftD4rQnmhrpxp1agj+
1npN+7svpROl5nn0znsOiX8yd4N5oMSMQvDB8J2a3EcHDLqpvKP9Z9cQtMMQe3Mf1YDJBu73p7qq
Ihdl2cLyYoRRlLI6J5quU8eYVyU+uK9DdljvAzUarK/PtnFxKkihksRHLwA5vo3BmX8Fdtjxgwk2
nbXDZR1bo0f0QIug66/bY/9+soV0qRW4WmMF8nfYDRSxgxjKuSICG7IjgsT6LIX2Xf81fZWEpbYY
dsGCTMM/H5DAcIqFtgIb5BU2Wvsm3y5lXhCa//6KSo0gzSEI5U7xRDk6omq3A3duMCI52Xs2y0ff
6xNUJLcQGcK1IJE72R/4cjbAVM/Q1Bj8wnaScQO9lUhDAW3uQ5kDp1eFIa57aUvDL0IAFzQxJ1F8
A+vV0hQt2rN4s4iALwSJfdrDtXZ7LTItIaMjr0Veh//CXcnH3+tZg73SaPjjcHJYSAz1CyyL5B7C
BxBk+57EyFTorVVII7MV+pbuEi0NlVKXs9azrwQ4TeeGdA8ev7ONQoQQFc+tw+GM/cJUTRamonfp
QXKXMEcubUN0bdG63gGJJRgLaNU0VgwycyDM+/P6ullAT6Vw7u32xuI2hLvkKGXFDOawXCUCiyWO
Yvl0WHaWfJ06jXIJ1+OZxAL+Rv1mys6T4d5gvdiHtnrAU+qkyuDZT0cLsrUKQpMVuKb/ZKAtmFl+
qHugWe1QwWi7ossZp+O+eoPOZ/n5f+tf3163ExJqISEOjv4JL7PCIiG5QuV5/wdwRXPgk5Dd+xaZ
x0nu9lge+md+Z9osjFK+D0l+gNMvFnZwNHIc/xWfHX4NPrm4LHg2Mq6MaNDv+UQeVjSMmSpCTLLq
6g9oGQO+3HgNPHZfG+wB1iAZfec8Y+1il/HQ27dAydCl07e3L8JpDkCz9Xnivoes/BGS8UV8REOO
n4mN6KxsB4vf3YT0gZrl6zZRy/clbqnGUMZhdPi3r6oqnbo3k1nu51g45NUCbchlJTFMqSSvSvSl
W0UMAta/y0RhmInMzzuSku8RyR4QSUPEBgPBDAfgc+BPDu7Inq7Pic53wbaCkUxSX1yqhBAm8jyR
AiERwPv6aWAjTed/42rh90rKIoygfNYC2Dr8AtaLLktUagNCPu0wO6LTe+23sHeUc+DPnd5r3pBJ
ZKUGCkRlQQiFz2g+ejC+oLFHzt3mMPWv4jfE1u9iGgL0B9H7fmIhaq6TZY1Q8By8zXLIrCWZHO+V
V6VHu14KqVvs6b4v4ln0nteWHkGly8PGMnop99J74tyCcgkrDGD2X42i6I66lu1Ja41pWZOiEgCf
F8GUEFeuJpv6yb2ycTdUJ4kjZ6LVXY4Uo60pGThITb04NDbucqUK3XcAHnaLcjE2YS6h1z7UfnC+
Qvy694ujApV8ruKNNyKkyQxxxIn412cKSwMLuFLlx6M59jJwNZ4hPN3QTui/fCPC02IvGbE2ftrl
k9KKrIraueSddhuUCeIPZnQeVvxYXAHO7+Xs7kTkdLF7WK2Sqg1Y0qj25O1RI2h6F/pIIl4vAfZm
fGQXlmi0TA9mJFdKnZ2/idSIPakH+KqI2ms0XPU1AtkiiPh4krIcGdIgNF3dv81tH7G8VDyD4dyI
u4RwQ4b1eoBM6ftAKcZuexkRCUz45cZ1zXV0WvtGZLYHXNM/dy+ITR8T2ODIopJ5Q5LLHeUzZocu
fI3TMNzaOGHISUSf8Byk4H09HeJjOkIjqmHXZ5ZKE+2G2Wrx6xWSKCWCjZnlYAedw/ysbFzx2f1S
taqI/P2G1ZtbQC3zxa1guwgnlL69eN1oQKcJVFCwPjxW6hnqijDuyc0Jj0T1G6gV7fEi51bbpaGz
uSoLzzaE7loJxEoWBh3rCts0cas2dybaNoTLCrwpL04Lth6sv/FAXFrgspI0hewXiBiizoDlKBnJ
OxtX54CXiiQ2XaUH0C3X4nYyfcxu7SS6+RNnLo9CvZVGEhegCIaXZHS7ujHpEbCzoqBYo8XUoYFv
HWXCCiD/2br3f42REdvPMTKCG9rQVtNVLXQonKwzI46K7DIYkC/3ORU7mayXQTf9msxAX9Yo9Uhu
5QQIgyrDzDOykIxnvKFJ5Muwswd25trr9K3uM4dTg/Fh1fvDSmGXyPrXBJszBA8ugKWd0EbBJIvc
Gs4xZzCFKE5M+T4DvgC3/cFpJo7liRGHx2DlUIL4mMR3Pi8cfLUJGtwdICWUUi+JoSb62UBej3vh
VK91iogryO1D1e6PafS6whSzyUxa85wW7fu3JZeePGklitnBNnmnpHIwFa/3VMWVCJuUcA/52bYz
6dfpTbj+7gn/kVXYcfVUBw1Tq1A+9/1ysXGHKOppYCmg7nmlQCWH8zfxRHprRTEzhTknxLUdUj5o
dNz++PANQ3JB+ZW7G4EeYVeThxMy9xwevkWsVUWmJeUmU35dyD1k9xv9mG5iE5o0Ga485byD2Ahu
r+/EKg6lAYuYBNTWg7wahl028ay8feak7us6ppAYpkSrXq/1llWfG234LXh4cEXWyH09NyeLLDSq
u+FckUnFRaGWUxEvU/T3lRKE0rT0zJpWFudr/9l6y2uveuiNMyuSc0SwF9KT8mou7VAeywxaY9GH
FqkeNds0/3Fl8Iiwqf1DVOZRL1XRr53YBXPTjPVLIXSQuq0pRvWQBL4Qwf+rx6kCqkZAAlRH0oEc
mXGo7moxC2IpjmLHAme7HlFyYsR0vAZ+D3p1M91h0uAAgFjgfbUsu4Kph15ug1SelUR5swuH8RFt
F9EQaY7Q5N4cLp8oqDbq0NaEsYcq55aYnlteVei/pCn2P0s6wdstlWCyu/KU3dMvKCPncptDNKip
9U3uJUhvQRAN6IPyVpLXJZsnIl6CetsJQFPmJjXsWUAD5U2MTAv8J9C4zdmzbfe3a2hYEq1OyQYw
n9vnvEc5wEOmhc4Qh29WJxuUGCkbFP4Vai1rMfvGJQKxqJhaGboNJSecu4F3CbjYfJDLhY7XO7Or
kuQsyAMfOwE59rPztIzpvxxJudwFuACNQGexSgzu79fwYhRaiEdMLL+MGLeiF7Ud3PX7E6lOmehn
iswPuRAUcfhtrlZjHkHE9syjrl9OtI+tAAiPSEB1JaTFUQtLfJg1qFrvMNQBMa3lJOi9EQnNlQMj
SHoVjyvmyPOwtaeCj7lWqAuOKkLDLZl9o0ws2K29cWXf6AwnE79HTNn5S4UkqIKoD1vGfWHtalAY
rjoVjC+HCTtsMN9Kl/ISVHqWkoPKJI2PpDG/aohcs3fUCVd6MlE9sdntX/eERuih/dUExxxriapv
82LboppftcCmvQ/zFeY79ZrsblJTjMjk4XjSgVsp2BXNmKKlqwEOCz75R3vAWDDzPhW2xbxF5c6k
dmJv8EwiwLAQfy2fG0n86KrrtnGn7xmrpAvOplYL+MPWhIUs+NX01pRyVdsU5xGya/XOnVeu1Vro
KTONtsXlvpkuvlKg6jynyYmhbLOij+EC3CPSAgiLRWBMQaUfaaz+5sujnJlgp+3MRVnePtMpSOMc
PwYdQMisyhyrXitUWnaCVaYp637tvZxlpkOZhNRQnhKIhqzvXvdVafJkTh2+NH9bLfBzQuJoEQml
FwNg70UyiD1RayqymKA9Lcp7nfYYXZJ7r2LrxQz+FrRJP7iX0jmofgMQp3v0YCuR2G51p+5tG2k0
+jhhkymIEfLOoY00Sufrc/1f1CbXu6QV2j/0D5hxwmM8vykViYPtN4D32BRLer63QHOpgj12Ae62
CP8s2Uwwq6okg+G9QvsCtdJUgS6SPm6QksRn+o0aMKPbhD1ifRQabtWjd6uS4PLeT2iHH42PzdU5
qrqxahyBYgCzH8YkqtaGMw8Zx+V9ZAB4WGH6926Kx3v4yhyhbsKV4DNRzpIbjgCbLdDb05hz9328
QeVzFlY0pDDlpz9/EvfAdETfXOvuLIz0JTqRZjaNL6uCvcDDW9aCl/7jfpwDytxUfAURaGLoAqaH
TqSu6kBM4jMhnERR3APmfP5xeZeO4WVXP6NmhMU4u40T8C+uwKSmj5GrQ9VMVGHCmH5T9Q38VosT
IQEAfO6x09uA3yWBZW9/6pyHV46Vt0qFaI1IwdMGYavDaCRXHBVmEoC/yamCwYMemi+L/cMLgY+S
sXCV2gslJDCFrNpuns6FHR4QWHnBhrUuHDu7U5CiCnTXxa5G5yDFos7VKgKDV1UECQIiBMuq6CZW
ryhVsdh4ylczrbph1jpOIRaqHw1OhH6f6S9no05dJrgGT9WFOTiQTYUrkNVJv6q3HtpN+SNIMW2/
CVWAmVksatgnSEz7ZDKnTUUscwL2kiSb1wAKhqSWlJwFqON9VOexNfW3EPlN6axsantv2jIjwuDU
HIjQz/6u7u675lYbRMaOTyudQNZAuDD0SbDojPEvZXyjQbnQYguAqpu0w8ysg6TmfZciSqu9QJLL
htmdv/qxpZRL6HJU2HiLZqtJKCV3vU5xb//FB5sqZkOqzSUrR77Ik95+pHi4tW2Ro4SDTM9F1lgI
6u7Nwv9O84NCAeUUmbAIFGTeOn8UU+5NsRSIFiAGYt257MLuw7qBUxRqXtGZpax0pXjSRjF6LKlj
IQbn7p4CayNlrnj/SDV5zSPdACvN5S3QCNjyGHbP0ld/5EwXOFmgXjHh0ZvoNv2f4XNE0z4vp+wg
wH3GyZ8opX6diA/iYfo9YVFrh0Bx4bPAQ4FLYG+6rImAWKzrwqS0l9zdweMBT6kmLKw6lLC/b0g4
JBHKHW4XH+vF+vGAhJN3U19JxFmeDvLYMyS0Zem0zw6t1TSW6VeO+hO9KouTo/FjpxvGwEGhGfe/
MtpK3ySlh1W/e/cxD9eyTLOL1Fe2bC4+yOoUVoyeVojRlDhQPt2SnCIR6d7YXANKIf0c/GtEFndv
gF+DE2aCk54iIo4v8/lr1arqF2cIrwJXxR4osT8hnVSgTsg+j7vVp4CvO8GUmPKGT4x14fcl5Tsw
LDSbnCON/FyByXEMCswQT0R1l0KRl6Vx4wjh9n7hCT2EXXn6Epzh2g6h1VScQqC1nZ6lClbpHkra
HTWARBRQuOtC4E+/N+COQ/tis+of1jeSSpTvOI2IVKfhgdm16L8b0vbK/IegmDnwwF6WkF+FnP3W
gVPu3iu0iQx2m9wkkhBS0yNVacr3mKEl28myrKKdnCY/peDKN7AkcxZPROF1YD5RFt7TeWg6UZTr
kgQVAnf5K67MGS2/1dcp6SzdJOtoZJG8EikjiVA0kVxWG9HRnAEKHVkscVuaZ2puM5J4bahLzZZl
5Vq5v890KzhLfQXrkOUBzM80hvPrwalGmac/FmxNspaMocUl5FH7ADZ8maELPuWsFzIIaRBrdnN2
kpLxd74PFiSNilEC7U8Su8IO2Vwee+HJkDDQEi/g7gH3uDymtBQMDU+S5zuCdSUVjk+H/0v+Ljis
6D8u3fX2Hw9op7OmQQkKV/s13ZyeLHn13wzPb07CWPpKYI6yZ0hlDN4CapiP48w+io64Kpq95XAH
iNG+Fz9V2LZdpXTYDF4iBlT0RgdR3Bq1fh0Sc65BXAWt7ZeD+Q9Sadjcfvdmu6CJpx94T6QzhW3U
7ngec5j4L1LcLQytfp7eX5jZCiiRytRah0iRi4MPfPOZd9Lk47sJzUUDPSmV7xneH22rmWP2W1ky
XBuw42d2QvTpaChQUpvIAU/nYs/8LkJ7n5ZtGqrvCziZzKLp/CWCgjyol4CmRCHvVXUGUS/eOWvd
trrLdJ4ip2UiwTGPJN6vTsthSEIUCwmwMvhFrPZkDHBnfZj/nkdRLJec3d5Z7+815aP395I5Bg/f
17lXyku0vM7JDy3dZ2YDGw2B0EAxNpt2OM5UizgVz9OVF7LJGlYI/Jt/rf2lvXd76ra7WSrtSqDT
txEBZe7DIbYD1fW71dPBAODwFq8uiccjyGHiAjonEur7qrjkasH3M1pLgxTvcwuIq3aIqtnhgrB7
fLopc/l8qPwKytnaATwu7OXg8gG+eVqbkptPgG2rpviCSZEeNqKoVc4Yymy9uz384suwUGq5fR2p
2eRI6wngPs6x0KJEwa7YnWS3LwAYJE0QqDMFuLK91vhsnYObwz3897vfhestuwDi4KIcF+tiNTvj
BxnHlJceYMOEW7W0vx/YtyBemis3Gl7L0lAjhg0kr75IZd+c2VSMuJlNzNWqN5nVzhvoA5nDxZ5V
1vXog+QaR+rl/yUp9OpGiHFH9YfeZz612OvlP8vVcOK9buhBG1mPUsEIFFQBwn2kmTK/vC9Lybqb
VNLgU0JZGnrc79QdFZqvA82HW0iUMEuJVI7CNB9dDe7mwySly20KmNczQwVqQcjtfZa41sF4IAIl
Uc/rmTUlZ+BOJJsaZ0ryspxh+G07kA5dSjrzjhwgJtcg0T351bGwKvU9BTUMfjWdCE03FkzsB5Ok
CPM0XIJxclDpSrP5KQpy4nCFsTuR5/gHyKeAk4qBiT5tmLFWa/fpVsKhwb0yyqP0hgrp6mlDJutS
UvTHlSsFOAldH4TuoS9YvXZdEadA0AD6Dblu2/CdPCfOpohZCqNglMgQTjO4hCZKtSNnqpiVnhwd
jz9cgboqx8Zg6+QrkDkDbKZqShcIHGDGdjKANUwvONg6SEXCazxqqe/vQxLPqVguGt8yxkQ/vB+J
CJuJLCS97Q8FOEQBUHI4Kvk0GGEkpurX/hCYjAitt/w0cJVNv59QCEz7CUUxpfLRj+dcpE6u92fD
WDmJi0B1flla7G3HHuKqLnaIh9U/JOcqpoA3zA7zTs0ZS5XgquyJl7dnTrza2YjC0mplWP7Xq6oC
RAh+vVCyM5zSMybkboEZk1TfkzbyQ3cXmOK++e+Nxeps7nIPn6MhTPuscJ9tDqpy8k62I/xUcng9
M4pDrjQQUfon3jA2ARqhyX1PQxr3zLaul5kbgUW40OajvdbZayw4Oq8jd3EM46eDRMNE6pJmW9OY
MWsdQhdrMfY3rMXeqaarqxq/r45ypYgVsSc90puYtTWHAJ9rNyagCaQkaa9lFVC8hcAXdF/e2exn
PXMQ964ingem2BxcVtLUTPdFcSguIkLUw/Z/eOx0S18pcVGNY/9L1noT+jE7UjI2/AmAsGPF7ruO
CEeCmR+ntnniHkrdUUjtnuMlYSk6zhLnME4/H6Ij70haWoOxRz6duqlASUykeRwlOpAS35bv+HDB
TezYUZchf/2Xh4VSFOmwfQ385AQBxHYdOPU070+ZC5cx+2Ed68R0d4SOvO6sbijdZirfB4aQ3olk
OmQqWtkB4efryQwBP2uDmbMka3CXGhOb8WcraaZpZ8FMj0R+mtYBB7nrdokl27GQdDeVJmhQQotI
2fP05/MwcHzLGoNiauGJPVBBBUh7mOA+rIbyG7TWFapSvMUmS9iQ7RmtY/UPjEe1PSxz2nzpFNG5
yJYrnXYRaBc3xdrPjRmqpbkSSwkPh5W0gWZ7ealfhJ4EVJDuJMVgDz72EmUtVZN59on0oc4573sQ
Qkgp2TIMT2e8YghXV8WMMe40jFh1aIxbBEubifKb47xK5NwDkCMcwcj8GY/4FRev1DrdJVaTMuX8
RiGzPwTNJo3BZckGryhIPVPBpyL281YJZnfxPEzDrkpwYLqVOLsJwmmz4w6z1UstQ7UXRAiqrIXy
sWeDG5fTL5WsK3Xh//jJbzVoCz0JLz4pfh0+CICltJ17OOJGZmvFni0QHm3pq69QKtT82bmR9/4E
v2/R5Fn8+kXrMRYXBsyvhn8JXlNd0ODciTqNd+2CAISJkNxo7Ae3J2teh0A0E5nhvj6v1aH+wtd3
JIp5xUZRApzy/VBti4z3gN7PxSNof2XjEFUalk3+t2noxp4AG8/JxomHdWPsskf/v7idT3G7yA8B
opRQ3T9nyYtDuBxnSYttZb3DiO7DJZhbaeQ4MGpZ/R3E1wbahCwVKWDuQZhj2Zmjki0iaeCsoq0S
ZqsgkDo6wNmNae4x2DNzLKKoM1ntZcQqKwzV4DUJo6/L9AlMAl85siIUG4w342O8mS+aAqvIP7qb
dx+ULZ/OwxvZR6OK7aMoXxkLRYPwiW9PAySUk8r5HQxu5ZVNxWr3nmB/wA9aMWkg9Pt9wkhqXpWt
p9IYqsOsh5fjB+9z9G6ZOnQ5+5s62VVCxPjgPcw/XZQnQ30R2JZRLpLwUELb201n9P/KR4y531Te
Hz2Fp95J9hoOw8dleLqwOkqkNO68dB2L2eDofNOT250Zfc42cmxerSQokTeWW5gMneVenz6vZHAS
eZPmZd5gUjZXpB3m8JDyCWPnJA+4oe/g767riSk0Gn3qGtKb1YaCIvD3QUFKenA0v4DxGe9rpAxA
Az3SKhiC3Lx+bdRzg5OUut8ItYk5d0/aghTS13QSb6m8G3FMoZU6SKLdFMEgT8h8V9pKLr2u2/iT
dyuCVJw9/URKqDO81Xpvy39pfgY6fQ8Byx4ig3ywqj0nbzJRQjSSHwjUlXqzlETov3eRqq/yqCHE
tYj/UMjZFh6ihOx8YZWUP3Pob1TmiD4x2DuoVU4Wx32JSiSG1MNS97Y3vf+901TNSvJ5zObtGHUX
e320wO8n067xVATZ2190I/YhzsgCAtNMNppq5wxEDpR9+9GvwB7RXmTh+Ggp9XOWBEJ+1RPt/QZl
SK5PQc96ApRYItUTXnY9cQ9cvDUc+TBgD44H0D3M2Ad9p82K9OFP6wYWylZL1Hdzlyl0eIQRn4wy
mC7pDi26fDbT3H4RP7harAuoaOrsYMEjDwaFOr49vYQmu0HoYZZEQ53Y7rfUMVdxjcirfNTsz7wq
FEChSJQPvcTv/DQk2Jy6yAOiK3YwERUtYJY3z72XIlUYTJrfpQTqRVkQvraq23GplaWPL9LCTG14
8JD9pdCXwT2Xjka9MVil1pT+YXvWHfD60M9nfCU+HYcQawOT0Qm8zv6v9Xdg0BCeaQWe6l0t7+fv
zaaabKXgARcaS9n2zpMjL+Dv5pwgTiiiTWftn2BfMSJGfQTK0sqiHQbfEs4L+1zYGtAw1Unhm68L
WECg06K+D4IDL85PfPwQ07OcjPeeXYSntJ/5ht7d1s5e9inSThJPMUiJkZei2NzSlDOSW+HgrcxQ
RFLFCR79e+fyZvjq1RsAWi9TmSwvfCDPZtrBxT/SQsLMJCVAFZU3xHlcFSLQAcCViWlJWjD3sWCw
3qqpEBzjwFrgC1bPSj8DYG3+jZkh9PpyBVHBH7HSt9Lpn6ZTl0aCBCSl86jWCTYZeimf6qQUIVk4
0tOm6pARYl1IE20/Xrz6CZE124YhWaDCc7IWEtULEPoKR2N2d0FrwAK879/2+UgdxhOLIGdMPAiW
/o3Bx96jqgvOMk1mpIUgsCB20oktqQWC/ZNHM6vF4yu7Pgej8TJWcxNvUwp/5Nl5wBm8S6D/Dnf/
UTrontXIn7Xl5o1mH2WzM6a4NOouAegJgwXWwQEy3wTcCOgXf1xIMPp4LPfB+2KI/nWz42EmXxeX
tAgLHngYmbVQq+WgrDl/d+/6A+zj7lQj7TaC1V7Yt+RoQT1bflEvrHDqqYynP0dL9NtqbdtbDcHJ
qZFVRxwt1JlOUpIzAqXZdcv52pKM5Iah2M67ePW5rEZ+mYTbX0PModJnbsrMfYwaV+H8eDlPxffd
SdQPLMKVTZSfGVv3vNutk8sAhXOGTr8+hO5+iFwj4mATWFiZ49dHMTLQdVITDVzU1Z/rqL3COgOw
hHJDuUWpmqVoru93N4wtM8mwKDTWEi2Q3FMipetbhl3IMdvKpYzi/mktgSGelmq060ophhv7ygJp
aulaNsQU3AgQjUb8A+iLFJ9u92+kIYpQEW8KSK5R18PKzXIom7Y/Ny8V5/Q//6yExAdXGWGjLaWu
sPLlr2y+SokUA59TaUGlxhyVZ8PpbXxiUliR81MxmJXr6uBKpJU/x5e5Kw8UFQoreumvFAiXvaA9
HVqLSaAMlG2RMq9NGZVOXcSGfpDD6aqHTDUqjadtNVckzb2991SsXRHAE/6RETixf5NiRS6fJ6tm
ous5/wxpymkiP9xoMKONwyvCj9I4hDx3okKAW3gjWmsEnoTlfvmJB6mRp8bviq0iZHK4AmDVuLJh
27sfxC7p3BWo40kgh9IOgEeDbENvHjlqQXsFwIYduQzHqK/WFGgACt2hiHXTiMNxQppcXiysBGuc
5DaTFloH3MwrHUa/+25he0gkr/NhpQC/jBqjyKYr+3lh9UtMpQjFz0CLBnI5aylkuAbv95vn9cYP
nZ9L4HM9NdGY3HUtnTy6jtOdtwLOiwkvCJyK28lmJivmz0aJ8XvCxSHboTlCavqCotHJEcaDNUEZ
lW0KYMOhMNAYtbe6UvpZfequ3g5s6v01rYL/c2rWDL06Ibbaa3O0AgI82hWgyLib1B0pcq1ilF+y
K/DlHBMTFuJSyGKTp9epTQuzjnpjBz44D20w7R1avhe6edet1Kx6khBR9JhS5AqzXiekZtJFVsV7
gC3r981oD9WzZqoEqamqOOw7IyZaEaNgxivw6eGBHTLU0vvBagL3GOsCTAkTQhBF8E5eJOQMszLH
oETg60g1vHV50WmDquxR6xDhD5sWQ4uyfSNSuK9Hfm6O+x4LHu5/9uV2pLLXTsmPxHytmRlYolvK
9UjRSaevYuYL4pXmyv2D6Z5uq3Jd3XRWnaj8nynL3POsbbiwcIzWstn/A3B+wX9W9s5xq2ZxFOdh
nEKwvI8MFmGVQYKYBcMhfUIZ0xU95WULsw9BV7aXWGjZz6m8bJjLDRgcbTay5foWhgf8mSo3DhTp
Mdn4Qw3GL0+UpeTQJbeaXkwIYB4ps+AOcHECb3XLlAjfBnQQlXMkMxfWp2S1wF1U41oWkGGrTgK4
XXq/Hg50FcwpcVjGbRXzPQTLNvC9nXA5iZ47R22EB6sZBaA2fIJP2frHl3GLvfnfaiVPPbPhXHKP
MQMm3Gnzv7XxEACn1FK/xi+lHG4NNW0jNlemJ0hWtZiy2M3v4iB1QTtjPqxaasWPusAi1yk2S40p
IoNlzCFV65riiLKOD3SsiQRMCozNPzIzjMiyga1bih9GHG5yeeYXh15c8Wcb0AraSphu8VzTLbzY
d0pOwRYzo7EyHb4OW38S27nSkjH5GgAqtLfXUxel5hDs+TRe9aMnLSNWseGob+jQM7iqVbqCXOGI
mXutGZWnVEyHPV7nBxhY61N9w2i1sNo+TVJKThWAJ3dWG0ymTQ8MD4KqrcYwu/uchYjzs3Wa/0Mj
DpGe9bxX/PM3hyVUZYTLDE8IfZ0A5P/SJNNeR59ntc9d1KSZyQ9hA2PQ03AoAPy/3s7hdQfcTEea
WLRTJdBYWLWtLNkjDe/ZrFDGorDMfspt0XmiL7m+cVdovG6tylhqaQs9VIzS2EM9T84Qzs2xYgQY
hhIsSU/jR6cKBOtqqKsW1WTZqo+GZOzR+CEbPc5uyQtsiPdEdeGCFDsHIMjBH6xIwc3ScN18A3Sm
IEHQb/lgQ1YFJkeMK5rIGeSq6QvHiEnWOVodNc0AUEsf0/K8UhLQtxhVBGBVEQQOUIVBwiQCu5s2
2zEP2qCKH/rMdV06MoTLI4MhQvSFlR09YBRpPGrVFFUtmbkmElTTmcF3UIVc66+fLqm9LBtgVNkk
01Z7ghXjxz7xJYomcAIuuhs960EpLKGLnTGcq8yCGx3IkNvhP9L01VzENVFblE0G4BwI4MUsPac0
/qFVxzta3H1hIg8fz5I1sWK2z0wDRcDPVA9rE9hNMGdP/OENOpJ+Pa5fgmlOKkFWQL4sgq+5Ycn+
U9L1PyoQ3AEkdLrfj1dqFh/UX2a4CfYQGf9fYXvaGemRhBBEgbtQYntjCBb+Qt3rgmNDC/Y+oKYX
kWFOJzRUf0Y2sxGUXKFT7lUo5w0qRFgOw+osqWuNKhLu5Sm3sf1AjQ6RV3QfwLFZGavGK5WNxkTY
3FaVS57wiwa2N2xJ/CejCtzKz1Xps035aSx75Y3snIV8G4NdS6tpJOkIi8/OFlmQ2CmfKD9GzShp
U5y+9T6s0Nge9g4awhlC56e3uijNbIDViDonMLhrd5Ce+zST6YL0x7A/LChTPQKvxzHypHGL4/hV
O6hdDvRMcyvqEJ2DfRWQXf+b3NrZFxEdfhvJqBKZFLC2u9EwZh5hj9Al0uzp54r2gW8oKtyOp1HC
pwNhGsotmceSNQXvaqfdyticDJ6WZk5rP7mxtMY3u5t9AZQWJvyP0ttqinJg6dK2k+eaMSAun2qJ
qM84v3FvOX7RMErYF76j854zR78DfTz3+8EM28/nzm/Zv5Fkyx5Otz/Zwl+ltmM/L9dNd1ADBpGV
a0XgScL1Eh4OJkNRgfmWg5GJNka5blasEdSpBQaFqvtua2Ik68ktQoeBLuoeRlAmYKsQpLDh4LBA
P+4ZvG2LNWRZZteVSv/x3cYc6kSvGSh9spJszPY/o16KfZSdHzWiBeficCirPwMbxSNk3uNWrTLZ
amcQxZQnbbTIx5h3vrXspS1kqCKqIbUUOtC9u+ZQfCaSvcA6RKz3/di1Pt8LSMxVQgUGzjrcv3so
cPchaKQeCI/LOkjEZLMuJ9wu74odYXLkUJGFbtbLDPE8Jh4zNioJnboWWeJLcWIDkcdWce2fA427
x3XI+7tjVhxTWbmL62zjuEk2/jqSZG22pn0TUoQRfdRYnmt5o+UUAKgxTgE87WtzD3i0wb1dz8Fi
apwXyACSnlGY8QHvGAHdttcCiAls/sUgTN8/F68re+SlFsU9nFaMskGcWovPqF01aPwXnzuFuBnl
e0hREte4xv3w0/O5Yfxps9tjfRZz33JT46Jq7KxFHE4fiz1MQgA1W6Odj8RiMkNCfYxe6VIF4wdm
ApIJABy2h0lT9sSaf3mTXhzVCmAbo3VbblNER0Yh8kcWk7Havglk77+ST81tZgopPbKDBTr7abRS
x9wfiPGsmL/E3xls3ziRovdZ8uAIcyv1A+xFZIlMbGBrT8CqUbtA6ErybbAEGy0U5oCK3uShZxsr
FngYbYvzy2iP1fB3Zna1X+Pl9rBP/5qpqs32Jn1Og2Vy7/QfEmFdwNKVabPfBMXQ4JfhmMay6ffv
F1xMoV8hGSEEzN4b/LioCzJ/Ta1oNZHNTU1wrJ2HOuKKmQITpJx3mAFzNYNTqZA1RA/9s2/bwuuM
zA7shn9K6tFjIRvYqjjQLlpkAlVK74E7JCWEVZaKWOdqbxxuHHGrHgzOGHX46b8Cs4S6Y/15LaYk
mSqtm+l89mGzBsBjOrCdCRJrihKxc1xqLuLRFaF8TPj7VVL59HRGa84ZQVrr0lW1b7ktFdkrWDXB
Qpzy59R+L6mdtw/qwLQSGFOXgl9+ZiZPAoVqp2AK0Fy0uTTnDBXHQPJmYM8xLv/wD9DBJOJReiER
Ntv5Gwd9/zmrB0q+EpUf9cazwsElQCVqwUvP79MLSKhXGnlZUDIZEY/VOsej4qgrPjOAlkAExzfi
RdVaipB6uiQCM7WqW/HdXjfV7HTgU7ncTiA6/8hSBwiejchsD7eljeBFhi+pH15DvmEte8bHqVym
jn4xxL4o4k3sAvvzxl/jJL9iTc4ZpDT2cv2DCdCBKnw4qm8YqMpTsvCZrzK9gUX8k6K2lafv6vDd
hKzYuMWVWk+d93eQZLRsIPipHYmt9OSqPt/UmGv9cudVhRuVQ9kGpbuGsb6yLAc9GndqgCUBa7WQ
UTvfO5tnYbFPp6IZ/21lPuWlpJUXGrse7/6xw94w/8Zvf/W7DHZTgt77ZDYIPFMdR3OUHrZ2CknK
evE/PkZ2olpczH18+WXlXfDT9+rjDkO5Tz8wS0X8hMKpSrDaYXbRDLnXk/cLwKAxbqOkXJDwLJGg
gVvtLJ7Zs3ygqD0j8Yfxdctl0sTuJfpHGyEutWgq1hX4vsDoTTzo3tV2K8bHzaJK5zYbVrthjWGm
Ww03DHfLu4EAE7tjawm7sgY9Eo8/80lgw8gANQioJbeG5F31pD8pXL4MWuEw6fZgDPOclQEIvsal
33613NjTKZ2zO18mBye3/Vii1YAD5rM1hr18qoYqVqexnKkU2TWOF1gaFy7yKHpfXnRZU0ELRxD0
COwyyOPje7/LJ4ZGzA9vZGoduO913nawmVvTNj4GDiK/7H6DWB+fWFXJAQ10pyD+MNCX/xLefBE4
f1SAamaFy5dcnqWhXY16LVPv9586gqMWSySZXFAVVhCs65w9faFpA4TQtfICLQKATz930VLy+T1V
+vLak4Akv51oXrA9g4wbaoqw2DewF9/tYGZ7KM7hjwbELCa+JYzihdKGgsst9YWVlRASBz2IcO2Z
0vCBfAKdLDR+74jiMmSVGVrVDpP2h7IdAvdfL/iWEd55+NZa4LiLby9W1sXVjXZKlY4QI3RkTaNp
Nmt8htSutFSWgOz10E+m8UJXkYT/QxydBJo0NLKKcYyEc1QnsJQwMGiHETIMa27GzDw34vgCxvUc
dHRMu5aK8i/Y4O2dwwCTdhPdT9Mtim1eI3qf9PHTQvNH0Etv/NeBtNRFy0nZ+nCv6wZjp5Igl6IO
Z9apWJbdBfRElag3Ocy30mvpxVxMiV6iSfrKN1TnfaGnaEblJCQrV0LgGzp6uZCyFKyLwUEEHp0E
46sCEyxPm64rn7HFK8PKS1LSXLBRPhyb6bHEBUhopNUBgRDb6IDLzDKPXGhECgxhBrd6I+mSkCbH
ilZO3ydnBaIR+S3/FYmHCpuBZpzTAalOlDd9/NPD3zHnxhHBwdmpawr9p2DjIJ9ak018XydtI5nj
OBPZe6xYuF6g/UN7y/dJhLHoHxyoSQf5QXKXtTZMmaoHEkC25y+lJokf3qfdNQVzKFgUutzN/ib6
3b3PzGPevCwtBtqRA7/QukRBT3dsu2z/PO9Cq+GWXu1v4EBkPnV6uBmVfKtSTg85JwwExcRaAXr7
LpPgIUrw/jAKR7v2sShBiqJtW1fxtCxXZGKjaCZ5xibyyhAVABQUyeK/zWnUvoEILqw1vxq4zi+N
UXCZ8Rar8zH/SVbMabVD/IpDnuTdJS2HoORPwkydaCVh+BxVCl9oLhqAGu6oIWQZlKSjc8sh4QFk
KzTMEg88c/sJkuTlsjYTto/WHPxrKQVOoaxnxKx4zhWZvQ3Srl6Kc041lIpTn1LTfWAzpxWeX6RY
UwgYyGXqzs+nxwyah2L8cdCSjaE5a7TcYN9zmJhXtwF5zypL7kU0PB+ljRjE56gQIuXuhZWETsch
3qIgrYRbCkGKDNrq0/VAvvNy6NaqfCYNG1jqwF08iGv7qfL0jvLrE6KQrlPfWSliLv/hL1EnKCwQ
WS31gWhQvktadWaoxd5JhYF9spZXs93a6+2IxneIjo9ThZwDucHdlBP2Ru+X3Iwcbs6krebxYQJS
TDDGTNdVJOEb4ZHKxfcXHpB/Z5nbR8vev+vXEasFBw6JDMRPkGKI4PlY+ZZae9ODCTf6SBRBRxYq
N/EYbdMQ4iHRMO6iTBvCES8o+f8x6D4N9DGb8EYdG+S7wGWJQyZgkE5g8y1st9iu23vuRnqxjKS4
uFqgPHYjbcT3i6OgFKOXh+5g6dE3tRssbIBsHIoynWNjmRGbos1KZfAqy5oLaxJrfe6CfzErb0m2
srPfZHQuxjtxoYZvdxNCviy84CMmm1UQocg7cwOGOvQYja2UHfR6mAFx4YSXoDPmpCOnhqHuF3sG
jAOZmQiGhxb9gpz2HTotjoISv0xGD3RgzbnToBq1j97oGjP/iOJbn0X6e6WB5XKt6b6hok0/VPjF
A104YeHGf5Gtmm1Ze/iRxsIfxUzVQVUpKQ5peFBLn2Huy/PfPeJrCzi840jis8h1yPFPpqccO5xL
IycNL25a3FVFWRiyONHJDtSXsvRBE3o7c8KHTHFP68V3ogklxHShNcFsOX3AU+lW2jN2WGWt9L6K
d35cYg0Y4vj1wlD0QLKWnyda4rI+I3+UsRLR8hDPtSiH9hGUuTaOCqRveSJyWn5I71LgkEYFM8S4
0C8xeOBbalQt1q/2UbVk17WsnjbLmEduwXMq1qKROJhz2Hd2Ac1J3sIq/ssrKG3j+Dpl7ATxriBg
POf+M1SkYaRnKmhRGu1qwXdtN5kh/hovpjJpVhnHGoQGfsaJTyl/Gg0sly983s/1YR2BxXuqpSkx
qo369re8deEEMfnih7KTwFFs/g+y3JIK+TThBN0vtT1L810h0r9jpBx2C8VMb8bdcY/alwYpXud0
vEhD8Bf3z8aU0mzFj9Z2ZKSoP2V4tJ7X2UtQ9pfQhHjl2GOLyqCaDLG0576tOdYgW36n7QrmHkll
lRW5NHUzlro7NuxtzZ00f3W3QkpGZnqtdO3QAsRO+JJZLTZKvSWaSUoYqGOj1okEbAoXFWY1S4tn
TjJSP2nmnjE7u27LVtXWNsGgsvJt+sXq9r81lyMPUH33L/G+InFb/ZXvtqyeuCkNYrNSoM63WbJJ
UsIICjyNvYRGokmwDC/Y0q8NcLlXjlIJuDDU0OidaidNSVX/x++XKkRt6gEtYTwIGRT23CEGMUpT
If1C+RKS5AYUGA/Ak6TzmD5HBfG6jkhU8PZn7II5k/Gddv67MdPDtkd5ycNpWzdqNIK7zKGKFAQn
XtdgI1jD8Jbl/FqzVc3wTtEIY+Ma9FcTe8IVo+bcOqX+zlFT3NkoC1cMTH+HjQilupmyV3agCHRQ
9bh5GMfj40eXjF4b1SIByAW8MXoLc80j/gOVhoOCb8LgiseqA/x1dMS1UAJLM17hSwWWCPTQKdrF
aFfdeFQKarKi6C/igOPpoLfNrYFw2PNYqdIAaa1tq8K3zgFXhKEPsb770y2GFMal+bdn2VTzeD4D
6lc8GSbBC/BunBg3bT5dTaExxR+vX03NWl6NYnl0yWjEDUcRas8109TrU2hkTg5YaTZpaJpqQBqs
IiCF0tHX/jgU1Phju+0RAQBKEWgEpjnqZolbOxGfgYi6teYTcbP5VGzP1kqd2Jl8/kcG7TDKabIu
DQvpmSi0OOp/X799n1wSUc4qFICylLc6V3HwNTcp9zvukTpWHIOGBNXYw4w717TI4l3dyLFXrejH
7REBquc0tClwxrmR3qpEQNvCE7vRWvEmAn/aIm3CcXHOH+lDXSHlahc6MP7qpM5FCy9ZFqbtmFsW
4IuXqRaXHyVbBH0hz9TckiV67Zl21Nm9Ycn2LAq42yYhtitE75sTF0TJVvBfCeEBRtMnVchR/9wq
Vn7P9q7o/g9rc/Ei9aIIpq0kKOpmwMPvP7+f9Z++C8w1ktB9/Mp0RTwru8mfLdUxSIFqITGDUuSh
MlKMvEpuETl4w45eA3Dkty99axQ+szHt0eNggx8xkhm9Etb14fpPlB2uBS8vskpvSF3C7O2z2CEL
8+yGMdyPR7utFtH9+8rJiGIWP9fq0nYKVTfnGxLmLewGtqg6HZ2XdZDA/86nNxoX0NevOJM8WzkA
p46Nxlmj4a+KheQ+OeLNy+PuuRvD+HoCHjqM4IpJIJ/oy8GQcvW82yixKU1abWR5xsiccOGhSlz8
fu4bZfS2r2tqkOUDt6+qVjxmVyWA0tKY1/AP7tBQcD9CkCIfdCJBxMzMiFg32nt3/Tcz0lF63g0n
1n8NFQ4+q7ez9C7odOF/HvLm/IcDvW0SuWUVRunhBmb1zJq2aRi3nxCOkWattoYn2/gyHq+lb30u
3iqD2706+DxS4jRjcTe9Pm/mU/bKousvqSEUnGVPy4tqbU0f4EtROLUrH7HncU7EKaxoOoHFjgpo
4hzFypvtIgqmwBdczndh5/DLihSQp1ElMxDJy05XTgI4pex+RT2Cg3702kIFGtDv+nMJK30jVAqx
eEOG5s9Bt/SDJqhgCHos74jE9Dx1kGak6cBAutyRAYn9wpjnaIL1P91bJ7mOWl02Z53TKeZJojqx
uTMCgtB/kBqUwUJWL9eCHoj/EiEfe0xERgH70jpD40byxXe7AC2Pf48T5MMRltNWKlDBM1JeKNoc
MHf13I9jmjNkkBEUYfaLSYru7+05s0aotYvThQyFlHEmVguaHeQhPdm5JApdewggCi5tBlLzvExF
rQ7Y9QFyThE/DONzwtXSmIgkWFKgZKp9LLBIsbhxdX+EvJczNR5rROYftgZ/oL8nA8DEJMwWPW85
0wzXD9tN8Fem6z0Zknz9H8ipX/Y22p7DOgG1QoWYLFe7E9bxCM2X4lcH9bCxgD93kPuA1UFYTkHO
SkpdA4Sliu8bsqhjVLTv2YIGL46glI5HjrfJrw16wZldPb8PHC6b7SxtN9rpJnUaLS0FUXKYWKhV
Ars3HWExUkdErDpsQQ0J6KMTr7nUW5aP0vHrxPkcbFz41y2ZzOMKUzTjSP+tL0P6PYuFQsy2OYek
rvZe71La49QilHaIddFvgPTMpeDFI0lGRgeRjR/d6p7L+1XVCaGWRhDM2uCXB7mZI/42FoZL3ycp
PBmZCgosgnTMk0xYinj1+c3pLcrFwXYx0PyXuQ6ydok+DZAI8AA+qupQ0ooJlQytZjd/h1JztvMU
h2xYny1or+cMK/U6H660syyQ0/x7ghY0S6tYYQbDRsKjhIj49rhlklblhzl1L7+iq0UHwlXl4Wwc
iXrOc7AyEM4rLDfLfppMbOp9uumCKhXMjzZqRA5HLHt56fLz5EWK3sVHVG7SSQ9wNYf4nBQdwXKM
4UvjMnsK0U1ahxqZWJIoyGxJvLruJAUiIFI2Ly6fVhefbEWO/3ZYRwBA3oyIt/eSqBw5NsuZZhSU
gl4c0RAQLY8BkwPdnR4smNqckXnQLSpwF7JFCFjB91O1X+kV4v4Qxdm4Siwuc/1J3juZAqjWx23I
jXufyPRmCurTq++K1vWOm8bH3S2KCkPR7iemV5KK92S2tncViisqL6LgL4rt6uiJ75Uw8bGUk+Pp
9bwXSawmG38d0m5HTS4Ee02UJYTV5F4kWVYwBmk7m7pJ2Skryz+28AI6aH0bD5/ovSbOZTJIOFnN
Hse0wafZdC8r06zZ6vLYFNxtfwFl90eHj3F5yBlx3b2dWSQvjS/7NobhJMck1WeY+FSaw1N2yvyk
i+HcLG6N9kKvguZRQQHT+/DFgZaQV0tXufoBFOpRlUH6Ki5lcSI+2JyY5/By5PDFKupTdgpdxkiA
TVbgs9e2Zlh6GW0J33Yw6NhKO0/9cGOuGfxpWmJk20qc6rPoweahNP6MrwXKK4D+FD6r4XRI/7GM
Z4YMB7TZr3Xzfy5g2vd5na2cEsHaMMrFIV3XM26WHOjXzcROaL3RZeBf6VmA/KOA92Dy1+MVPT9V
rDtthteBiQsJraBJGEYV6Qy+FYf3J7xej9gZYWG2wmaCdbuY+c7LbopuPQwBd35fOjqi0ZkAOIq5
lOGL/DeX46Q6zkXE9cqfclouQqlT2ouTs5dkHYQpdZgPElM5dpXZ8HXg0Z79ZTSAfuWaF1xSBptf
CUXYPudenSvJqG9OxxIOiGg8TjrxmzJwL8eWuf5H9nrWqM2mdS1gLKEnF/kYQQb4A36U40u7fqLH
1XAt1Q1Fp7+jLc82GelsZOoM77mpBspoY7j7JCJYYntRrOpTEtW/rmZE+fWQj2ojfBYmimpxaeNC
gEWbhiEuOADV/+hlvRB8mXT0PsxA6F0b+1u2XnF9Y75/tHAVLjbw0TabiYG99EzlpR1oVdWbfZZM
Q//QbbVNmk/ZcSAnDm6GZ8bNM4xn60IglPr/4h9ge39YutpzqcFJGNFysvy3+CBRxOM+dHwg02vz
2tEVJ9EQ/TrYMkwE+AzROulj2Y8NwJJem2H8EXLVu98630bXdZnRaxryIQSbZDCZOb15u4fbxn5y
9rR7MxX8FTvfLkn8OO/YI1zZtFR9bpPW+vNI53nlrLaAu0NX7j3G9cmz3SO0mgbCbgvFLsO9iLRq
iY7r+YsnW0epL9SqIQArKu+weVlF+aIRm/AUNU8S3CD/g8oqYjFE6L3eJSczAqGrFDW8ZwZyrPTG
uTBq34IDVcjCWrCYcQb+QZl72wIYTCl2ceRsWFfZh6QKnU/ubGAkZZP6HHf1zqWxaebrZgErTyQ6
eTz8JokwC89PpxOxXJyN5Lm0/N99aSSXJ9TmfpJZrbCmXE3QQJqDDcDkgGLxdlNHALypJP1jEzkz
QGDSEnXqQMW+/A/J7JKQBKmTpqGW0gIU2Fn9IaoGQa44abgvDMlewpMXw+7Nkwt4UjN/aYiM6Tyt
48CrWngI2Ovng7om2jmgTOtAzf9MxZ8j4Iy9Qfi5ZqYMnEIPvc8VtnQ4zHxl8b06YHXrV7MidoiA
OOLovMEhn1ZCaPlLXUOerRxdfmFwACR5L7IOkoRQ3MBslRGZ50YDxgIrXLo6H148FT4RyidccHMy
9zmt1A+dR2rCJYs8tUr4Xka1adMqP21NguCIq//Tu+nKsMX0tfSJ9cC/n5KRw15W9WW5JH193lST
iCOuktmGG5RkSYiM7vakvwX1lOt9M3htz4EjDKyc8OPKLwBHTzFsVp/BNUOSXVUIIUuVT5ygXZWB
6u9pPA7280dpf9gV027nbOwfyIAHyFA2HoV+279JujFoeotjBiziX/nUSbmO8CON+8h/w5r60TNC
2vqIqt+oI6Hxs5niSGMY+6ZATdIljowcJzWZ/eRAy11637w3KO2j5uiJMg9iOWKotR3fQUzrmj1n
ENBL799Sb9xsCGVQBmvD1s1jL8lbcmG6LX7JR6x/5+inQiwwDzVpVF/7ROE8PcNTej/uIDUmHIYm
EOs1fvFqazXDaJm0X4in4QNP0PHzBvrtlIx+82CfQC3vojqj1Mkh92lo8AiFKdK2QqEFEK5XMCaF
1ZcfruFB31KAF5YVzKfroI5thmFNnX3h2XiwqFRWVogTBkvY1aIixbT4ckoc/J8t1FI57ovbvS+/
jqs/qZV0zVqG3MKCYXpBEa3KOnLFRnN3wMVfszP7EvylYUP+4QXrEG541ziO4Oysg+FiYqEHVm+d
66bfvyr4HDTOPBfHTMCZMkGPuXhqmcqNd2oUG1xYzL4LvMRBLyiYFvxAaMGXeV4+Z3TgfYKa3ujs
liKXzQ1vmWgXjaqPZADzHhXiPbUZyV8qL95H3c2qBCoK57qdALRWobi/4DMurGFDCNxqVwOuUbNE
KWtE1/+BDwBycChvFt9HDO2z37ScoBJ+SWLl6hS6xXBIq6HD07SF3Sa4pngzWpo+qIhcQZ++UwPO
TdWpYUdv1tGsY1QuDbqh2h7+4x3JiVrfhTOEOoXcQyu2PXiYF1quh3NOFFXCnXeLM7lWMycV6I86
8Jnq4qiRYf7Yg7+94hR1S6kFKQyf+hfXnvDL1YrPceqsE57epPnoLRJbru91Ek7i/kVD3PTBOAFD
PPJyCYD+4Jf1VHuvNETpxHRbLmc2bq6wovOjrziqULc+7jGDnEW0EXRIoTaNj49Z8IM5MRf5Fxpz
sxdxwTHMmROv7TCup00dWzMBZfWHql9jlUFmcx2bbM7TLliXVlgKE0cTYlMb9/4dJmj6BhhXwX+C
lL9wVqNOxx0gRwnJ4/Zu/4soLbuEJ7nj1fJY/13eAUFOnDg/egjKUlq8sQKNSQwhlU50PAeUntD0
VHq0o88pC1F+HkP6PWTD0YFeHdSDsoBJA7pgSwHpAjDYwFyDAbBKuUYtkEnh1PEwndSD/EXucKTI
QnE0CtxsuuI4Ahlq1QzLuDiEdTKHnnTWmVntySs2JNjmkj1gqNy8keivSjTLc1GzCPXtpmiUVLH5
wBNIsfba1ByroaCjdbuQG3l/eTOTvJhkkq8CcrNhiGZG1iwt/TczcBgAPq8eoSOc+NYaUMjwbJd8
kz3fMgjS8PPCaEstFEK6u5XNT3Ps6cDzTchMUSnWTLazxqVJ21mQLrkgUCxSMP1VTbqpfugQ8Sjk
Vj5T6okWzNXemBlFAVMXOUe+a0n9cOcNWchYCruZQaXdPobEdqugV6GrvTRM5Wd9VZDiP2RXwoHN
ySGr52aAiMdeW/zHcrw2xdcgvTz8M2/XdhDkEP0SnELJELnMiGfFx4q9BpIzCIxnrKvcQjyyWZjn
F65kAgZzX/DZ1jl9bIAwkBqRnOHAJdB4VD9xHTGAqJJpcUtSHFpLdGojNWBiRnlhC25g3J0eB848
crhIAMYWr46g4Al/IUefp+m+xMUgmtj7hHrPByYrA1hgNls79mgLbJjYl+4YbVomYyJS2tWr7oiQ
pRONIPhNzbQ+9TN5h9ye9C+QTSdTQzlGJp/1wtke4MoxHKECTuKxqQYDvift916b2JmxGdPhroIB
EKJJ2q2+6uGFXECycPmn17taUtzJDvBqt0JibDD0SfEdeKadIbzFiCBcZp2UQPQeyyKiKHaw0hDE
xzQButPitEXOEhDffn8PxiIi62EmxfhhamAKAI98xUsjQbfM9Xq5MGL1UCf55O2+WtdPajX8bCJN
OIEPPhZBgqjr5EgF9DUa2EkhGP/Ij/8pjUd08m9CfaVI0E4VumW2BsXibtdAaeF8QJAyQHxdQFeY
PgN8zr/LYXaJE16+nn6ZekFoEezRnJyZh+gPqqzCwl2eLkIhOFH6EKFXWobXdODl64Ug7AChCTMv
Tvf1ZsdVxNH+2gRXEx3Y7YSmQXtltpvsyuQEipN6P9nyZd2tDM+HFt67mrONuQFuoonNBDN2HYVB
Fg9DfXfr5e9Dw7waitr5L7niQuMa51MDbpv7DWtVxrNLER5DJtx8ysA3fFeVPBEsPN9c+qSzpq54
eDSM26JStBpOCZ0iNRFP/LU6HWGhO0QfLl6o9uiY5c0eXCjQTlOs6VKdwoaV9lRjJEKNPSbhIdf2
RX5i9gv4BysYWuiRZ98I/p5Qs4pFUHVQqP3qMkqHvhgIj3TGHgNFquOZ8RW0Ww2c07tSF8oHbyPw
LxR4cy51hjm8k0K3adUHydj2+x+MrWf7wVTBHnhtqrsByN/BAiwWd+vDEs+qdCUyEQusnebNKJ2R
QUXyVDknW8dZxAJeXbFIzuKR0agfvO4Yr4+n2oFcKVpsJMIgm48t8WRGoT7pNY1AUfQmFvAJQkl1
ah9ZIVVY4tEOdLIFYLksd3+5hih05IHAlvQ0BgAgfx2+u943o3uF/c2XJqwxLUwO28zRitRNto0l
YG1gps10BgWeP2CX2f1ZgVC1jFSA2t5wl/gUdMMr3GQSADkDlP4yE0qSiRl4LB77fGGNRlvJN8hB
Fp13g4SPtb6eOCs2Iv+c8aDa3Nh+n2bymnlV5Y+N2VuaIGAzCboTm2OFA/Q3Q6GJWsO8P3EHtndp
lAR5MtXCIE2hcHz/Ti3JaN2bRhe/KDAjr+Kgp3d1sFwi547U6MvdwY25SwOx5aHfQMq7b83gGsNM
phglH/LyVv0MdpBnmuVqkM+Jl5bBy4vUf6M3DW5GGRQmPFSvH4NaCwRLX2Q+atIE3pFW5y553uc0
RixQSPP4J/xPTZLIvjT+auQH6Lk1ENHq3t6SHShhARhkE+c9KDMWLt8aGGJVB3AtrEEXxzV/t1Gc
nMZPBS75o5OSEL0cbFK4BLro1XfYhRulk3z/onDW/qD2aCeCH+h62rU82ot/0BMosJUutQtHONMX
S9ulVLMT0Td81QBfHEcIOW0s+4PZvp0e3plZ6N5YoBTHT+7ha0aw3KhVPE43GfwotRZZTPXQT36C
E83hH+xbawhfvTVaZTtfQ165X6LQU5NmupzT9Vhn04PD8P/55CY+MBQvsK2t3scDMTatIfDgsAfn
4ceZBhaFBAK+/l40pEWV8rAc9uwLiq9DYIvbBAaZFBwdAMBjBo7tvSNVqgdcr7RF5UXOKZFwU3zw
HaTNCqSv9+zmh86eynP3+HQ7y0j/jj/PAQgzYm8U9PWVQ50CluAqiUK44oVkORwolrr4IGDILdsy
qIURNzmgOjgVnPcLnr3pCdylLOg7w+nCCEXMSmu2b3rOfnnwAQAb6KbNWVxvF85f7VLcu9NsfYeO
6EfCbTejUIgaqNnP24IAnDf7kgKoU+BWvXTy2yr7Mmnnpcth4v1IiqDAPKfyT28J13VQUMNW5R0n
BgrXsZ5tXFIYygvM/tJdX0T+aQL8KXhPB9+hgrBrtGknxWhbhVbACSy3kZSkNU91acipamG9O3rP
AvzswGxal85Yx26nDMRE9T/N7g/+7kwj/m69Pk3qDhSp1HEryLQeSk9kMdmH7uhT05iBThSAEjBy
6E3VQLiMQUCiVlmIDaRlq02zHhu9owKJ9pwUXIhYqFHVpo6pmQ4mXzWP0AIyVLLzV7tuYzpIBROr
GITpwpsK0rLOnkM=
`protect end_protected
| bsd-2-clause | 79e9df5ec6ada675d210659cf9b058d7 | 0.949889 | 1.821273 | false | false | false | false |
rjarzmik/mips_processor | Caches/Simulated_Memory.vhd | 1 | 9,804 | -------------------------------------------------------------------------------
-- Title : Memory that is simulated with predefined values
-- Project : Source files in two directories, custom library name, VHDL'87
-------------------------------------------------------------------------------
-- File : Simulated_Memory.vhd
-- Author : Robert Jarzmik <[email protected]>
-- : Simon Desfarges <[email protected]>
-- Company :
-- Created : 2016-11-20
-- Last update: 2017-01-01
-- Platform :
-- Standard : VHDL'08
-------------------------------------------------------------------------------
-- Description: Simulates a constant latency memory.
-- The memory content is loaded from a file, with init_ram(), and
-- that part requires VHDL 2008 compliance.
-- If the rom is hard encoded in this file, the file should be
-- VHDL'93 compliant.
--
-- It is assumed that a "memory_data.txt" file is available, and
-- that is contains lines of data as would have been generated by
-- hexdump -e '"%08x\n"' bin_opcodes.raw > memory_data.txt
-------------------------------------------------------------------------------
-- Copyright (c) 2016
-------------------------------------------------------------------------------
-- Revisions :
-- Date Version Author Description
-- 2016-11-20 1.0 rj Created
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library std;
use std.textio.all;
-------------------------------------------------------------------------------
entity Simulated_Memory is
generic (
ADDR_WIDTH : integer := 32;
DATA_WIDTH : integer := 32;
MEMORY_LATENCY : positive := 1;
MEMORY_ADDR_WIDTH : natural := 7;
MEMORY_FILE : string := "memory_data.txt";
DEBUG : boolean := false
);
port (
clk : in std_logic;
rst : in std_logic;
i_memory_req : in std_logic;
i_memory_we : in std_logic;
i_memory_addr : in std_logic_vector(ADDR_WIDTH - 1 downto 0);
i_memory_write_data : in std_logic_vector(DATA_WIDTH - 1 downto 0);
o_memory_read_data : out std_logic_vector(DATA_WIDTH - 1 downto 0);
o_memory_valid : out std_logic
);
end entity Simulated_Memory;
-------------------------------------------------------------------------------
architecture rtl of Simulated_Memory is
-----------------------------------------------------------------------------
-- Internal signal declarations
-----------------------------------------------------------------------------
subtype addr_t is std_logic_vector(ADDR_WIDTH - 1 downto 0);
subtype data_t is std_logic_vector(DATA_WIDTH - 1 downto 0);
type memory is array(0 to 2**(MEMORY_ADDR_WIDTH - DATA_WIDTH / 8) - 1) of
data_t;
--constant rom : memory := (
--x"24040011", -- 0: 24040011 li a0,17
--x"2c820002", -- 4: 2c820002 sltiu v0,a0,2
--x"1440000b", -- 8: 1440000b bnez v0,38 <fibo_flat+0x38>
--x"24030001", -- c: 24030001 li v1,1
--x"00003021", -- 10: 00003021 move a2,zero
--x"08000008", -- 14: 08000008 j 20 <fibo_flat+0x20>
--x"24050001", -- 18: 24050001 li a1,1
--x"00402821", -- 1c: 00402821 move a1,v0
--x"24630001", -- 20: 24630001 addiu v1,v1,1
--x"00c51021", -- 24: 00c51021 addu v0,a2,a1
--x"1483fffc", -- 28: 1483fffc bne a0,v1,1c <fibo_flat+0x1c>
--x"00a03021", -- 2c: 00a03021 move a2,a1
--x"03e00008", -- 30: 03e00008 jr ra
--x"00200825", -- 34: 00200825 move at,at
--x"03e00008", -- 38: 03e00008 jr ra
--x"00801021", -- 3c: 00801021 move v0,a0
--others => (others => '0')
--);
--function init_ram_data_offsets_addr(ofs : natural) return memory is
-- variable o : memory;
-- variable d : natural;
--begin
-- for i in o'range loop
-- d := (i * DATA_WIDTH / 8 + ofs); -- mod 2**memory(0)'length;
-- o(i) := std_logic_vector(to_unsigned(d, DATA_WIDTH));
-- end loop;
-- return o;
--end function init_ram_data_offsets_addr;
--signal ram : memory := init_ram_data_offsets_addr(16#0100#);
impure function init_ram(FileName : string)
return memory is
variable tmp : memory := (others => (others => '0'));
file FileHandle : text open read_mode is FileName;
variable CurrentLine : line;
variable TempWord : bit_vector(DATA_WIDTH - 1 downto 0);
variable good : boolean;
begin
for addr_pos in 0 to 2**(MEMORY_ADDR_WIDTH - DATA_WIDTH / 8) - 1 loop
exit when endfile(FileHandle);
good := false;
while not good and not endfile(FileHandle) loop
readline(FileHandle, CurrentLine);
hread(CurrentLine, TempWord, good);
end loop;
tmp(addr_pos) := To_StdLogicVector(TempWord);
end loop;
return tmp;
end init_ram;
signal ram : memory := init_ram(MEMORY_FILE);
type state_t is (idle, read_done, write_done, latency_wait);
function get_done_state(req : std_logic; we : std_logic) return state_t is
begin
if req = '1' then
if we = '0' then
return read_done;
else
return write_done;
end if;
else
return idle;
end if;
end function get_done_state;
function read_ram(addr : addr_t;
signal mem : in memory) return data_t is
begin
return mem((to_integer(unsigned(addr)) / (DATA_WIDTH / 8)));
end function read_ram;
procedure write_ram(addr : addr_t; wdata : data_t;
signal mem : out memory) is
begin
mem((to_integer(unsigned(addr)) / (DATA_WIDTH / 8))) <= wdata;
end procedure write_ram;
procedure do_memory_op(addr : addr_t; we : std_logic;
rdata : out data_t;
wdata : in data_t;
signal mem : inout memory) is
begin
if we = '0' then
rdata := read_ram(addr, mem);
-- pragma translate_off
if DEBUG then
report "Simulated_Memory: read[0x" & to_hstring(addr) &
"] => 0x" & to_hstring(rdata);
end if;
-- pragma translate_on
else
write_ram(addr, wdata, mem);
-- pragma translate_off
if DEBUG then
report "Simulated_Memory: write [0x" & to_hstring(addr) &
"] <= 0x" & to_hstring(wdata);
end if;
-- pragma translate_on
end if;
end procedure do_memory_op;
begin -- architecture rtl
handler : process(rst, clk, i_memory_req, i_memory_we, i_memory_addr)
variable mreq : std_logic;
variable mwe : std_logic;
variable maddr : addr_t;
variable rdata : data_t;
variable wdata : data_t;
variable valid : std_logic;
variable state : state_t := idle;
variable waits : natural;
begin
if rst = '1' then
valid := '0';
rdata := (others => 'X');
else
case state is
when idle =>
if MEMORY_LATENCY = 0 and i_memory_req = '1' then
valid := '1';
do_memory_op(i_memory_addr, i_memory_we, rdata, wdata, ram);
elsif MEMORY_LATENCY = 1 and i_memory_req = '1' then
if rising_edge(clk) then
do_memory_op(i_memory_addr, i_memory_we, rdata, wdata, ram);
valid := '1';
state := get_done_state(i_memory_req, i_memory_we);
end if;
elsif MEMORY_LATENCY > 1 and i_memory_req = '1' then
if rising_edge(clk) then
state := latency_wait;
maddr := i_memory_addr;
mwe := i_memory_we;
wdata := i_memory_write_data;
waits := MEMORY_LATENCY - 1;
valid := '0';
rdata := (others => 'X');
end if;
end if;
when read_done | write_done =>
if MEMORY_LATENCY = 1 and i_memory_req = '1' then
if rising_edge(clk) then
do_memory_op(i_memory_addr, i_memory_we, rdata, wdata, ram);
valid := '1';
state := get_done_state(i_memory_req, i_memory_we);
end if;
elsif MEMORY_LATENCY = 1 and i_memory_req = '0' then
if rising_edge(clk) then
state := idle;
end if;
elsif MEMORY_LATENCY > 1 and i_memory_req = '1' then
if rising_edge(clk) then
state := latency_wait;
maddr := i_memory_addr;
mwe := i_memory_we;
wdata := i_memory_write_data;
waits := MEMORY_LATENCY - 1;
valid := '0';
rdata := (others => 'X');
end if;
elsif MEMORY_LATENCY > 1 and i_memory_req = '0' then
if rising_edge(clk) then
state := idle;
end if;
end if;
when latency_wait =>
valid := '0';
rdata := (others => 'X');
if rising_edge(clk) then
waits := waits - 1;
if waits = 0 then
state := get_done_state('1', mwe);
valid := '1';
do_memory_op(maddr, mwe, rdata, wdata, ram);
end if;
end if;
end case;
end if;
o_memory_read_data <= rdata;
o_memory_valid <= valid;
end process handler;
end architecture rtl;
-------------------------------------------------------------------------------
| gpl-3.0 | 41721a7aeeec4e3acf85114e0d35e23c | 0.487352 | 3.779491 | false | false | false | false |
tdaede/daala_zynq | daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axi_bram_ctrl_0_0/axi_bram_ctrl_v3_0/hdl/vhdl/coregen_comp_defs.vhd | 1 | 13,913 | -------------------------------------------------------------------------------
-- $Id:$
-------------------------------------------------------------------------------
-- coregen_comp_defs - entity/architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: coregen_comp_defs.vhd
-- Version: initial
-- Description:
-- Component declarations for all black box netlists generated by
-- running COREGEN and AXI BRAM CTRL when XST elaborated the client core
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- -- coregen_comp_defs.vhd
-------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
PACKAGE coregen_comp_defs IS
-------------------------------------------------------------------------------------
-- Start Block Memory Generator Component for blk_mem_gen_v8_0
-- Component declaration for blk_mem_gen_v8_0 pulled from the blk_mem_gen_v8_0.v
-- Verilog file used to match paramter order for NCSIM compatibility
-------------------------------------------------------------------------------------
component blk_mem_gen_v8_0
generic (
----------------------------------------------------------------------------
-- Generic Declarations
----------------------------------------------------------------------------
--Device Family & Elaboration Directory Parameters:
C_FAMILY : STRING := "virtex4";
C_XDEVICEFAMILY : STRING := "virtex4";
-- C_ELABORATION_DIR : STRING := "";
C_INTERFACE_TYPE : INTEGER := 0;
C_AXI_TYPE : INTEGER := 1;
C_AXI_SLAVE_TYPE : INTEGER := 0;
C_HAS_AXI_ID : INTEGER := 0;
C_AXI_ID_WIDTH : INTEGER := 4;
--General Memory Parameters:
C_MEM_TYPE : INTEGER := 2;
C_BYTE_SIZE : INTEGER := 9;
C_ALGORITHM : INTEGER := 0;
C_PRIM_TYPE : INTEGER := 3;
--Memory Initialization Parameters:
C_LOAD_INIT_FILE : INTEGER := 0;
C_INIT_FILE_NAME : STRING := "";
C_USE_DEFAULT_DATA : INTEGER := 0;
C_DEFAULT_DATA : STRING := "111111111";
C_RST_TYPE : STRING := "SYNC";
--Port A Parameters:
--Reset Parameters:
C_HAS_RSTA : INTEGER := 0;
C_RST_PRIORITY_A : STRING := "CE";
C_RSTRAM_A : INTEGER := 0;
C_INITA_VAL : STRING := "0";
--Enable Parameters:
C_HAS_ENA : INTEGER := 1;
C_HAS_REGCEA : INTEGER := 0;
--Byte Write Enable Parameters:
C_USE_BYTE_WEA : INTEGER := 0;
C_WEA_WIDTH : INTEGER := 1;
--Write Mode:
C_WRITE_MODE_A : STRING := "WRITE_FIRST";
--Data-Addr Width Parameters:
C_WRITE_WIDTH_A : INTEGER := 4;
C_READ_WIDTH_A : INTEGER := 4;
C_WRITE_DEPTH_A : INTEGER := 4096;
C_READ_DEPTH_A : INTEGER := 4096;
C_ADDRA_WIDTH : INTEGER := 12;
--Port B Parameters:
--Reset Parameters:
C_HAS_RSTB : INTEGER := 0;
C_RST_PRIORITY_B : STRING := "CE";
C_RSTRAM_B : INTEGER := 0;
C_INITB_VAL : STRING := "0";
--Enable Parameters:
C_HAS_ENB : INTEGER := 1;
C_HAS_REGCEB : INTEGER := 0;
--Byte Write Enable Parameters:
C_USE_BYTE_WEB : INTEGER := 0;
C_WEB_WIDTH : INTEGER := 1;
--Write Mode:
C_WRITE_MODE_B : STRING := "WRITE_FIRST";
--Data-Addr Width Parameters:
C_WRITE_WIDTH_B : INTEGER := 4;
C_READ_WIDTH_B : INTEGER := 4;
C_WRITE_DEPTH_B : INTEGER := 4096;
C_READ_DEPTH_B : INTEGER := 4096;
C_ADDRB_WIDTH : INTEGER := 12;
--Output Registers/ Pipelining Parameters:
C_HAS_MEM_OUTPUT_REGS_A : INTEGER := 0;
C_HAS_MEM_OUTPUT_REGS_B : INTEGER := 0;
C_HAS_MUX_OUTPUT_REGS_A : INTEGER := 0;
C_HAS_MUX_OUTPUT_REGS_B : INTEGER := 0;
C_MUX_PIPELINE_STAGES : INTEGER := 0;
--Input/Output Registers for SoftECC :
C_HAS_SOFTECC_INPUT_REGS_A : INTEGER := 0;
C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER := 0;
--ECC Parameters
C_USE_ECC : INTEGER := 0;
C_USE_SOFTECC : INTEGER := 0;
C_HAS_INJECTERR : INTEGER := 0;
--Simulation Model Parameters:
C_SIM_COLLISION_CHECK : STRING := "NONE";
C_COMMON_CLK : INTEGER := 0;
C_DISABLE_WARN_BHV_COLL : INTEGER := 0;
C_DISABLE_WARN_BHV_RANGE : INTEGER := 0
);
PORT (
----------------------------------------------------------------------------
-- Input and Output Declarations
----------------------------------------------------------------------------
-- Native BMG Input and Output Port Declarations
--Port A:
CLKA : IN STD_LOGIC := '0';
RSTA : IN STD_LOGIC := '0';
ENA : IN STD_LOGIC := '0';
REGCEA : IN STD_LOGIC := '0';
WEA : IN STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
ADDRA : IN STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
DINA : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0) := (OTHERS => '0');
DOUTA : OUT STD_LOGIC_VECTOR(C_READ_WIDTH_A-1 DOWNTO 0);
--Port B:
CLKB : IN STD_LOGIC := '0';
RSTB : IN STD_LOGIC := '0';
ENB : IN STD_LOGIC := '0';
REGCEB : IN STD_LOGIC := '0';
WEB : IN STD_LOGIC_VECTOR(C_WEB_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
ADDRB : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
DINB : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0) := (OTHERS => '0');
DOUTB : OUT STD_LOGIC_VECTOR(C_READ_WIDTH_B-1 DOWNTO 0);
--ECC:
INJECTSBITERR : IN STD_LOGIC := '0';
INJECTDBITERR : IN STD_LOGIC := '0';
SBITERR : OUT STD_LOGIC;
DBITERR : OUT STD_LOGIC;
RDADDRECC : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0);
-- AXI BMG Input and Output Port Declarations
-- AXI Global Signals
S_AClk : IN STD_LOGIC := '0';
S_ARESETN : IN STD_LOGIC := '0';
-- AXI Full/Lite Slave Write (write side)
S_AXI_AWID : IN STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_AWADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
S_AXI_AWLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0');
S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0');
S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0');
S_AXI_AWVALID : IN STD_LOGIC := '0';
S_AXI_AWREADY : OUT STD_LOGIC;
S_AXI_WDATA : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_WSTRB : IN STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_WLAST : IN STD_LOGIC := '0';
S_AXI_WVALID : IN STD_LOGIC := '0';
S_AXI_WREADY : OUT STD_LOGIC;
S_AXI_BID : OUT STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_BVALID : OUT STD_LOGIC;
S_AXI_BREADY : IN STD_LOGIC := '0';
-- AXI Full/Lite Slave Read (Write side)
S_AXI_ARID : IN STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_ARADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
S_AXI_ARLEN : IN STD_LOGIC_VECTOR(8-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0');
S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0');
S_AXI_ARVALID : IN STD_LOGIC := '0';
S_AXI_ARREADY : OUT STD_LOGIC;
S_AXI_RID : OUT STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_RDATA : OUT STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0);
S_AXI_RRESP : OUT STD_LOGIC_VECTOR(2-1 DOWNTO 0);
S_AXI_RLAST : OUT STD_LOGIC;
S_AXI_RVALID : OUT STD_LOGIC;
S_AXI_RREADY : IN STD_LOGIC := '0';
-- AXI Full/Lite Sideband Signals
S_AXI_INJECTSBITERR : IN STD_LOGIC := '0';
S_AXI_INJECTDBITERR : IN STD_LOGIC := '0';
S_AXI_SBITERR : OUT STD_LOGIC;
S_AXI_DBITERR : OUT STD_LOGIC;
S_AXI_RDADDRECC : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0)
);
END COMPONENT; --blk_mem_gen_v8_0
END coregen_comp_defs;
| bsd-2-clause | dd9fdcc7479be8c1e49711bf38dfcb8c | 0.426867 | 4.512812 | false | false | false | false |
Yarr/Yarr-fw | rtl/kintex7/rx-core/cdr_serdes.vhd | 1 | 8,668 | -- CDR with SERDES
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
library UNISIM;
use UNISIM.VComponents.all;
entity cdr_serdes is
port
(
-- clocks
clk160 : in std_logic;
clk640 : in std_logic;
-- reset
reset : in std_logic;
-- data input
din : in std_logic;
slip : in std_logic;
-- data output
data_value : out std_logic_vector(1 downto 0);
data_valid : out std_logic_vector(1 downto 0);
data_lock : out std_logic
);
end cdr_serdes;
architecture rtl of cdr_serdes is
signal AZ : std_logic_vector(4 downto 0) := (others => '0');
signal BZ : std_logic_vector(4 downto 0) := (others => '0');
signal CZ : std_logic_vector(4 downto 0) := (others => '0');
signal DZ : std_logic_vector(4 downto 0) := (others => '0');
signal AAP, AAN : std_logic := '0';
signal BBP, BBN : std_logic := '0';
signal CCP, CCN : std_logic := '0';
signal DDP, DDN : std_logic := '0';
signal use_A : std_logic := '0';
signal use_B : std_logic := '0';
signal use_C : std_logic := '0';
signal use_D : std_logic := '0';
signal use_A1, use_A2 : std_logic := '0';
signal use_B1, use_B2 : std_logic := '0';
signal use_C1, use_C2 : std_logic := '0';
signal use_D1, use_D2 : std_logic := '0';
signal use_A_reg : std_logic := '0';
signal use_B_reg : std_logic := '0';
signal use_C_reg : std_logic := '0';
signal use_D_reg : std_logic := '0';
signal use_A_reg2 : std_logic := '0';
signal use_B_reg2 : std_logic := '0';
signal use_C_reg2 : std_logic := '0';
signal use_D_reg2 : std_logic := '0';
signal sdata_A : std_logic_vector(1 downto 0) := "00";
signal sdata_B : std_logic_vector(1 downto 0) := "00";
signal sdata_C : std_logic_vector(1 downto 0) := "00";
signal sdata_D : std_logic_vector(1 downto 0) := "00";
signal pipe_ce0 : std_logic := '0';
signal pipe_ce1 : std_logic := '0';
signal valid_int : std_logic_vector(1 downto 0) := "00";
signal lockcnt : integer range 0 to 128 := 0;
begin
serdes : ISERDESE2
generic map (
DATA_RATE => "SDR", -- DDR, SDR
DATA_WIDTH => 4, -- Parallel data width (2-8,10,14)
DYN_CLKDIV_INV_EN => "FALSE", -- Enable DYNCLKDIVINVSEL inversion (FALSE, TRUE)
DYN_CLK_INV_EN => "FALSE", -- Enable DYNCLKINVSEL inversion (FALSE, TRUE)
-- INIT_Q1 - INIT_Q4: Initial value on the Q outputs (0/1)
INIT_Q1 => '0',
INIT_Q2 => '0',
INIT_Q3 => '0',
INIT_Q4 => '0',
INTERFACE_TYPE => "NETWORKING", -- MEMORY, MEMORY_DDR3, MEMORY_QDR, NETWORKING, OVERSAMPLE
IOBDELAY => "NONE", -- NONE, BOTH, IBUF, IFD
NUM_CE => 2, -- Number of clock enables (1,2)
OFB_USED => "FALSE", -- Select OFB path (FALSE, TRUE)
SERDES_MODE => "MASTER", -- MASTER, SLAVE
-- SRVAL_Q1 - SRVAL_Q4: Q output values when SR is used (0/1)
SRVAL_Q1 => '0',
SRVAL_Q2 => '0',
SRVAL_Q3 => '0',
SRVAL_Q4 => '0'
)
port map (
O => open, -- 1-bit output: Combinatorial output
-- Q1 - Q8: 1-bit (each) output: Registered data outputs
Q1 => AZ(0),
Q2 => BZ(0),
Q3 => CZ(0),
Q4 => DZ(0),
Q5 => open,
Q6 => open,
Q7 => open,
Q8 => open,
-- SHIFTOUT1, SHIFTOUT2: 1-bit (each) output: Data width expansion output ports
SHIFTOUT1 => open,
SHIFTOUT2 => open,
BITSLIP => slip, -- 1-bit input: The BITSLIP pin performs a Bitslip operation synchronous to
-- CLKDIV when asserted (active High). Subsequently, the data seen on the
-- Q1 to Q8 output ports will shift, as in a barrel-shifter operation, one
-- position every time Bitslip is invoked (DDR operation is different from
-- SDR).
-- CE1, CE2: 1-bit (each) input: Data register clock enable inputs
CE1 => '1',
CE2 => '0',
CLKDIVP => '0', -- 1-bit input: TBD
-- Clocks: 1-bit (each) input: ISERDESE2 clock input ports
CLK => clk640, -- 1-bit input: High-speed clock
CLKB => '0', -- 1-bit input: High-speed secondary clock
CLKDIV => clk160, -- 1-bit input: Divided clock
OCLK => '0', -- 1-bit input: High speed output clock used when INTERFACE_TYPE="MEMORY"
-- Dynamic Clock Inversions: 1-bit (each) input: Dynamic clock inversion pins to switch clock polarity
DYNCLKDIVSEL => '0', -- 1-bit input: Dynamic CLKDIV inversion
DYNCLKSEL => '0', -- 1-bit input: Dynamic CLK/CLKB inversion
-- Input Data: 1-bit (each) input: ISERDESE2 data input ports
D => din, -- 1-bit input: Data input
DDLY => '0', -- 1-bit input: Serial data from IDELAYE2
OFB => '0', -- 1-bit input: Data feedback from OSERDESE2
OCLKB => '0', -- 1-bit input: High speed negative edge output clock
RST => reset, -- 1-bit input: Active high asynchronous reset
-- SHIFTIN1, SHIFTIN2: 1-bit (each) input: Data width expansion input ports
SHIFTIN1 => '0',
SHIFTIN2 => '0'
);
process begin
wait until rising_edge(clk160);
if reset = '1' then
AZ(4 downto 1) <= (others => '0');
BZ(4 downto 1) <= (others => '0');
CZ(4 downto 1) <= (others => '0');
DZ(4 downto 1) <= (others => '0');
AAP <= '0'; AAN <= '0';
BBP <= '0'; BBN <= '0';
CCP <= '0'; CCN <= '0';
DDP <= '0'; DDN <= '0';
use_A1 <= '0'; use_A2 <= '0'; use_A <= '0';
use_B1 <= '0'; use_B2 <= '0'; use_B <= '0';
use_C1 <= '0'; use_C2 <= '0'; use_C <= '0';
use_D1 <= '0'; use_D2 <= '0'; use_D <= '0';
use_A_reg <= '0'; use_A_reg2 <= '0';
use_B_reg <= '0'; use_B_reg2 <= '0';
use_C_reg <= '0'; use_C_reg2 <= '0';
use_D_reg <= '0'; use_D_reg2 <= '0';
sdata_A <= "00";
sdata_B <= "00";
sdata_C <= "00";
sdata_D <= "00";
valid_int <= "00";
data_value <= "00";
data_valid <= "00";
data_lock <= '0';
lockcnt <= 0;
pipe_ce0 <= '0';
pipe_ce1 <= '0';
else
-- clock in the data
AZ(4 downto 1) <= AZ(3 downto 0);
BZ(4 downto 1) <= BZ(3 downto 0);
CZ(4 downto 1) <= CZ(3 downto 0);
DZ(4 downto 1) <= DZ(3 downto 0);
-- find positive edges
AAP <= (AZ(2) xor AZ(3)) and not AZ(2);
BBP <= (BZ(2) xor BZ(3)) and not BZ(2);
CCP <= (CZ(2) xor CZ(3)) and not CZ(2);
DDP <= (DZ(2) xor DZ(3)) and not DZ(2);
-- find negative edges
AAN <= (AZ(2) xor AZ(3)) and AZ(2);
BBN <= (BZ(2) xor BZ(3)) and BZ(2);
CCN <= (CZ(2) xor CZ(3)) and CZ(2);
DDN <= (DZ(2) xor DZ(3)) and DZ(2);
-- decision of sampling point
use_A1 <= (BBP and not CCP and not DDP and AAP);
use_A2 <= (BBN and not CCN and not DDN and AAN);
use_B1 <= (CCP and not DDP and AAP and BBP);
use_B2 <= (CCN and not DDN and AAN and BBN);
use_C1 <= (DDP and AAP and BBP and CCP);
use_C2 <= (DDN and AAN and BBN and CCN);
use_D1 <= (AAP and not BBP and not CCP and not DDP);
use_D2 <= (AAN and not BBN and not CCN and not DDN);
use_A <= use_A1 or use_A2;
use_B <= use_B1 or use_B2;
use_C <= use_C1 or use_C2;
use_D <= use_D1 or use_D2;
-- if we found an edge
if (use_A or use_B or use_C or use_D) = '1' then
lockcnt <= 127;
pipe_ce0 <= '1'; -- sync marker
pipe_ce1 <= '1';
else
if lockcnt = 0 then
pipe_ce0 <= '0';
else
lockcnt <= lockcnt - 1;
end if;
pipe_ce1 <= '0';
end if;
-- register
use_A_reg <= use_A;
use_B_reg <= use_B;
use_C_reg <= use_C;
use_D_reg <= use_D;
if pipe_ce1 = '1' then
use_A_reg2 <= use_A_reg;
use_B_reg2 <= use_B_reg;
use_C_reg2 <= use_C_reg;
use_D_reg2 <= use_D_reg;
end if;
-- collect output data
sdata_A(0) <= AZ(4) and use_A_reg2; sdata_A(1) <= AZ(4) and use_D_reg2;
sdata_B(0) <= BZ(4) and use_B_reg2; sdata_B(1) <= '0';
sdata_C(0) <= CZ(4) and use_C_reg2; sdata_C(1) <= '0';
sdata_D(0) <= DZ(4) and use_D_reg2; sdata_D(1) <= DZ(4) and use_A_reg2;
-- ouput data if we have seen an edge
if pipe_ce0 = '1' then
data_value <= sdata_A or sdata_B or sdata_C or sdata_D;
end if;
-- data valid output
if use_D_reg2 = '1' and use_A_reg = '1' then
valid_int <= "00"; -- move from A to D: no valid data
elsif use_A_reg2 = '1' and use_D_reg = '1' then
valid_int <= "11"; -- move from D to A: 2 bits valid
else
valid_int <= "01"; -- only one bit is valid
end if;
if pipe_ce0 = '1' then
data_valid <= valid_int;
else
data_valid <= "00";
end if;
data_lock <= pipe_ce0;
end if;
end process;
end architecture;
| gpl-3.0 | 47f95867f2f6a7f1adb0bb408a84fcb8 | 0.543724 | 2.756121 | false | false | false | false |
cwilkens/ecen4024-microphone-array | microphone-array/microphone-array.srcs/sources_1/ip/lp_FIR/fir_compiler_v7_1/hdl/cnfg_and_reload.vhd | 2 | 111,911 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
qS9KapL0EbCrwT0fEheWmDBk7y+mbeUklTV8FP+xaFRJmWGOa92LoDYXgkAgwc1H9gF3GW4b5hne
JVgWyrODng==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
NkH2KMePJdGQ9BrgvmObYcVeKHvdj0ooDcHeNzqUcMbTSoBdWWc4PzJv5uhWqyn+RojnNSxdSLHZ
VVuF+WJbOIN86ODV9XaTtn9UsQ/aL52dvIUZZAs4CAHotT2xjVp9ASdntl5LfnmQGdRdIghEcvfC
6SE/2dXxBqP1GnaAU+E=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
aCSnq7PMGnWdEOS8D//nPmMtbuMzm+S902qTLHTmM+cGLVsgjx/99r2zMRl8lQ5qL72Lpb4G8gnJ
kMk5wvtWiTvJ9R42m33ncueJ/hKIzDB4K+TU3g1iB2NeWkRtMGVY9QUAAamgJbSIgclOB0HWwRXt
7ZZsy5qbJLut4FX3X2HzQyM9jx+G0RnHeYpKtYsGDPM/eerFtS+/jwpyz0AHxUK9NHoqpkvvR0w3
YpdBFKcgZjc28o2cw+NFn189EewVon42qRbhY489s5oBVlxkT/GKPszWXc9lUEpduWXbv+6gRHGW
WyUqWTBjoP8D/rELV69k/4Jdh7z4IaTaKmIfAg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
sqtJWmnrvRl2JqD/4KU4snhNyVYYx9/CNCxoKOtQ0nyaCY54bJ1uGQY2vVe63cwb6cRIN6yTNwmQ
tWFgVa0m09x17JqQrHIDWIMRyW+I7uCoSAGj/dJB2HOtN4zHsHGxaxo25trRJyzqKd8NU5beVwQ5
OvDE14P6/+Bvr2ZZNdk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
LyFm66CfXLYukTYzKDQwzvsqzLx4liC6crvTP4leG4aym6NpViie9KZOenTt8jBHca0vq8B8bSJz
6G6FoLWHR25FYNwz6NW79ak5XQtZu6tj7gMrM0fIjbJA1p0wJvfAj5UhDU5rE3Mqev4nemPOGJIH
4vsN05MKBysz6OnwZpqM7NqxFT4Fgez8mS1Im0FpZLGF+KJ6HE8q41W53YPmgt4aODMiBo/T4OSR
wkA6xDpWsuaMJzyBPvN40LPi8xkA2cl8upTOurVnXfhxbwhU8+gE1elVKCzNSbpG0uHZLei9kaA/
h3Kci7G9AleqchikP/3mnKEhKBPysuChUk1iFg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 81104)
`protect data_block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=
`protect end_protected
| mit | f6ec028173c853dc2d2e4720cb84e0b2 | 0.953847 | 1.814616 | false | false | false | false |
jeremiah-c-leary/vhdl-style-guide | vsg/tests/instantiation/rule_012_test_input.vhd | 1 | 824 |
architecture ARCH of ENTITY1 is
begin
U_INST1 : INST1
generic map (
G_GEN_1 => 3,
G_GEN_2 => 4,
G_GEN_3 => 5
)
port map (
PORT_1 => w_port_1,
PORT_2 => w_port_2,
PORT_3 => w_port_3
);
-- Violations below
U_INST1 : INST1 generic map (
G_GEN_1 => 1,
G_GEN_2 => 2,
G_GEN_3 => 3
);
U_INST1 : configuration CONFIG generic map (
G_GEN_1 => 1,
G_GEN_2 => 2,
G_GEN_3 => 3
);
U_INST1 : entity FIFO generic map (
G_GEN_1 => 1,
G_GEN_2 => 2,
G_GEN_3 => 3
);
U_INST1 : entity FIFO(rtl) generic map (
G_GEN_1 => 1,
G_GEN_2 => 2,
G_GEN_3 => 3
);
U_INST1 : component FIFO generic map (
G_GEN_1 => 1,
G_GEN_2 => 2,
G_GEN_3 => 3
);
end architecture ARCH;
| gpl-3.0 | 1747c8b1319c1db99d6a7c898099bd9f | 0.455097 | 2.765101 | false | false | false | false |
tdaede/daala_zynq | daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_auto_pc_121_0/fifo_generator_v11_0/ramfifo/reset_blk_ramfifo.vhd | 2 | 34,470 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
M6NeFF6SKgtDqW1Hf+Kq6D7HvafHzXzar4fn7zgig8ZrSwKrhThZmzQk8LDbdJ7iJF8JVdmgnVZB
aAapJV9pog==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
O9YIsB5O+8BOyT/5WcpDrPdtGz/i/ty2bI2lJy0jfipZbZQ2qKysBw4pv0Na5EU68aDuHpqybJgS
E0FN8OMAE9Y+3qEVWHvBXhJBt4zv7xGSC23LVr2dNo2ge07jpoKS9HRNrYyzknMO3cn+sL6bfBg1
ThpDiBKh5AaO1PN3uBY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
3BOI3pXUHKytYGU37hwWC/mV9qsoqNBBzj50iArU+IJkhE+orTanAPos8xBujkPiO/KiijGOZos4
WNZajFYgAMKDQ+9tiQliZh/3gTtSYVDDe0C8M+Fh4Dar5qGNJtgmyD5p2/xQsBSElRknYguZMNCm
ApQ5VZZCSFYiySEEz3pqJmGGo9JcyoyvqKIQnztUPOzdlGtZBT1wkNXKbh2Di7kgo+ZWuW/RTWzW
iQxmcJj86dQw9J8u953yTrNOigH3rKr8mtIAsKnuKT1K8U76Umuk5m1a7AEARHHYOOcoQJQERPkh
i0DE0yma6Jp8VzQ+Kw9lli61FQJyfBywmV+FSg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
qZpGnosZOwSgZ/2rMLHGvYdeh+sJl3Hnd4gJgNRbdEvgI+mHQ7ajemH8DnNpCQ9wOXnUP5GE2zLH
ConXxHrqFbPOvgOd5C6JR8IhkovbDX0jWMqRE8da3KPPkKpn5stRJKAu6U1b3vGv/rgIhIRy2fIc
myy3xLzzPtLen0vqEkE=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
o2cTDMklRGBaBTnwoRaqfxepgGR1Nvq5DuiD0u0HhiA7poHbRRrGKjjD/kpoj4cZ2Qp9JIWumNzT
c1i8nGeNgB6g5z8Pvs15j2cCQ+3HcO9RRsI4nMnfqgwwAeZzoFeI7LkuiyFzJhtywu29Mgwg3bVf
5LYf50pe6Pt4q+bNdQT3aMo4KwBt/HYMpgpoX3e0FWO38NJR4FAIstBYDciPLZ7MgGOHagFZ3SHF
0x53KL7MK2yLHFahDstourX0J/XwoyNBz8Ui2J4pXYljJgHipatBNQnnBpckiYsWHZ5tOyTtppKy
gPYiHpXW1BLOL4I8cII64mr/6DCKhhy8sa4ckA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 23776)
`protect data_block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`protect end_protected
| bsd-2-clause | 61d02886fbec41f3303589c18f1bf700 | 0.947462 | 1.829229 | false | false | false | false |
cwilkens/ecen4024-microphone-array | microphone-array/microphone-array.srcs/sources_1/ip/cascaded_integrator_comb/cic_compiler_v4_0/hdl/toolbox_pkg.vhd | 1 | 172,455 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
OgS77aubL8Ma8DVu9hfrvXY9Lvi6IrtTkH+MptL35RGmVmhwbsgtaI01I7NB+gFwLPBlVLVf+NeW
n3OJxQITow==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
henVEfoXp0WxT7yZHozUb9M0ZTOZwQsX8+NYetyg1krGqTJ9r/cW7clg5Y2oGDThfJS4KHnf78Ax
2xb+bAskTnQHDCr+vmKqItuVcGG3LtGH7jpdeg2gh/a7y4qDo4sfj2FiSpRlaNmdOZ9sg24yIo4y
rGc+C1IoFQD94K/y+S4=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
lSc3Dajic4m1fk/gYuOsOpV5ELjtQj3rJEXeMN+Qn7bPArF+5sjb3V/IP+twENFXP7ycEBrDPEEo
SMjOjUe9n/3XClclRcc3Pw+k8WRlTNj/NBf26ECHpijbClA6lj/9HMPepymCgvAM2wJLre+HaYGS
/ubfj5iTnLLMy4XhsWguhsnxzCUTbHiALlW9wa81NsgRINzfWVxSbigRVEGpRpI0574nTCXZwSVb
IzPVb5lLQ1rkctBF3v4MVvtbd9fvLy78DIizIRCa9SBVHmlUfn9BGLeM/zXlFzDHEyqtTRhCKgbi
AvZbuYpXAFUjUbi9pdvWSuYI53mz7IF1zG2nRg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
uTxqVWyY2WcGUpoSbdDVKK7q+Kl1ptppC2FzVCQ48pOlnOOxsYRRDuKbVlV+UyrllfAUqu9VK4zd
fE7r5WQTKsoBxVNjEYV0x7CnVRBP6ACOJQgHY/PDAdLjh6Z0g5Dmz7TxYCA6790TCEMwkIvwjvcx
KC7V4rKPEr7453Q06H8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
jY/b3OT4Qd4V1XM/5rOcD+lpg6Lvk45kG6GIWAdLGAhbzDdbrz1LNx4m+IXh43ZjzVRgrnF0a4R5
reDjty1/jqiTbMZdBXW5weFv6n+cfK/KM5atYQ0kuqCIC152D6IGFTe4CVEGxRPtlTa+NzR6z8tu
skdu8wt5/7EisG/CcnObROJuXAuD6NXdbAAGzJCb6EbvSiha6UYncRht8Q7nFs62Z8y6UXCzBiej
GrOYv8k2tEQzZDG36kdvvlvC9+oCY7Jui//ultlfG1Qghptkt38bmD0y5RqSqrH4gLPsBPldjf/u
ux/sesyGDn0+NKnhJ4qdSgO4XZmy8QIsXQ453g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 125920)
`protect data_block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`protect end_protected
| mit | c39546d2ca7684f0b8b669f9aa9a1a8f | 0.954214 | 1.806871 | false | false | false | false |
Yarr/Yarr-fw | rtl/trigger-logic/eudet_tlu.vhd | 1 | 5,789 |
-- ####################################
-- # Project: Yarr
-- # Author: Timon Heim
-- # E-Mail: timon.heim at cern.ch
-- # Comments: EUDET TLU interface
-- # Data: 09/2016
-- # Outputs are synchronous to clk_i
-- ####################################
library IEEE;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity eudet_tlu is
port (
-- Sys connect
clk_i : IN std_logic;
rst_n_i : IN std_logic;
-- Eudet signals
eudet_trig_i : IN std_logic;
eudet_rst_i : IN std_logic;
eudet_busy_o : OUT std_logic;
eudet_clk_o : OUT std_logic;
-- From logic
busy_i : IN std_logic;
simple_mode_i : IN std_logic;
deadtime_i : IN std_logic_vector(15 downto 0);
-- To logic
trig_o : OUT std_logic;
rst_o : OUT std_logic;
trig_tag_o : OUT std_logic_vector(15 downto 0)
);
end eudet_tlu;
architecture rtl of eudet_tlu is
-- Components
component synchronizer
port (
-- Sys connect
clk_i : in std_logic;
rst_n_i : in std_logic;
-- Async input
async_in : in std_logic;
sync_out : out std_logic
);
end component;
-- constants
signal C_DEADTIME : integer := 2000; -- clk_i cycles
signal C_CLKDIVIDER : integer := 16; -- 160 MHz -> 10Mhz
-- State machine
type state_type is (IDLE, TRIGGER, RECEIVE, DEAD);
signal state : state_type;
-- Sync inputs
signal sync_eudet_trig_t : std_logic;
signal sync_eudet_rst_i : std_logic;
signal trig_tag_t : std_logic_vector(15 downto 0); -- only 15:1 good
signal eudet_busy_t : std_logic;
signal eudet_clk_t : std_logic;
signal eudet_bust_t : std_logic;
signal clk_counter : unsigned (7 downto 0);
signal bit_counter : unsigned (4 downto 0);
signal dead_counter : unsigned (15 downto 0);
signal deadtime_t : std_logic_vector(15 downto 0);
begin
-- Sync async inputs
trig_sync: synchronizer port map(clk_i => clk_i, rst_n_i => rst_n_i, async_in => eudet_trig_i, sync_out => sync_eudet_trig_t);
rst_sync: synchronizer port map(clk_i => clk_i, rst_n_i => rst_n_i, async_in => eudet_rst_i, sync_out => sync_eudet_rst_i);
eudet_busy_o <= eudet_busy_t;
eudet_clk_o <= eudet_clk_t;
rst_o <= '0';
state_machine: process(clk_i, rst_n_i)
begin
if (rst_n_i = '0') then
state <= IDLE;
eudet_busy_t <= '0';
eudet_clk_t <= '0';
clk_counter <= (others => '0');
bit_counter <= (others => '0');
dead_counter <= (others => '0');
deadtime_t <= (others => '0');
trig_tag_t <= (others => '0');
trig_tag_o <= (others => '0');
trig_o <= '0';
elsif rising_edge(clk_i) then
case state is
when IDLE =>
eudet_busy_t <= '0';
eudet_clk_t <= '0';
clk_counter <= (others => '0');
bit_counter <= (others => '0');
trig_o <= '0';
if (sync_eudet_trig_t = '1') then
state <= TRIGGER;
end if;
when TRIGGER =>
-- Raise busy and wait until trigger is negated
eudet_busy_t <= '1';
eudet_clk_t <= '0';
trig_o <= '0';
clk_counter <= (others => '0');
bit_counter <= (others => '0');
trig_tag_t <= (others => '0');
dead_counter <= (others => '0');
if (sync_eudet_trig_t = '0' and simple_mode_i = '0') then
state <= RECEIVE;
elsif (sync_eudet_trig_t = '0' and simple_mode_i = '1') then
state <= DEAD;
end if;
when RECEIVE =>
eudet_busy_t <= '1';
trig_o <= '0';
clk_counter <= clk_counter + 1;
dead_counter <= (others => '0');
if (clk_counter = (C_CLKDIVIDER-1)) then
clk_counter <= (others => '0');
eudet_clk_t <= not eudet_clk_t;
if (eudet_clk_t = '1') then --sampling on negative edge
bit_counter <= bit_counter + 1;
trig_tag_t <= eudet_trig_i & trig_tag_t(15 downto 1); -- do not need synced vers here
end if;
end if;
if (bit_counter = "10000") then
state <= DEAD;
trig_tag_o <= '0' & trig_tag_t(14 downto 0);
end if;
when DEAD =>
eudet_busy_t <= '1';
eudet_clk_t <= '0';
trig_o <= '0';
if (dead_counter = 0) then
trig_o <= '1'; -- Trigger now (16 clock cycles after the initial trigger?)
end if;
dead_counter <= dead_counter + 1;
if (dead_counter >= unsigned(deadtime_t) and busy_i = '0') then
state <= IDLE;
end if;
when others =>
eudet_busy_t <= '0';
eudet_clk_t <= '0';
trig_o <= '0';
clk_counter <= (others => '0');
bit_counter <= (others => '0');
state <= IDLE;
end case;
deadtime_t <= deadtime_i;
end if;
end process state_machine;
end rtl;
| gpl-3.0 | 138029de1eafecd91c3b7ee22bb60acc | 0.439109 | 3.956938 | false | false | false | false |
Yarr/Yarr-fw | rtl/tx-core/wb_tx_core.vhd | 1 | 16,570 | -- ####################################
-- # Project: Yarr
-- # Author: Timon Heim
-- # E-Mail: timon.heim at cern.ch
-- # Comments: Serial Port
-- # Outputs are synchronous to clk_i
-- ####################################
-- # Adress Map:
-- # Adr[4:0]:
-- # 0x00 - FiFo (WO) (Write to enabled channels)
-- # 0x01 - CMD Enable (RW)
-- # 0x02 - CMD Empty (RO)
-- # 0x03 - Trigger Enable (RW)
-- # 0x04 - Trigger Done (RO)
-- # 0x05 - Trigger Conf (RW) :
-- # 0 = External
-- # 1 = Internal Time
-- # 2 = Internal Count
-- # 0x06 - Trigger Frequency (RW)
-- # 0x07 - Trigger Time_L (RW)
-- # 0x08 - Trigger Time_H (RW)
-- # 0x09 - Trigger Count (RW)
-- # 0x0A - Trigger Word Length (RW)
-- # 0x0B - Trigger Word [31:0] (RW)
-- # 0x0C - Trigger Pointer (RW)
-- # 0x0F - Toggle trigger abort
-- # 0x10 - TX polarity (RW)
-- # 0x11 -
library IEEE;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.board_pkg.all;
entity wb_tx_core is
generic (
g_NUM_TX : integer range 1 to 32 := 1
);
port (
-- Sys connect
wb_clk_i : in std_logic;
rst_n_i : in std_logic;
-- Wishbone slave interface
wb_adr_i : in std_logic_vector(31 downto 0);
wb_dat_i : in std_logic_vector(31 downto 0);
wb_dat_o : out std_logic_vector(31 downto 0);
wb_cyc_i : in std_logic;
wb_stb_i : in std_logic;
wb_we_i : in std_logic;
wb_ack_o : out std_logic;
wb_stall_o : out std_logic;
-- TX
tx_clk_i : in std_logic;
tx_data_o : out std_logic_vector(g_NUM_TX-1 downto 0);
trig_pulse_o : out std_logic;
-- Sync
ext_trig_i : in std_logic
);
end wb_tx_core;
architecture behavioral of wb_tx_core is
component tx_channel
port (
-- Sys connect
wb_clk_i : in std_logic;
rst_n_i : in std_logic;
-- Data In
wb_dat_i : in std_logic_vector(31 downto 0);
wb_wr_en_i : in std_logic;
-- TX
tx_clk_i : in std_logic;
tx_data_o : out std_logic;
tx_enable_i : in std_logic;
-- Word Looper
loop_pulse_i : in std_logic;
loop_mode_i : in std_logic;
loop_word_i : in std_logic_vector(1023 downto 0);
loop_word_bytes_i : in std_logic_vector(7 downto 0);
-- Pulse
pulse_word_i : in std_logic_vector(31 downto 0);
pulse_interval_i : in std_logic_vector(15 downto 0);
-- Sync
sync_word_i : in std_logic_vector(31 downto 0);
sync_interval_i : in std_logic_vector(7 downto 0);
-- Idle
idle_word_i : in std_logic_vector(31 downto 0);
-- Status
tx_underrun_o : out std_logic;
tx_overrun_o : out std_logic;
tx_almost_full_o : out std_logic;
tx_empty_o : out std_logic
);
end component;
component trigger_unit
port (
clk_i : in std_logic;
rst_n_i : in std_logic;
-- Serial Trigger Out
--trig_o : out std_logic;
trig_pulse_o : out std_logic;
-- Trigger In
ext_trig_i : in std_logic;
-- Config
--trig_word_i : in std_logic_vector(127 downto 0); -- Trigger command
--trig_word_length_i : in std_logic_vector(31 downto 0); -- Trigger command length
trig_freq_i : in std_logic_vector(31 downto 0); -- Number of clock cycles between triggers
trig_time_i : in std_logic_vector(63 downto 0); -- Clock cycles
trig_count_i : in std_logic_vector(31 downto 0); -- Fixed number of triggers
trig_conf_i : in std_logic_vector(3 downto 0); -- Internal, external, pseudo random,
trig_en_i : in std_logic;
trig_abort_i : in std_logic;
trig_done_o : out std_logic
);
end component;
-- Signals
signal tx_data_cmd : std_logic_vector(g_NUM_TX-1 downto 0);
signal tx_data_trig : std_logic;
signal tx_trig_pulse : std_logic;
-- Registers
signal tx_enable : std_logic_vector(31 downto 0) := (others => '0');
signal tx_underrun : std_logic_vector(31 downto 0) := (others => '0');
signal tx_overrun : std_logic_vector(31 downto 0) := (others => '0');
signal tx_almost_full : std_logic_vector(31 downto 0) := (others => '0');
signal tx_empty : std_logic_vector(31 downto 0) := (others => '0');
-- Trigger command
signal trig_freq : std_logic_vector(31 downto 0); -- Number of clock cycles between triggers
signal trig_time : std_logic_vector(63 downto 0); -- Clock cycles
signal trig_time_l : std_logic_vector(31 downto 0);
signal trig_time_l_d : std_logic_vector(31 downto 0);
signal trig_time_h : std_logic_vector(31 downto 0);
signal trig_time_h_d : std_logic_vector(31 downto 0);
signal trig_count : std_logic_vector(31 downto 0); -- Fixed number of triggers
signal trig_conf : std_logic_vector(3 downto 0); -- Internal, external, pseudo random,
signal trig_en : std_logic;
signal trig_done : std_logic;
signal trig_word_length : std_logic_vector(31 downto 0);
signal trig_word : std_logic_vector(1023 downto 0);
type trig_word_array is array (g_NUM_TX-1 downto 0) of std_logic_vector(1023 downto 0);
signal trig_word_t : trig_word_array;
signal trig_word_pointer : unsigned(4 downto 0);
signal tx_polarity : std_logic_vector((g_NUM_TX-1) downto 0);
signal tx_polarity_t : std_logic_vector((g_NUM_TX-1) downto 0);
-- Trig input freq counter
signal ext_trig_t1 : std_logic;
signal ext_trig_t2 : std_logic;
signal ext_trig_t3 : std_logic;
signal trig_in_freq_cnt : unsigned(31 downto 0);
signal trig_in_freq : std_logic_vector(31 downto 0);
signal trig_in_freq_d : std_logic_vector(31 downto 0);
signal per_second : std_logic;
signal per_second_cnt : unsigned(31 downto 0);
constant ticks_per_second : integer := 160000000; -- 160 MHz clock rate TODO make it set via board_pkg
type word_array is array (g_NUM_TX-1 downto 0) of std_logic_vector(31 downto 0);
signal trig_abort : std_logic;
signal wb_wr_en : std_logic_vector(31 downto 0) := (others => '0');
signal wb_dat_t : std_logic_vector(31 downto 0);
signal channel : integer range 0 to 31;
signal pulse_word : std_logic_vector(31 downto 0);
signal pulse_interval : std_logic_vector(15 downto 0);
signal pulse_words : word_array;
signal sync_word : std_logic_vector(31 downto 0);
signal sync_interval : std_logic_vector(7 downto 0);
signal sync_words : word_array;
signal idle_word : std_logic_vector(31 downto 0);
signal idle_words : word_array;
begin
channel <= TO_INTEGER(unsigned(wb_adr_i(8 downto 4)));
wb_stall_o <= '1' when (tx_almost_full /= x"00000000") else '0';
wb_proc: process (wb_clk_i, rst_n_i)
begin
if (rst_n_i = '0') then
wb_dat_o <= (others => '0');
wb_ack_o <= '0';
wb_wr_en <= (others => '0');
tx_enable <= (others => '0');
wb_dat_t <= (others => '0');
trig_en <= '0';
trig_abort <= '0';
tx_enable <= (others => '0');
trig_conf <= (others => '0');
trig_time_h <= (others => '0');
trig_time_h_d <= (others => '0');
trig_time_h <= (others => '0');
trig_time_l_d <= (others => '0');
trig_count <= (others => '0');
trig_word <= (others => '0');
trig_word_pointer <= (others => '0');
trig_abort <= '0';
trig_in_freq_d <= (others => '0');
pulse_word <= c_TX_AZ_WORD;
pulse_interval <= std_logic_vector(c_TX_AZ_INTERVAL);
sync_word <= c_TX_SYNC_WORD;
sync_interval <= std_logic_vector(c_TX_SYNC_INTERVAL);
idle_word <= c_TX_IDLE_WORD;
elsif rising_edge(wb_clk_i) then
wb_wr_en <= (others => '0');
wb_ack_o <= '0';
trig_time_h_d <= trig_time_h;
trig_time_l_d <= trig_time_l;
trig_time <= trig_time_h_d & trig_time_l_d; -- delay for more flexible routing
trig_abort <= '0';
trig_in_freq_d <= trig_in_freq; -- delay for more flexible routing
if (wb_cyc_i = '1' and wb_stb_i = '1') then
if (wb_we_i = '1') then
case (wb_adr_i(7 downto 0)) is
when x"00" => -- Write to fifo
wb_wr_en <= tx_enable;
wb_ack_o <= '1';
wb_dat_t <= wb_dat_i;
when x"01" => -- Set enable mask
tx_enable <= wb_dat_i;
wb_ack_o <= '1';
when x"03" => -- Set trigger enable
trig_en <= wb_dat_i(0);
wb_ack_o <= '1';
when x"05" => -- Set trigger conf
trig_conf <= wb_dat_i(3 downto 0);
wb_ack_o <= '1';
when x"06" => -- Set trigger frequency
trig_freq <= wb_dat_i;
wb_ack_o <= '1';
when x"07" => -- Set trigger time low
trig_time_l(31 downto 0) <= wb_dat_i;
wb_ack_o <= '1';
when x"08" => -- Set trigger time high
trig_time_h(31 downto 0) <= wb_dat_i;
wb_ack_o <= '1';
when x"09" => -- Set trigger count
trig_count <= wb_dat_i;
wb_ack_o <= '1';
when x"0A" => -- Set trigger word length (bits)
trig_word_length <= wb_dat_i;
wb_ack_o <= '1';
when x"0B" => -- Set trigger word as specified in pointer
trig_word(((to_integer(trig_word_pointer)+1)*32)-1 downto (to_integer(trig_word_pointer))*32) <= wb_dat_i;
wb_ack_o <= '1';
when x"0C" => -- Set trigger word pointer
trig_word_pointer <= unsigned(wb_dat_i(4 downto 0));
wb_ack_o <= '1';
when x"0D" => -- Pulse word
pulse_word <= wb_dat_i(31 downto 0);
wb_ack_o <= '1';
when x"0E" => -- Pulse word interval
pulse_interval <= wb_dat_i(15 downto 0);
wb_ack_o <= '1';
when x"0F" => -- Toggle trigger abort
trig_abort <= wb_dat_i(0);
wb_ack_o <= '1';
when x"10" => -- TX polarity
tx_polarity <= wb_dat_i((g_NUM_TX-1) downto 0);
wb_ack_o <= '1';
when x"11" => -- Pulse word
sync_word <= wb_dat_i(31 downto 0);
wb_ack_o <= '1';
when x"12" => -- Pulse word interval
sync_interval <= wb_dat_i(7 downto 0);
wb_ack_o <= '1';
when x"13" => -- Pulse word
idle_word <= wb_dat_i(31 downto 0);
wb_ack_o <= '1';
when others =>
wb_ack_o <= '1';
end case;
else
case (wb_adr_i(7 downto 0)) is
when x"00" => -- Read enable mask
wb_dat_o <= tx_enable;
wb_ack_o <= '1';
when x"02" => -- Read empty stat
wb_dat_o <= tx_empty;
wb_ack_o <= '1';
when x"03" => -- Read trigger enable
wb_dat_o(0) <= trig_en;
wb_dat_o(31 downto 1) <= (others => '0');
wb_ack_o <= '1';
when x"04" => -- Read trigger done
wb_dat_o(0) <= trig_done;
wb_dat_o(31 downto 1) <= (others => '0');
wb_ack_o <= '1';
when x"05" => -- Read trigger conf
wb_dat_o(3 downto 0) <= trig_conf;
wb_dat_o(31 downto 4) <= (others => '0');
wb_ack_o <= '1';
when x"06" => -- Read trigger freq
wb_dat_o <= trig_freq;
wb_ack_o <= '1';
when x"07" => -- Read trigger time low
wb_dat_o <= trig_time(31 downto 0);
wb_ack_o <= '1';
when x"08" => -- Read trigger time high
wb_dat_o <= trig_time(63 downto 32);
wb_ack_o <= '1';
when x"09" => -- Read trigger count
wb_dat_o <= trig_count;
wb_ack_o <= '1';
when x"0A" => -- Set trigger word length (bits)
wb_dat_o <= trig_word_length;
wb_ack_o <= '1';
when x"0B" =>
wb_dat_o <= trig_word(((to_integer(trig_word_pointer)+1)*32)-1 downto (to_integer(trig_word_pointer))*32);
wb_ack_o <= '1';
when x"0C" =>
wb_dat_o <= (others => '0');
wb_dat_o(4 downto 0) <= std_logic_vector(trig_word_pointer);
wb_ack_o <= '1';
when x"0D" => -- autozero word
wb_dat_o(31 downto 0) <= pulse_word;
wb_ack_o <= '1';
when x"0E" => -- autozero interval
wb_dat_o <= (others => '0');
wb_dat_o(15 downto 0) <= pulse_interval;
wb_ack_o <= '1';
when x"0F" => -- Trigger in frequency
wb_dat_o <= trig_in_freq_d;
wb_ack_o <= '1';
when x"10" => -- TX polarity
wb_dat_o <= (others => '0');
wb_dat_o((g_NUM_TX-1) downto 0) <= tx_polarity;
wb_ack_o <= '1';
when x"11" => -- sync word
wb_dat_o(31 downto 0) <= sync_word;
wb_ack_o <= '1';
when x"12" => -- sync interval
wb_dat_o <= (others => '0');
wb_dat_o(7 downto 0) <= sync_interval;
wb_ack_o <= '1';
when x"13" => -- idle word
wb_dat_o(31 downto 0) <= idle_word;
wb_ack_o <= '1';
when others =>
wb_dat_o <= x"DEADBEEF";
wb_ack_o <= '1';
end case;
end if;
end if;
end if;
end process wb_proc;
tx_channels: for I in 0 to g_NUM_TX-1 generate
begin
cmp_tx_channel: tx_channel PORT MAP (
-- Sys connect
wb_clk_i => wb_clk_i,
rst_n_i => rst_n_i,
-- Data In
wb_dat_i => wb_dat_t,
wb_wr_en_i => wb_wr_en(I),
-- TX
tx_clk_i => tx_clk_i,
tx_data_o => tx_data_cmd(I),
tx_enable_i => tx_enable(I),
-- Looper
loop_pulse_i => tx_trig_pulse,
loop_mode_i => trig_en,
loop_word_i => trig_word_t(I),
loop_word_bytes_i => trig_word_length(7 downto 0),
-- Pulse
pulse_word_i => pulse_words(I),
pulse_interval_i => pulse_interval,
-- Sync word
sync_word_i => sync_words(I),
sync_interval_i => sync_interval,
-- Idle word
idle_word_i => idle_words(I),
-- Status
tx_underrun_o => tx_underrun(I),
tx_overrun_o => tx_overrun(I),
tx_almost_full_o => tx_almost_full(I),
tx_empty_o => tx_empty(I)
);
tx_mux : process(tx_clk_i, rst_n_i)
begin
if (rst_n_i = '0') then
tx_data_o(I) <= '0';
trig_word_t(I) <= (others => '0');
tx_polarity_t(I) <= '0';
pulse_words(I) <= c_TX_AZ_WORD;
sync_words(I) <= c_TX_SYNC_WORD;
idle_words(I) <= c_TX_IDLE_WORD;
elsif rising_edge(tx_clk_i) then
--if (tx_enable(I) = '1' and trig_en = '1') then
-- tx_data_o(I) <= tx_data_trig;
--else
trig_word_t(I) <= trig_word;
tx_data_o(I) <= tx_data_cmd(I) xor tx_polarity_t(I);
tx_polarity_t(I) <= tx_polarity(I);
sync_words(I) <= sync_word;
pulse_words(I) <= pulse_word;
idle_words(I) <= idle_word;
--end if;
end if;
end process;
end generate tx_channels;
trig_pulse_o <= tx_trig_pulse;
cmp_trig_unit : trigger_unit PORT MAP (
clk_i => tx_clk_i,
rst_n_i => rst_n_i,
-- Serial Trigger Out
--trig_o => tx_data_trig,
trig_pulse_o=> tx_trig_pulse,
-- Trigger In
ext_trig_i => ext_trig_i,
-- Config
--trig_word_i => trig_word,
--trig_word_length_i => trig_word_length,
trig_freq_i => trig_freq,
trig_time_i => trig_time,
trig_count_i => trig_count,
trig_conf_i => trig_conf,
trig_en_i => trig_en,
trig_abort_i => trig_abort,
trig_done_o => trig_done
);
-- Create 1 tick per second for counter
per_sec_proc : process(tx_clk_i, rst_n_i)
begin
if (rst_n_i = '0') then
per_second <= '0';
per_second_cnt <= (others => '0');
elsif rising_edge(tx_clk_i) then
if (per_second_cnt = ticks_per_second) then
per_second <= '1';
per_second_cnt <= (others => '0');
else
per_second <= '0';
per_second_cnt <= per_second_cnt + 1;
end if;
end if;
end process per_sec_proc;
-- Count incoming trig frequency
trig_in_freq_proc : process(tx_clk_i, rst_n_i)
begin
if (rst_n_i = '0') then
trig_in_freq_cnt <= (others => '0');
ext_trig_t1 <= '0';
ext_trig_t2 <= '0';
ext_trig_t3 <= '0';
elsif rising_edge(tx_clk_i) then
ext_trig_t1 <= ext_trig_i;
ext_trig_t2 <= ext_trig_t1;
ext_trig_t3 <= ext_trig_t2;
if (trig_done = '1') then -- reset when trigger module is done
trig_in_freq_cnt <= (others => '0');
else
if (ext_trig_t2 = '1' and ext_trig_t3 = '0') then -- positive edge
trig_in_freq_cnt <= trig_in_freq_cnt + 1;
end if;
trig_in_freq <= std_logic_vector(trig_in_freq_cnt);
end if;
end if;
end process trig_in_freq_proc;
end behavioral;
| gpl-3.0 | ccf2a5ae1dde3c4138e4440705688760 | 0.533374 | 2.843659 | false | false | false | false |
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.