repo_name
stringlengths 6
79
| path
stringlengths 6
236
| copies
int64 1
472
| size
int64 137
1.04M
| content
stringlengths 137
1.04M
| license
stringclasses 15
values | hash
stringlengths 32
32
| alpha_frac
float64 0.25
0.96
| ratio
float64 1.51
17.5
| autogenerated
bool 1
class | config_or_test
bool 2
classes | has_no_keywords
bool 1
class | has_few_assignments
bool 1
class |
---|---|---|---|---|---|---|---|---|---|---|---|---|
tgingold/ghdl | testsuite/synth/match01/tb_match02.vhdl | 1 | 590 | entity tb_match02 is
end tb_match02;
library ieee;
use ieee.std_logic_1164.all;
architecture behav of tb_match02 is
signal a : std_logic_vector(3 downto 0);
signal z : std_logic;
begin
dut: entity work.match02
port map (a, z);
process
begin
a <= "1000";
wait for 1 ns;
assert z = '0' severity failure;
a <= "1010";
wait for 1 ns;
assert z = '0' severity failure;
a <= "0000";
wait for 1 ns;
assert z = '1' severity failure;
a <= "0001";
wait for 1 ns;
assert z = '1' severity failure;
wait;
end process;
end behav;
| gpl-2.0 | 35ddd2a3c167bd4952bcca9a54a0103f | 0.60339 | 3.206522 | false | false | false | false |
tgingold/ghdl | testsuite/gna/issue50/idct.d/output_split5.vhd | 2 | 1,410 | library ieee;
use ieee.std_logic_1164.all;
library ieee;
use ieee.numeric_std.all;
entity output_split5 is
port (
wa0_data : in std_logic_vector(7 downto 0);
wa0_addr : in std_logic_vector(2 downto 0);
ra0_data : out std_logic_vector(7 downto 0);
ra0_addr : in std_logic_vector(2 downto 0);
wa0_en : in std_logic;
clk : in std_logic
);
end output_split5;
architecture augh of output_split5 is
-- Embedded RAM
type ram_type is array (0 to 7) of std_logic_vector(7 downto 0);
signal ram : ram_type := (others => (others => '0'));
-- Little utility functions to make VHDL syntactically correct
-- with the syntax to_integer(unsigned(vector)) when 'vector' is a std_logic.
-- This happens when accessing arrays with <= 2 cells, for example.
function to_integer(B: std_logic) return integer is
variable V: std_logic_vector(0 to 0);
begin
V(0) := B;
return to_integer(unsigned(V));
end;
function to_integer(V: std_logic_vector) return integer is
begin
return to_integer(unsigned(V));
end;
begin
-- Sequential process
-- It handles the Writes
process (clk)
begin
if rising_edge(clk) then
-- Write to the RAM
-- Note: there should be only one port.
if wa0_en = '1' then
ram( to_integer(wa0_addr) ) <= wa0_data;
end if;
end if;
end process;
-- The Read side (the outputs)
ra0_data <= ram( to_integer(ra0_addr) );
end architecture;
| gpl-2.0 | 4a119ecf3e2ec4f51d93c363a87c4862 | 0.673759 | 2.895277 | false | false | false | false |
tgingold/ghdl | testsuite/vests/vhdl-93/ashenden/compliant/ch_05_ch_05_09.vhd | 4 | 2,715 |
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_05_ch_05_09.vhd,v 1.2 2001-10-26 16:29:33 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
entity ch_05_09 is
end entity ch_05_09;
----------------------------------------------------------------
architecture test of ch_05_09 is
signal clk, reset, trigger, test0, test1 : bit := '0';
begin
process_05_3_h : process is
begin
-- code from book:
wait until clk = '1';
-- end of code from book
report "clk rising edge detected";
end process process_05_3_h;
----------------
process_05_3_i : process is
begin
-- code from book:
wait on clk until reset = '0';
-- end of code from book
report "synchronous reset detected";
end process process_05_3_i;
----------------
process_05_3_j : process is
begin
-- code from book:
wait until trigger = '1' for 1 ms;
-- end of code from book
if trigger'event and trigger = '1' then
report "trigger rising edge detected";
else
report "trigger timeout";
end if;
end process process_05_3_j;
----------------
-- code from book:
test_gen : process is
begin
test0 <= '0' after 10 ns, '1' after 20 ns, '0' after 30 ns, '1' after 40 ns;
test1 <= '0' after 10 ns, '1' after 30 ns;
wait;
end process test_gen;
-- end of code from book
----------------
stimulus_05_3_h_i_j : process is
begin
clk <= '1' after 10 ns, '0' after 20 ns,
'1' after 30 ns, '0' after 40 ns,
'1' after 50 ns, '0' after 60 ns,
'1' after 70 ns, '0' after 80 ns;
reset <= '1' after 45 ns, '0' after 75 ns;
trigger <= '1' after 10 ns, '0' after 20 ns,
'1' after 30 ns, '0' after 40 ns;
wait;
end process stimulus_05_3_h_i_j;
end architecture test;
| gpl-2.0 | c8c760bd13b5e7a93d6440cd2af86a63 | 0.564273 | 3.75 | false | true | false | false |
tgingold/ghdl | testsuite/synth/fsm01/fsm_2s.vhdl | 1 | 749 | library ieee;
use ieee.std_logic_1164.all;
entity fsm_2s is
port (clk : std_logic;
rst : std_logic;
d : std_logic;
done : out std_logic);
end fsm_2s;
architecture behav of fsm_2s is
type state_t is (S0_1, S1_0);
signal s : state_t;
begin
process (clk)
begin
if rising_edge(clk) then
if rst = '1' then
s <= S0_1;
done <= '0';
else
-- Reset by default
s <= S0_1;
done <= '0';
case s is
when S0_1 =>
if d = '1' then
s <= S1_0;
end if;
when S1_0 =>
if d = '0' then
done <= '1';
end if;
end case;
end if;
end if;
end process;
end behav;
| gpl-2.0 | 9ae6cfe936c143c82afc6e9b57e3ac68 | 0.449933 | 3.187234 | false | false | false | false |
lfmunoz/vhdl | ip_blocks/packer_12bit/tb_packer_128.vhd | 1 | 5,033 | -------------------------------------------------------------------------------------
-- FILE NAME : tb_packer_128.vhd
-- AUTHOR : Luis
-- COMPANY :
-- UNITS : Entity - tb_packer_128
-- Architecture - Behavioral
-- LANGUAGE : VHDL
-- DATE : May 21, 2010
-------------------------------------------------------------------------------------
--
-------------------------------------------------------------------------------------
-- DESCRIPTION
-- ===========
--
--
-------------------------------------------------------------------------------------
-------------------------------------------------------------------------------------
-- LIBRARIES
-------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_misc.all;
Library UNISIM;
use UNISIM.vcomponents.all;
-------------------------------------------------------------------------------------
-- ENTITY
-------------------------------------------------------------------------------------
entity tb_packer_128 is
end tb_packer_128;
-------------------------------------------------------------------------------------
-- ARCHITECTURE
-------------------------------------------------------------------------------------
architecture Behavioral of tb_packer_128 is
-------------------------------------------------------------------------------------
-- CONSTANTS
-------------------------------------------------------------------------------------
constant CLK_200_MHZ : time := 5 ns;
constant CLK_100_MHZ : time := 10 ns;
constant CLK_300_MHZ : time := 3.3333 ns;
constant CLK_25_MHZ : time := 40 ns;
constant CLK_167_MHZ : time := 6 ns;
-----------------------------------------------------------------------------------
-- SIGNALS
-----------------------------------------------------------------------------------
signal sysclk_p : std_logic := '1';
signal sysclk_n : std_logic := '0';
signal clk : std_logic := '1';
signal rst : std_logic := '1';
signal rstn : std_logic := '0';
signal din : std_logic_vector(127 downto 0);
signal val_in : std_logic;
signal sample : std_logic_vector(15 downto 0);
signal dout : std_logic_vector(127 downto 0);
signal val_out : std_logic;
signal din_64bit : std_logic_vector(63 downto 0);
signal validin_64bit : std_logic;
signal dout_64bit : std_logic_vector(63 downto 0);
signal validout_64bit : std_logic;
--***********************************************************************************
begin
--***********************************************************************************
-- Clock & reset generation
sysclk_p <= not sysclk_p after CLK_100_MHZ/2;
sysclk_n <= not sysclk_p;
clk <= not clk after CLK_100_MHZ / 2;
rst <= '0' after CLK_100_MHZ * 10;
rstn <= '1' after CLK_100_MHZ * 10;
-----------------------------------------------------------------------------------
-- Unit under test
-----------------------------------------------------------------------------------
packer_128_inst0 :
entity work.packer_128
port map (
clk_in => clk,
rst_in => rst,
val_in => val_in(127 downto 0),
data_in => din,
val_out => val_out(127 downto 0),
data_out => dout,
test_mode => '1'
);
--pack_16to12_inst0:
--entity work.pack_16to12
--port map(
-- clk => clk,
-- rst => rst,
-- enable => '1',
-- data_in_dval => validin_64bit,
-- data_in => din_64bit,
-- data_in_stop => open,
-- data_out_dval => dout_64bit,
-- data_out => validout_64bit,
-- data_out_stop => '0'
--);
-----------------------------------------------------------------------------------
-- Stimulus
-----------------------------------------------------------------------------------
process(clk, rst)
begin
if rising_edge(clk) then
if rst = '1' then
val_in <= '0';
sample <= (others=>'0');
else
val_in <= '1';
sample <= sample + 8;
end if;
end if;
end process;
--din(15 downto 0) <= sample + 0;
--din(31 downto 16) <= sample + 1;
--din(47 downto 32) <= sample + 2;
--din(63 downto 48) <= sample + 3;
--din(79 downto 64) <= sample + 4;
--din(95 downto 80) <= sample + 5;
--din(111 downto 96) <= sample + 6;
--din(127 downto 112) <= sample + 7;
din(15 downto 0) <= x"0" & x"AAA";
din(31 downto 16) <= x"0" & x"BBB";
din(47 downto 32) <= x"0" & x"CCC";
din(63 downto 48) <= x"0" & x"DDD";
din(79 downto 64) <= x"0" & x"EEE";
din(95 downto 80) <= x"0" & x"FFF";
din(111 downto 96) <= x"0" & x"999";
din(127 downto 112) <= x"0" & x"888";
--***********************************************************************************
end architecture Behavioral;
--***********************************************************************************
| mit | e202094893a0a0a4c7a80a7fa619cf97 | 0.346116 | 4.391798 | false | false | false | false |
snow4life/PipelinedDLX | alu/carry_select_adder/carry_select_adder.vhd | 1 | 1,052 | library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
use WORK.all;
entity CARRY_SELECT_ADDER is
port( A: in std_logic_vector(31 downto 0);
B: in std_logic_vector(31 downto 0);
S: out std_logic_vector(31 downto 0);
Ci: in std_logic;
Co: out std_logic
);
end entity CARRY_SELECT_ADDER;
architecture STRUCTURAL of CARRY_SELECT_ADDER is
signal CARRY: std_logic_vector(8 downto 0);
component CARRY_SELECT_BLOCK
generic (N: integer);
port ( A: in std_logic_vector(N-1 downto 0);
B: in std_logic_vector(N-1 downto 0);
Ci:in std_logic;
S: out std_logic_vector(N-1 downto 0);
Co:out std_logic);
end component;
begin
RCA_GENERATE: for i in 0 to 7 generate
CSB : CARRY_SELECT_BLOCK
generic map (N=>4)
port map ( A => A(((i*4)+3) downto (i*4)),
B => B(((i*4)+3) downto (i*4)),
S => S(((i*4)+3) downto (i*4)),
Ci => CARRY(i),
Co => CARRY(i+1));
end generate;
CARRY(0) <= Ci;
Co <= CARRY(8);
end architecture STRUCTURAL;
| lgpl-2.1 | df4275401654ca517656dc9f0b438d59 | 0.631179 | 2.670051 | false | false | false | false |
nickg/nvc | test/jit/record4.vhd | 1 | 2,220 | package pack5 is
type int_vector is array (natural range <>) of integer;
type pair is record
first : integer;
second : integer;
end record;
type pair_ptr is access pair;
type pair_vector is array (natural range <>) of pair;
type pair_vector_ptr is access pair_vector;
function func1 (x : integer) return integer;
function func2 (x : integer) return integer;
function func3 (x : integer) return integer;
function func4 (x : integer) return integer;
end package;
package body pack5 is
function func1 (x : integer) return integer is
variable p : pair_vector_ptr;
variable result : integer := 0;
begin
p := new pair_vector(1 to x);
for i in 1 to x loop
p.all(i).first := i;
p.all(i).second := x;
end loop;
for i in p.all'range loop
result := result + p(i).first + p(i).second;
end loop;
return result;
end function;
function func2 (x : integer) return integer is
variable p : pair_vector(1 to x);
variable result : integer := 0;
begin
for i in 1 to x loop
p(i).first := i;
p(i).second := x;
end loop;
for i in p'range loop
result := result + p(i).first + p(i).second;
end loop;
return result;
end function;
function func3 (x : integer) return integer is
variable p : pair_ptr;
begin
p := new pair;
p.first := x;
p.second := x * 2;
return p.first + p.second;
end function;
function func4 (x : integer) return integer is
type rec is record
p : pair_vector(1 to x);
end record;
type rec_ptr is access rec;
variable rp : rec_ptr;
variable r : rec;
variable result : integer := 0;
begin
rp := new rec;
for i in 1 to x loop
rp.all.p(i).first := x;
rp.all.p(i).second := x * 2;
end loop;
r := rp.all;
for i in 1 to x loop
result := result + r.p(i).first + r.p(i).second;
end loop;
return result;
end function;
end package body;
| gpl-3.0 | dcc0cfa8a3ff8fc774a3c66baecccac4 | 0.53964 | 3.854167 | false | false | false | false |
nickg/nvc | test/sem/signal.vhd | 1 | 2,391 | entity e is
port (
p : in bit );
end entity;
architecture a of e is
signal v : bit_vector(1 to 3);
signal x, y, z : bit;
begin
process is
begin
(x, y, z) <= v; -- OK
(x, y, z) <= x; -- Error
(x, y, z) <= "101"; -- Error
(bit'('1'), y, z) <= v; -- Error
(others => x) <= v; -- Error
(p, y, z) <= v; -- Error
end process;
(x, y, z) <= v; -- OK
(x, y, z) <= x; -- Error
(bit'('1'), y, z) <= v; -- Error
(others => x) <= v; -- Error
(p, y, z) <= v; -- Error
process is
variable i : integer;
begin
(v(i), v(1), v(2)) <= v; -- Error
end process;
b1: block is
procedure proc1 (signal s : out bit) is
procedure nested is
begin
s <= '0'; -- OK
end procedure;
begin
x <= '1'; -- Error
s <= '1'; -- OK
end procedure;
begin
end block;
b2: block (true) is
begin
guard <= false; -- Error
end block;
b3: block is
signal guard : integer;
begin
x <= guarded not x; -- Error
end block;
b4: block (v) is -- Error
begin
end block;
b5: block is
constant guard : boolean := false;
begin
x <= guarded not x; -- Error
x <= null; -- Error
end block;
b6: block is
signal q : integer bus; -- Error
begin
end block;
b7: block is
function resolved (x : bit_vector) return bit;
subtype rbit is resolved bit;
signal s : rbit bus; -- OK
disconnect s : rbit after 1 ns; -- OK
disconnect 'x' : character after 1 ns; -- Error
disconnect v : bit_vector after 1 ns; -- Error
disconnect s : bit_vector after 2 ns; -- Error
disconnect s : rbit after s; -- Error
signal i : integer;
disconnect s : rbit after i * ns; -- Error
begin
end block;
b8: block is
signal bad : bit := e; -- Error
begin
end block;
end architecture;
| gpl-3.0 | 056a0a4e1421dcba578f6554ed110cec | 0.406943 | 3.985 | false | false | false | false |
tgingold/ghdl | testsuite/vests/vhdl-93/ashenden/compliant/ch_11_fg_11_04.vhd | 4 | 2,522 |
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_11_fg_11_04.vhd,v 1.2 2001-10-26 16:29:35 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
-- not in book
entity misc_logic is
end entity misc_logic;
-- end not in book
use work.MVL4.all;
architecture gate_level of misc_logic is
signal src1, src1_enable : MVL4_ulogic;
signal src2, src2_enable : MVL4_ulogic;
signal selected_val : MVL4_logic;
-- . . .
begin
src1_buffer : entity work.tri_state_buffer(behavioral)
port map ( a => src1, enable => src1_enable, y => selected_val );
src2_buffer : entity work.tri_state_buffer(behavioral)
port map ( a => src2, enable => src2_enable, y => selected_val );
-- . . .
-- not in book
stimulus : process is
begin
wait for 10 ns;
src1_enable <= '0'; src2_enable <= '0'; wait for 10 ns;
src1 <= '0'; src2 <= '1'; wait for 10 ns;
src1_enable <= '1'; wait for 10 ns;
src1 <= 'Z'; wait for 10 ns;
src1 <= '1'; wait for 10 ns;
src1_enable <= '0'; wait for 10 ns;
src2_enable <= '1'; wait for 10 ns;
src2 <= 'Z'; wait for 10 ns;
src2 <= '0'; wait for 10 ns;
src2_enable <= '0'; wait for 10 ns;
src1_enable <= '1'; src2_enable <= '1'; wait for 10 ns;
src1 <= '0'; wait for 10 ns;
src1 <= 'X'; wait for 10 ns;
src1 <= '1'; src2 <= '1'; wait for 10 ns;
wait;
end process stimulus;
-- end not in book
end architecture gate_level;
| gpl-2.0 | 32ba4f22d34296b53457fbd8ca3d93af | 0.552736 | 3.681752 | false | false | false | false |
nickg/nvc | test/regress/wait17.vhd | 1 | 415 | entity wait17 is
end entity;
architecture test of wait17 is
function func (x : bit) return bit_vector is
begin
return (0 to 7 => x);
end function;
signal result, x : bit;
begin
p1: result <= func(x)(0);
p2: process is
begin
assert result = '0';
x <= '1';
wait for 1 ns;
assert result = '1';
wait;
end process;
end architecture;
| gpl-3.0 | c0af254b42bca2823a4baaf21ca080f5 | 0.546988 | 3.640351 | false | false | false | false |
tgingold/ghdl | testsuite/synth/memmux01/tb_memmux02.vhdl | 1 | 1,209 | entity tb_memmux02 is
end tb_memmux02;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
architecture behav of tb_memmux02 is
signal wen : std_logic;
signal addr : std_logic_vector (3 downto 0);
signal rdat : std_logic;
signal wdat : std_logic_vector (15 downto 0);
signal clk : std_logic;
signal rst : std_logic;
begin
dut : entity work.memmux02
port map (
wen => wen,
addr => addr,
rdat => rdat,
wdat => wdat,
clk => clk,
rst => rst);
process
procedure pulse is
begin
clk <= '0';
wait for 1 ns;
clk <= '1';
wait for 1 ns;
end pulse;
constant c : std_logic_vector (15 downto 0) := x"56bc";
begin
rst <= '1';
wen <= '0';
wdat <= c;
addr <= x"0";
pulse;
rst <= '0';
pulse;
assert rdat = '0' severity failure;
addr <= x"4";
wen <= '1';
pulse;
assert rdat = '0' severity failure;
wen <= '0';
pulse;
assert rdat = '1' severity failure;
for i in c'range loop
addr <= std_logic_vector (to_unsigned (i, 4));
pulse;
assert rdat = c(i) severity failure;
end loop;
wait;
end process;
end behav;
| gpl-2.0 | ef8a3b2e98f6588dfab74a7d84836f85 | 0.555831 | 3.321429 | false | false | false | false |
nickg/nvc | test/regress/case12.vhd | 1 | 800 | entity case12 is
end entity;
library ieee;
use ieee.std_logic_1164.all;
architecture test of case12 is
signal x : std_logic_vector(1 to 3);
signal y : natural;
begin
p1 : process (x) is
begin
y <= 0;
case? x is
when "111" => y <= 1;
when "000" => y <= 2;
when "1--" => y <= 3;
when "0--" => y <= 4;
when "--0" => y <= 5;
when others => y <= 6;
end case?;
end process;
p2 : process is
begin
x <= "000";
wait for 1 ns;
assert y = 2;
x <= "XXX";
wait for 1 ns;
assert y = 6;
x <= "11-"; -- Error
wait for 1 ns;
assert y = 3;
wait;
end process;
end architecture;
| gpl-3.0 | b8cccd9d61d6a702d34fe3ab788fbb52 | 0.41875 | 3.555556 | false | false | false | false |
tgingold/ghdl | testsuite/vests/vhdl-93/billowitch/compliant/tc570.vhd | 4 | 2,628 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc570.vhd,v 1.3 2001-10-29 02:12:45 paw Exp $
-- $Revision: 1.3 $
--
-- ---------------------------------------------------------------------
-- **************************** --
-- Ported to VHDL 93 by port93.pl - Tue Nov 5 16:37:34 1996 --
-- **************************** --
-- **************************** --
-- Reversed to VHDL 87 by reverse87.pl - Tue Nov 5 11:25:32 1996 --
-- **************************** --
-- **************************** --
-- Ported to VHDL 93 by port93.pl - Mon Nov 4 17:36:06 1996 --
-- **************************** --
ENTITY c03s04b01x00p01n01i00570ent IS
END c03s04b01x00p01n01i00570ent;
ARCHITECTURE c03s04b01x00p01n01i00570arch OF c03s04b01x00p01n01i00570ent IS
type real_file is file of real;
signal k : integer := 0;
BEGIN
TESTING: PROCESS
file filein : real_file open read_mode is "iofile.19";
variable v : real;
BEGIN
for i in 1 to 100 loop
assert(endfile(filein) = false) report"end of file reached before expected";
read(filein,v);
if (v /= 3.0) then
k <= 1;
end if;
end loop;
wait for 1 ns;
assert NOT(k = 0)
report "***PASSED TEST: c03s04b01x00p01n01i00570"
severity NOTE;
assert (k = 0)
report "***FAILED TEST: c03s04b01x00p01n01i00570 - File reading operation failed."
severity ERROR;
wait;
END PROCESS TESTING;
END c03s04b01x00p01n01i00570arch;
| gpl-2.0 | 2b23e7189e7155c4def2ad80c70f8b3f | 0.552131 | 3.975794 | false | true | false | false |
tgingold/ghdl | testsuite/gna/bug019/PoC/tb/common/my_config_ML605.vhdl | 2 | 1,809 | -- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*-
-- vim: tabstop=2:shiftwidth=2:noexpandtab
-- kate: tab-width 2; replace-tabs off; indent-width 2;
--
-- =============================================================================
-- Authors: Thomas B. Preusser
-- Martin Zabel
-- Patrick Lehmann
--
-- Package: Project specific configuration.
--
-- Description:
-- ------------------------------------
-- This file was created from template <PoCRoot>/src/common/my_config.template.vhdl.
--
--
-- License:
-- =============================================================================
-- Copyright 2007-2015 Technische Universitaet Dresden - Germany,
-- Chair for VLSI-Design, Diagnostics and Architecture
--
-- Licensed under the Apache License, Version 2.0 (the "License");
-- you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at
--
-- http://www.apache.org/licenses/LICENSE-2.0
--
-- Unless required by applicable law or agreed to in writing, software
-- distributed under the License is distributed on an "AS IS" BASIS,
-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and
-- limitations under the License.
-- =============================================================================
library PoC;
package my_config is
-- Change these lines to setup configuration.
constant MY_BOARD : string := "ML605"; -- ML605 - Xilinx Virtex 6 reference design board: XC6VLX240T
constant MY_DEVICE : string := "None"; -- infer from MY_BOARD
-- For internal use only
constant MY_VERBOSE : boolean := FALSE;
end package;
package body my_config is
end package body;
| gpl-2.0 | 1b8f68d1fda9d85eb96377dc957393aa | 0.585406 | 4.40146 | false | true | false | false |
tgingold/ghdl | testsuite/vests/vhdl-93/billowitch/compliant/tc2459.vhd | 4 | 2,008 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2459.vhd,v 1.2 2001-10-26 16:29:48 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s03b02x02p03n02i02459ent IS
END c07s03b02x02p03n02i02459ent;
ARCHITECTURE c07s03b02x02p03n02i02459arch OF c07s03b02x02p03n02i02459ent IS
type UNCONSTRAINED_ARRAY is array ( integer range <> ) of character;
subtype CONSTRAINED_ARRAY is UNCONSTRAINED_ARRAY ( 1 to 3 );
signal V : CONSTRAINED_ARRAY;
BEGIN
TESTING: PROCESS
BEGIN
V <= ( others => '$' );
wait for 1 ns;
assert NOT( V(1)='$' and V(2)='$' and V(3)='$' )
report "***PASSED TEST: c07s03b02x02p03n02i02459"
severity NOTE;
assert ( V(1)='$' and V(2)='$' and V(3)='$' )
report "***FAILED TEST: c07s03b02x02p03n02i02459 - An array aggregate with an others choice may appear as a value expression in an assignment statement."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s03b02x02p03n02i02459arch;
| gpl-2.0 | 00f6db4a4811168112a1ffd6667adfae | 0.661853 | 3.711645 | false | true | false | false |
nickg/nvc | test/simp/func9.vhd | 5 | 494 | entity func9 is
end entity;
architecture test of func9 is
constant msg0 : string := "zero";
constant msg1 : string := "one";
function get_message(x : in bit) return string is
begin
case x is
when '0' => return msg0;
when '1' => return msg1;
end case;
end function;
begin
process is
begin
assert get_message('1') = "one";
assert get_message('0') = "zero";
wait;
end process;
end architecture;
| gpl-3.0 | a3a452b26035cebd42c6b19a2d27d5fa | 0.560729 | 3.829457 | false | false | false | false |
tgingold/ghdl | testsuite/gna/bug0105/econcat1_87.vhdl | 1 | 449 | entity econcat1_87 is
end econcat1_87;
architecture behav of econcat1_87 is
constant c1 : string (21 downto 17) := "hello";
constant c2 : string (6 downto 1) := " world";
constant r : string := c1 & c2;
begin
process
begin
case True is
when c1 & c2 = "hello world" => null;
when false => null;
end case;
assert r'left = 21 severity failure;
assert r'right = 11 severity failure;
wait;
end process;
end;
| gpl-2.0 | 281810cd32dd8a1521a155f9ca123c09 | 0.632517 | 3.427481 | false | false | false | false |
tgingold/ghdl | testsuite/synth/iassoc01/tb_iassoc12.vhdl | 1 | 510 | entity tb_iassoc12 is
end tb_iassoc12;
library ieee;
use ieee.std_logic_1164.all;
architecture behav of tb_iassoc12 is
signal a : natural;
signal b : natural;
signal v : natural;
begin
dut: entity work.iassoc12
port map (v, a, b);
process
begin
v <= 5;
wait for 1 ns;
assert a = 6 severity failure;
assert b = 7 severity failure;
v <= 203;
wait for 1 ns;
assert a = 204 severity failure;
assert b = 205 severity failure;
wait;
end process;
end behav;
| gpl-2.0 | 81efead9f2878647ef9bcfec9f67ed42 | 0.645098 | 3.445946 | false | false | false | false |
nickg/nvc | test/sem/protected.vhd | 1 | 3,743 | entity e is
end entity;
architecture a of e is
type SharedCounter is protected
procedure increment (N: Integer := 1);
procedure decrement (N: Integer := 1);
impure function value return Integer;
end protected SharedCounter;
type bad1 is protected
procedure foo (x : not_here); -- Error
end protected;
type bad1 is protected body -- OK
end protected body;
type bad2 is protected body -- Error
end protected body;
type boolean is protected body -- Error
end protected body;
type now is protected body -- Error
end protected body;
type SharedCounter is protected body
variable counter: Integer := 0;
procedure increment (N: Integer := 1) is
begin
counter := counter + N;
end procedure increment;
procedure decrement (N: Integer := 1) is
begin
counter := counter - N;
end procedure decrement;
impure function value return Integer is
begin
return counter;
end function value;
end protected body;
type SharedCounter is protected body -- Error
end protected body;
subtype s is SharedCounter; -- Error
shared variable x : integer; -- Error
shared variable y : SharedCounter; -- OK
shared variable z : SharedCounter := 1; -- Error
function make return SharedCounter is -- Error
variable result : SharedCounter;
begin
return result;
end function;
procedure proc(variable sh : in SharedCounter := make) is -- error
begin
end procedure;
begin
end architecture;
architecture a2 of e is
type SharedCounter is protected
procedure increment (N: Integer := 1);
procedure decrement (N: Integer := 1);
impure function value return Integer;
procedure foo (x : in integer);
end protected SharedCounter;
type SharedCounter is protected body
variable counter: Integer := 0;
procedure increment (N: Integer := 1) is
begin
counter := counter + N;
end procedure increment;
procedure decrement (N: Integer := 1) is
begin
counter := counter - N;
end procedure decrement;
impure function value return Integer is
begin
return counter;
end function value;
procedure bar (x : in integer ) is
begin
null;
end procedure;
procedure foo (x : in integer ) is
begin
bar(x + 1);
end procedure;
end protected body;
shared variable x : SharedCounter; -- OK
begin
process is
begin
x.increment(2); -- OK
x.increment; -- OK
x.counter := 5; -- Error
x.decrement(1, 2); -- Error
assert x.value = 5; -- OK
end process;
process is
function get_value (x : in sharedcounter ) return integer is -- Error
begin
return x.value; -- Error
end function;
begin
end process;
bad_assignment: process
variable y : SharedCounter;
variable z : SharedCounter;
begin
y := z; -- Error
wait;
end process;
end architecture;
package issue85 is
type protected_t is protected
procedure add(argument : inout protected_t); -- OK
end protected protected_t;
end package;
package pkg is
type protected_t is protected
end protected protected_t;
end package;
package body pkg is
-- Missing body for protected_t
end package body;
| gpl-3.0 | b180783081faafec340574a61d8d825b | 0.577879 | 4.99733 | false | false | false | false |
tgingold/ghdl | testsuite/synth/issue1080/repro4.vhdl | 1 | 504 | library ieee;
use ieee.std_logic_1164.all;
entity repro4 is
generic (
num : natural := 1);
port (
clk : std_logic;
o : out std_logic);
end;
architecture behav of repro4 is
signal s : natural range 0 to num - 1 := 0;
begin
process (clk) is
begin
if rising_edge(clk) then
if s = 0 then
o <= '1';
else
o <= '0';
end if;
if s = num - 1 then
s <= 0;
else
s <= s + 1;
end if;
end if;
end process;
end behav;
| gpl-2.0 | 2228a81f05d318b539422249de690e3f | 0.513889 | 3.230769 | false | false | false | false |
tgingold/ghdl | testsuite/gna/bug017/call6b.vhdl | 2 | 374 | entity call6b is
end;
architecture behav of call6b is
type my_rec is record
a, b, c : character;
end record;
procedure check (s : my_rec) is
begin
wait for 1 ns;
assert s.b = 'a';
end;
begin
process
variable c : character := 'a';
begin
check ((a => 'e', b => 'a', c => 'c'));
report "SUCCESS";
wait;
end process;
end behav;
| gpl-2.0 | 78126b648fa32ebcda1ca7af4db9d7cf | 0.569519 | 3.142857 | false | false | false | false |
tgingold/ghdl | testsuite/vests/vhdl-ams/ashenden/compliant/sequential-statements/inline_06.vhd | 4 | 1,761 |
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
entity inline_06 is
end entity inline_06;
----------------------------------------------------------------
architecture test of inline_06 is
-- code from book:
type alu_func is (pass1, pass2, add, subtract);
-- end of code from book
signal func : alu_func := pass1;
signal operand1 : integer := 10;
signal operand2 : integer := 3;
begin
process_2_a : process (func, operand1, operand2) is
variable result : integer := 0;
begin
-- code from book:
case func is
when pass1 =>
result := operand1;
when pass2 =>
result := operand2;
when add =>
result := operand1 + operand2;
when subtract =>
result := operand1 - operand2;
end case;
-- end of code from book
end process process_2_a;
stimulus : process is
begin
func <= pass2 after 10 ns,
add after 20 ns,
subtract after 30 ns;
wait;
end process stimulus;
end architecture test;
| gpl-2.0 | b18614947c21755a67906a7de793710c | 0.645088 | 4.172986 | false | false | false | false |
nickg/nvc | test/regress/textio5.vhd | 1 | 811 | entity textio5 is
end entity;
use std.textio.all;
architecture test of textio5 is
begin
process is
file fptr : text;
variable l : line;
begin
file_open(fptr, "tmp.txt", WRITE_MODE);
write(l, string'("0123"));
tee(fptr, l);
write(l, string'("4567"));
tee(fptr, l);
assert l'length = 0;
file_close(fptr);
file_open(fptr, "tmp.txt", READ_MODE);
readline(fptr, l);
assert l.all = "0123";
readline(fptr, l);
assert l.all = "4567";
file_close(fptr);
assert justify("hello", right, 7) = " hello";
assert justify("hello", left, 0) = "hello";
assert justify("hello", left, 6) = "hello ";
deallocate(l);
wait;
end process;
end architecture;
| gpl-3.0 | 9375841da918d10aaa9b502eb65fed73 | 0.53021 | 3.620536 | false | false | false | false |
nickg/nvc | test/regress/const2.vhd | 1 | 1,322 | package pack is
function foo(x : in integer) return real;
end package;
package body pack is
type real_vector is array (integer range <>) of real;
function get_results return real_vector is
begin
return ( 52.6, 16.7, 1.832, 0.623, 762.236 );
end function;
constant results : real_vector := get_results;
function foo(x : in integer) return real is
begin
return results(x);
end function;
type int_vector is array (integer range <>) of integer;
subtype int_vector4 is int_vector(1 to 4);
constant blah : int_vector4 := ( 0, 1, 6, 6 );
constant blah2 : int_vector4 := blah;
end package body;
-------------------------------------------------------------------------------
use work.pack.all;
entity const2 is
end entity;
architecture test of const2 is
function get_it return integer is
begin
return integer(foo(integer'left + 1));
end function;
function get_bits return bit_vector is
begin
return "110101";
end function;
constant some_bits : bit_vector := get_bits;
constant a_bit : bit := some_bits(2);
begin
process is
begin
assert get_it = 17;
assert some_bits(some_bits'right) = '1';
assert a_bit = '0';
wait;
end process;
end architecture;
| gpl-3.0 | aae1722a981d8dfcd34d97270ac7c6a7 | 0.587746 | 3.888235 | false | false | false | false |
tgingold/ghdl | testsuite/vests/vhdl-93/billowitch/compliant/tc703.vhd | 4 | 3,189 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc703.vhd,v 1.3 2001-10-29 02:12:46 paw Exp $
-- $Revision: 1.3 $
--
-- ---------------------------------------------------------------------
-- **************************** --
-- Ported to VHDL 93 by port93.pl - Tue Nov 5 16:38:07 1996 --
-- **************************** --
-- **************************** --
-- Reversed to VHDL 87 by reverse87.pl - Tue Nov 5 11:26:42 1996 --
-- **************************** --
-- **************************** --
-- Ported to VHDL 93 by port93.pl - Mon Nov 4 17:36:45 1996 --
-- **************************** --
ENTITY c03s04b01x00p23n01i00703ent IS
END c03s04b01x00p23n01i00703ent;
ARCHITECTURE c03s04b01x00p23n01i00703arch OF c03s04b01x00p23n01i00703ent IS
BEGIN
TESTING: PROCESS
-- Declare the type and the file.
subtype STRING12 is STRING( 1 to 12 );
type FT is file of STRING12;
-- Declare the actual file to read.
file FILEV : FT open read_mode is "iofile.56";
-- Declare a variable into which we will read.
constant CON : STRING12 := "hello, world";
variable VAR : STRING12;
variable k : integer := 0;
BEGIN
-- Read in the file.
for I in 1 to 100 loop
if (ENDFILE( FILEV ) /= FALSE) then
k := 1;
end if;
assert( (ENDFILE( FILEV ) = FALSE) )
report "Hit the end of file too soon.";
READ( FILEV,VAR );
if (VAR /= CON) then
k := 1;
end if;
end loop;
-- Verify that we are at the end.
if (ENDFILE( FILEV ) /= TRUE) then
k := 1;
end if;
assert( ENDFILE( FILEV ) = TRUE )
report "Have not reached end of file yet."
severity ERROR;
assert NOT( k = 0 )
report "***PASSED TEST: c03s04b01x00p23n01i00703"
severity NOTE;
assert( k = 0 )
report "***FAILED TEST: c03s04b01x00p23n01i00703 - The variables don't equal the constants."
severity ERROR;
wait;
END PROCESS TESTING;
END c03s04b01x00p23n01i00703arch;
| gpl-2.0 | 22f967fb31f041c19d740f19fde401b5 | 0.547507 | 4.021438 | false | true | false | false |
tgingold/ghdl | testsuite/vests/vhdl-93/ashenden/compliant/ch_06_pas-b.vhd | 4 | 1,896 |
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_06_pas-b.vhd,v 1.2 2001-10-26 16:29:34 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
architecture behavioral of product_adder_subtracter is
begin
behavior : process (a, b) is
constant Tpd_in_out : time := 3 ns;
variable op2 : std_ulogic_vector(b'range);
variable carry_in : std_ulogic;
variable carry_out : std_ulogic;
begin
carry_out := To_X01(mode);
if To_X01(mode) = '1' then
op2 := not b;
else
op2 := b;
end if;
for index in 0 to 31 loop
carry_in := carry_out; -- of previous bit
s(index) <= a(index) xor op2(index) xor carry_in after Tpd_in_out;
carry_out := (a(index) and op2(index))
or (carry_in and (a(index) xor op2(index)));
end loop;
s(32) <= a(31) xor op2(31) xor carry_out after Tpd_in_out;
end process behavior;
end architecture behavioral;
| gpl-2.0 | 7731a6acb0235bcb2fa5b71bd6a4462b | 0.583333 | 3.941788 | false | false | false | false |
tgingold/ghdl | testsuite/synth/func03/tb_func01.vhdl | 1 | 494 | entity tb_func01 is
end tb_func01;
library ieee;
use ieee.std_logic_1164.all;
architecture behav of tb_func01 is
signal a, b : std_logic_vector(7 downto 0);
begin
dut: entity work.func01
port map (a, b);
process
begin
a <= x"5d";
wait for 1 ns;
assert b = x"ba" severity failure;
a <= x"ff";
wait for 1 ns;
assert b = x"fe" severity failure;
a <= x"23";
wait for 1 ns;
assert b = x"46" severity failure;
wait;
end process;
end behav;
| gpl-2.0 | e75e1435945a78496fb1ab9d7631a4f1 | 0.61336 | 3.030675 | false | false | false | false |
nickg/nvc | test/regress/attr15.vhd | 1 | 1,182 | entity attr15 is
end entity;
architecture test of attr15 is
function double (x : in integer_vector) return integer_vector is
variable result : x'subtype;
begin
for i in result'range loop
result(i) := x(i) * 2;
end loop;
return result;
end function;
type int_vec_2d is array (natural range <>, natural range <>) of integer;
function double (x : in int_vec_2d) return int_vec_2d is
variable result : x'subtype;
begin
for i in result'range(1) loop
for j in result'range(2) loop
result(i, j) := x(i, j) * 2;
end loop;
end loop;
return result;
end function;
signal s1, s2 : integer_vector(1 to 5) := (others => 0);
signal s3, s4 : int_vec_2d(1 to 2, 5 to 5) := (others => (others => 0));
begin
p1: s2 <= double(s1);
p2: s4 <= double(s3);
check: process is
begin
s1 <= (1, 2, 3, 4, 5);
wait for 1 ns;
assert s2 = (2, 4, 6, 8, 10);
s3 <= ( (5 => 1), (5 => 2) );
wait for 1 ns;
assert s4 = ( (5 => 2), (5 => 4) );
wait;
end process;
end architecture;
| gpl-3.0 | a42c76c616e8e8a4605ec1d801080d4a | 0.516074 | 3.301676 | false | false | false | false |
nickg/nvc | test/regress/elab33.vhd | 1 | 1,324 | package pack is
type rec is record
x : integer;
y : bit_vector;
end record;
type rec_array is array (natural range <>) of rec;
end package;
-------------------------------------------------------------------------------
use work.pack.all;
entity sub is
port (
i : in rec_array;
o1 : out integer_vector;
o2 : out bit_vector );
end entity;
architecture test of sub is
begin
g: for n in i'range generate
constant stride : natural := i(n).y'length;
begin
o1(n) <= i(n).x;
o2(1 + (n-1)*stride to n*stride) <= i(n).y;
end generate;
end architecture;
-------------------------------------------------------------------------------
use work.pack.all;
entity elab33 is
end entity;
architecture test of elab33 is
signal a : rec_array(1 to 2)(y(1 to 3));
signal b : integer_vector(1 to 2);
signal c : bit_vector(1 to 6);
begin
u : entity work.sub
port map ( a, b, c );
check: process is
begin
a(1) <= (y => "101", x => 2);
wait for 1 ns;
assert b = (2, integer'left);
assert c = "101000";
a(2).x <= 5;
a(2).y <= "110";
wait for 1 ns;
assert b = (2, 5);
assert c = "101110";
wait;
end process;
end architecture;
| gpl-3.0 | 8bdf717f626c18ce01110447edfb1424 | 0.469033 | 3.617486 | false | false | false | false |
Darkin47/Zynq-TX-UTT | Vivado/image_conv_2D/image_conv_2D.srcs/sources_1/bd/design_1/ipshared/xilinx.com/axi_sg_v4_1/hdl/src/vhdl/axi_sg_ftch_pntr.vhd | 7 | 22,062 | -- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_sg_ftch_pntr.vhd
-- Description: This entity manages descriptor pointers and determine scatter
-- gather idle mode.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library unisim;
use unisim.vcomponents.all;
library axi_sg_v4_1_2;
use axi_sg_v4_1_2.axi_sg_pkg.all;
-------------------------------------------------------------------------------
entity axi_sg_ftch_pntr is
generic (
C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32 ;
-- Master AXI Memory Map Address Width for Scatter Gather R/W Port
C_INCLUDE_CH1 : integer range 0 to 1 := 1 ;
-- Include or Exclude channel 1 scatter gather engine
-- 0 = Exclude Channel 1 SG Engine
-- 1 = Include Channel 1 SG Engine
C_INCLUDE_CH2 : integer range 0 to 1 := 1
-- Include or Exclude channel 2 scatter gather engine
-- 0 = Exclude Channel 2 SG Engine
-- 1 = Include Channel 2 SG Engine
);
port (
-----------------------------------------------------------------------
-- AXI Scatter Gather Interface
-----------------------------------------------------------------------
m_axi_sg_aclk : in std_logic ; --
m_axi_sg_aresetn : in std_logic ; --
--
nxtdesc : in std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
--
------------------------------- --
-- CHANNEL 1 --
------------------------------- --
ch1_run_stop : in std_logic ; --
ch1_desc_flush : in std_logic ; --CR568950 --
--
-- CURDESC update to fetch pointer on run/stop assertion --
ch1_curdesc : in std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
--
-- TAILDESC update on CPU write (from axi_dma_reg_module) --
ch1_tailpntr_enabled : in std_logic ; --
ch1_taildesc_wren : in std_logic ; --
ch1_taildesc : in std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
--
-- NXTDESC update on descriptor fetch (from axi_sg_ftchq_if) --
ch1_nxtdesc_wren : in std_logic ; --
--
-- Current address of descriptor to fetch --
ch1_fetch_address : out std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
ch1_sg_idle : out std_logic ; --
--
------------------------------- --
-- CHANNEL 2 --
------------------------------- --
ch2_run_stop : in std_logic ; --
ch2_desc_flush : in std_logic ;--CR568950 --
ch2_eof_detected : in std_logic ; --
--
-- CURDESC update to fetch pointer on run/stop assertion --
ch2_curdesc : in std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
--
-- TAILDESC update on CPU write (from axi_dma_reg_module) --
ch2_tailpntr_enabled : in std_logic ; --
ch2_taildesc_wren : in std_logic ; --
ch2_taildesc : in std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
tail_updt : in std_logic;
tail_updt_latch : out std_logic;
ch2_updt_done : in std_logic;
--
-- NXTDESC update on descriptor fetch (from axi_sg_ftchq_if) --
ch2_nxtdesc_wren : in std_logic ; --
--
-- Current address of descriptor to fetch --
ch2_fetch_address : out std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
ch2_sg_idle : out std_logic ; --
bd_eq : out std_logic
);
end axi_sg_ftch_pntr;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_sg_ftch_pntr is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
-- No Constants Declared
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
signal ch1_run_stop_d1 : std_logic := '0';
signal ch1_run_stop_re : std_logic := '0';
signal ch1_use_crntdesc : std_logic := '0';
signal ch1_fetch_address_i : std_logic_vector
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0)
:= (others => '0');
signal ch2_run_stop_d1 : std_logic := '0';
signal ch2_run_stop_re : std_logic := '0';
signal ch2_use_crntdesc : std_logic := '0';
signal ch2_fetch_address_i : std_logic_vector
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0)
:= (others => '0');
signal first : std_logic;
signal eof_latch : std_logic;
signal ch2_sg_idle_int : std_logic;
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
-- Channel 1 is included therefore generate pointer logic
GEN_PNTR_FOR_CH1 : if C_INCLUDE_CH1 = 1 generate
begin
GEN_RUNSTOP_RE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch1_run_stop_d1 <= '0';
else
ch1_run_stop_d1 <= ch1_run_stop;
end if;
end if;
end process GEN_RUNSTOP_RE;
ch1_run_stop_re <= ch1_run_stop and not ch1_run_stop_d1;
---------------------------------------------------------------------------
-- At setting of run/stop need to use current descriptor pointer therefor
-- flag for use
---------------------------------------------------------------------------
GEN_INIT_PNTR : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or ch1_nxtdesc_wren = '1')then
ch1_use_crntdesc <= '0';
elsif(ch1_run_stop_re = '1')then
ch1_use_crntdesc <= '1';
end if;
end if;
end process GEN_INIT_PNTR;
---------------------------------------------------------------------------
-- Register Current Fetch Address. During start (run/stop asserts) reg
-- curdesc pointer from register module. Once running use nxtdesc pointer.
---------------------------------------------------------------------------
REG_FETCH_ADDRESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch1_fetch_address_i <= (others => '0');
-- On initial tail pointer write use current desc pointer
elsif(ch1_use_crntdesc = '1' and ch1_nxtdesc_wren = '0')then
ch1_fetch_address_i <= ch1_curdesc;
-- On desriptor fetch capture next pointer
elsif(ch1_nxtdesc_wren = '1')then
ch1_fetch_address_i <= nxtdesc;
end if;
end if;
end process REG_FETCH_ADDRESS;
-- Pass address out of module
-- Addresses are always 16 word 32-bit aligned
ch1_fetch_address <= ch1_fetch_address_i (C_M_AXI_SG_ADDR_WIDTH-1 downto 6) & "000000";
---------------------------------------------------------------------------
-- Compair tail descriptor pointer to scatter gather engine current
-- descriptor pointer. Set idle if matched. Only check if DMA engine
-- is running and current descriptor is in process of being fetched. This
-- forces at least 1 descriptor fetch before checking for IDLE condition.
---------------------------------------------------------------------------
COMPARE_ADDRESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- SG is IDLE on reset and on stop.
--CR568950 - reset idlag on descriptor flush
--if(m_axi_sg_aresetn = '0' or ch1_run_stop = '0')then
if(m_axi_sg_aresetn = '0' or ch1_run_stop = '0' or ch1_desc_flush = '1')then
ch1_sg_idle <= '1';
-- taildesc_wren must be in this 'if' to force a minimum
-- of 1 clock of sg_idle = '0'.
elsif(ch1_taildesc_wren = '1' or ch1_tailpntr_enabled = '0')then
ch1_sg_idle <= '0';
-- Descriptor at fetch_address is being fetched (wren=1)
-- therefore safe to check if tail matches the fetch address
elsif(ch1_nxtdesc_wren = '1'
and ch1_taildesc = ch1_fetch_address_i)then
ch1_sg_idle <= '1';
end if;
end if;
end process COMPARE_ADDRESS;
end generate GEN_PNTR_FOR_CH1;
-- Channel 1 is NOT included therefore tie off pointer logic
GEN_NO_PNTR_FOR_CH1 : if C_INCLUDE_CH1 = 0 generate
begin
ch1_fetch_address <= (others =>'0');
ch1_sg_idle <= '0';
end generate GEN_NO_PNTR_FOR_CH1;
-- Channel 2 is included therefore generate pointer logic
GEN_PNTR_FOR_CH2 : if C_INCLUDE_CH2 = 1 generate
begin
---------------------------------------------------------------------------
-- Create clock delay of run_stop in order to generate a rising edge pulse
---------------------------------------------------------------------------
GEN_RUNSTOP_RE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch2_run_stop_d1 <= '0';
else
ch2_run_stop_d1 <= ch2_run_stop;
end if;
end if;
end process GEN_RUNSTOP_RE;
ch2_run_stop_re <= ch2_run_stop and not ch2_run_stop_d1;
---------------------------------------------------------------------------
-- At setting of run/stop need to use current descriptor pointer therefor
-- flag for use
---------------------------------------------------------------------------
GEN_INIT_PNTR : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or ch2_nxtdesc_wren = '1')then
ch2_use_crntdesc <= '0';
elsif(ch2_run_stop_re = '1')then
ch2_use_crntdesc <= '1';
end if;
end if;
end process GEN_INIT_PNTR;
---------------------------------------------------------------------------
-- Register Current Fetch Address. During start (run/stop asserts) reg
-- curdesc pointer from register module. Once running use nxtdesc pointer.
---------------------------------------------------------------------------
REG_FETCH_ADDRESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch2_fetch_address_i <= (others => '0');
-- On initial tail pointer write use current desc pointer
elsif((ch2_use_crntdesc = '1' and ch2_nxtdesc_wren = '0'))then
ch2_fetch_address_i <= ch2_curdesc;
-- On descirptor fetch capture next pointer
elsif(ch2_nxtdesc_wren = '1')then
ch2_fetch_address_i <= nxtdesc;
end if;
end if;
end process REG_FETCH_ADDRESS;
-- Pass address out of module
-- Addresses are always 16 word 32-bit aligned
ch2_fetch_address <= ch2_fetch_address_i (C_M_AXI_SG_ADDR_WIDTH-1 downto 6) & "000000";
---------------------------------------------------------------------------
-- Compair tail descriptor pointer to scatter gather engine current
-- descriptor pointer. Set idle if matched. Only check if DMA engine
-- is running and current descriptor is in process of being fetched. This
-- forces at least 1 descriptor fetch before checking for IDLE condition.
---------------------------------------------------------------------------
COMPARE_ADDRESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- SG is IDLE on reset and on stop.
--CR568950 - reset idlag on descriptor flush
--if(m_axi_sg_aresetn = '0' or ch2_run_stop = '0')then
if(m_axi_sg_aresetn = '0' or ch2_run_stop = '0' or ch2_desc_flush = '1' or ch2_eof_detected = '1')then
ch2_sg_idle <= '1';
ch2_sg_idle_int <= '1';
-- taildesc_wren must be in this 'if' to force a minimum
-- of 1 clock of sg_idle = '0'.
elsif(ch2_taildesc_wren = '1' or ch2_tailpntr_enabled = '0')then
ch2_sg_idle <= '0';
ch2_sg_idle_int <= '0';
-- Descriptor at fetch_address is being fetched (wren=1)
-- therefore safe to check if tail matches the fetch address
elsif(ch2_nxtdesc_wren = '1'
and ch2_taildesc = ch2_fetch_address_i)then
ch2_sg_idle <= '1';
ch2_sg_idle_int <= '1';
end if;
end if;
end process COMPARE_ADDRESS;
-- Needed for multi channel
EOF_LATCH_PROC : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or ch2_taildesc_wren = '1' or eof_latch = '1')then -- nned to have some reset condition here
eof_latch <= '0';
elsif (ch2_sg_idle_int = '1' and ch2_updt_done = '1') then
eof_latch <= '1';
end if;
end if;
end process EOF_LATCH_PROC;
TAILUPDT_LATCH : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or eof_latch = '1')then -- nned to have some reset condition here
tail_updt_latch <= '0';
first <= '0';
elsif (tail_updt = '1') then
tail_updt_latch <= '0';
elsif(ch2_taildesc_wren = '1' and first = '0')then
first <= '1';
elsif(ch2_taildesc_wren = '1' and first = '1')then
tail_updt_latch <= '1';
end if;
end if;
end process TAILUPDT_LATCH;
EQUAL_BD : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or ch2_run_stop = '0' or ch2_desc_flush = '1')then
bd_eq <= '0';
elsif(ch2_taildesc_wren = '1' or ch2_tailpntr_enabled = '0')then
bd_eq <= '0';
elsif(ch2_nxtdesc_wren = '1'
and ch2_taildesc = ch2_fetch_address_i)then
bd_eq <= '1';
end if;
end if;
end process EQUAL_BD;
end generate GEN_PNTR_FOR_CH2;
-- Channel 2 is NOT included therefore tie off pointer logic
GEN_NO_PNTR_FOR_CH2 : if C_INCLUDE_CH2 = 0 generate
begin
ch2_fetch_address <= (others =>'0');
ch2_sg_idle <= '0';
tail_updt_latch <= '0';
bd_eq <= '0';
end generate GEN_NO_PNTR_FOR_CH2;
end implementation;
| gpl-3.0 | 4a4ab9a850e851bae92d71d70b5c5423 | 0.41814 | 4.808631 | false | false | false | false |
tgingold/ghdl | testsuite/gna/bug084/mod5.vhdl | 1 | 3,699 | library ieee;
use ieee.std_logic_1164.all;
entity mod5 is
generic (
NBITS: natural := 13
);
port (
clk: in std_logic;
dividend: in std_logic_vector (NBITS - 1 downto 0);
load: in std_logic;
remzero: out std_logic
);
end entity;
architecture foo of mod5 is
type remains is (r0, r1, r2, r3, r4); -- remainder values
type remain_array is array (NBITS downto 0) of remains;
signal remaindr: remain_array := (others => r0);
type branch is array (remains, bit) of remains;
-- Dave Tweeds state transition table:
constant br_table: branch := ( r0 => ('0' => r0, '1' => r1),
r1 => ('0' => r2, '1' => r3),
r2 => ('0' => r4, '1' => r0),
r3 => ('0' => r1, '1' => r2),
r4 => ('0' => r3, '1' => r4)
);
begin
do_ig:
process (dividend)
variable tbit: bit_vector(NBITS - 1 downto 0);
variable remaind: remain_array := (others => r0);
begin
do_mod:
for i in NBITS - 1 downto 0 loop
tbit := to_bitvector(dividend);
remaind(i) := br_table(remaind(i + 1),tbit(i));
end loop;
remaindr <= remaind; -- all values for waveform display
end process;
remainders:
process (clk)
begin
if rising_edge(clk) then
if remaindr(0) = r0 then
remzero <= '1';
else
remzero <= '0';
end if;
end if;
end process;
end architecture;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity mod5_tb is
end entity;
architecture foo of mod5_tb is
constant NBITS: integer range 0 to 13 := 8;
signal clk: std_logic := '0';
signal dividend: std_logic_vector (NBITS - 1 downto 0);
signal load: std_logic := '0';
signal remzero: std_logic;
signal psample: std_ulogic;
signal sample: std_ulogic;
signal done: boolean;
begin
DUT:
entity work.mod5
generic map (NBITS)
port map (
clk => clk,
dividend => dividend,
load => load,
remzero => remzero
);
CLOCK:
process
begin
wait for 5 ns;
clk <= not clk;
if done'delayed(30 ns) then
wait;
end if;
end process;
STIMULI:
process
begin
for i in 0 to 2 ** NBITS - 1 loop
wait for 10 ns;
dividend <= std_logic_vector(to_unsigned(i,NBITS));
wait for 10 ns;
load <= '1';
wait for 10 ns;
load <= '0';
end loop;
wait for 15 ns;
done <= true;
wait;
end process;
SAMPLER:
process (clk)
begin
if rising_edge(clk) then
psample <= load;
sample <= psample;
end if;
end process;
MONITOR:
process (sample)
variable i: integer;
variable rem5: integer;
begin
if rising_edge (sample) then
i := to_integer(unsigned(dividend));
rem5 := i mod 5;
if rem5 = 0 and remzero /= '1' then
assert rem5 = 0 and remzero = '1'
report LF & HT &
"i = " & integer'image(i) &
" rem 5 expected " & integer'image(rem5) &
" remzero = " & std_ulogic'image(remzero)
SEVERITY ERROR;
end if;
end if;
end process;
end architecture; | gpl-2.0 | 55864ce378952e6571a1793b69822bee | 0.476075 | 3.897787 | false | false | false | false |
tgingold/ghdl | libraries/ieee2008/numeric_std_unsigned-body.vhdl | 2 | 18,190 | -- -----------------------------------------------------------------
--
-- Copyright 2019 IEEE P1076 WG Authors
--
-- See the LICENSE file distributed with this work for copyright and
-- licensing information and the AUTHORS file.
--
-- This file to you under the Apache License, Version 2.0 (the "License").
-- You may obtain a copy of the License at
--
-- http://www.apache.org/licenses/LICENSE-2.0
--
-- Unless required by applicable law or agreed to in writing, software
-- distributed under the License is distributed on an "AS IS" BASIS,
-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
-- implied. See the License for the specific language governing
-- permissions and limitations under the License.
--
-- Title : Standard VHDL Synthesis Packages
-- : (NUMERIC_STD_UNSIGNED package body)
-- :
-- Library : This package shall be compiled into a library
-- : symbolically named IEEE.
-- :
-- Developers: Accellera VHDL-TC, and IEEE P1076 Working Group
-- :
-- Purpose : This package defines numeric types and arithmetic functions
-- : for use with synthesis tools. Values of type STD_ULOGIC_VECTOR
-- : are interpreted as unsigned numbers in vector form.
-- : The leftmost bit is treated as the most significant bit.
-- : This package contains overloaded arithmetic operators on
-- : the STD_ULOGIC_VECTOR type. The package also contains
-- : useful type conversions functions, clock detection
-- : functions, and other utility functions.
-- :
-- : If any argument to a function is a null array, a null array
-- : is returned (exceptions, if any, are noted individually).
--
-- Note : This package may be modified to include additional data
-- : required by tools, but it must in no way change the
-- : external interfaces or simulation behavior of the
-- : description. It is permissible to add comments and/or
-- : attributes to the package declarations, but not to change
-- : or delete any original lines of the package declaration.
-- : The package body may be changed only in accordance with
-- : the terms of Clause 16 of this standard.
-- :
-- --------------------------------------------------------------------
-- $Revision: 1220 $
-- $Date: 2008-04-10 17:16:09 +0930 (Thu, 10 Apr 2008) $
-- --------------------------------------------------------------------
library ieee;
use ieee.numeric_std.all;
package body NUMERIC_STD_UNSIGNED is
-- Id: A.3
function "+" (L, R : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
begin
return STD_ULOGIC_VECTOR (UNSIGNED(L) + UNSIGNED(R));
end function "+";
-- Id: A.3R
function "+"(L : STD_ULOGIC_VECTOR; R : STD_ULOGIC) return STD_ULOGIC_VECTOR is
begin
return STD_ULOGIC_VECTOR (UNSIGNED(L) + R);
end function "+";
-- Id: A.3L
function "+"(L : STD_ULOGIC; R : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
begin
return STD_ULOGIC_VECTOR (L + UNSIGNED(R));
end function "+";
-- Id: A.5
function "+" (L : STD_ULOGIC_VECTOR; R : NATURAL) return STD_ULOGIC_VECTOR is
begin
return STD_ULOGIC_VECTOR (UNSIGNED(L) + R);
end function "+";
-- Id: A.6
function "+" (L : NATURAL; R : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
begin
return STD_ULOGIC_VECTOR (L + UNSIGNED(R));
end function "+";
--============================================================================
-- Id: A.9
function "-" (L, R : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
begin
return STD_ULOGIC_VECTOR (UNSIGNED(L) - UNSIGNED(R));
end function "-";
-- Id: A.9R
function "-"(L : STD_ULOGIC_VECTOR; R : STD_ULOGIC) return STD_ULOGIC_VECTOR is
begin
return STD_ULOGIC_VECTOR (UNSIGNED(L) - R);
end function "-";
-- Id: A.9L
function "-"(L : STD_ULOGIC; R : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
begin
return STD_ULOGIC_VECTOR (L - UNSIGNED(R));
end function "-";
-- Id: A.11
function "-" (L : STD_ULOGIC_VECTOR; R : NATURAL) return STD_ULOGIC_VECTOR is
begin
return STD_ULOGIC_VECTOR (UNSIGNED(L) - R);
end function "-";
-- Id: A.12
function "-" (L : NATURAL; R : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
begin
return STD_ULOGIC_VECTOR (L - UNSIGNED(R));
end function "-";
--============================================================================
-- Id: A.15
function "*" (L, R : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
begin
return STD_ULOGIC_VECTOR (UNSIGNED(L) * UNSIGNED(R));
end function "*";
-- Id: A.17
function "*" (L : STD_ULOGIC_VECTOR; R : NATURAL) return STD_ULOGIC_VECTOR is
begin
return STD_ULOGIC_VECTOR (UNSIGNED(L) * R);
end function "*";
-- Id: A.18
function "*" (L : NATURAL; R : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
begin
return STD_ULOGIC_VECTOR (L * UNSIGNED(R));
end function "*";
--============================================================================
-- Id: A.21
function "/" (L, R : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
begin
return STD_ULOGIC_VECTOR (UNSIGNED(L) / UNSIGNED(R));
end function "/";
-- Id: A.23
function "/" (L : STD_ULOGIC_VECTOR; R : NATURAL) return STD_ULOGIC_VECTOR is
begin
return STD_ULOGIC_VECTOR (UNSIGNED(L) / R);
end function "/";
-- Id: A.24
function "/" (L : NATURAL; R : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
begin
return STD_ULOGIC_VECTOR (L / UNSIGNED(R));
end function "/";
--============================================================================
-- Id: A.27
function "rem" (L, R : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
begin
return STD_ULOGIC_VECTOR (UNSIGNED(L) rem UNSIGNED(R));
end function "rem";
-- Id: A.29
function "rem" (L : STD_ULOGIC_VECTOR; R : NATURAL) return STD_ULOGIC_VECTOR is
begin
return STD_ULOGIC_VECTOR (UNSIGNED(L) rem R);
end function "rem";
-- Id: A.30
function "rem" (L : NATURAL; R : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
begin
return STD_ULOGIC_VECTOR (L rem UNSIGNED(R));
end function "rem";
--============================================================================
-- Id: A.33
function "mod" (L, R : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
begin
return STD_ULOGIC_VECTOR (UNSIGNED(L) mod UNSIGNED(R));
end function "mod";
-- Id: A.35
function "mod" (L : STD_ULOGIC_VECTOR; R : NATURAL) return STD_ULOGIC_VECTOR is
begin
return STD_ULOGIC_VECTOR (UNSIGNED(L) mod R);
end function "mod";
-- Id: A.36
function "mod" (L : NATURAL; R : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
begin
return STD_ULOGIC_VECTOR (L mod UNSIGNED(R));
end function "mod";
--============================================================================
-- Id: A.39
function find_leftmost (ARG: STD_ULOGIC_VECTOR; Y: STD_ULOGIC) return INTEGER is
begin
return find_leftmost(UNSIGNED(ARG), Y);
end function find_leftmost;
-- Id: A.41
function find_rightmost (ARG: STD_ULOGIC_VECTOR; Y: STD_ULOGIC) return INTEGER is
begin
return find_rightmost(UNSIGNED(ARG), Y);
end function find_rightmost;
--============================================================================
-- Id: C.1
function ">" (L, R : STD_ULOGIC_VECTOR) return BOOLEAN is
begin
return UNSIGNED(L) > UNSIGNED(R);
end function ">";
-- Id: C.3
function ">" (L : NATURAL; R : STD_ULOGIC_VECTOR) return BOOLEAN is
begin
return L > UNSIGNED(R);
end function ">";
-- Id: C.5
function ">" (L : STD_ULOGIC_VECTOR; R : NATURAL) return BOOLEAN is
begin
return UNSIGNED(L) > R;
end function ">";
--============================================================================
-- Id: C.7
function "<" (L, R : STD_ULOGIC_VECTOR) return BOOLEAN is
begin
return UNSIGNED(L) < UNSIGNED(R);
end function "<";
-- Id: C.9
function "<" (L : NATURAL; R : STD_ULOGIC_VECTOR) return BOOLEAN is
begin
return L < UNSIGNED(R);
end function "<";
-- Id: C.11
function "<" (L : STD_ULOGIC_VECTOR; R : NATURAL) return BOOLEAN is
begin
return UNSIGNED(L) < R;
end function "<";
--============================================================================
-- Id: C.13
function "<=" (L, R : STD_ULOGIC_VECTOR) return BOOLEAN is
begin
return UNSIGNED(L) <= UNSIGNED(R);
end function "<=";
-- Id: C.15
function "<=" (L : NATURAL; R : STD_ULOGIC_VECTOR) return BOOLEAN is
begin
return L <= UNSIGNED(R);
end function "<=";
-- Id: C.17
function "<=" (L : STD_ULOGIC_VECTOR; R : NATURAL) return BOOLEAN is
begin
return UNSIGNED(L) <= R;
end function "<=";
--============================================================================
-- Id: C.19
function ">=" (L, R : STD_ULOGIC_VECTOR) return BOOLEAN is
begin
return UNSIGNED(L) >= UNSIGNED(R);
end function ">=";
-- Id: C.21
function ">=" (L : NATURAL; R : STD_ULOGIC_VECTOR) return BOOLEAN is
begin
return L >= UNSIGNED(R);
end function ">=";
-- Id: C.23
function ">=" (L : STD_ULOGIC_VECTOR; R : NATURAL) return BOOLEAN is
begin
return UNSIGNED(L) >= R;
end function ">=";
--============================================================================
-- Id: C.25
function "=" (L, R : STD_ULOGIC_VECTOR) return BOOLEAN is
begin
return UNSIGNED(L) = UNSIGNED(R);
end function "=";
-- Id: C.27
function "=" (L : NATURAL; R : STD_ULOGIC_VECTOR) return BOOLEAN is
begin
return L = UNSIGNED(R);
end function "=";
-- Id: C.29
function "=" (L : STD_ULOGIC_VECTOR; R : NATURAL) return BOOLEAN is
begin
return UNSIGNED(L) = R;
end function "=";
--============================================================================
-- Id: C.31
function "/=" (L, R : STD_ULOGIC_VECTOR) return BOOLEAN is
begin
return UNSIGNED(L) /= UNSIGNED(R);
end function "/=";
-- Id: C.33
function "/=" (L : NATURAL; R : STD_ULOGIC_VECTOR) return BOOLEAN is
begin
return L /= UNSIGNED(R);
end function "/=";
-- Id: C.35
function "/=" (L : STD_ULOGIC_VECTOR; R : NATURAL) return BOOLEAN is
begin
return UNSIGNED(L) /= R;
end function "/=";
--============================================================================
-- Id: C.37
function MINIMUM (L, R: STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
begin
return STD_ULOGIC_VECTOR (MINIMUM(UNSIGNED(L), UNSIGNED(R)));
end function MINIMUM;
-- Id: C.39
function MINIMUM (L: NATURAL; R: STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
begin
return STD_ULOGIC_VECTOR (MINIMUM(L, UNSIGNED(R)));
end function MINIMUM;
-- Id: C.41
function MINIMUM (L: STD_ULOGIC_VECTOR; R: NATURAL) return STD_ULOGIC_VECTOR is
begin
return STD_ULOGIC_VECTOR (MINIMUM(UNSIGNED(L), R));
end function MINIMUM;
--============================================================================
-- Id: C.43
function MAXIMUM (L, R: STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
begin
return STD_ULOGIC_VECTOR (MAXIMUM(UNSIGNED(L), UNSIGNED(R)));
end function MAXIMUM;
-- Id: C.45
function MAXIMUM (L: NATURAL; R: STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is
begin
return STD_ULOGIC_VECTOR (MAXIMUM(L, UNSIGNED(R)));
end function MAXIMUM;
-- Id: C.47
function MAXIMUM (L: STD_ULOGIC_VECTOR; R: NATURAL) return STD_ULOGIC_VECTOR is
begin
return STD_ULOGIC_VECTOR (MAXIMUM(UNSIGNED(L), R));
end function MAXIMUM;
--============================================================================
-- Id: C.49
function "?>" (L, R: STD_ULOGIC_VECTOR) return STD_ULOGIC is
begin
return UNSIGNED(L) ?> UNSIGNED(R);
end function "?>";
-- Id: C.51
function "?>" (L: NATURAL; R: STD_ULOGIC_VECTOR) return STD_ULOGIC is
begin
return L ?> UNSIGNED(R);
end function "?>";
-- Id: C.53
function "?>" (L: STD_ULOGIC_VECTOR; R: NATURAL) return STD_ULOGIC is
begin
return UNSIGNED(L) ?> R;
end function "?>";
--============================================================================
-- Id: C.55
function "?<" (L, R: STD_ULOGIC_VECTOR) return STD_ULOGIC is
begin
return UNSIGNED(L) ?< UNSIGNED(R);
end function "?<";
-- Id: C.57
function "?<" (L: NATURAL; R: STD_ULOGIC_VECTOR) return STD_ULOGIC is
begin
return L ?< UNSIGNED(R);
end function "?<";
-- Id: C.59
function "?<" (L: STD_ULOGIC_VECTOR; R: NATURAL) return STD_ULOGIC is
begin
return UNSIGNED(L) ?< R;
end function "?<";
--============================================================================
-- Id: C.61
function "?<=" (L, R: STD_ULOGIC_VECTOR) return STD_ULOGIC is
begin
return UNSIGNED(L) ?<= UNSIGNED(R);
end function "?<=";
-- Id: C.63
function "?<=" (L: NATURAL; R: STD_ULOGIC_VECTOR) return STD_ULOGIC is
begin
return L ?<= UNSIGNED(R);
end function "?<=";
-- Id: C.65
function "?<=" (L: STD_ULOGIC_VECTOR; R: NATURAL) return STD_ULOGIC is
begin
return UNSIGNED(L) ?<= R;
end function "?<=";
--============================================================================
-- Id: C.67
function "?>=" (L, R: STD_ULOGIC_VECTOR) return STD_ULOGIC is
begin
return UNSIGNED(L) ?>= UNSIGNED(R);
end function "?>=";
-- Id: C.69
function "?>=" (L: NATURAL; R: STD_ULOGIC_VECTOR) return STD_ULOGIC is
begin
return L ?>= UNSIGNED(R);
end function "?>=";
-- Id: C.71
function "?>=" (L: STD_ULOGIC_VECTOR; R: NATURAL) return STD_ULOGIC is
begin
return UNSIGNED(L) ?>= R;
end function "?>=";
--============================================================================
-- Id: C.73
function "?=" (L, R: STD_ULOGIC_VECTOR) return STD_ULOGIC is
begin
return UNSIGNED(L) ?= UNSIGNED(R);
end function "?=";
-- Id: C.75
function "?=" (L: NATURAL; R: STD_ULOGIC_VECTOR) return STD_ULOGIC is
begin
return L ?= UNSIGNED(R);
end function "?=";
-- Id: C.77
function "?=" (L: STD_ULOGIC_VECTOR; R: NATURAL) return STD_ULOGIC is
begin
return UNSIGNED(L) ?= R;
end function "?=";
--============================================================================
-- Id: C.79
function "?/=" (L, R: STD_ULOGIC_VECTOR) return STD_ULOGIC is
begin
return UNSIGNED(L) ?/= UNSIGNED(R);
end function "?/=";
-- Id: C.81
function "?/=" (L: NATURAL; R: STD_ULOGIC_VECTOR) return STD_ULOGIC is
begin
return L ?/= UNSIGNED(R);
end function "?/=";
-- Id: C.83
function "?/=" (L: STD_ULOGIC_VECTOR; R: NATURAL) return STD_ULOGIC is
begin
return UNSIGNED(L) ?/= R;
end function "?/=";
--============================================================================
-- Id: S.1
function SHIFT_LEFT (ARG : STD_ULOGIC_VECTOR; COUNT : NATURAL)
return STD_ULOGIC_VECTOR is
begin
return std_logic_vector (SHIFT_LEFT(unsigned(ARG), COUNT));
end function SHIFT_LEFT;
-- Id: S.2
function SHIFT_RIGHT (ARG : STD_ULOGIC_VECTOR; COUNT : NATURAL)
return STD_ULOGIC_VECTOR is
begin
return std_logic_vector (SHIFT_RIGHT(unsigned(ARG), COUNT));
end function SHIFT_RIGHT;
--============================================================================
-- Id: S.5
function ROTATE_LEFT (ARG : STD_ULOGIC_VECTOR; COUNT : NATURAL)
return STD_ULOGIC_VECTOR is
begin
return std_logic_vector (ROTATE_LEFT(unsigned(ARG), COUNT));
end function ROTATE_LEFT;
-- Id: S.6
function ROTATE_RIGHT (ARG : STD_ULOGIC_VECTOR; COUNT : NATURAL)
return STD_ULOGIC_VECTOR is
begin
return std_logic_vector (ROTATE_RIGHT(unsigned(ARG), COUNT));
end function ROTATE_RIGHT;
--============================================================================
-- Id: S.17
function "sla" (ARG: STD_ULOGIC_VECTOR; COUNT: INTEGER)
return STD_ULOGIC_VECTOR is
begin
return STD_ULOGIC_VECTOR (UNSIGNED(ARG) sla COUNT);
end function "sla";
-- Id: S.19
function "sra" (ARG: STD_ULOGIC_VECTOR; COUNT: INTEGER)
return STD_ULOGIC_VECTOR is
begin
return STD_ULOGIC_VECTOR (UNSIGNED(ARG) sra COUNT);
end function "sra";
--============================================================================
-- Id: R.2
function RESIZE (ARG : STD_ULOGIC_VECTOR; NEW_SIZE : NATURAL)
return STD_ULOGIC_VECTOR is
begin
return STD_ULOGIC_VECTOR (
RESIZE (ARG => UNSIGNED(ARG),
NEW_SIZE => NEW_SIZE));
end function RESIZE;
function RESIZE (ARG, SIZE_RES : STD_ULOGIC_VECTOR)
return STD_ULOGIC_VECTOR is
begin
return STD_ULOGIC_VECTOR (
RESIZE (ARG => UNSIGNED(ARG),
NEW_SIZE => SIZE_RES'length));
end function RESIZE;
--============================================================================
-- Id: D.1
function TO_INTEGER (ARG : STD_ULOGIC_VECTOR) return NATURAL is
begin
return TO_INTEGER(UNSIGNED(ARG));
end function TO_INTEGER;
-- Id: D.3
function To_StdLogicVector (ARG, SIZE : NATURAL) return STD_LOGIC_VECTOR is
begin
return STD_LOGIC_VECTOR (TO_UNSIGNED(ARG => ARG,
SIZE => SIZE));
end function To_StdLogicVector;
-- Id: D.5
function To_StdULogicVector (ARG, SIZE : NATURAL) return STD_ULOGIC_VECTOR is
begin
return STD_ULOGIC_VECTOR (TO_UNSIGNED(ARG => ARG,
SIZE => SIZE));
end function To_StdULogicVector;
function To_StdLogicVector (ARG : NATURAL; SIZE_RES : STD_ULOGIC_VECTOR)
return STD_LOGIC_VECTOR is
begin
return STD_LOGIC_VECTOR (TO_UNSIGNED (ARG => ARG,
SIZE => SIZE_RES'length));
end function To_StdLogicVector;
function To_StdULogicVector (ARG : NATURAL; SIZE_RES : STD_ULOGIC_VECTOR)
return STD_ULOGIC_VECTOR is
begin
return STD_ULOGIC_VECTOR (TO_UNSIGNED (ARG => ARG,
SIZE => SIZE_RES'length));
end function To_StdULogicVector;
end package body NUMERIC_STD_UNSIGNED;
| gpl-2.0 | b9e39737d1e47c4649063bef99a9085b | 0.549203 | 4.062081 | false | false | false | false |
tgingold/ghdl | testsuite/vests/vhdl-93/billowitch/compliant/tc467.vhd | 4 | 3,365 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc467.vhd,v 1.2 2001-10-26 16:29:55 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY model IS
PORT
(
F1: OUT integer := 3;
F2: INOUT integer := 3;
F3: IN integer
);
END model;
architecture model of model is
begin
process
begin
wait for 1 ns;
assert F3= 3
report"wrong initialization of F3 through type conversion" severity failure;
assert F2 = 3
report"wrong initialization of F2 through type conversion" severity failure;
wait;
end process;
end;
ENTITY c03s02b01x01p19n01i00467ent IS
END c03s02b01x01p19n01i00467ent;
ARCHITECTURE c03s02b01x01p19n01i00467arch OF c03s02b01x01p19n01i00467ent IS
constant low_number : integer := 0;
constant hi_number : integer := 7;
subtype hi_to_low_range is integer range low_number to hi_number;
type real_vector is array (natural range <>) of real;
subtype real_vector_range is real_vector(hi_to_low_range);
constant C66: real_vector_range := (others => 3.0);
function complex_scalar(s : real_vector_range) return integer is
begin
return 3;
end complex_scalar;
function scalar_complex(s : integer) return real_vector_range is
begin
return C66;
end scalar_complex;
component model1
PORT
(
F1: OUT integer;
F2: INOUT integer;
F3: IN integer
);
end component;
for T1 : model1 use entity work.model(model);
signal S1 : real_vector_range;
signal S2 : real_vector_range;
signal S3 : real_vector_range:= C66;
BEGIN
T1: model1
port map (
scalar_complex(F1) => S1,
scalar_complex(F2) => complex_scalar(S2),
F3 => complex_scalar(S3)
);
TESTING: PROCESS
BEGIN
wait for 1 ns;
assert NOT((S1 = C66) and (S2 = C66))
report "***PASSED TEST: c03s02b01x01p19n01i00467"
severity NOTE;
assert ((S1 = C66) and (S2 = C66))
report "***FAILED TEST: c03s02b01x01p19n01i00467 - For an interface object of mode out, buffer, inout, or linkage, if the formal part includes a type conversion function, then the parameter subtype of that function must be a constrained array subtype."
severity ERROR;
wait;
END PROCESS TESTING;
END c03s02b01x01p19n01i00467arch;
| gpl-2.0 | effe9358d0fa0680f35e802d5dc5b124 | 0.6526 | 3.61828 | false | true | false | false |
nickg/nvc | test/regress/signal23.vhd | 1 | 1,920 | -- Test case from Brian Padalino
--
library ieee ;
use ieee.std_logic_1164.all ;
package pack is
type iface_t is record
cs : std_logic ;
addr : std_logic_vector ;
rdata : std_logic_vector ;
wdata : std_logic_vector ;
end record ;
function init_iface_signals(addr_width : natural ; data_width : natural) return iface_t;
end package ;
package body pack is
function init_iface_signals(addr_width : natural ; data_width : natural) return iface_t is
variable rv : iface_t(addr(addr_width-1 downto 0), wdata(data_width-1 downto 0), rdata(data_width-1 downto 0)) ;
begin
rv.cs := '0' ;
rv.addr := (rv.addr'range => '0') ;
rv.wdata := (rv.wdata'range => '0') ;
rv.rdata := (rv.rdata'range => '0') ;
return rv ;
end function ;
end package body ;
library ieee ;
use ieee.std_logic_1164.all ;
use work.pack.all ;
entity master is
port (
clock : in std_logic ;
iface : inout iface_t := init_iface_signals(16, 32)
) ;
end entity ;
architecture arch of master is
begin
end architecture ;
library ieee ;
use ieee.std_logic_1164.all ;
use work.pack.all ;
entity signal23 is end entity ;
architecture arch of signal23 is
signal clock : std_logic := '0' ;
signal iface : iface_t(addr(15 downto 0), rdata(31 downto 0), wdata(31 downto 0)) ;
begin
clock <= not clock after 1 ns ;
U_master : entity work.master
port map (
clock => clock,
iface => iface
) ;
tb : process
begin
report LF &
"if:" & LF &
" cs: " & std_logic'image(iface.cs) & LF &
" addr: " & to_hstring(iface.addr) & LF &
" rdata: " & to_hstring(iface.rdata) & LF &
" wdata: " & to_hstring(iface.wdata) ;
std.env.stop ;
end process ;
end architecture ;
| gpl-3.0 | 4cb0d416e38940049043116a609662dd | 0.570313 | 3.362522 | false | false | false | false |
tgingold/ghdl | testsuite/gna/bug0110/tb3.vhdl | 1 | 604 | package pkg3 is
type my_rec is record
adr : bit_vector (7 downto 0);
end record;
end pkg3;
use work.pkg3.all;
entity ent3 is
port (v : out my_rec;
b : in bit);
end ent3;
architecture behav of ent3 is
begin
v.adr <= (others => b);
end behav;
entity top3 is
end top3;
use work.pkg3.all;
architecture behav of top3 is
signal s : bit_vector (7 downto 0);
signal b : bit;
begin
dut : entity work.ent3
port map (
-- ERROR: missing 1 downto 0!
v.adr (3 downto 2) => s (3 downto 2),
v.adr (7 downto 6) => s (7 downto 6),
b => b);
b <= '0';
end behav;
| gpl-2.0 | f4c4207766b40efc971abe8af0406363 | 0.599338 | 2.889952 | false | false | false | false |
tgingold/ghdl | testsuite/synth/issue1225/top.vhdl | 1 | 443 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity top is
port (
clk, en : in std_logic;
a, b : in std_logic;
p, q : out std_logic
);
end entity;
architecture arch of top is
begin
process (clk, en, a)
variable tmp : std_logic;
begin
if en = '1' then
tmp := a;
p <= tmp;
else
p <= '0';
end if;
if rising_edge(clk) then
tmp := b;
q <= tmp;
end if;
end process;
end architecture;
| gpl-2.0 | 9bf95faa3224eedfc3c25e262cca8ad7 | 0.602709 | 2.502825 | false | false | false | false |
tgingold/ghdl | testsuite/synth/var01/var03.vhdl | 1 | 599 | library ieee;
use ieee.std_logic_1164.all;
entity var03 is
port (mask : std_logic_vector (1 downto 0);
a, b : std_logic_vector (15 downto 0);
res : out std_logic_vector (15 downto 0));
end var03;
architecture behav of var03 is
begin
process (all)
variable t : std_logic_vector (15 downto 0) := (others => '0');
variable hi, lo : integer;
begin
t := a;
for i in 0 to 1 loop
if mask (i) = '1' then
lo := i * 8;
hi := lo + 7;
t (hi downto lo) := b (hi downto lo);
end if;
end loop;
res <= t;
end process;
end behav;
| gpl-2.0 | b03caa0a3dc6e337d5d2e4d7b9421774 | 0.559265 | 3.152632 | false | false | false | false |
tgingold/ghdl | testsuite/vests/vhdl-93/ashenden/compliant/ch_05_fg_05_19.vhd | 4 | 3,762 |
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_05_fg_05_19.vhd,v 1.2 2001-10-26 16:29:34 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
entity fg_05_19 is
end entity fg_05_19;
architecture test of fg_05_19 is
constant scheduling_delay : delay_length := 5 ns;
subtype request_type is natural range 0 to 20;
type server_status_type is (ready, busy);
signal first_priority_request,
first_normal_request,
reset_request : request_type := 0;
signal functional_request, equivalent_request : request_type;
signal priority_waiting : boolean := false;
signal server_status : server_status_type := busy;
begin
functional_scheduler : block is
port ( request : out request_type );
port map ( request => functional_request );
begin
-- code from book
scheduler :
request <= first_priority_request after scheduling_delay
when priority_waiting and server_status = ready else
first_normal_request after scheduling_delay
when not priority_waiting and server_status = ready else
unaffected
when server_status = busy else
reset_request after scheduling_delay;
-- end code from book
end block functional_scheduler;
--------------------------------------------------
equivalent_scheduler : block is
port ( request : out request_type );
port map ( request => equivalent_request );
begin
-- code from book
scheduler : process is
begin
if priority_waiting and server_status = ready then
request <= first_priority_request after scheduling_delay;
elsif not priority_waiting and server_status = ready then
request <= first_normal_request after scheduling_delay;
elsif server_status = busy then
null;
else
request <= reset_request after scheduling_delay;
end if;
wait on first_priority_request, priority_waiting, server_status,
first_normal_request, reset_request;
end process scheduler;
-- end code from book
end block equivalent_scheduler;
--------------------------------------------------
stimulus : process is
begin
first_priority_request <= 10; wait for 20 ns;
first_normal_request <= 5; wait for 20 ns;
server_status <= ready; wait for 20 ns;
server_status <= busy; wait for 20 ns;
priority_waiting <= true; wait for 20 ns;
server_status <= ready; wait for 20 ns;
first_normal_request <= 7; wait for 20 ns;
first_priority_request <= 12; wait for 20 ns;
wait;
end process stimulus;
verifier :
assert functional_request = equivalent_request
report "Functional and equivalent models give different results";
end architecture test;
| gpl-2.0 | 0004449737b812ace3d940175730d442 | 0.614567 | 4.582217 | false | false | false | false |
tgingold/ghdl | testsuite/vests/vhdl-93/billowitch/compliant/tc2952.vhd | 4 | 2,428 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2952.vhd,v 1.2 2001-10-26 16:29:50 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
package c02s02b00x00p24n01i02952pkg is
procedure PX (signal I1: in Bit; signal I2 : out Bit; signal I3 : inout Integer);
end c02s02b00x00p24n01i02952pkg;
use work.c02s02b00x00p24n01i02952pkg.all;
ENTITY c02s02b00x00p24n01i02952ent IS
END c02s02b00x00p24n01i02952ent;
ARCHITECTURE c02s02b00x00p24n01i02952arch OF c02s02b00x00p24n01i02952ent IS
signal S1 : Bit := '1';
signal S2 : Integer := 5;
signal S3 : Bit;
BEGIN
TESTING: PROCESS
BEGIN
PX(S1,S3,S2) ; --- No_failure_here
wait for 5 ns;
assert NOT(S3='1' and S2=12)
report "***PASSED TEST: c02s02b00x00p24n01i02952"
severity NOTE;
assert (S3='1' and S2=12)
report "***FAILED TEST: c02s02b00x00p24n01i02952 - Subprogram declaration should appear before call of subprogram."
severity ERROR;
wait;
END PROCESS TESTING;
END c02s02b00x00p24n01i02952arch;
package body c02s02b00x00p24n01i02952pkg is
procedure PX (signal I1: in Bit; signal I2 : out Bit; signal I3 : inout Integer) is
begin
assert (I1 /= '1')
report "No failure on test"
severity note;
assert (I3 /= 5)
report "No failure on test"
severity note;
I2 <= '1';
I3 <= 12;
end PX;
end c02s02b00x00p24n01i02952pkg;
| gpl-2.0 | 72a49696fb89a82def9c54540ac5fd09 | 0.666804 | 3.339752 | false | true | false | false |
tgingold/ghdl | testsuite/synth/var01/tb_var02.vhdl | 1 | 1,013 | entity tb_var02 is
end tb_var02;
library ieee;
use ieee.std_logic_1164.all;
architecture behav of tb_var02 is
signal clk : std_logic;
signal mask : std_logic_vector (3 downto 0);
signal val : std_logic_vector (31 downto 0);
signal res : std_logic_vector (31 downto 0);
begin
dut: entity work.var02
port map (
clk => clk,
mask => mask,
val => val,
res => res);
process
procedure pulse is
begin
clk <= '0';
wait for 1 ns;
clk <= '1';
wait for 1 ns;
end pulse;
begin
mask <= x"f";
val <= x"12_34_56_78";
pulse;
assert res = x"12_34_56_78" severity failure;
mask <= x"8";
val <= x"9a_00_00_00";
pulse;
assert res = x"9a_34_56_78" severity failure;
mask <= x"0";
val <= x"00_00_00_00";
pulse;
assert res = x"9a_34_56_78" severity failure;
mask <= x"5";
val <= x"00_bc_00_de";
pulse;
assert res = x"9a_bc_56_de" severity failure;
wait;
end process;
end behav;
| gpl-2.0 | 79d787872fb1fed2bc319d33d7097faa | 0.562685 | 2.997041 | false | false | false | false |
tgingold/ghdl | testsuite/synth/iassoc01/iassoc12.vhdl | 1 | 508 | use work.pkg.all;
entity riassoc12 is
port (v : natural;
res : out nat_rec);
end riassoc12;
architecture behav of riassoc12 is
begin
res.a <= v + 1;
res.b <= v + 2;
end behav;
entity iassoc12 is
port (v : natural;
a, b : out natural);
end iassoc12;
use work.pkg.all;
architecture behav of iassoc12 is
component riassoc12 is
port (v : natural;
res : out nat_rec);
end component;
begin
inst : riassoc12
port map (v => v, res.a => a, res.b => b);
end behav;
| gpl-2.0 | 819c5247a23de2f26a528a37787aacdc | 0.620079 | 3.097561 | false | false | false | false |
nickg/nvc | test/regress/agg3.vhd | 5 | 524 | entity agg3 is
end entity;
architecture test of agg3 is
type int_array is array (integer range <>) of integer;
function get_array return int_array is
begin
return (4 => 4, 3 => 3, 5 => 5);
end function;
begin
process is
variable x : int_array(1 to 3) := (others => 5);
variable y : integer;
begin
x := (6 => 7) & (6 => 2, 7 => 9);
assert x = (7, 2, 9);
x := get_array;
assert x = (3, 4, 5);
wait;
end process;
end architecture;
| gpl-3.0 | ca71ac089497e0ae9e5d65d89ca739d4 | 0.520992 | 3.447368 | false | false | false | false |
hubertokf/VHDL-Fast-Adders | CSA/32bits/CSA32bits/CSA32bits.vhd | 1 | 5,708 | -- Somador 8_bits --
LIBRARY ieee ;
USE ieee.std_logic_1164.all ;
ENTITY CSA32bits IS
PORT (
CarryIn: in std_logic;
val1,val2: in std_logic_vector (31 downto 0);
SomaResult: out std_logic_vector (31 downto 0);
rst:in std_logic;
clk:in std_logic;
CarryOut: out std_logic
);
END CSA32bits ;
ARCHITECTURE strc_CSA32bits OF CSA32bits IS
SIGNAL Cin_sig, Cout_sig: STD_LOGIC;
SIGNAL Outs10, Outs11, Outs20, Outs21, Outs30, Outs31, Outs40, Outs41, Outs50, Outs51, Outs60, Outs61, Outs70, Outs71, Outs80, Outs81: STD_LOGIC_VECTOR(3 DOWNTO 0);
SIGNAL Couts10, Couts11, Couts20, Couts21, Couts30, Couts31, Couts40, Couts41, Couts50, Couts51, Couts60, Couts61, Couts70, Couts71, Couts80, Couts81: STD_LOGIC;
SIGNAL sel1,sel2,sel3,sel4,sel5,sel6,sel7: STD_LOGIC;
SIGNAL A_sig, B_sig, Out_sig: STD_LOGIC_VECTOR(31 DOWNTO 0);
SIGNAL SomaT1,SomaT2,SomaT3,SomaT4,SomaT5,SomaT6,SomaT7,SomaT8:STD_LOGIC_VECTOR(3 DOWNTO 0);
COMPONENT Reg1Bit
port(
valIn: in std_logic;
clk: in std_logic;
rst: in std_logic;
valOut: out std_logic
);
END COMPONENT ;
COMPONENT Reg32Bit
port(
valIn: in std_logic_vector(31 downto 0);
clk: in std_logic;
rst: in std_logic;
valOut: out std_logic_vector(31 downto 0)
);
END COMPONENT ;
COMPONENT RCA
port (
CarryIn: in std_logic;
val1,val2: in std_logic_vector (3 downto 0);
SomaResult: out std_logic_vector (3 downto 0);
CarryOut: out std_logic
);
END COMPONENT ;
COMPONENT mux84
port (
In0, In1: in std_logic_vector(3 downto 0);
sel: in std_logic;
Outs : out std_logic_vector(3 downto 0)
);
END COMPONENT ;
BEGIN
--registradores--
Reg_CarryIn: Reg1Bit PORT MAP (
valIn=>CarryIn,
clk=>clk,
rst=>rst,
valOut=>Cin_sig
);
Reg_A: Reg32Bit PORT MAP (
valIn=>val1,
clk=>clk,
rst=>rst,
valOut=>A_sig
);
Reg_B: Reg32Bit PORT MAP (
valIn=>val2,
clk=>clk,
rst=>rst,
valOut=>B_sig
);
Reg_CarryOut: Reg1Bit PORT MAP (
valIn=>Cout_sig,
clk=>clk,
rst=>rst,
valOut=>CarryOut
);
Reg_Ssoma: Reg32Bit PORT MAP (
valIn=>Out_sig,
clk=>clk,
rst=>rst,
valOut=>SomaResult
);
Som10: RCA PORT MAP (
val1 => A_sig(3 DOWNTO 0),
val2 => B_sig(3 DOWNTO 0),
CarryIn=>'0',
CarryOut=>Couts10,
SomaResult=>Outs10
);
Som11: RCA PORT MAP (
val1 => A_sig(3 DOWNTO 0),
val2 => B_sig(3 DOWNTO 0),
CarryIn=>'1',
CarryOut=>Couts11,
SomaResult=>Outs11
);
Mux1: mux84 PORT MAP (
In1=>Outs11,
In0=>Outs10,
sel=>Cin_sig,
Outs=>SomaT1
);
sel1 <= Couts10 OR (Couts11 AND Cin_sig);
Som20: RCA PORT MAP (
val1 => A_sig(7 DOWNTO 4),
val2 => B_sig(7 DOWNTO 4),
CarryIn=>'0',
CarryOut=>Couts20,
SomaResult=>Outs20
);
Som21: RCA PORT MAP (
val1 => A_sig(7 DOWNTO 4),
val2 => B_sig(7 DOWNTO 4),
CarryIn=>'1',
CarryOut=>Couts21,
SomaResult=>Outs21
);
Mux2: mux84 PORT MAP (
In1=>Outs21,
In0=>Outs20,
sel=>sel1,
Outs=>SomaT2
);
sel2 <= Couts20 OR (Couts21 AND sel1);
--asdfasdf
Som30: RCA PORT MAP (
val1 => A_sig(11 DOWNTO 8),
val2 => B_sig(11 DOWNTO 8),
CarryIn=>'0',
CarryOut=>Couts30,
SomaResult=>Outs30
);
Som31: RCA PORT MAP (
val1 => A_sig(11 DOWNTO 8),
val2 => B_sig(11 DOWNTO 8),
CarryIn=>'1',
CarryOut=>Couts31,
SomaResult=>Outs31
);
Mux3: mux84 PORT MAP (
In1=>Outs31,
In0=>Outs30,
sel=>sel2,
Outs=>SomaT3
);
sel3 <= Couts30 OR (Couts31 AND sel2);
Som40: RCA PORT MAP (
val1 => A_sig(15 DOWNTO 12),
val2 => B_sig(15 DOWNTO 12),
CarryIn=>'0',
CarryOut=>Couts40,
SomaResult=>Outs40
);
Som41: RCA PORT MAP (
val1 => A_sig(15 DOWNTO 12),
val2 => B_sig(15 DOWNTO 12),
CarryIn=>'1',
CarryOut=>Couts41,
SomaResult=>Outs41
);
Mux4: mux84 PORT MAP (
In1=>Outs41,
In0=>Outs40,
sel=>sel3,
Outs=>SomaT4
);
sel4 <= Couts40 OR (Couts41 AND sel3);
--aaasdfasdfasdfasdf
Som50: RCA PORT MAP (
val1 => A_sig(19 DOWNTO 16),
val2 => B_sig(19 DOWNTO 16),
CarryIn=>'0',
CarryOut=>Couts50,
SomaResult=>Outs50
);
Som51: RCA PORT MAP (
val1 => A_sig(19 DOWNTO 16),
val2 => B_sig(19 DOWNTO 16),
CarryIn=>'1',
CarryOut=>Couts51,
SomaResult=>Outs51
);
Mux5: mux84 PORT MAP (
In1=>Outs51,
In0=>Outs50,
sel=>sel4,
Outs=>SomaT5
);
sel5 <= Couts50 OR (Couts51 AND sel4);
Som60: RCA PORT MAP (
val1 => A_sig(23 DOWNTO 20),
val2 => B_sig(23 DOWNTO 20),
CarryIn=>'0',
CarryOut=>Couts60,
SomaResult=>Outs60
);
Som61: RCA PORT MAP (
val1 => A_sig(23 DOWNTO 20),
val2 => B_sig(23 DOWNTO 20),
CarryIn=>'1',
CarryOut=>Couts61,
SomaResult=>Outs61
);
Mux6: mux84 PORT MAP (
In1=>Outs61,
In0=>Outs60,
sel=>sel5,
Outs=>SomaT6
);
sel6 <= Couts60 OR (Couts61 AND sel5);
--asdfasdf
Som70: RCA PORT MAP (
val1 => A_sig(27 DOWNTO 24),
val2 => B_sig(27 DOWNTO 24),
CarryIn=>'0',
CarryOut=>Couts70,
SomaResult=>Outs70
);
Som71: RCA PORT MAP (
val1 => A_sig(27 DOWNTO 24),
val2 => B_sig(27 DOWNTO 24),
CarryIn=>'1',
CarryOut=>Couts71,
SomaResult=>Outs71
);
Mux7: mux84 PORT MAP (
In1=>Outs71,
In0=>Outs70,
sel=>sel6,
Outs=>SomaT7
);
sel7 <= Couts70 OR (Couts71 AND sel6);
Som80: RCA PORT MAP (
val1 => A_sig(31 DOWNTO 28),
val2 => B_sig(31 DOWNTO 28),
CarryIn=>'0',
CarryOut=>Couts80,
SomaResult=>Outs80
);
Som81: RCA PORT MAP (
val1 => A_sig(31 DOWNTO 28),
val2 => B_sig(31 DOWNTO 28),
CarryIn=>'1',
CarryOut=>Couts81,
SomaResult=>Outs81
);
Mux8: mux84 PORT MAP (
In1=>Outs81,
In0=>Outs80,
sel=>sel7,
Outs=>SomaT8
);
Cout_sig <= Couts80 OR (Couts81 AND sel7);
Out_sig <= SomaT8 & SomaT7 & SomaT6 & SomaT5 & SomaT4 & SomaT3 & SomaT2 & SomaT1;
END strc_CSA32bits ; | mit | 56696519c6014e77b950489feae1454e | 0.628416 | 2.552773 | false | false | false | false |
tgingold/ghdl | testsuite/vests/vhdl-93/billowitch/disputed/tc3124.vhd | 4 | 6,569 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc3124.vhd,v 1.2 2001-10-26 16:30:04 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c05s02b01x02p01n01i03124ent_a IS
generic ( socket_g1 : Boolean;
socket_g2 : Bit;
socket_g3 : character;
socket_g4 : severity_level;
socket_g5 : integer;
socket_g6 : real;
socket_g7 : time;
socket_g8 : natural;
socket_g9 : positive
);
port ( socket_p1 : inout Boolean;
socket_p2 : inout Bit;
socket_p3 : inout character;
socket_p4 : inout severity_level;
socket_p5 : inout integer;
socket_p6 : inout real;
socket_p7 : inout time;
socket_p8 : inout natural;
socket_p9 : inout positive
);
END c05s02b01x02p01n01i03124ent_a;
ARCHITECTURE c05s02b01x02p01n01i03124arch_a OF c05s02b01x02p01n01i03124ent_a IS
BEGIN
socket_p1 <= socket_g1 after 22 ns;
socket_p2 <= socket_g2 after 22 ns;
socket_p3 <= socket_g3 after 22 ns;
socket_p4 <= socket_g4 after 22 ns;
socket_p5 <= socket_g5 after 22 ns;
socket_p6 <= socket_g6 after 22 ns;
socket_p7 <= socket_g7 after 22 ns;
socket_p8 <= socket_g8 after 22 ns;
socket_p9 <= socket_g9 after 22 ns;
END c05s02b01x02p01n01i03124arch_a;
ENTITY c05s02b01x02p01n01i03124ent IS
END c05s02b01x02p01n01i03124ent;
ARCHITECTURE c05s02b01x02p01n01i03124arch OF c05s02b01x02p01n01i03124ent IS
component ic_socket
generic ( socket_g1 : Boolean;
socket_g2 : Bit;
socket_g3 : character;
socket_g4 : severity_level;
socket_g5 : integer;
socket_g6 : real;
socket_g7 : time;
socket_g8 : natural;
socket_g9 : positive
);
port ( socket_p1 : inout Boolean;
socket_p2 : inout Bit;
socket_p3 : inout character;
socket_p4 : inout severity_level;
socket_p5 : inout integer;
socket_p6 : inout real;
socket_p7 : inout time;
socket_p8 : inout natural;
socket_p9 : inout positive
);
end component;
signal socket_p1 : Boolean;
signal socket_p2 : Bit;
signal socket_p3 : character;
signal socket_p4 : severity_level;
signal socket_p5 : integer;
signal socket_p6 : real;
signal socket_p7 : time;
signal socket_p8 : natural;
signal socket_p9 : positive;
BEGIN
instance : ic_socket
generic map ( true,
'1',
'$',
warning,
-100002,
-9.999,
20 ns,
23423,
4564576
)
port map ( socket_p1,
socket_p2,
socket_p3,
socket_p4,
socket_p5,
socket_p6,
socket_p7,
socket_p8,
socket_p9
);
TESTING: PROCESS
BEGIN
wait for 30 ns;
assert NOT( socket_p1 = true and
socket_p2 = '1' and
socket_p3 = '$' and
socket_p4 = warning and
socket_p5 = -100002 and
socket_p6 = -9.999 and
socket_p7 = 20 ns and
socket_p8 = 23423 and
socket_p9 = 4564576 )
report "***PASSED TEST: c05s02b01x02p01n01i03124"
severity NOTE;
assert ( socket_p1 = true and
socket_p2 = '1' and
socket_p3 = '$' and
socket_p4 = warning and
socket_p5 = -100002 and
socket_p6 = -9.999 and
socket_p7 = 20 ns and
socket_p8 = 23423 and
socket_p9 = 4564576 )
report "***FAILED TEST: c05s02b01x02p01n01i03124 - Positional association generic and port list test failed."
severity ERROR;
wait;
END PROCESS TESTING;
END c05s02b01x02p01n01i03124arch;
configuration c05s02b01x02p01n01i03124cfg of c05s02b01x02p01n01i03124ent is
for c05s02b01x02p01n01i03124arch
for instance : ic_socket use entity work.c05s02b01x02p01n01i03124ent_a (c05s02b01x02p01n01i03124arch_a)
generic map ( true,
'1',
'$',
warning,
-100002,
-9.999,
20 ns,
23423,
4564576
)
port map ( socket_p1,
socket_p2,
socket_p3,
socket_p4,
socket_p5,
socket_p6,
socket_p7,
socket_p8,
socket_p9
);
end for;
end for;
end c05s02b01x02p01n01i03124cfg;
| gpl-2.0 | 5317260f6bb9481ef0255edf951f4e40 | 0.484092 | 4.092835 | false | false | false | false |
tgingold/ghdl | testsuite/gna/issue50/vector.d/w_split5.vhd | 2 | 1,359 | library ieee;
use ieee.std_logic_1164.all;
library ieee;
use ieee.numeric_std.all;
entity w_split5 is
port (
clk : in std_logic;
ra0_data : out std_logic_vector(7 downto 0);
wa0_data : in std_logic_vector(7 downto 0);
wa0_addr : in std_logic;
wa0_en : in std_logic;
ra0_addr : in std_logic
);
end w_split5;
architecture augh of w_split5 is
-- Embedded RAM
type ram_type is array (0 to 1) of std_logic_vector(7 downto 0);
signal ram : ram_type := (
"00000111", "00000111"
);
-- Little utility functions to make VHDL syntactically correct
-- with the syntax to_integer(unsigned(vector)) when 'vector' is a std_logic.
-- This happens when accessing arrays with <= 2 cells, for example.
function to_integer(B: std_logic) return integer is
variable V: std_logic_vector(0 to 0);
begin
V(0) := B;
return to_integer(unsigned(V));
end;
function to_integer(V: std_logic_vector) return integer is
begin
return to_integer(unsigned(V));
end;
begin
-- Sequential process
-- It handles the Writes
process (clk)
begin
if rising_edge(clk) then
-- Write to the RAM
-- Note: there should be only one port.
if wa0_en = '1' then
ram( to_integer(wa0_addr) ) <= wa0_data;
end if;
end if;
end process;
-- The Read side (the outputs)
ra0_data <= ram( to_integer(ra0_addr) );
end architecture;
| gpl-2.0 | bb4ea7f5e5d4e7b57e4c7e7f22beb4c7 | 0.66961 | 2.843096 | false | false | false | false |
tgingold/ghdl | testsuite/synth/issue1090/simple_ram-orig.vhdl | 1 | 1,599 | -- A simple pre-initalized RAM, which reads from a binary file at synthesis time
-- single 32 bit read/write port.
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.bootrom.all;
entity simple_ram is
generic (
-- 32-bit read/write port. ADDR_WIDTH is in bytes, not words.
ADDR_WIDTH : integer := 8 -- default 32k
);
port (
clk : in std_logic;
en : in std_logic;
raddr : in std_logic_vector(ADDR_WIDTH - 3 downto 0);
do : out std_logic_vector(31 downto 0);
we : in std_logic_vector(3 downto 0);
waddr : in std_logic_vector(ADDR_WIDTH - 3 downto 0);
di : in std_logic_vector(31 downto 0)
);
end simple_ram;
architecture behavioral of simple_ram is
constant NUM_WORDS : integer := 2**(ADDR_WIDTH - 2);
signal ram : rom_t := work.bootrom.rom; -- FIXME init internal error
begin
process (clk, en)
variable read : std_logic_vector(31 downto 0);
begin
if clk'event and clk = '1' then -- and en = '1' then -- Unsupported: clock enable
if we(3) = '1' then
ram(to_integer(unsigned(waddr)))(31 downto 24) <= di(31 downto 24);
end if;
if we(2) = '1' then
ram(to_integer(unsigned(waddr)))(23 downto 16) <= di(23 downto 16);
end if;
if we(1) = '1' then
ram(to_integer(unsigned(waddr)))(15 downto 8 ) <= di(15 downto 8 );
end if;
if we(0) = '1' then
ram(to_integer(unsigned(waddr)))(7 downto 0 ) <= di(7 downto 0 );
end if;
read := ram(to_integer(unsigned(raddr)));
do <= read;
end if;
end process;
end behavioral;
| gpl-2.0 | 8057d3b59b92166bd1ed23f9f40231aa | 0.614759 | 3.276639 | false | false | false | false |
tgingold/ghdl | testsuite/synth/issue1273/tb_assert3.vhdl | 1 | 651 | entity tb_assert3 is
generic (with_err : boolean := False);
end tb_assert3;
library ieee;
use ieee.std_logic_1164.all;
architecture behav of tb_assert3 is
signal v : std_logic_Vector (7 downto 0);
signal en : std_logic := '0';
signal res : natural;
begin
dut: entity work.assert3
port map (v, en, res);
process
begin
wait for 1 ns;
en <= '1';
v <= b"0010_0000";
wait for 1 ns;
assert res = 5 severity failure;
-- Trigger an error.
if with_err then
en <= '1';
v <= b"0000_0010";
wait for 1 ns;
assert res = 1 severity failure;
end if;
wait;
end process;
end behav;
| gpl-2.0 | 1eca96a0913216c58ab7db48449df218 | 0.599078 | 3.271357 | false | false | false | false |
tgingold/ghdl | testsuite/gna/issue50/vector.d/sub_125.vhd | 2 | 1,735 | library ieee;
use ieee.std_logic_1164.all;
library ieee;
use ieee.numeric_std.all;
entity sub_125 is
port (
le : out std_logic;
sign : in std_logic;
result : out std_logic_vector(31 downto 0);
in_a : in std_logic_vector(31 downto 0);
in_b : in std_logic_vector(31 downto 0)
);
end sub_125;
architecture augh of sub_125 is
signal carry_inA : std_logic_vector(33 downto 0);
signal carry_inB : std_logic_vector(33 downto 0);
signal carry_res : std_logic_vector(33 downto 0);
-- Signals to generate the comparison outputs
signal msb_abr : std_logic_vector(2 downto 0);
signal tmp_sign : std_logic;
signal tmp_eq : std_logic;
signal tmp_le : std_logic;
signal tmp_ge : std_logic;
begin
-- To handle the CI input, the operation is '0' - CI
-- If CI is not present, the operation is '0' - '0'
carry_inA <= '0' & in_a & '0';
carry_inB <= '0' & in_b & '0';
-- Compute the result
carry_res <= std_logic_vector(unsigned(carry_inA) - unsigned(carry_inB));
-- Set the outputs
result <= carry_res(32 downto 1);
-- Other comparison outputs
-- Temporary signals
msb_abr <= carry_inA(32) & carry_inB(32) & carry_res(32);
tmp_sign <= sign;
tmp_eq <= '1' when in_a = in_b else '0';
tmp_le <=
tmp_eq when msb_abr = "000" or msb_abr = "110" else
'1' when msb_abr = "001" or msb_abr = "111" else
'1' when tmp_sign = '0' and (msb_abr = "010" or msb_abr = "011") else
'1' when tmp_sign = '1' and (msb_abr = "100" or msb_abr = "101") else
'0';
tmp_ge <=
'1' when msb_abr = "000" or msb_abr = "110" else
'1' when tmp_sign = '0' and (msb_abr = "100" or msb_abr = "101") else
'1' when tmp_sign = '1' and (msb_abr = "010" or msb_abr = "011") else
'0';
le <= tmp_le;
end architecture;
| gpl-2.0 | a72e72f338167a06db1cd58e07aecd17 | 0.626513 | 2.593423 | false | false | false | false |
tgingold/ghdl | testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1471.vhd | 4 | 1,734 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1471.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s08b00x00p03n01i01471ent IS
END c08s08b00x00p03n01i01471ent;
ARCHITECTURE c08s08b00x00p03n01i01471arch OF c08s08b00x00p03n01i01471ent IS
BEGIN
TESTING: PROCESS
variable x : integer := 0;
BEGIN
case x is
when 1 => NULL;
when 2 => NULL:
when 3 => NULL;
when others => ;
end case;
assert FALSE
report "***FAILED TEST: c08s08b00x00p03n01i01471 - missing sequence of statement in a case alternative"
severity ERROR;
wait;
END PROCESS TESTING;
END c08s08b00x00p03n01i01471arch;
| gpl-2.0 | 750ec912139cb9a110c044ec4f232b97 | 0.656286 | 3.794311 | false | true | false | false |
tgingold/ghdl | testsuite/vests/vhdl-93/billowitch/compliant/tc3099.vhd | 4 | 2,902 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc3099.vhd,v 1.2 2001-10-26 16:29:51 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c05s01b00x00p09n01i03099ent IS
ATTRIBUTE attr1 : INTEGER;
END c05s01b00x00p09n01i03099ent;
ARCHITECTURE c05s01b00x00p09n01i03099arch OF c05s01b00x00p09n01i03099ent IS
SIGNAL s1,s2,s3 : BIT;
SIGNAL s4,s5 : INTEGER;
SIGNAL s6,s7 : STRING(1 TO 3);
ATTRIBUTE attr1 OF s1,s2,s3,s4,s5,s6,s7 : SIGNAL IS 101;
BEGIN
TESTING: PROCESS
BEGIN
ASSERT s1'attr1 = 101 REPORT "Bad value for s1'attr1" SEVERITY FAILURE;
ASSERT s2'attr1 = 101 REPORT "Bad value for s2'attr1" SEVERITY FAILURE;
ASSERT s3'attr1 = 101 REPORT "Bad value for s3'attr1" SEVERITY FAILURE;
ASSERT s4'attr1 = 101 REPORT "Bad value for s4'attr1" SEVERITY FAILURE;
ASSERT s5'attr1 = 101 REPORT "Bad value for s5'attr1" SEVERITY FAILURE;
ASSERT s6'attr1 = 101 REPORT "Bad value for s6'attr1" SEVERITY FAILURE;
ASSERT s7'attr1 = 101 REPORT "Bad value for s7'attr1" SEVERITY FAILURE;
assert NOT( s1'attr1 = 101 and
s2'attr1 = 101 and
s3'attr1 = 101 and
s4'attr1 = 101 and
s5'attr1 = 101 and
s6'attr1 = 101 and
s7'attr1 = 101 )
report "***PASSED TEST: c05s01b00x00p09n01i03099"
severity NOTE;
assert ( s1'attr1 = 101 and
s2'attr1 = 101 and
s3'attr1 = 101 and
s4'attr1 = 101 and
s5'attr1 = 101 and
s6'attr1 = 101 and
s7'attr1 = 101 )
report "***FAILED TEST: c05s01b00x00p09n01i03099 - Attribute specification applies to the entity designators list test failed."
severity ERROR;
wait;
END PROCESS TESTING;
END c05s01b00x00p09n01i03099arch;
| gpl-2.0 | b9e6fa23036bca2691b5415218df5d8e | 0.627843 | 3.509069 | false | true | false | false |
tgingold/ghdl | testsuite/gna/bug040/sub_207.vhd | 2 | 1,762 | library ieee;
use ieee.std_logic_1164.all;
library ieee;
use ieee.numeric_std.all;
entity sub_207 is
port (
ge : out std_logic;
le : out std_logic;
output : out std_logic_vector(40 downto 0);
sign : in std_logic;
in_b : in std_logic_vector(40 downto 0);
in_a : in std_logic_vector(40 downto 0)
);
end sub_207;
architecture augh of sub_207 is
signal carry_inA : std_logic_vector(42 downto 0);
signal carry_inB : std_logic_vector(42 downto 0);
signal carry_res : std_logic_vector(42 downto 0);
-- Signals to generate the comparison outputs
signal msb_abr : std_logic_vector(2 downto 0);
signal tmp_sign : std_logic;
signal tmp_eq : std_logic;
signal tmp_le : std_logic;
signal tmp_ge : std_logic;
begin
-- To handle the CI input, the operation is '0' - CI
-- If CI is not present, the operation is '0' - '0'
carry_inA <= '0' & in_a & '0';
carry_inB <= '0' & in_b & '0';
-- Compute the result
carry_res <= std_logic_vector(unsigned(carry_inA) - unsigned(carry_inB));
-- Set the outputs
output <= carry_res(41 downto 1);
-- Other comparison outputs
-- Temporary signals
msb_abr <= in_a(40) & in_b(40) & carry_res(41);
tmp_sign <= sign;
tmp_eq <= '1' when in_a = in_b else '0';
tmp_le <=
tmp_eq when msb_abr = "000" or msb_abr = "110" else
'1' when msb_abr = "001" or msb_abr = "111" else
'1' when tmp_sign = '0' and (msb_abr = "010" or msb_abr = "011") else
'1' when tmp_sign = '1' and (msb_abr = "100" or msb_abr = "101") else
'0';
tmp_ge <=
'1' when msb_abr = "000" or msb_abr = "110" else
'1' when tmp_sign = '0' and (msb_abr = "100" or msb_abr = "101") else
'1' when tmp_sign = '1' and (msb_abr = "010" or msb_abr = "011") else
'0';
ge <= tmp_ge;
le <= tmp_le;
end architecture;
| gpl-2.0 | 6869b3a5d6772b13b081ed9c00349f5f | 0.622588 | 2.568513 | false | false | false | false |
nickg/nvc | test/regress/vhpi4.vhd | 1 | 1,151 | entity vhpi4 is
end entity;
library ieee;
use ieee.std_logic_1164.all;
architecture test of vhpi4 is
function sum (x, y : integer) return integer;
attribute foreign of sum : function is "VHPIDIRECT __vhpi_sum";
type int_vec is array (natural range <>) of integer;
function sum_array (a : int_vec; len : integer) return integer;
attribute foreign of sum_array : function is "VHPIDIRECT __vhpi_sum_array";
function my_not (x : std_logic) return std_logic;
attribute foreign of my_not : function is "VHPIDIRECT __vhpi_my_not";
procedure test_proc (x : out integer; arr : out int_vec);
attribute foreign of test_proc : procedure is "VHPIDIRECT __vhpi_test_proc";
begin
main: process is
variable i : integer;
variable v : int_vec(1 to 3);
begin
assert sum(2, 3) = 5;
assert sum_array(int_vec'(1, 2, 3, 4, 5), 5) = 15;
assert my_not('1') = '0';
assert my_not('0') = '1';
assert my_not('U') = 'U';
test_proc(i, v);
assert i = 42;
assert v = (integer'left, 5, integer'left);
wait;
end process;
end architecture;
| gpl-3.0 | ea1da7148d503e60e2930b82df935036 | 0.612511 | 3.49848 | false | true | false | false |
tgingold/ghdl | testsuite/gna/bug24065/cic_up.vhd | 2 | 8,011 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity cic_up is
generic(
num_bits : natural := 16; -- How many bits in our incoming data?
num_stages : natural := 3; -- How many stages in the CIC? (N)
resamp : natural := 32; -- By what factor are we changing our data rate? (R)
diff_dly : natural := 1; -- The differential delay (M)
out_rate : natural := 8); -- Number of clocks per output sample
-- Must be a power of two
port(
clk_i : in std_logic;
rst_i : in std_logic;
-- Input ports
data_i : in std_logic_vector(num_bits-1 downto 0);
valid_i : in std_logic;
-- Output samples
data_o : out std_logic_vector;
valid_o : out std_logic);
end entity cic_up;
architecture behavior of cic_up is
-----------------------------------------------------------------------------
-- Functions
-----------------------------------------------------------------------------
function log(b,n: positive) return natural is
variable temp : natural := 1;
variable answer : natural := 0;
begin
while temp < n loop
answer := answer + 1;
temp := temp * b;
end loop;
return answer;
end function log;
-----------------------------------------------------------------------------
function signed_add(l,r: std_logic_vector) return std_logic_vector is
variable resized_r : signed(r'high+1 downto r'low);
begin
resized_r := resize(signed(r), r'length+1);
return std_logic_vector(signed(l) + resized_r);
end function signed_add;
-----------------------------------------------------------------------------
function signed_sub(l,r: std_logic_vector) return std_logic_vector is
variable resized_r : signed(r'high+1 downto r'low);
begin
resized_r := resize(signed(r), r'length+1);
return std_logic_vector(signed(l) - resized_r);
end function signed_sub;
-----------------------------------------------------------------------------
impure function input_bits return natural is
variable a, b, c : integer;
begin
a := (num_bits + 1);
b := (num_stages - 2)*(log(2,resamp));
c := (num_stages - 1)*(log(2,diff_dly));
return a + b + c;
end function input_bits;
-----------------------------------------------------------------------------
impure function output_bits return natural is
variable a, b, c : integer;
begin
a := (num_bits + 0);
b := (num_stages - 1)*(log(2,resamp));
c := (num_stages - 0)*(log(2,diff_dly));
return a + b + c;
end function output_bits;
-----------------------------------------------------------------------------
-- Types, subtypes, and constants
-----------------------------------------------------------------------------
-- Bit growth constants
constant direction : string := "up";
subtype int_i_range is natural range input_bits-1 downto 0;
subtype int_o_range is natural range output_bits-1 downto 0;
subtype comb_sum_range is natural range num_bits downto 0;
subtype count_range is natural range log(2,out_rate)-1 downto 0;
-- Array type for differential delay
subtype word is std_logic_vector(num_bits-1 downto 0);
type comb_reg_type is array (integer range <>) of word;
-----------------------------------------------------------------------------
-- Signals
-----------------------------------------------------------------------------
signal comb_reg : comb_reg_type(0 to diff_dly-1) := (others => (others => '0'));
signal comb_sum : std_logic_vector(comb_sum_range):= (others => '0');
signal int_in : std_logic_vector(int_i_range);
signal int_sum : signed (int_o_range) := (others => '0');
signal int_en : std_logic;
signal count : unsigned(count_range) := (others => '0');
signal c_dly : std_logic;
begin
-----------------------------------------------------------------------------
-- Map outputs
-----------------------------------------------------------------------------
data_o <= std_logic_vector(int_sum);
-----------------------------------------------------------------------------
-- Comb (derivative) section
-----------------------------------------------------------------------------
comb_proc : process(clk_i)
begin
if rising_edge(clk_i) then
-- Comb registers
if rst_i = '1' then
comb_reg <= (others => (others => '0'));
comb_sum <= (others => '0');
elsif valid_i = '1' then
comb_reg <= data_i & comb_reg(0 to comb_reg'high-1);
comb_sum <= signed_sub(data_i, comb_reg(comb_reg'high));
end if;
end if;
end process comb_proc;
-----------------------------------------------------------------------------
-- Integrator section
-----------------------------------------------------------------------------
int_proc : process(clk_i)
begin
if rising_edge(clk_i) then
-- Integrator registers
if rst_i = '1' then
int_sum <= (others => '0');
else
if num_stages = 1 then
-- The connection between the ints and the combs is determined
-- by the generic out_rate. This is indirectly controlled by
-- the top bit in count, which counts to out_rate.
if out_rate = 1 then
valid_o <= '1';
else
valid_o <= count(count'left) and not c_dly;
end if;
-- The size of the first integrator register could be a different
-- size from the last stage of the combs. This should make up
-- that difference.
if valid_i = '1' then
int_sum <= resize(int_sum + signed(comb_sum), int_sum'length);
end if;
-- Every other stage of the integrators is controlled by the enable
-- signal of the previous stage
elsif int_en = '1' then
int_sum <= int_sum + signed(int_in);
valid_o <= '1';
else
valid_o <= '0';
end if;
end if;
end if;
end process int_proc;
-----------------------------------------------------------------------------
-- Recursive instantiation and termination
-----------------------------------------------------------------------------
cic_gen : if num_stages > 1 generate
begin
cic_inst : entity work.cic_up
generic map(
num_bits => num_bits+1,
num_stages => num_stages-1,
diff_dly => diff_dly,
resamp => resamp,
out_rate => out_rate)
port map(
clk_i => clk_i,
rst_i => rst_i,
-- Input ports
data_i => comb_sum,
valid_i => valid_i,
-- Output samples
data_o => int_in,
valid_o => int_en);
end generate cic_gen;
valid_gen : if num_stages = 1 generate
begin
vary_valid_o : if out_rate /= 1 generate
begin
valid_o_proc : process(clk_i)
begin
if rising_edge(clk_i) then
if rst_i = '1' then
count <= (others => '0');
c_dly <= '0';
else
count <= count + 1;
c_dly <= count(count'left);
end if;
end if;
end process valid_o_proc;
end generate vary_valid_o;
end generate valid_gen;
end architecture behavior;
| gpl-2.0 | 811652e7e5caaf6d9652092fe2ac0410 | 0.424416 | 4.546538 | false | false | false | false |
tgingold/ghdl | testsuite/synth/match01/tb_match01.vhdl | 1 | 590 | entity tb_match01 is
end tb_match01;
library ieee;
use ieee.std_logic_1164.all;
architecture behav of tb_match01 is
signal a : std_logic_vector(3 downto 0);
signal z : std_logic;
begin
dut: entity work.match01
port map (a, z);
process
begin
a <= "1000";
wait for 1 ns;
assert z = '1' severity failure;
a <= "1010";
wait for 1 ns;
assert z = '1' severity failure;
a <= "0000";
wait for 1 ns;
assert z = '0' severity failure;
a <= "0001";
wait for 1 ns;
assert z = '0' severity failure;
wait;
end process;
end behav;
| gpl-2.0 | 053fc23f39ca28af3a965cf36331287c | 0.60339 | 3.206522 | false | false | false | false |
tgingold/ghdl | testsuite/synth/synth14/top.vhdl | 1 | 1,133 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.top_pack.all;
entity top is port (
clk : in std_logic;
D : out std_logic_vector(1 to 5));
end top;
architecture beh of top is
signal this_c : top_reg_t;
signal this_r : top_reg_t;
-- signal rst : std_logic := '0';
begin
led : process(this_r, clk)
variable this : top_reg_t;
variable en : std_logic;
begin
this := this_r;
en := '0';
if this.prescale < 5000000 then en := '1'; end if;
this.y := to_slv(this.count, this.blip, en);
if this.prescale > 5999999 then
this.prescale := 0;
this.blip := '1';
if this.count = 3 then
this.count := 0;
else
this.count := this.count + 1;
end if;
else
if this.prescale = 1000000 then this.blip := '0'; end if;
this.prescale := this.prescale + 1;
end if;
this_c <= this;
end process;
led_r0 : process(clk)
begin
if clk = '1' and clk'event then
this_r <= this_c;
end if;
end process;
D <= this_r.y;
end beh;
| gpl-2.0 | 2889b6dec8fad5812765ab82411266c5 | 0.55075 | 3.087193 | false | false | false | false |
lfmunoz/vhdl | templates/host_interface/std_logic_textio.vhd | 4 | 18,485 | ----------------------------------------------------------------------------
--
-- Copyright (c) 1990, 1991, 1992 by Synopsys, Inc. All rights reserved.
--
-- This source file may be used and distributed without restriction
-- provided that this copyright statement is not removed from the file
-- and that any derivative work contains this copyright notice.
--
-- Package name: STD_LOGIC_TEXTIO
--
-- Purpose: This package overloads the standard TEXTIO procedures
-- READ and WRITE.
--
-- Author: CRC, TS
--
----------------------------------------------------------------------------
use STD.textio.all;
library IEEE;
use IEEE.std_logic_1164.all;
package STD_LOGIC_TEXTIO is
--synopsys synthesis_off
-- Read and Write procedures for STD_ULOGIC and STD_ULOGIC_VECTOR
procedure READ(L:inout LINE; VALUE:out STD_ULOGIC);
procedure READ(L:inout LINE; VALUE:out STD_ULOGIC; GOOD: out BOOLEAN);
procedure READ(L:inout LINE; VALUE:out STD_ULOGIC_VECTOR);
procedure READ(L:inout LINE; VALUE:out STD_ULOGIC_VECTOR; GOOD: out BOOLEAN);
procedure WRITE(L:inout LINE; VALUE:in STD_ULOGIC;
JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0);
procedure WRITE(L:inout LINE; VALUE:in STD_ULOGIC_VECTOR;
JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0);
-- Read and Write procedures for STD_LOGIC_VECTOR
procedure READ(L:inout LINE; VALUE:out STD_LOGIC_VECTOR);
procedure READ(L:inout LINE; VALUE:out STD_LOGIC_VECTOR; GOOD: out BOOLEAN);
procedure WRITE(L:inout LINE; VALUE:in STD_LOGIC_VECTOR;
JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0);
--
-- Read and Write procedures for Hex and Octal values.
-- The values appear in the file as a series of characters
-- between 0-F (Hex), or 0-7 (Octal) respectively.
--
-- Hex
procedure HREAD(L:inout LINE; VALUE:out STD_ULOGIC_VECTOR);
procedure HREAD(L:inout LINE; VALUE:out STD_ULOGIC_VECTOR; GOOD: out BOOLEAN);
procedure HWRITE(L:inout LINE; VALUE:in STD_ULOGIC_VECTOR;
JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0);
procedure HREAD(L:inout LINE; VALUE:out STD_LOGIC_VECTOR);
procedure HREAD(L:inout LINE; VALUE:out STD_LOGIC_VECTOR; GOOD: out BOOLEAN);
procedure HWRITE(L:inout LINE; VALUE:in STD_LOGIC_VECTOR;
JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0);
-- Octal
procedure OREAD(L:inout LINE; VALUE:out STD_ULOGIC_VECTOR);
procedure OREAD(L:inout LINE; VALUE:out STD_ULOGIC_VECTOR; GOOD: out BOOLEAN);
procedure OWRITE(L:inout LINE; VALUE:in STD_ULOGIC_VECTOR;
JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0);
procedure OREAD(L:inout LINE; VALUE:out STD_LOGIC_VECTOR);
procedure OREAD(L:inout LINE; VALUE:out STD_LOGIC_VECTOR; GOOD: out BOOLEAN);
procedure OWRITE(L:inout LINE; VALUE:in STD_LOGIC_VECTOR;
JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0);
--synopsys synthesis_on
end STD_LOGIC_TEXTIO;
package body STD_LOGIC_TEXTIO is
--synopsys synthesis_off
-- Type and constant definitions used to map STD_ULOGIC values
-- into/from character values.
type MVL9plus is ('U', 'X', '0', '1', 'Z', 'W', 'L', 'H', '-', ERROR);
type char_indexed_by_MVL9 is array (STD_ULOGIC) of character;
type MVL9_indexed_by_char is array (character) of STD_ULOGIC;
type MVL9plus_indexed_by_char is array (character) of MVL9plus;
constant MVL9_to_char: char_indexed_by_MVL9 := "UX01ZWLH-";
constant char_to_MVL9: MVL9_indexed_by_char :=
('U' => 'U', 'X' => 'X', '0' => '0', '1' => '1', 'Z' => 'Z',
'W' => 'W', 'L' => 'L', 'H' => 'H', '-' => '-', others => 'U');
constant char_to_MVL9plus: MVL9plus_indexed_by_char :=
('U' => 'U', 'X' => 'X', '0' => '0', '1' => '1', 'Z' => 'Z',
'W' => 'W', 'L' => 'L', 'H' => 'H', '-' => '-', others => ERROR);
-- Overloaded procedures.
procedure READ(L:inout LINE; VALUE:out STD_ULOGIC; GOOD:out BOOLEAN) is
variable c: character;
variable readOk: BOOLEAN;
begin
loop -- skip white space
read(l,c,readOk); -- but also exit on a bad read
exit when ((readOk = FALSE) or ((c /= ' ') and (c /= CR) and (c /= HT)));
end loop;
if (readOk = FALSE) then
good := FALSE;
else
if (char_to_MVL9plus(c) = ERROR) then
value := 'U';
good := FALSE;
else
value := char_to_MVL9(c);
good := TRUE;
end if;
end if;
end READ;
procedure READ(L:inout LINE; VALUE:out STD_ULOGIC_VECTOR; GOOD:out BOOLEAN) is
variable m: STD_ULOGIC;
variable c: character;
variable s: string(1 to value'length-1);
variable mv: STD_ULOGIC_VECTOR(0 to value'length-1);
constant allU: STD_ULOGIC_VECTOR(0 to value'length-1)
:= (others => 'U');
variable readOk: BOOLEAN;
begin
loop -- skip white space
read(l,c,readOk);
exit when ((readOk = FALSE) or ((c /= ' ') and (c /= CR) and (c /= HT)));
end loop;
-- Bail out if there was a bad read
if (readOk = FALSE) then
good := FALSE;
return;
end if;
if (char_to_MVL9plus(c) = ERROR) then
value := allU;
good := FALSE;
return;
end if;
read(l, s, readOk);
-- Bail out if there was a bad read
if (readOk = FALSE) then
good := FALSE;
return;
end if;
for i in 1 to value'length-1 loop
if (char_to_MVL9plus(s(i)) = ERROR) then
value := allU;
good := FALSE;
return;
end if;
end loop;
mv(0) := char_to_MVL9(c);
for i in 1 to value'length-1 loop
mv(i) := char_to_MVL9(s(i));
end loop;
value := mv;
good := TRUE;
end READ;
procedure READ(L:inout LINE; VALUE:out STD_ULOGIC) is
variable c: character;
begin
loop -- skip white space
read(l,c);
exit when ((c /= ' ') and (c /= CR) and (c /= HT));
end loop;
if (char_to_MVL9plus(c) = ERROR) then
value := 'U';
assert FALSE report "READ(STD_ULOGIC) Error: Character '" &
c & "' read, expected STD_ULOGIC literal.";
else
value := char_to_MVL9(c);
end if;
end READ;
procedure READ(L:inout LINE; VALUE:out STD_ULOGIC_VECTOR) is
variable m: STD_ULOGIC;
variable c: character;
variable s: string(1 to value'length-1);
variable mv: STD_ULOGIC_VECTOR(0 to value'length-1);
constant allU: STD_ULOGIC_VECTOR(0 to value'length-1)
:= (others => 'U');
begin
loop -- skip white space
read(l,c);
exit when ((c /= ' ') and (c /= CR) and (c /= HT));
end loop;
if (char_to_MVL9plus(c) = ERROR) then
value := allU;
assert FALSE report
"READ(STD_ULOGIC_VECTOR) Error: Character '" &
c & "' read, expected STD_ULOGIC literal.";
return;
end if;
read(l, s);
for i in 1 to value'length-1 loop
if (char_to_MVL9plus(s(i)) = ERROR) then
value := allU;
assert FALSE report
"READ(STD_ULOGIC_VECTOR) Error: Character '" &
s(i) & "' read, expected STD_ULOGIC literal.";
return;
end if;
end loop;
mv(0) := char_to_MVL9(c);
for i in 1 to value'length-1 loop
mv(i) := char_to_MVL9(s(i));
end loop;
value := mv;
end READ;
procedure WRITE(L:inout LINE; VALUE:in STD_ULOGIC;
JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0) is
begin
write(l, MVL9_to_char(value), justified, field);
end WRITE;
procedure WRITE(L:inout LINE; VALUE:in STD_ULOGIC_VECTOR;
JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0) is
variable s: string(1 to value'length);
variable m: STD_ULOGIC_VECTOR(1 to value'length) := value;
begin
for i in 1 to value'length loop
s(i) := MVL9_to_char(m(i));
end loop;
write(l, s, justified, field);
end WRITE;
-- Read and Write procedures for STD_LOGIC_VECTOR
procedure READ(L:inout LINE; VALUE:out STD_LOGIC_VECTOR) is
variable tmp: STD_ULOGIC_VECTOR(VALUE'length-1 downto 0);
begin
READ(L, tmp);
VALUE := STD_LOGIC_VECTOR(tmp);
end READ;
procedure READ(L:inout LINE; VALUE:out STD_LOGIC_VECTOR; GOOD: out BOOLEAN) is
variable tmp: STD_ULOGIC_VECTOR(VALUE'length-1 downto 0);
begin
READ(L, tmp, GOOD);
VALUE := STD_LOGIC_VECTOR(tmp);
end READ;
procedure WRITE(L:inout LINE; VALUE:in STD_LOGIC_VECTOR;
JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0) is
begin
WRITE(L, STD_ULOGIC_VECTOR(VALUE), JUSTIFIED, FIELD);
end WRITE;
--
-- Hex Read and Write procedures.
--
--
-- Hex, and Octal Read and Write procedures for BIT_VECTOR
-- (these procedures are not exported, they are only used
-- by the STD_ULOGIC hex/octal reads and writes below.
--
--
procedure Char2QuadBits(C: Character;
RESULT: out Bit_Vector(3 downto 0);
GOOD: out Boolean;
ISSUE_ERROR: in Boolean) is
begin
case c is
when '0' => result := x"0"; good := TRUE;
when '1' => result := x"1"; good := TRUE;
when '2' => result := x"2"; good := TRUE;
when '3' => result := x"3"; good := TRUE;
when '4' => result := x"4"; good := TRUE;
when '5' => result := x"5"; good := TRUE;
when '6' => result := x"6"; good := TRUE;
when '7' => result := x"7"; good := TRUE;
when '8' => result := x"8"; good := TRUE;
when '9' => result := x"9"; good := TRUE;
when 'A' => result := x"A"; good := TRUE;
when 'B' => result := x"B"; good := TRUE;
when 'C' => result := x"C"; good := TRUE;
when 'D' => result := x"D"; good := TRUE;
when 'E' => result := x"E"; good := TRUE;
when 'F' => result := x"F"; good := TRUE;
when 'a' => result := x"A"; good := TRUE;
when 'b' => result := x"B"; good := TRUE;
when 'c' => result := x"C"; good := TRUE;
when 'd' => result := x"D"; good := TRUE;
when 'e' => result := x"E"; good := TRUE;
when 'f' => result := x"F"; good := TRUE;
when others =>
if ISSUE_ERROR then
assert FALSE report
"HREAD Error: Read a '" & c &
"', expected a Hex character (0-F).";
end if;
good := FALSE;
end case;
end;
procedure HREAD(L:inout LINE; VALUE:out BIT_VECTOR) is
variable ok: boolean;
variable c: character;
constant ne: integer := value'length/4;
variable bv: bit_vector(0 to value'length-1);
variable s: string(1 to ne-1);
begin
if value'length mod 4 /= 0 then
assert FALSE report
"HREAD Error: Trying to read vector " &
"with an odd (non multiple of 4) length";
return;
end if;
loop -- skip white space
read(l,c);
exit when ((c /= ' ') and (c /= CR) and (c /= HT));
end loop;
Char2QuadBits(c, bv(0 to 3), ok, TRUE);
if not ok then
return;
end if;
read(L, s, ok);
if not ok then
assert FALSE
report "HREAD Error: Failed to read the STRING";
return;
end if;
for i in 1 to ne-1 loop
Char2QuadBits(s(i), bv(4*i to 4*i+3), ok, TRUE);
if not ok then
return;
end if;
end loop;
value := bv;
end HREAD;
procedure HREAD(L:inout LINE; VALUE:out BIT_VECTOR;GOOD: out BOOLEAN) is
variable ok: boolean;
variable c: character;
constant ne: integer := value'length/4;
variable bv: bit_vector(0 to value'length-1);
variable s: string(1 to ne-1);
begin
if value'length mod 4 /= 0 then
good := FALSE;
return;
end if;
loop -- skip white space
read(l,c);
exit when ((c /= ' ') and (c /= CR) and (c /= HT));
end loop;
Char2QuadBits(c, bv(0 to 3), ok, FALSE);
if not ok then
good := FALSE;
return;
end if;
read(L, s, ok);
if not ok then
good := FALSE;
return;
end if;
for i in 1 to ne-1 loop
Char2QuadBits(s(i), bv(4*i to 4*i+3), ok, FALSE);
if not ok then
good := FALSE;
return;
end if;
end loop;
good := TRUE;
value := bv;
end HREAD;
procedure HWRITE(L:inout LINE; VALUE:in BIT_VECTOR;
JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0) is
variable quad: bit_vector(0 to 3);
constant ne: integer := value'length/4;
variable bv: bit_vector(0 to value'length-1) := value;
variable s: string(1 to ne);
begin
if value'length mod 4 /= 0 then
assert FALSE report
"HWRITE Error: Trying to read vector " &
"with an odd (non multiple of 4) length";
return;
end if;
for i in 0 to ne-1 loop
quad := bv(4*i to 4*i+3);
case quad is
when x"0" => s(i+1) := '0';
when x"1" => s(i+1) := '1';
when x"2" => s(i+1) := '2';
when x"3" => s(i+1) := '3';
when x"4" => s(i+1) := '4';
when x"5" => s(i+1) := '5';
when x"6" => s(i+1) := '6';
when x"7" => s(i+1) := '7';
when x"8" => s(i+1) := '8';
when x"9" => s(i+1) := '9';
when x"A" => s(i+1) := 'A';
when x"B" => s(i+1) := 'B';
when x"C" => s(i+1) := 'C';
when x"D" => s(i+1) := 'D';
when x"E" => s(i+1) := 'E';
when x"F" => s(i+1) := 'F';
end case;
end loop;
write(L, s, JUSTIFIED, FIELD);
end HWRITE;
procedure Char2TriBits(C: Character;
RESULT: out bit_vector(2 downto 0);
GOOD: out Boolean;
ISSUE_ERROR: in Boolean) is
begin
case c is
when '0' => result := o"0"; good := TRUE;
when '1' => result := o"1"; good := TRUE;
when '2' => result := o"2"; good := TRUE;
when '3' => result := o"3"; good := TRUE;
when '4' => result := o"4"; good := TRUE;
when '5' => result := o"5"; good := TRUE;
when '6' => result := o"6"; good := TRUE;
when '7' => result := o"7"; good := TRUE;
when others =>
if ISSUE_ERROR then
assert FALSE report
"OREAD Error: Read a '" & c &
"', expected an Octal character (0-7).";
end if;
good := FALSE;
end case;
end;
procedure OREAD(L:inout LINE; VALUE:out BIT_VECTOR) is
variable c: character;
variable ok: boolean;
constant ne: integer := value'length/3;
variable bv: bit_vector(0 to value'length-1);
variable s: string(1 to ne-1);
begin
if value'length mod 3 /= 0 then
assert FALSE report
"OREAD Error: Trying to read vector " &
"with an odd (non multiple of 3) length";
return;
end if;
loop -- skip white space
read(l,c);
exit when ((c /= ' ') and (c /= CR) and (c /= HT));
end loop;
Char2TriBits(c, bv(0 to 2), ok, TRUE);
if not ok then
return;
end if;
read(L, s, ok);
if not ok then
assert FALSE
report "OREAD Error: Failed to read the STRING";
return;
end if;
for i in 1 to ne-1 loop
Char2TriBits(s(i), bv(3*i to 3*i+2), ok, TRUE);
if not ok then
return;
end if;
end loop;
value := bv;
end OREAD;
procedure OREAD(L:inout LINE; VALUE:out BIT_VECTOR;GOOD: out BOOLEAN) is
variable ok: boolean;
variable c: character;
constant ne: integer := value'length/3;
variable bv: bit_vector(0 to value'length-1);
variable s: string(1 to ne-1);
begin
if value'length mod 3 /= 0 then
good := FALSE;
return;
end if;
loop -- skip white space
read(l,c);
exit when ((c /= ' ') and (c /= CR) and (c /= HT));
end loop;
Char2TriBits(c, bv(0 to 2), ok, FALSE);
if not ok then
good := FALSE;
return;
end if;
read(L, s, ok);
if not ok then
good := FALSE;
return;
end if;
for i in 1 to ne-1 loop
Char2TriBits(s(i), bv(3*i to 3*i+2), ok, FALSE);
if not ok then
good := FALSE;
return;
end if;
end loop;
good := TRUE;
value := bv;
end OREAD;
procedure OWRITE(L:inout LINE; VALUE:in BIT_VECTOR;
JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0) is
variable tri: bit_vector(0 to 2);
constant ne: integer := value'length/3;
variable bv: bit_vector(0 to value'length-1) := value;
variable s: string(1 to ne);
begin
if value'length mod 3 /= 0 then
assert FALSE report
"OWRITE Error: Trying to read vector " &
"with an odd (non multiple of 3) length";
return;
end if;
for i in 0 to ne-1 loop
tri := bv(3*i to 3*i+2);
case tri is
when o"0" => s(i+1) := '0';
when o"1" => s(i+1) := '1';
when o"2" => s(i+1) := '2';
when o"3" => s(i+1) := '3';
when o"4" => s(i+1) := '4';
when o"5" => s(i+1) := '5';
when o"6" => s(i+1) := '6';
when o"7" => s(i+1) := '7';
end case;
end loop;
write(L, s, JUSTIFIED, FIELD);
end OWRITE;
-- Hex Read and Write procedures for STD_LOGIC_VECTOR
procedure HREAD(L:inout LINE; VALUE:out STD_ULOGIC_VECTOR;GOOD:out BOOLEAN) is
variable tmp: bit_vector(VALUE'length-1 downto 0);
begin
HREAD(L, tmp, GOOD);
VALUE := To_X01(tmp);
end HREAD;
procedure HREAD(L:inout LINE; VALUE:out STD_ULOGIC_VECTOR) is
variable tmp: bit_vector(VALUE'length-1 downto 0);
begin
HREAD(L, tmp);
VALUE := To_X01(tmp);
end HREAD;
procedure HWRITE(L:inout LINE; VALUE:in STD_ULOGIC_VECTOR;
JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0) is
begin
HWRITE(L, To_bitvector(VALUE),JUSTIFIED, FIELD);
end HWRITE;
-- Hex Read and Write procedures for STD_LOGIC_VECTOR
procedure HREAD(L:inout LINE; VALUE:out STD_LOGIC_VECTOR) is
variable tmp: STD_ULOGIC_VECTOR(VALUE'length-1 downto 0);
begin
HREAD(L, tmp);
VALUE := STD_LOGIC_VECTOR(tmp);
end HREAD;
procedure HREAD(L:inout LINE; VALUE:out STD_LOGIC_VECTOR; GOOD: out BOOLEAN) is
variable tmp: STD_ULOGIC_VECTOR(VALUE'length-1 downto 0);
begin
HREAD(L, tmp, GOOD);
VALUE := STD_LOGIC_VECTOR(tmp);
end HREAD;
procedure HWRITE(L:inout LINE; VALUE:in STD_LOGIC_VECTOR;
JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0) is
begin
HWRITE(L, To_bitvector(VALUE), JUSTIFIED, FIELD);
end HWRITE;
-- Octal Read and Write procedures for STD_ULOGIC_VECTOR
procedure OREAD(L:inout LINE; VALUE:out STD_ULOGIC_VECTOR;GOOD:out BOOLEAN) is
variable tmp: bit_vector(VALUE'length-1 downto 0);
begin
OREAD(L, tmp, GOOD);
VALUE := To_X01(tmp);
end OREAD;
procedure OREAD(L:inout LINE; VALUE:out STD_ULOGIC_VECTOR) is
variable tmp: bit_vector(VALUE'length-1 downto 0);
begin
OREAD(L, tmp);
VALUE := To_X01(tmp);
end OREAD;
procedure OWRITE(L:inout LINE; VALUE:in STD_ULOGIC_VECTOR;
JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0) is
begin
OWRITE(L, To_bitvector(VALUE),JUSTIFIED, FIELD);
end OWRITE;
-- Octal Read and Write procedures for STD_LOGIC_VECTOR
procedure OREAD(L:inout LINE; VALUE:out STD_LOGIC_VECTOR) is
variable tmp: STD_ULOGIC_VECTOR(VALUE'length-1 downto 0);
begin
OREAD(L, tmp);
VALUE := STD_LOGIC_VECTOR(tmp);
end OREAD;
procedure OREAD(L:inout LINE; VALUE:out STD_LOGIC_VECTOR; GOOD: out BOOLEAN) is
variable tmp: STD_ULOGIC_VECTOR(VALUE'length-1 downto 0);
begin
OREAD(L, tmp, GOOD);
VALUE := STD_LOGIC_VECTOR(tmp);
end OREAD;
procedure OWRITE(L:inout LINE; VALUE:in STD_LOGIC_VECTOR;
JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0) is
begin
OWRITE(L, STD_ULOGIC_VECTOR(VALUE), JUSTIFIED, FIELD);
end OWRITE;
--synopsys synthesis_on
end STD_LOGIC_TEXTIO;
| mit | 59391995957d3d61a8350e6b685d3e31 | 0.606708 | 2.878387 | false | false | false | false |
tgingold/ghdl | testsuite/synth/mem01/tb_dpram2.vhdl | 1 | 823 | entity tb_dpram2 is
end tb_dpram2;
library ieee;
use ieee.std_logic_1164.all;
architecture behav of tb_dpram2 is
signal raddr : std_logic_vector(3 downto 0);
signal rdat : std_logic_vector(7 downto 0);
signal waddr : std_logic_vector(3 downto 0);
signal wdat : std_logic_vector(7 downto 0);
signal clk : std_logic;
begin
dut: entity work.dpram2
port map (raddr => raddr, rdat => rdat, waddr => waddr, wdat => wdat,
clk => clk);
process
procedure pulse is
begin
clk <= '0';
wait for 1 ns;
clk <= '1';
wait for 1 ns;
end pulse;
begin
raddr <= "0000";
waddr <= x"a";
wdat <= x"5a";
pulse;
raddr <= x"a";
waddr <= x"7";
wdat <= x"87";
pulse;
assert rdat = x"5a" severity failure;
wait;
end process;
end behav;
| gpl-2.0 | 6b2d191c19ad3ac5711cf70ce3ffaab5 | 0.585662 | 3.305221 | false | false | false | false |
tgingold/ghdl | testsuite/vests/vhdl-93/billowitch/compliant/tc1463.vhd | 4 | 1,839 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1463.vhd,v 1.2 2001-10-26 16:29:41 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s08b00x00p02n01i01463ent IS
END c08s08b00x00p02n01i01463ent;
ARCHITECTURE c08s08b00x00p02n01i01463arch OF c08s08b00x00p02n01i01463ent IS
BEGIN
TESTING: PROCESS
variable x : integer := 1;
variable k : integer := 0;
BEGIN
case x is
when 1 => k := 5;
when 2 => NULL;
when 3 => NULL;
when others => NULL;
end case;
assert NOT( k=5 )
report "***PASSED TEST: c08s08b00x00p02n01i01463"
severity NOTE;
assert ( k=5 )
report "***FAILED TEST: c08s08b00x00p02n01i01463 - missing reserved word 'when'"
severity ERROR;
wait;
END PROCESS TESTING;
END c08s08b00x00p02n01i01463arch;
| gpl-2.0 | dd0d6cc370d8cd0938249ab4799033fe | 0.650353 | 3.656064 | false | true | false | false |
tgingold/ghdl | testsuite/synth/asgn01/tb_asgn09.vhdl | 1 | 764 | entity tb_asgn09 is
end tb_asgn09;
library ieee;
use ieee.std_logic_1164.all;
architecture behav of tb_asgn09 is
signal a, b, c, d : std_logic_vector (1 downto 0);
signal sel : std_logic_vector(1 downto 0);
signal o : std_logic_vector (3 downto 0);
begin
dut: entity work.asgn09
port map (a, b, c, d, sel, o);
process
begin
a <= "10";
b <= "01";
c <= "00";
d <= "11";
sel <= "00";
wait for 1 ns;
assert o = "1110" severity failure;
sel <= "01";
wait for 1 ns;
assert o = "1101" severity failure;
sel <= "10";
wait for 1 ns;
assert o = "1100" severity failure;
sel <= "11";
wait for 1 ns;
assert o = "1111" severity failure;
wait;
end process;
end behav;
| gpl-2.0 | dc3349094d845813215319ec6a7896b1 | 0.562827 | 3.131148 | false | false | false | false |
tgingold/ghdl | testsuite/gna/issue50/idct.d/output_split3.vhd | 2 | 1,410 | library ieee;
use ieee.std_logic_1164.all;
library ieee;
use ieee.numeric_std.all;
entity output_split3 is
port (
wa0_data : in std_logic_vector(7 downto 0);
wa0_addr : in std_logic_vector(2 downto 0);
ra0_data : out std_logic_vector(7 downto 0);
ra0_addr : in std_logic_vector(2 downto 0);
wa0_en : in std_logic;
clk : in std_logic
);
end output_split3;
architecture augh of output_split3 is
-- Embedded RAM
type ram_type is array (0 to 7) of std_logic_vector(7 downto 0);
signal ram : ram_type := (others => (others => '0'));
-- Little utility functions to make VHDL syntactically correct
-- with the syntax to_integer(unsigned(vector)) when 'vector' is a std_logic.
-- This happens when accessing arrays with <= 2 cells, for example.
function to_integer(B: std_logic) return integer is
variable V: std_logic_vector(0 to 0);
begin
V(0) := B;
return to_integer(unsigned(V));
end;
function to_integer(V: std_logic_vector) return integer is
begin
return to_integer(unsigned(V));
end;
begin
-- Sequential process
-- It handles the Writes
process (clk)
begin
if rising_edge(clk) then
-- Write to the RAM
-- Note: there should be only one port.
if wa0_en = '1' then
ram( to_integer(wa0_addr) ) <= wa0_data;
end if;
end if;
end process;
-- The Read side (the outputs)
ra0_data <= ram( to_integer(ra0_addr) );
end architecture;
| gpl-2.0 | 9455470593992ef7e091d38e937f2a54 | 0.673759 | 2.895277 | false | false | false | false |
tgingold/ghdl | testsuite/gna/bug24326/tb_thingy9.vhdl | 2 | 353 | entity tb_thingy is
end tb_thingy;
architecture tb of tb_thingy is
component thingy is
generic (
a_a : integer
);
port (
x%x : in bit; -- <==
y_y : out bit
);
end component;
signal stimuli : bit;
signal response : bit;
begin
dut : thingy
generic map (
a_a => 42
)
port map (
x_x => stimuli,
y_y => response
);
end tb;
| gpl-2.0 | ca39631e4578feb2435f098061986e4d | 0.592068 | 2.557971 | false | false | false | false |
nickg/nvc | test/sem/varinit.vhd | 1 | 1,663 | entity computation is
end entity;
package my_logic is
type std_logic is ('0', '1');
type std_logic_vector is array (natural range <>) of std_logic;
type unsigned is array (natural range <>) of std_logic;
type signed is array (natural range <>) of std_logic;
function to_integer(x : unsigned) return integer;
end package;
use work.my_logic.all;
architecture foo of computation is
signal size :std_logic_vector (7 downto 0) := "00001001";
-- architecture declarative part
begin
UNLABELLED:
process
variable N: integer := to_integer(unsigned'("00000111")) ; ---WORKING
type memory is array (N downto 0 ) of std_logic_vector (31 downto 0 );
variable ram: memory;
begin
report "UNLABELLED memory left bound = " &integer'image(N);
wait;
end process;
OTHER:
process
variable N: integer:= to_integer (unsigned(size)) ; -- Not working
type memory is array (N downto 0 ) of std_logic_vector (31 downto 0 );
variable ram: memory;
begin
report "OTHER memory left bound = " &integer'image(N);
wait;
end process;
size <= "01000010" after 1 ns;
block1: block is
constant N: integer:= to_integer (unsigned(size)) ; -- Error
constant M: integer := size'length; -- OK
constant P: boolean := size'event; -- Error
begin
end block;
end architecture;
architecture bar of computation is
signal N : integer := 5;
signal bad : bit_vector(1 to N); -- Error
signal x : integer range 1 to N; -- Error
signal y : bit_vector(1 to bad'length); -- OK
begin
end architecture;
| gpl-3.0 | d658f98ce5528a94f353d169bdbc7684 | 0.628382 | 3.876457 | false | false | false | false |
tgingold/ghdl | testsuite/synth/synth121/fpadd_normalize_struct.vhdl | 1 | 6,171 | -- VHDL Entity work.FPadd_normalize.symbol
--
-- Created by
-- Guillermo Marcus, [email protected]
-- using Mentor Graphics FPGA Advantage tools.
--
-- Visit "http://fpga.mty.itesm.mx" for more info.
--
-- 2003-2004. V1.0
--
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
ENTITY FPadd_normalize IS
PORT(
EXP_in : IN std_logic_vector (7 DOWNTO 0);
SIG_in : IN std_logic_vector (27 DOWNTO 0);
EXP_out : OUT std_logic_vector (7 DOWNTO 0);
SIG_out : OUT std_logic_vector (27 DOWNTO 0);
zero : OUT std_logic
);
-- Declarations
END FPadd_normalize ;
--
-- VHDL Architecture work.FPadd_normalize.struct
--
-- Created by
-- Guillermo Marcus, [email protected]
-- using Mentor Graphics FPGA Advantage tools.
--
-- Visit "http://fpga.mty.itesm.mx" for more info.
--
-- Copyright 2003-2004. V1.0
--
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
USE ieee.std_logic_unsigned.all;
ARCHITECTURE struct OF FPadd_normalize IS
-- Architecture declarations
-- Internal signal declarations
SIGNAL EXP_lshift : std_logic_vector(7 DOWNTO 0);
SIGNAL EXP_rshift : std_logic_vector(7 DOWNTO 0);
SIGNAL SIG_lshift : std_logic_vector(27 DOWNTO 0);
SIGNAL SIG_rshift : std_logic_vector(27 DOWNTO 0);
SIGNAL add_in : std_logic_vector(7 DOWNTO 0);
SIGNAL cin : std_logic;
SIGNAL count : std_logic_vector(4 DOWNTO 0);
SIGNAL isDN : std_logic;
SIGNAL shift_RL : std_logic;
SIGNAL word : std_logic_vector(26 DOWNTO 0);
SIGNAL zero_int : std_logic;
SIGNAL denormal : std_logic;
SIGNAL lshift_cnt : std_logic_vector(4 DOWNTO 0);
-- Component Declarations
COMPONENT FPlzc
PORT (
word : IN std_logic_vector (26 DOWNTO 0);
zero : OUT std_logic ;
count : OUT std_logic_vector (4 DOWNTO 0)
);
END COMPONENT;
-- Optional embedded configurations
-- pragma synthesis_off
FOR ALL : FPlzc USE ENTITY work.FPlzc;
-- pragma synthesis_on
BEGIN
-- Architecture concurrent statements
-- HDL Embedded Text Block 1 eb1
-- eb1 1
SIG_rshift <= '0' & SIG_in(27 DOWNTO 2) & (SIG_in(1) AND SIG_in(0));
-- HDL Embedded Text Block 2 eb2
-- eb2 2
add_in <= "000" & count;
-- limit the count to the exponent value
PROCESS(count,EXP_in)
BEGIN
IF (signed(count) > signed(EXP_in)) THEN
lshift_cnt <= EXP_in(4 downto 0)-1;
denormal <= '1';
ELSE
lshift_cnt <= count;
denormal <= '0';
END IF;
END PROCESS;
-- HDL Embedded Text Block 3 eb3
-- eb3 3
PROCESS( isDN, shift_RL, EXP_lshift, EXP_rshift, EXP_in, SIG_lshift, SIG_rshift, SIG_in, denormal)
BEGIN
IF (isDN='1') THEN
EXP_out <= X"00";
SIG_out <= SIG_in;
ELSE
IF (shift_RL='1') THEN
-- Shift Right
IF (SIG_in(27)='1') THEN
EXP_out <= EXP_rshift;
SIG_out <= SIG_rshift;
ELSE
EXP_out <= EXP_in;
SIG_out <= SIG_in;
END IF;
ELSE
-- Shift Left
IF (denormal='1') THEN
EXP_out <= (OTHERS => '0');
SIG_out <= SIG_lshift;
ELSE
EXP_out <= EXP_lshift;
SIG_out <= SIG_lshift;
END IF;
END IF;
END IF;
END PROCESS;
-- HDL Embedded Text Block 4 eb4
-- eb4 4
zero <= zero_int AND NOT SIG_in(27);
-- HDL Embedded Text Block 5 eb5
-- eb5 5
word <= SIG_in(26 DOWNTO 0);
-- HDL Embedded Text Block 6 eb6
-- eb6 6
PROCESS(SIG_in,EXP_in)
BEGIN
IF (SIG_in(27)='0' AND SIG_in(26)='0' AND (EXP_in=X"01")) THEN
isDN <= '1';
shift_RL <= '0';
ELSIF (SIG_in(27)='0' AND SIG_in(26)='0' AND (EXP_in/=X"00")) THEN
isDN <= '0';
shift_RL <= '0';
ELSE
isDN <= '0';
shift_RL <= '1';
END IF;
END PROCESS;
-- ModuleWare code(v1.1) for instance 'I3' of 'gnd'
cin <= '0';
-- ModuleWare code(v1.1) for instance 'I4' of 'inc'
I4combo: PROCESS (EXP_in)
VARIABLE t0 : std_logic_vector(8 DOWNTO 0);
VARIABLE sum : signed(8 DOWNTO 0);
VARIABLE din_l : std_logic_vector(7 DOWNTO 0);
BEGIN
din_l := EXP_in;
t0 := din_l(7) & din_l;
sum := (signed(t0) + '1');
EXP_rshift <= conv_std_logic_vector(sum(7 DOWNTO 0),8);
END PROCESS I4combo;
-- ModuleWare code(v1.1) for instance 'I1' of 'lshift'
I1combo : PROCESS (SIG_in, lshift_cnt)
VARIABLE stemp : std_logic_vector (4 DOWNTO 0);
VARIABLE dtemp : std_logic_vector (27 DOWNTO 0);
VARIABLE temp : std_logic_vector (27 DOWNTO 0);
BEGIN
temp := (OTHERS=> 'X');
stemp := lshift_cnt;
temp := SIG_in;
FOR i IN 4 DOWNTO 0 LOOP
IF (i < 5) THEN
IF (stemp(i) = '1' OR stemp(i) = 'H') THEN
dtemp := (OTHERS => '0');
dtemp(27 DOWNTO 2**i) := temp(27 - 2**i DOWNTO 0);
ELSIF (stemp(i) = '0' OR stemp(i) = 'L') THEN
dtemp := temp;
ELSE
dtemp := (OTHERS => 'X');
END IF;
ELSE
IF (stemp(i) = '1' OR stemp(i) = 'H') THEN
dtemp := (OTHERS => '0');
ELSIF (stemp(i) = '0' OR stemp(i) = 'L') THEN
dtemp := temp;
ELSE
dtemp := (OTHERS => 'X');
END IF;
END IF;
temp := dtemp;
END LOOP;
SIG_lshift <= dtemp;
END PROCESS I1combo;
-- ModuleWare code(v1.1) for instance 'I2' of 'sub'
I2combo: PROCESS (EXP_in, add_in, cin)
VARIABLE mw_I2t0 : std_logic_vector(8 DOWNTO 0);
VARIABLE mw_I2t1 : std_logic_vector(8 DOWNTO 0);
VARIABLE diff : signed(8 DOWNTO 0);
VARIABLE borrow : std_logic;
BEGIN
mw_I2t0 := EXP_in(7) & EXP_in;
mw_I2t1 := add_in(7) & add_in;
borrow := cin;
diff := signed(mw_I2t0) - signed(mw_I2t1) - borrow;
EXP_lshift <= conv_std_logic_vector(diff(7 DOWNTO 0),8);
END PROCESS I2combo;
-- Instance port mappings.
I0 : FPlzc
PORT MAP (
word => word,
zero => zero_int,
count => count
);
END struct;
| gpl-2.0 | ae97658087b9940ef04dd20e1b1eaa1c | 0.558905 | 3.190796 | false | false | false | false |
nickg/nvc | test/sem/ports.vhd | 1 | 8,989 | package foo_pkg is
type my_int is range 0 to 100;
subtype my_int_sub is my_int range 10 to 20;
end package;
-------------------------------------------------------------------------------
use work.foo_pkg.all;
entity foo is
port (
o : out my_int;
i : in my_int );
end entity;
-------------------------------------------------------------------------------
architecture bar of foo is
begin
process is
variable x : my_int;
begin
x := i; -- OK
end process;
process is
variable x : my_int;
begin
-- Cannot read output
x := o;
end process;
process is
begin
o <= 24; -- OK
end process;
process is
begin
-- Cannot assign input
i <= 23;
end process;
end architecture;
-------------------------------------------------------------------------------
entity top is generic (str : string := "boo");
end entity;
use work.foo_pkg.all;
architecture test of top is
component foo is
port (
o : out my_int;
i : in my_int );
end component;
type int_vec is array (integer range <>) of integer;
component bar is
port (
i : in int_vec(1 to 10);
o : out int_vec(1 to 2) );
end component;
signal x, y : my_int;
begin
foo1: entity work.foo -- OK
port map (
o => x,
i => y );
foo2: entity work.foo -- OK
port map ( x, y );
foo3: entity work.foo
; -- Missing i association
foo4: entity work.foo -- Two associations for i
port map ( i => x, i => y,
o => x );
foo5: entity work.foo -- Too many ports
port map ( x, y, x, y );
foo6: entity work.foo -- No port cake
port map ( cake => 4 );
bad1: entity work.bad; -- No such entity
open1: entity work.foo -- OK
port map (
i => x,
o => open );
open2: entity work.foo -- Cannot use OPEN with input
port map (
i => open,
o => open );
foo7: foo -- OK
port map (
o => x,
i => y );
foo8: component foo -- OK
port map (
o => x,
i => y );
bad2: component x -- Not component
port map (
a => 1,
b => 2 );
b1: block is
signal x : int_vec(1 to 10);
signal y : int_vec(1 to 2);
signal k : integer;
begin
bar1: bar -- OK
port map (
o(1 to 10) => x(1 to 10),
i(1 to 2) => y(1 to 2) );
bar2: bar -- OK
port map (
o(1 to 4) => x(1 to 4),
o(5 to 10) => x(5 to 10),
i(1 to 2) => y(1 to 2) );
bar3: bar
port map (
o(1) => x(1),
o(2) => x(2),
o(3 to 10) => x(3 to 10),
i => y );
bar4: bar
port map (
o(1) => x(1),
o(2) => x(k), -- Error
o(3 to 10) => x(3 to 10),
i => y );
bar5: bar
port map (
o(1) => x(1),
o(q) => x(2), -- Error
o(3 to 10) => x(3 to 10),
i => y );
bar6: bar
port map (
o(1) => x(1),
o(2) => x(2),
o(3 to u) => x(3 to 10), -- Error
i => y );
bar7: bar
port map (
o(k) => x(1), -- Error
o(2) => x(2),
o(3 to 10) => x(3 to 10),
i => y );
bar8: bar
port map (
o(1) => x(1),
o(2) => x(2),
o(3 to k) => x(3 to 10), -- Error
i => y );
end block;
foo9: foo -- Error
port map (
o => x,
i => hello(5) );
foo10: foo
port map (
i => y ); -- OK
end architecture;
-------------------------------------------------------------------------------
architecture other of top is
type int_vec is array (integer range <>) of integer;
component comp1 is
port (
a : in integer := 5;
o : out int_vec );
end component;
signal s : int_vec(1 to 3);
begin
c1: component comp1 -- OK
port map (
a => open,
o => s );
c2: component comp1
port map (
a => 5,
o => open ); -- Error
c3: component comp1
port map (
a => 1.0, -- Error
o => s );
end architecture;
-------------------------------------------------------------------------------
architecture conv of top is
type int_vec1 is array (integer range <>) of integer;
type int_vec2 is array (integer range <>) of integer;
type my_int1 is range 0 to 1;
component comp1 is
port (
i : in int_vec1(1 to 3);
n : in my_int1 := 5;
o : out int_vec2(1 to 3) );
end component;
component comp2 is
port (
i : in int_vec1 );
end component;
component comp3 is
port (
b : out bit );
end component;
component comp4 is
port (
b : inout bit );
end component;
function func1(x : in bit) return my_int1;
function func2(x : in bit; y : in integer := 5) return my_int1;
function func3(x : in bit) return integer;
function func4(x : in integer) return bit;
signal x : int_vec1(1 to 3);
signal y : int_vec2(1 to 3);
signal z : bit;
signal i : integer;
shared variable b : bit;
begin
c1: component comp1
port map ( i => int_vec1(y) ); -- OK
c2: component comp2
port map ( i => int_vec1(y) ); -- Error
c3: component comp1
port map ( i => x,
n => func1(z) ); -- OK
c4: component comp1
port map ( i => x,
n => func2(z) ); -- Error
c6: component comp1
port map ( i => int_vec1(y),
o => int_vec2(x) ); -- Error
c7: component comp3
port map ( func3(b) => i ); -- OK
c8: component comp1
port map ( i => (1, 1, 1),
int_vec1(o) => x ); -- OK
c9: component comp1
port map ( int_vec2(i) => y, -- Error
int_vec1(o) => x );
c10: component comp3
port map ( func3(b) => open ); -- Error
c11: component comp4
port map ( func3(b) => func4(i) ); -- OK
c12: component comp4
port map ( '0' ); -- Error
c13: component comp4
port map ( b ); -- Error
end architecture;
entity ent_with_vec is
port ( x : in bit_vector(3 downto 0);
y : out bit_vector(3 downto 0) );
end entity;
architecture test of ent_with_vec is
begin
x(1) <= '0'; -- Error
y(1) <= y(0); -- Error
end architecture;
-------------------------------------------------------------------------------
architecture other2 of top is
procedure assign(x : out integer) is
begin
x := 5;
end procedure;
procedure assign_and_check(x : inout integer) is
begin
assign(x); -- OK
assert x = 5;
end procedure;
procedure bad(variable x : in integer) is
begin
assign(x);
end procedure;
begin
end architecture;
-------------------------------------------------------------------------------
architecture actual_func of top is
component comp is
port (
i : in integer );
end component;
signal s : integer;
function "not"(x : integer) return integer;
function foo(x : integer; y : integer := 2) return integer;
begin
c1: component comp
port map (
i => "not"(s) ); -- OK
c2: component comp
port map (
i => not s ); -- Error, not treated as conversion func
c3: component comp
port map (
i => foo(s) ); -- Error, not treated as conversion func
c4: component comp
port map (
i => "not"(1) ); -- OK (not a conversion function)
c5: component comp
port map (
"not"(5) => s ); -- Error
end architecture;
| gpl-3.0 | 4c347b631f13876c474850f9e1eee4fa | 0.387362 | 4.087767 | false | false | false | false |
Darkin47/Zynq-TX-UTT | Vivado/Hist_Stretch/Hist_Stretch.srcs/sources_1/bd/design_1/ip/design_1_axi_timer_0_0/synth/design_1_axi_timer_0_0.vhd | 1 | 9,208 | -- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:axi_timer:2.0
-- IP Revision: 10
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY design_1_axi_timer_0_0 IS
PORT (
capturetrig0 : IN STD_LOGIC;
capturetrig1 : IN STD_LOGIC;
generateout0 : OUT STD_LOGIC;
generateout1 : OUT STD_LOGIC;
pwm0 : OUT STD_LOGIC;
interrupt : OUT STD_LOGIC;
freeze : IN STD_LOGIC;
s_axi_aclk : IN STD_LOGIC;
s_axi_aresetn : IN STD_LOGIC;
s_axi_awaddr : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_araddr : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC
);
END design_1_axi_timer_0_0;
ARCHITECTURE design_1_axi_timer_0_0_arch OF design_1_axi_timer_0_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF design_1_axi_timer_0_0_arch: ARCHITECTURE IS "yes";
COMPONENT axi_timer IS
GENERIC (
C_FAMILY : STRING;
C_COUNT_WIDTH : INTEGER;
C_ONE_TIMER_ONLY : INTEGER;
C_TRIG0_ASSERT : STD_LOGIC;
C_TRIG1_ASSERT : STD_LOGIC;
C_GEN0_ASSERT : STD_LOGIC;
C_GEN1_ASSERT : STD_LOGIC;
C_S_AXI_DATA_WIDTH : INTEGER;
C_S_AXI_ADDR_WIDTH : INTEGER
);
PORT (
capturetrig0 : IN STD_LOGIC;
capturetrig1 : IN STD_LOGIC;
generateout0 : OUT STD_LOGIC;
generateout1 : OUT STD_LOGIC;
pwm0 : OUT STD_LOGIC;
interrupt : OUT STD_LOGIC;
freeze : IN STD_LOGIC;
s_axi_aclk : IN STD_LOGIC;
s_axi_aresetn : IN STD_LOGIC;
s_axi_awaddr : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_araddr : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC
);
END COMPONENT axi_timer;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF design_1_axi_timer_0_0_arch: ARCHITECTURE IS "axi_timer,Vivado 2016.1";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF design_1_axi_timer_0_0_arch : ARCHITECTURE IS "design_1_axi_timer_0_0,axi_timer,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF design_1_axi_timer_0_0_arch: ARCHITECTURE IS "design_1_axi_timer_0_0,axi_timer,{x_ipProduct=Vivado 2016.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=axi_timer,x_ipVersion=2.0,x_ipCoreRevision=10,x_ipLanguage=VHDL,x_ipSimLanguage=VHDL,C_FAMILY=zynq,C_COUNT_WIDTH=32,C_ONE_TIMER_ONLY=0,C_TRIG0_ASSERT=1,C_TRIG1_ASSERT=1,C_GEN0_ASSERT=1,C_GEN1_ASSERT=1,C_S_AXI_DATA_WIDTH=32,C_S_AXI_ADDR_WIDTH=5}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF interrupt: SIGNAL IS "xilinx.com:signal:interrupt:1.0 INTERRUPT INTERRUPT";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 S_AXI_ACLK CLK";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 S_AXI_RST RST";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WSTRB";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BRESP";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RRESP";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RREADY";
BEGIN
U0 : axi_timer
GENERIC MAP (
C_FAMILY => "zynq",
C_COUNT_WIDTH => 32,
C_ONE_TIMER_ONLY => 0,
C_TRIG0_ASSERT => '1',
C_TRIG1_ASSERT => '1',
C_GEN0_ASSERT => '1',
C_GEN1_ASSERT => '1',
C_S_AXI_DATA_WIDTH => 32,
C_S_AXI_ADDR_WIDTH => 5
)
PORT MAP (
capturetrig0 => capturetrig0,
capturetrig1 => capturetrig1,
generateout0 => generateout0,
generateout1 => generateout1,
pwm0 => pwm0,
interrupt => interrupt,
freeze => freeze,
s_axi_aclk => s_axi_aclk,
s_axi_aresetn => s_axi_aresetn,
s_axi_awaddr => s_axi_awaddr,
s_axi_awvalid => s_axi_awvalid,
s_axi_awready => s_axi_awready,
s_axi_wdata => s_axi_wdata,
s_axi_wstrb => s_axi_wstrb,
s_axi_wvalid => s_axi_wvalid,
s_axi_wready => s_axi_wready,
s_axi_bresp => s_axi_bresp,
s_axi_bvalid => s_axi_bvalid,
s_axi_bready => s_axi_bready,
s_axi_araddr => s_axi_araddr,
s_axi_arvalid => s_axi_arvalid,
s_axi_arready => s_axi_arready,
s_axi_rdata => s_axi_rdata,
s_axi_rresp => s_axi_rresp,
s_axi_rvalid => s_axi_rvalid,
s_axi_rready => s_axi_rready
);
END design_1_axi_timer_0_0_arch;
| gpl-3.0 | b514f6a585092f8a88e57fefaf3921a4 | 0.690921 | 3.289746 | false | false | false | false |
tgingold/ghdl | testsuite/vests/vhdl-93/ashenden/compliant/ch_13_fg_13_23.vhd | 4 | 4,342 |
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_13_fg_13_23.vhd,v 1.2 2001-10-26 16:29:35 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
library ieee; use ieee.std_logic_1164.all;
entity nor_gate is
generic ( width : positive;
Tpd01, Tpd10 : delay_length );
port ( input : in std_logic_vector(0 to width - 1);
output : out std_logic );
end entity nor_gate;
architecture primitive of nor_gate is
function max ( a, b : delay_length ) return delay_length is
begin
if a > b then
return a;
else
return b;
end if;
end function max;
begin
reducer : process (input) is
variable result : std_logic;
begin
result := '0';
for index in input'range loop
result := result or input(index);
end loop;
if not result = '1' then
output <= not result after Tpd01;
elsif not result = '0' then
output <= not result after Tpd10;
else
output <= not result after max(Tpd01, Tpd10);
end if;
end process reducer;
end architecture primitive;
library ieee; use ieee.std_logic_1164.all;
library cell_lib;
entity interlock_control is
end entity interlock_control;
-- code from book
architecture detailed_timing of interlock_control is
component nor_gate is
generic ( input_width : positive );
port ( input : in std_logic_vector(0 to input_width - 1);
output : out std_logic );
end component nor_gate;
for ex_interlock_gate : nor_gate
use entity cell_lib.nor_gate(primitive)
generic map ( width => input_width,
Tpd01 => 250 ps, Tpd10 => 200 ps ); -- estimates
-- . . .
-- not in book
signal reg_access_hazard, load_hazard, stall_ex_n : std_logic;
-- end not in book
begin
ex_interlock_gate : component nor_gate
generic map ( input_width => 2 )
port map ( input(0) => reg_access_hazard,
input(1) => load_hazard,
output => stall_ex_n);
-- . . .
-- not in book
reg_access_hazard <= '0' after 10 ns, '1' after 20 ns, 'X' after 30 ns;
load_hazard <= '0' after 2 ns, '1' after 4 ns, 'X' after 6 ns,
'0' after 12 ns, '1' after 14 ns, 'X' after 16 ns,
'0' after 22 ns, '1' after 24 ns, 'X' after 26 ns,
'0' after 32 ns, '1' after 34 ns, 'X' after 36 ns;
-- end not in book
end architecture detailed_timing;
-- end code from book
| gpl-2.0 | 8858477972be9d1554f7d30aa14f85af | 0.461999 | 4.962286 | false | false | false | false |
tgingold/ghdl | testsuite/synth/synth109/ram4.vhdl | 1 | 1,561 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity ram4 is
generic (
WIDTHB : integer := 32;
SIZEB : integer := 64;
ADDRWIDTHB : integer := 6
);
port (
clkB : in std_logic;
enB : in std_logic;
weB : in std_logic;
addrB : in std_logic_vector(ADDRWIDTHB-1 downto 0);
diB : in std_logic_vector(WIDTHB-1 downto 0);
doB : out std_logic_vector(WIDTHB-1 downto 0)
);
end ram4;
architecture behavioral of ram4 is
constant WIDTH : natural := WIDTHB / 4;
constant SIZE : natural := SIZEB * 4;
type ramType is array (0 to SIZE-1) of std_logic_vector(WIDTH-1 downto 0);
shared variable ram : ramType := (others => (others => '0'));
begin
process (clkB)
begin
if rising_edge(clkB) then
if enB = '1' then
if weB = '1' then
ram(to_integer(unsigned(addrB)&"00")) := diB(WIDTH-1 downto 0);
ram(to_integer(unsigned(addrB)&"01")) := diB(2*WIDTH-1 downto WIDTH);
ram(to_integer(unsigned(addrB)&"10")) := diB(3*WIDTH-1 downto 2*WIDTH);
ram(to_integer(unsigned(addrB)&"11")) := diB(4*WIDTH-1 downto 3*WIDTH);
end if;
doB(WIDTH-1 downto 0) <= ram(to_integer(unsigned(addrB)&"00"));
doB(2*WIDTH-1 downto WIDTH) <= ram(to_integer(unsigned(addrB)&"01"));
doB(3*WIDTH-1 downto 2*WIDTH) <= ram(to_integer(unsigned(addrB)&"10"));
doB(4*WIDTH-1 downto 3*WIDTH) <= ram(to_integer(unsigned(addrB)&"11"));
end if;
end if;
end process;
end behavioral;
| gpl-2.0 | 478daa8805e83e87f61c76707fab2139 | 0.59385 | 3.258873 | false | false | false | false |
tgingold/ghdl | testsuite/synth/issue1080/repro2.vhdl | 1 | 3,078 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity repro2 is
end repro2;
architecture behav of repro2 is
constant ERR_COUNT : natural := 3;
subtype byte_t is std_logic_vector(7 downto 0);
function I2S(a: integer; l: integer) return std_logic_vector is
begin
return std_logic_vector(TO_UNSIGNED(a,l));
end;
-- Generics and arrays don't mix; use this function to index.
constant TOTAL_MSGS : integer := ERR_COUNT + 2;
subtype msgidx_t is integer range 0 to TOTAL_MSGS-1;
impure function get_err_msg(n : integer) return string is
begin
case n is
when 0 => return "OK";
when 1 => return "START";
when 2 => return "ERR_MSG00"; -- Note +2 offset
when 3 => return "ERR_MSG01-1";
when 4 => return "ERR_MSG02--2";
when 5 => return "ERR_MSG03";
when 6 => return "ERR_MSG04";
when 7 => return "ERR_MSG05";
when 8 => return "ERR_MSG06";
when 9 => return "ERR_MSG07";
when 10 => return "ERR_MSG08";
when 11 => return "ERR_MSG09";
when 12 => return "ERR_MSG10";
when 13 => return "ERR_MSG11";
when 14 => return "ERR_MSG12";
when 15 => return "ERR_MSG13";
when 16 => return "ERR_MSG14";
when 17 => return "ERR_MSG15";
when others => return "UNK";
end case;
end function;
impure function get_err_len(n : msgidx_t) return integer is
constant msg : string := get_err_msg(n);
begin
return msg'length;
end function;
-- Calculate total length of all active messages (including startup).
impure function get_total_bytes return integer is
constant EXTRA_CHARS : integer := 2; -- Msg + CR + LF
variable total : integer := 0;
begin
for n in 0 to TOTAL_MSGS-1 loop
total := total + get_err_len(n) + EXTRA_CHARS;
end loop;
return total;
end function;
constant TOTAL_BYTES : integer := get_total_bytes;
-- Define terminal newline characters (CR+LF)
constant NEWLINE_CR : byte_t := i2s(13, 8);
constant NEWLINE_LF : byte_t := i2s(10, 8);
-- Create ROM array with all concatenated messages.
type array_t is array(0 to TOTAL_BYTES-1) of byte_t;
subtype romaddr_t is integer range 0 to TOTAL_BYTES-1;
impure function get_msg_array return array_t is
variable result : array_t := (others => (others => '0'));
variable ridx : integer := 0;
procedure append(constant msg : string) is
begin
-- Append the message to the output array.
for c in 0 to msg'length-1 loop
result(ridx) := i2s(character'pos(msg(msg'left+c)), 8);
ridx := ridx + 1;
end loop;
-- Then append the CR+LF characters.
result(ridx+0) := NEWLINE_CR;
result(ridx+1) := NEWLINE_LF;
ridx := ridx + 2;
end procedure;
begin
-- For each fixed message...
for n in 0 to TOTAL_MSGS-1 loop
append(get_err_msg(n));
end loop;
return result;
end function;
constant MESSAGE_ROM : array_t := get_msg_array;
begin
end behav;
| gpl-2.0 | a4da9d71e462d24aacfd1eaa4c719105 | 0.611111 | 3.521739 | false | false | false | false |
tgingold/ghdl | testsuite/gna/issue476/repro2/test_op.vhd | 2 | 1,818 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity test_op is
generic (
NBITS : natural := 1;
NBR_OF_CHROMA : natural := 1;
NBR_OF_ROW : natural := 1;
NBR_OF_COL : natural := 1;
NBR_OF_MATRIX : natural := 1);
port (
signal clock, rst : in std_logic;
signal in_data : in std_logic_vector(NBR_OF_MATRIX*NBR_OF_COL*NBR_OF_ROW*NBR_OF_CHROMA*NBITS-1 downto 0));
end entity test_op;
architecture rtl of test_op is
package local_pixel_pkg is new work.pixel_pkg
generic map (
NBITS => NBITS,
NBR_OF_CHROMA => NBR_OF_CHROMA
);
package local_pixel_column_pkg is new work.pixel_column_pkg
generic map (
NBITS => NBITS,
NBR_OF_CHROMA => NBR_OF_CHROMA,
NBR_OF_ROW => NBR_OF_ROW,
local_pixel_pkg => local_pixel_pkg
);
package local_pixel_matrix_pkg is new work.pixel_matrix_pkg
generic map (
NBITS => NBITS,
NBR_OF_CHROMA => NBR_OF_CHROMA,
NBR_OF_ROW => NBR_OF_ROW,
NBR_OF_COL => NBR_OF_COL,
local_pixel_column_pkg => local_pixel_column_pkg
);
use local_pixel_matrix_pkg.all;
signal input_pixel_matrix : TYPE_PIXEL_MATRIX;
begin
-- As soon as a function from the local_pixel_matrix_pkg is used it breaks
input_pixel_matrix <= std_logic_vector_to_pixel_matrix(in_data(NBR_OF_COL*NBR_OF_ROW*NBR_OF_CHROMA*NBITS-1 downto 0));
end architecture rtl;
| gpl-2.0 | a753daa2d782382d54d18d8ca4322cc3 | 0.49945 | 3.884615 | false | true | false | false |
nickg/nvc | test/regress/proc12.vhd | 1 | 1,052 | package pack is
procedure check (i, o : integer);
procedure debug (i, o : integer);
end package;
package body pack is
procedure check (i, o : integer) is
begin
assert i < o;
end procedure;
procedure debug (i, o : integer) is
begin
report "i=" & integer'image(i) & " o=" & integer'image(o);
end procedure;
end package body;
-------------------------------------------------------------------------------
use work.pack.all;
entity sub is
port ( i : in integer;
o : out integer := integer'right );
begin
debug(i, o);
postponed check(i, o);
end entity;
architecture test of sub is
begin
o <= i + 1;
end architecture;
-------------------------------------------------------------------------------
entity proc12 is
end entity;
architecture test of proc12 is
signal i, o : integer;
begin
u: entity work.sub port map (i, o);
p1: process is
begin
i <= 5;
wait for 1 ns;
assert o = 6;
wait;
end process;
end architecture;
| gpl-3.0 | e654e0d67a7d4d0d98972aefc3011c4a | 0.501901 | 4.046154 | false | false | false | false |
nickg/nvc | test/regress/vests7.vhd | 1 | 50,336 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc517.vhd,v 1.2 2001-10-26 16:29:55 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
PACKAGE c03s03b00x00p03n04i00517pkg IS
--
-- Index types for array declarations
--
SUBTYPE st_ind1 IS INTEGER RANGE 1 TO 8; -- index from 1 (POSITIVE)
SUBTYPE st_ind2 IS INTEGER RANGE 0 TO 3; -- index from 0 (NATURAL)
SUBTYPE st_ind3 IS CHARACTER RANGE 'a' TO 'd'; -- non-INTEGER index
SUBTYPE st_ind4 IS INTEGER RANGE 0 DOWNTO -3; -- descending range
--
-- Scalar type for subelements
--
SUBTYPE st_scl1 IS CHARACTER ;
SUBTYPE st_scl3 IS INTEGER RANGE 1 TO INTEGER'HIGH;
SUBTYPE st_scl4 IS REAL RANGE 0.0 TO 1024.0;
-- -----------------------------------------------------------------------------------------
-- Composite type declarations
-- -----------------------------------------------------------------------------------------
--
-- Records of scalars
--
TYPE t_scre_1 IS RECORD
left : st_scl1;
second : TIME;
third : st_scl3;
right : st_scl4;
END RECORD;
--
-- Unconstrained arrays of scalars
--
TYPE t_usa1_1 IS ARRAY (st_ind1 RANGE <>) OF st_scl1;
TYPE t_usa1_2 IS ARRAY (st_ind2 RANGE <>) OF TIME;
TYPE t_usa1_3 IS ARRAY (st_ind3 RANGE <>) OF st_scl3;
TYPE t_usa1_4 IS ARRAY (st_ind4 RANGE <>) OF st_scl4;
TYPE t_usa2_1 IS ARRAY (st_ind2 RANGE <>,
st_ind1 RANGE <>) OF st_scl1;
TYPE t_usa3_1 IS ARRAY (st_ind3 RANGE <>,
st_ind2 RANGE <>,
st_ind1 RANGE <>) OF st_scl1;
TYPE t_usa4_1 IS ARRAY (st_ind4 RANGE <>,
st_ind3 RANGE <>,
st_ind2 RANGE <>,
st_ind1 RANGE <>) OF st_scl1;
--
--
-- Constrained arrays of scalars (make compatable with unconstrained types
--
SUBTYPE t_csa1_1 IS t_usa1_1 (st_ind1 );
SUBTYPE t_csa1_2 IS t_usa1_2 (st_ind2 );
SUBTYPE t_csa1_3 IS t_usa1_3 (st_ind3 );
SUBTYPE t_csa1_4 IS t_usa1_4 (st_ind4 );
SUBTYPE t_csa2_1 IS t_usa2_1 (st_ind2 , -- ( i2, i1 ) of CHAR
st_ind1 );
SUBTYPE t_csa3_1 IS t_usa3_1 (st_ind3 , -- ( i3, i2, i1) of CHAR
st_ind2 ,
st_ind1 );
SUBTYPE t_csa4_1 IS t_usa4_1 (st_ind4 , -- ( i4, i3, i2, i1 ) of CHAR
st_ind3 ,
st_ind2 ,
st_ind1 );
--
--
-- constrained arrays of composites
--
TYPE t_cca1_1 IS ARRAY (st_ind1) OF t_scre_1; -- ( i1 ) is RECORD of scalar
TYPE t_cca1_2 IS ARRAY (st_ind2) OF t_csa1_1; -- ( i2 )( i1 ) is CHAR
TYPE t_cca1_3 IS ARRAY (st_ind3) OF t_cca1_2; -- ( i3 )( i2 )( i1 ) is CHAR
TYPE t_cca1_4 IS ARRAY (st_ind4) OF t_cca1_3; -- ( i4 )( i3 )( i2 )( i1 ) is CHAR
TYPE t_cca2_1 IS ARRAY (st_ind3) OF t_csa2_1; -- ( i3 )( i2, i1 ) is CHAR
TYPE t_cca2_2 IS ARRAY (st_ind4, -- ( i4, i3 )( i2, i1 ) of CHAR
st_ind3) OF t_csa2_1;
TYPE t_cca3_1 IS ARRAY (st_ind4, -- ( i4, i3, i2 )( i1 ) of CHAR
st_ind3,
st_ind2) OF t_csa1_1;
TYPE t_cca3_2 IS ARRAY (st_ind4) OF t_csa3_1; -- ( i4 )( i3, i2, i1 ) is CHAR
--
-- Records of composites
--
TYPE t_cmre_1 IS RECORD
left : t_csa1_1; -- .fN(i1) is CHAR
second : t_scre_1; -- .fN.fN
END RECORD;
TYPE t_cmre_2 IS RECORD
left ,
second ,
third ,
right : t_csa1_1; -- .fN(i1) is CHAR
END RECORD;
--
-- Mixed Records/arrays
--
TYPE t_cca1_7 IS ARRAY (st_ind3) OF t_cmre_2; -- (i3).fN(i1) is CHAR
TYPE t_cmre_3 IS RECORD
left ,
second ,
third ,
right : t_cca1_7; -- .fN(i3).fN(i1) is CHAR
END RECORD;
--
-- TYPE declarations for resolution function (Constrained types only)
--
TYPE t_scre_1_vct IS ARRAY (POSITIVE RANGE <>) OF t_scre_1;
TYPE t_csa1_1_vct IS ARRAY (POSITIVE RANGE <>) OF t_csa1_1;
TYPE t_csa1_2_vct IS ARRAY (POSITIVE RANGE <>) OF t_csa1_2;
TYPE t_csa1_3_vct IS ARRAY (POSITIVE RANGE <>) OF t_csa1_3;
TYPE t_csa1_4_vct IS ARRAY (POSITIVE RANGE <>) OF t_csa1_4;
TYPE t_csa2_1_vct IS ARRAY (POSITIVE RANGE <>) OF t_csa2_1;
TYPE t_csa3_1_vct IS ARRAY (POSITIVE RANGE <>) OF t_csa3_1;
TYPE t_csa4_1_vct IS ARRAY (POSITIVE RANGE <>) OF t_csa4_1;
TYPE t_cca1_1_vct IS ARRAY (POSITIVE RANGE <>) OF t_cca1_1;
TYPE t_cca1_2_vct IS ARRAY (POSITIVE RANGE <>) OF t_cca1_2;
TYPE t_cca1_3_vct IS ARRAY (POSITIVE RANGE <>) OF t_cca1_3;
TYPE t_cca1_4_vct IS ARRAY (POSITIVE RANGE <>) OF t_cca1_4;
TYPE t_cca2_1_vct IS ARRAY (POSITIVE RANGE <>) OF t_cca2_1;
TYPE t_cca2_2_vct IS ARRAY (POSITIVE RANGE <>) OF t_cca2_2;
TYPE t_cca3_1_vct IS ARRAY (POSITIVE RANGE <>) OF t_cca3_1;
TYPE t_cca3_2_vct IS ARRAY (POSITIVE RANGE <>) OF t_cca3_2;
TYPE t_cmre_1_vct IS ARRAY (POSITIVE RANGE <>) OF t_cmre_1;
TYPE t_cmre_2_vct IS ARRAY (POSITIVE RANGE <>) OF t_cmre_2;
TYPE t_cca1_7_vct IS ARRAY (POSITIVE RANGE <>) OF t_cca1_7;
TYPE t_cmre_3_vct IS ARRAY (POSITIVE RANGE <>) OF t_cmre_3;
--
-- Declaration of Resolution Functions
--
FUNCTION rf_scre_1 ( v: t_scre_1_vct ) RETURN t_scre_1;
FUNCTION rf_csa1_1 ( v: t_csa1_1_vct ) RETURN t_csa1_1;
FUNCTION rf_csa1_2 ( v: t_csa1_2_vct ) RETURN t_csa1_2;
FUNCTION rf_csa1_3 ( v: t_csa1_3_vct ) RETURN t_csa1_3;
FUNCTION rf_csa1_4 ( v: t_csa1_4_vct ) RETURN t_csa1_4;
FUNCTION rf_csa2_1 ( v: t_csa2_1_vct ) RETURN t_csa2_1;
FUNCTION rf_csa3_1 ( v: t_csa3_1_vct ) RETURN t_csa3_1;
FUNCTION rf_csa4_1 ( v: t_csa4_1_vct ) RETURN t_csa4_1;
FUNCTION rf_cca1_1 ( v: t_cca1_1_vct ) RETURN t_cca1_1;
FUNCTION rf_cca1_2 ( v: t_cca1_2_vct ) RETURN t_cca1_2;
FUNCTION rf_cca1_3 ( v: t_cca1_3_vct ) RETURN t_cca1_3;
FUNCTION rf_cca1_4 ( v: t_cca1_4_vct ) RETURN t_cca1_4;
FUNCTION rf_cca2_1 ( v: t_cca2_1_vct ) RETURN t_cca2_1;
FUNCTION rf_cca2_2 ( v: t_cca2_2_vct ) RETURN t_cca2_2;
FUNCTION rf_cca3_1 ( v: t_cca3_1_vct ) RETURN t_cca3_1;
FUNCTION rf_cca3_2 ( v: t_cca3_2_vct ) RETURN t_cca3_2;
FUNCTION rf_cmre_1 ( v: t_cmre_1_vct ) RETURN t_cmre_1;
FUNCTION rf_cmre_2 ( v: t_cmre_2_vct ) RETURN t_cmre_2;
FUNCTION rf_cca1_7 ( v: t_cca1_7_vct ) RETURN t_cca1_7;
FUNCTION rf_cmre_3 ( v: t_cmre_3_vct ) RETURN t_cmre_3;
--
-- Resolved SUBTYPE declaration
--
SUBTYPE rst_scre_1 IS rf_scre_1 t_scre_1 ;
SUBTYPE rst_csa1_1 IS rf_csa1_1 t_csa1_1 ;
SUBTYPE rst_csa1_2 IS rf_csa1_2 t_csa1_2 ;
SUBTYPE rst_csa1_3 IS rf_csa1_3 t_csa1_3 ;
SUBTYPE rst_csa1_4 IS rf_csa1_4 t_csa1_4 ;
SUBTYPE rst_csa2_1 IS rf_csa2_1 t_csa2_1 ;
SUBTYPE rst_csa3_1 IS rf_csa3_1 t_csa3_1 ;
SUBTYPE rst_csa4_1 IS rf_csa4_1 t_csa4_1 ;
SUBTYPE rst_cca1_1 IS rf_cca1_1 t_cca1_1 ;
SUBTYPE rst_cca1_2 IS rf_cca1_2 t_cca1_2 ;
SUBTYPE rst_cca1_3 IS rf_cca1_3 t_cca1_3 ;
SUBTYPE rst_cca1_4 IS rf_cca1_4 t_cca1_4 ;
SUBTYPE rst_cca2_1 IS rf_cca2_1 t_cca2_1 ;
SUBTYPE rst_cca2_2 IS rf_cca2_2 t_cca2_2 ;
SUBTYPE rst_cca3_1 IS rf_cca3_1 t_cca3_1 ;
SUBTYPE rst_cca3_2 IS rf_cca3_2 t_cca3_2 ;
SUBTYPE rst_cmre_1 IS rf_cmre_1 t_cmre_1 ;
SUBTYPE rst_cmre_2 IS rf_cmre_2 t_cmre_2 ;
SUBTYPE rst_cca1_7 IS rf_cca1_7 t_cca1_7 ;
SUBTYPE rst_cmre_3 IS rf_cmre_3 t_cmre_3 ;
--
-- Functions declarations for multi-dimensional comosite values
--
FUNCTION F_csa2_1 ( v0,v2 : IN st_scl1 ) RETURN t_csa2_1 ;
FUNCTION F_csa3_1 ( v0,v2 : IN st_scl1 ) RETURN t_csa3_1 ;
FUNCTION F_csa4_1 ( v0,v2 : IN st_scl1 ) RETURN t_csa4_1 ;
FUNCTION F_cca2_2 ( v0,v2 : IN t_csa2_1 ) RETURN t_cca2_2 ;
FUNCTION F_cca3_1 ( v0,v2 : IN t_csa1_1 ) RETURN t_cca3_1 ;
-- -------------------------------------------------------------------------------------------
-- Data values for Composite Types
-- -------------------------------------------------------------------------------------------
CONSTANT CX_scl1 : st_scl1 := 'X' ;
CONSTANT C0_scl1 : st_scl1 := st_scl1'LEFT ;
CONSTANT C1_scl1 : st_scl1 := 'A' ;
CONSTANT C2_scl1 : st_scl1 := 'Z' ;
CONSTANT CX_scl2 : TIME := 99 fs ;
CONSTANT C0_scl2 : TIME := TIME'LEFT ;
CONSTANT C1_scl2 : TIME := 0 fs;
CONSTANT C2_scl2 : TIME := 2 ns;
CONSTANT CX_scl3 : st_scl3 := 15 ;
CONSTANT C0_scl3 : st_scl3 := st_scl3'LEFT ;
CONSTANT C1_scl3 : st_scl3 := 6 ;
CONSTANT C2_scl3 : st_scl3 := 8 ;
CONSTANT CX_scl4 : st_scl4 := 99.9 ;
CONSTANT C0_scl4 : st_scl4 := st_scl4'LEFT ;
CONSTANT C1_scl4 : st_scl4 := 1.0 ;
CONSTANT C2_scl4 : st_scl4 := 2.1 ;
CONSTANT CX_scre_1 : t_scre_1 := ( CX_scl1, CX_scl2, CX_scl3, CX_scl4 );
CONSTANT C0_scre_1 : t_scre_1 := ( C0_scl1, C0_scl2, C0_scl3, C0_scl4 );
CONSTANT C1_scre_1 : t_scre_1 := ( C1_scl1, C1_scl2, C1_scl3, C1_scl4 );
CONSTANT C2_scre_1 : t_scre_1 := ( C2_scl1, C0_scl2, C0_scl3, C2_scl4 );
CONSTANT CX_csa1_1 : t_csa1_1 := ( OTHERS=>CX_scl1);
CONSTANT C0_csa1_1 : t_csa1_1 := ( OTHERS=>C0_scl1);
CONSTANT C1_csa1_1 : t_csa1_1 := ( OTHERS=>C1_scl1);
CONSTANT C2_csa1_1 : t_csa1_1 := ( t_csa1_1'LEFT|t_csa1_1'RIGHT=>C2_scl1,
OTHERS =>C0_scl1);
CONSTANT CX_csa1_2 : t_csa1_2 := ( OTHERS=>CX_scl2);
CONSTANT C0_csa1_2 : t_csa1_2 := ( OTHERS=>C0_scl2);
CONSTANT C1_csa1_2 : t_csa1_2 := ( OTHERS=>C1_scl2);
CONSTANT C2_csa1_2 : t_csa1_2 := ( t_csa1_2'LEFT|t_csa1_2'RIGHT=>C2_scl2,
OTHERS =>C0_scl2);
CONSTANT CX_csa1_3 : t_csa1_3 := ( OTHERS=>CX_scl3);
CONSTANT C0_csa1_3 : t_csa1_3 := ( OTHERS=>C0_scl3);
CONSTANT C1_csa1_3 : t_csa1_3 := ( OTHERS=>C1_scl3);
CONSTANT C2_csa1_3 : t_csa1_3 := ( t_csa1_3'LEFT|t_csa1_3'RIGHT=>C2_scl3,
OTHERS =>C0_scl3);
CONSTANT CX_csa1_4 : t_csa1_4 := ( OTHERS=>CX_scl4);
CONSTANT C0_csa1_4 : t_csa1_4 := ( OTHERS=>C0_scl4);
CONSTANT C1_csa1_4 : t_csa1_4 := ( OTHERS=>C1_scl4);
CONSTANT C2_csa1_4 : t_csa1_4 := ( t_csa1_4'LEFT|t_csa1_4'RIGHT=>C2_scl4,
OTHERS =>C0_scl4);
--
CONSTANT CX_csa2_1 : t_csa2_1 ;
CONSTANT C0_csa2_1 : t_csa2_1 ;
CONSTANT C1_csa2_1 : t_csa2_1 ;
CONSTANT C2_csa2_1 : t_csa2_1 ;
CONSTANT CX_csa3_1 : t_csa3_1 ;
CONSTANT C0_csa3_1 : t_csa3_1 ;
CONSTANT C1_csa3_1 : t_csa3_1 ;
CONSTANT C2_csa3_1 : t_csa3_1 ;
CONSTANT CX_csa4_1 : t_csa4_1 ;
CONSTANT C0_csa4_1 : t_csa4_1 ;
CONSTANT C1_csa4_1 : t_csa4_1 ;
CONSTANT C2_csa4_1 : t_csa4_1 ;
--
CONSTANT CX_cca1_1 : t_cca1_1 := ( OTHERS=>CX_scre_1 );
CONSTANT C0_cca1_1 : t_cca1_1 := ( OTHERS=>C0_scre_1 );
CONSTANT C1_cca1_1 : t_cca1_1 := ( OTHERS=>C1_scre_1 );
CONSTANT C2_cca1_1 : t_cca1_1 := ( C2_scre_1, C0_scre_1, C0_scre_1, C0_scre_1,
C0_scre_1, C0_scre_1, C0_scre_1, C2_scre_1 );
CONSTANT CX_cca1_2 : t_cca1_2 := ( OTHERS=>CX_csa1_1 );
CONSTANT C0_cca1_2 : t_cca1_2 := ( OTHERS=>C0_csa1_1 );
CONSTANT C1_cca1_2 : t_cca1_2 := ( OTHERS=>C1_csa1_1 );
CONSTANT C2_cca1_2 : t_cca1_2 := ( C2_csa1_1, C0_csa1_1, C0_csa1_1, C2_csa1_1 );
CONSTANT CX_cca1_3 : t_cca1_3 := ( OTHERS=>CX_cca1_2 );
CONSTANT C0_cca1_3 : t_cca1_3 := ( OTHERS=>C0_cca1_2 );
CONSTANT C1_cca1_3 : t_cca1_3 := ( OTHERS=>C1_cca1_2 );
CONSTANT C2_cca1_3 : t_cca1_3 := ( C2_cca1_2, C0_cca1_2, C0_cca1_2, C2_cca1_2 );
CONSTANT CX_cca1_4 : t_cca1_4 := ( OTHERS=>CX_cca1_3 );
CONSTANT C0_cca1_4 : t_cca1_4 := ( OTHERS=>C0_cca1_3 );
CONSTANT C1_cca1_4 : t_cca1_4 := ( OTHERS=>C1_cca1_3 );
CONSTANT C2_cca1_4 : t_cca1_4 := ( C2_cca1_3, C0_cca1_3, C0_cca1_3, C2_cca1_3 );
CONSTANT CX_cca2_1 : t_cca2_1 ;
CONSTANT C0_cca2_1 : t_cca2_1 ;
CONSTANT C1_cca2_1 : t_cca2_1 ;
CONSTANT C2_cca2_1 : t_cca2_1 ;
--
CONSTANT CX_cca2_2 : t_cca2_2 ;
CONSTANT C0_cca2_2 : t_cca2_2 ;
CONSTANT C1_cca2_2 : t_cca2_2 ;
CONSTANT C2_cca2_2 : t_cca2_2 ;
CONSTANT CX_cca3_1 : t_cca3_1 ;
CONSTANT C0_cca3_1 : t_cca3_1 ;
CONSTANT C1_cca3_1 : t_cca3_1 ;
CONSTANT C2_cca3_1 : t_cca3_1 ;
--
CONSTANT CX_cca3_2 : t_cca3_2 ;
CONSTANT C0_cca3_2 : t_cca3_2 ;
CONSTANT C1_cca3_2 : t_cca3_2 ;
CONSTANT C2_cca3_2 : t_cca3_2 ;
CONSTANT CX_cmre_1 : t_cmre_1 := ( CX_csa1_1, CX_scre_1 );
CONSTANT C0_cmre_1 : t_cmre_1 := ( C0_csa1_1, C0_scre_1 );
CONSTANT C1_cmre_1 : t_cmre_1 := ( C1_csa1_1, C1_scre_1 );
CONSTANT C2_cmre_1 : t_cmre_1 := ( C2_csa1_1, C0_scre_1 );
CONSTANT CX_cmre_2 : t_cmre_2 := ( OTHERS=>CX_csa1_1 );
CONSTANT C0_cmre_2 : t_cmre_2 := ( OTHERS=>C0_csa1_1 );
CONSTANT C1_cmre_2 : t_cmre_2 := ( OTHERS=>C1_csa1_1 );
CONSTANT C2_cmre_2 : t_cmre_2 := ( left|right=>C2_csa1_1, OTHERS=>C0_csa1_1 );
CONSTANT CX_cca1_7 : t_cca1_7 := ( OTHERS=>CX_cmre_2 );
CONSTANT C0_cca1_7 : t_cca1_7 := ( OTHERS=>C0_cmre_2 );
CONSTANT C1_cca1_7 : t_cca1_7 := ( OTHERS=>C1_cmre_2 );
CONSTANT C2_cca1_7 : t_cca1_7 := ( C2_cmre_2, C0_cmre_2, C0_cmre_2, C2_cmre_2 );
CONSTANT CX_cmre_3 : t_cmre_3 := ( OTHERS=>CX_cca1_7 );
CONSTANT C0_cmre_3 : t_cmre_3 := ( OTHERS=>C0_cca1_7 );
CONSTANT C1_cmre_3 : t_cmre_3 := ( OTHERS=>C1_cca1_7 );
CONSTANT C2_cmre_3 : t_cmre_3 := ( left|right=>C2_cca1_7, OTHERS=>C0_cca1_7 );
-- --------------------------------------------------------------------------------------------
-- Functions for mapping from integer test values to/from values of the Test types
-- --------------------------------------------------------------------------------------------
FUNCTION val_t ( i : INTEGER ) RETURN st_scl1;
FUNCTION val_t ( i : INTEGER ) RETURN TIME;
FUNCTION val_t ( i : INTEGER ) RETURN st_scl3;
FUNCTION val_t ( i : INTEGER ) RETURN st_scl4;
FUNCTION val_t ( i : INTEGER ) RETURN t_scre_1;
FUNCTION val_t ( i : INTEGER ) RETURN t_csa1_1;
FUNCTION val_t ( i : INTEGER ) RETURN t_csa1_2;
FUNCTION val_t ( i : INTEGER ) RETURN t_csa1_3;
FUNCTION val_t ( i : INTEGER ) RETURN t_csa1_4;
FUNCTION val_t ( i : INTEGER ) RETURN t_csa2_1;
FUNCTION val_t ( i : INTEGER ) RETURN t_csa3_1;
FUNCTION val_t ( i : INTEGER ) RETURN t_csa4_1;
FUNCTION val_t ( i : INTEGER ) RETURN t_cca1_1;
FUNCTION val_t ( i : INTEGER ) RETURN t_cca1_2;
FUNCTION val_t ( i : INTEGER ) RETURN t_cca1_3;
FUNCTION val_t ( i : INTEGER ) RETURN t_cca1_4;
FUNCTION val_t ( i : INTEGER ) RETURN t_cca2_1;
FUNCTION val_t ( i : INTEGER ) RETURN t_cca2_2;
FUNCTION val_t ( i : INTEGER ) RETURN t_cca3_1;
FUNCTION val_t ( i : INTEGER ) RETURN t_cca3_2;
FUNCTION val_t ( i : INTEGER ) RETURN t_cmre_1;
FUNCTION val_t ( i : INTEGER ) RETURN t_cmre_2;
FUNCTION val_t ( i : INTEGER ) RETURN t_cca1_7;
FUNCTION val_t ( i : INTEGER ) RETURN t_cmre_3;
FUNCTION val_i ( i : st_scl1 ) RETURN INTEGER;
FUNCTION val_i ( i : TIME ) RETURN INTEGER;
FUNCTION val_i ( i : st_scl3 ) RETURN INTEGER;
FUNCTION val_i ( i : st_scl4 ) RETURN INTEGER;
FUNCTION val_i ( i : t_scre_1 ) RETURN INTEGER;
FUNCTION val_i ( i : t_csa1_1 ) RETURN INTEGER;
FUNCTION val_i ( i : t_csa1_2 ) RETURN INTEGER;
FUNCTION val_i ( i : t_csa1_3 ) RETURN INTEGER;
FUNCTION val_i ( i : t_csa1_4 ) RETURN INTEGER;
FUNCTION val_i ( i : t_csa2_1 ) RETURN INTEGER;
FUNCTION val_i ( i : t_csa3_1 ) RETURN INTEGER;
FUNCTION val_i ( i : t_csa4_1 ) RETURN INTEGER;
FUNCTION val_i ( i : t_cca1_1 ) RETURN INTEGER;
FUNCTION val_i ( i : t_cca1_2 ) RETURN INTEGER;
FUNCTION val_i ( i : t_cca1_3 ) RETURN INTEGER;
FUNCTION val_i ( i : t_cca1_4 ) RETURN INTEGER;
FUNCTION val_i ( i : t_cca2_1 ) RETURN INTEGER;
FUNCTION val_i ( i : t_cca2_2 ) RETURN INTEGER;
FUNCTION val_i ( i : t_cca3_1 ) RETURN INTEGER;
FUNCTION val_i ( i : t_cca3_2 ) RETURN INTEGER;
FUNCTION val_i ( i : t_cmre_1 ) RETURN INTEGER;
FUNCTION val_i ( i : t_cmre_2 ) RETURN INTEGER;
FUNCTION val_i ( i : t_cca1_7 ) RETURN INTEGER;
FUNCTION val_i ( i : t_cmre_3 ) RETURN INTEGER;
FUNCTION val_s ( i : st_scl1 ) RETURN STRING;
FUNCTION val_s ( i : TIME ) RETURN STRING;
FUNCTION val_s ( i : st_scl3 ) RETURN STRING;
FUNCTION val_s ( i : st_scl4 ) RETURN STRING;
FUNCTION val_s ( i : t_scre_1 ) RETURN STRING;
FUNCTION val_s ( i : t_csa1_1 ) RETURN STRING;
FUNCTION val_s ( i : t_csa1_2 ) RETURN STRING;
FUNCTION val_s ( i : t_csa1_3 ) RETURN STRING;
FUNCTION val_s ( i : t_csa1_4 ) RETURN STRING;
FUNCTION val_s ( i : t_csa2_1 ) RETURN STRING;
FUNCTION val_s ( i : t_csa3_1 ) RETURN STRING;
FUNCTION val_s ( i : t_csa4_1 ) RETURN STRING;
FUNCTION val_s ( i : t_cca1_1 ) RETURN STRING;
FUNCTION val_s ( i : t_cca1_2 ) RETURN STRING;
FUNCTION val_s ( i : t_cca1_3 ) RETURN STRING;
FUNCTION val_s ( i : t_cca1_4 ) RETURN STRING;
FUNCTION val_s ( i : t_cca2_1 ) RETURN STRING;
FUNCTION val_s ( i : t_cca2_2 ) RETURN STRING;
FUNCTION val_s ( i : t_cca3_1 ) RETURN STRING;
FUNCTION val_s ( i : t_cca3_2 ) RETURN STRING;
FUNCTION val_s ( i : t_cmre_1 ) RETURN STRING;
FUNCTION val_s ( i : t_cmre_2 ) RETURN STRING;
FUNCTION val_s ( i : t_cca1_7 ) RETURN STRING;
FUNCTION val_s ( i : t_cmre_3 ) RETURN STRING;
END;
PACKAGE BODY c03s03b00x00p03n04i00517pkg IS
CONSTANT CX_csa2_1 : t_csa2_1 := F_csa2_1 ( CX_scl1, CX_scl1 );
CONSTANT C0_csa2_1 : t_csa2_1 := F_csa2_1 ( C0_scl1, C0_scl1 );
CONSTANT C1_csa2_1 : t_csa2_1 := F_csa2_1 ( C1_scl1, C1_scl1 );
CONSTANT C2_csa2_1 : t_csa2_1 := F_csa2_1 ( C0_scl1, C2_scl1 );
CONSTANT CX_csa3_1 : t_csa3_1 := F_csa3_1 ( CX_scl1, CX_scl1 );
CONSTANT C0_csa3_1 : t_csa3_1 := F_csa3_1 ( C0_scl1, C0_scl1 );
CONSTANT C1_csa3_1 : t_csa3_1 := F_csa3_1 ( C1_scl1, C1_scl1 );
CONSTANT C2_csa3_1 : t_csa3_1 := F_csa3_1 ( C0_scl1, C2_scl1 );
CONSTANT CX_csa4_1 : t_csa4_1 := F_csa4_1 ( CX_scl1, CX_scl1 );
CONSTANT C0_csa4_1 : t_csa4_1 := F_csa4_1 ( C0_scl1, C0_scl1 );
CONSTANT C1_csa4_1 : t_csa4_1 := F_csa4_1 ( C1_scl1, C1_scl1 );
CONSTANT C2_csa4_1 : t_csa4_1 := F_csa4_1 ( C0_scl1, C2_scl1 );
CONSTANT CX_cca2_1 : t_cca2_1 := ( OTHERS=>CX_csa2_1 );
CONSTANT C0_cca2_1 : t_cca2_1 := ( OTHERS=>C0_csa2_1 );
CONSTANT C1_cca2_1 : t_cca2_1 := ( OTHERS=>C1_csa2_1 );
CONSTANT C2_cca2_1 : t_cca2_1 := ( C2_csa2_1, C0_csa2_1, C0_csa2_1, C2_csa2_1 );
CONSTANT CX_cca2_2 : t_cca2_2 := F_cca2_2 ( CX_csa2_1, CX_csa2_1 );
CONSTANT C0_cca2_2 : t_cca2_2 := F_cca2_2 ( C0_csa2_1, C0_csa2_1 );
CONSTANT C1_cca2_2 : t_cca2_2 := F_cca2_2 ( C1_csa2_1, C1_csa2_1 );
CONSTANT C2_cca2_2 : t_cca2_2 := F_cca2_2 ( C0_csa2_1, C2_csa2_1 );
CONSTANT CX_cca3_1 : t_cca3_1 := F_cca3_1 ( CX_csa1_1, CX_csa1_1 );
CONSTANT C0_cca3_1 : t_cca3_1 := F_cca3_1 ( C0_csa1_1, C0_csa1_1 );
CONSTANT C1_cca3_1 : t_cca3_1 := F_cca3_1 ( C1_csa1_1, C1_csa1_1 );
CONSTANT C2_cca3_1 : t_cca3_1 := F_cca3_1 ( C0_csa1_1, C2_csa1_1 );
CONSTANT CX_cca3_2 : t_cca3_2 := ( OTHERS=>CX_csa3_1 );
CONSTANT C0_cca3_2 : t_cca3_2 := ( OTHERS=>C0_csa3_1 );
CONSTANT C1_cca3_2 : t_cca3_2 := ( OTHERS=>C1_csa3_1 );
CONSTANT C2_cca3_2 : t_cca3_2 := ( C2_csa3_1, C0_csa3_1, C0_csa3_1, C2_csa3_1 );
--
-- Functions to provide values for multi-dimensional composites
--
FUNCTION F_csa2_1 ( v0,v2 : IN st_scl1 ) RETURN t_csa2_1 IS
VARIABLE res : t_csa2_1;
BEGIN
FOR i IN res'RANGE(1) LOOP
FOR j IN res'RANGE(2) LOOP
res(i,j) := v0;
END LOOP;
END LOOP;
res(res'left (1),res'left (2)) := v2;
res(res'left (1),res'right(2)) := v2;
res(res'right(1),res'left (2)) := v2;
res(res'right(1),res'right(2)) := v2;
RETURN res;
END;
FUNCTION F_csa3_1 ( v0,v2 : IN st_scl1 ) RETURN t_csa3_1 IS
VARIABLE res : t_csa3_1;
BEGIN
FOR i IN res'RANGE(1) LOOP
FOR j IN res'RANGE(2) LOOP
FOR k IN res'RANGE(3) LOOP
res(i,j,k) := v0;
END LOOP;
END LOOP;
END LOOP;
res(res'left (1),res'left (2),res'left (3)) := v2;
res(res'right(1),res'left (2),res'left (3)) := v2;
res(res'left (1),res'right(2),res'left (3)) := v2;
res(res'right(1),res'right(2),res'left (3)) := v2;
res(res'left (1),res'left (2),res'right(3)) := v2;
res(res'right(1),res'left (2),res'right(3)) := v2;
res(res'left (1),res'right(2),res'right(3)) := v2;
res(res'right(1),res'right(2),res'right(3)) := v2;
RETURN res;
END;
FUNCTION F_csa4_1 ( v0,v2 : IN st_scl1 ) RETURN t_csa4_1 IS
VARIABLE res : t_csa4_1;
BEGIN
FOR i IN res'RANGE(1) LOOP
FOR j IN res'RANGE(2) LOOP
FOR k IN res'RANGE(3) LOOP
FOR l IN res'RANGE(4) LOOP
res(i,j,k,l) := v0;
END LOOP;
END LOOP;
END LOOP;
END LOOP;
res(res'left (1),res'left (2),res'left (3),res'left (4)) := v2;
res(res'right(1),res'left (2),res'left (3),res'left (4)) := v2;
res(res'left (1),res'right(2),res'left (3),res'left (4)) := v2;
res(res'right(1),res'right(2),res'left (3),res'left (4)) := v2;
res(res'left (1),res'left (2),res'right(3),res'left (4)) := v2;
res(res'right(1),res'left (2),res'right(3),res'left (4)) := v2;
res(res'left (1),res'right(2),res'right(3),res'left (4)) := v2;
res(res'right(1),res'right(2),res'right(3),res'left (4)) := v2;
res(res'left (1),res'left (2),res'left (3),res'right(4)) := v2;
res(res'right(1),res'left (2),res'left (3),res'right(4)) := v2;
res(res'left (1),res'right(2),res'left (3),res'right(4)) := v2;
res(res'right(1),res'right(2),res'left (3),res'right(4)) := v2;
res(res'left (1),res'left (2),res'right(3),res'right(4)) := v2;
res(res'right(1),res'left (2),res'right(3),res'right(4)) := v2;
res(res'left (1),res'right(2),res'right(3),res'right(4)) := v2;
res(res'right(1),res'right(2),res'right(3),res'right(4)) := v2;
RETURN res;
END;
FUNCTION F_cca2_2 ( v0,v2 : IN t_csa2_1 ) RETURN t_cca2_2 IS
VARIABLE res : t_cca2_2;
BEGIN
FOR i IN res'RANGE(1) LOOP
FOR j IN res'RANGE(2) LOOP
res(i,j) := v0;
END LOOP;
END LOOP;
res(res'left (1),res'left (2)) := v2;
res(res'left (1),res'right(2)) := v2;
res(res'right(1),res'left (2)) := v2;
res(res'right(1),res'right(2)) := v2;
RETURN res;
END;
FUNCTION F_cca3_1 ( v0,v2 : IN t_csa1_1 ) RETURN t_cca3_1 IS
VARIABLE res : t_cca3_1;
BEGIN
FOR i IN res'RANGE(1) LOOP
FOR j IN res'RANGE(2) LOOP
FOR k IN res'RANGE(3) LOOP
res(i,j,k) := v0;
END LOOP;
END LOOP;
END LOOP;
res(res'left (1),res'left (2),res'left (3)) := v2;
res(res'right(1),res'left (2),res'left (3)) := v2;
res(res'left (1),res'right(2),res'left (3)) := v2;
res(res'right(1),res'right(2),res'left (3)) := v2;
res(res'left (1),res'left (2),res'right(3)) := v2;
res(res'right(1),res'left (2),res'right(3)) := v2;
res(res'left (1),res'right(2),res'right(3)) := v2;
res(res'right(1),res'right(2),res'right(3)) := v2;
RETURN res;
END;
--
-- Resolution Functions
--
FUNCTION rf_scre_1 ( v: t_scre_1_vct ) RETURN t_scre_1 IS
BEGIN
IF v'LENGTH=0
THEN RETURN CX_scre_1;
ELSE RETURN v(1);
END IF;
END;
FUNCTION rf_csa1_1 ( v: t_csa1_1_vct ) RETURN t_csa1_1 IS
BEGIN
IF v'LENGTH=0
THEN RETURN CX_csa1_1;
ELSE RETURN v(1);
END IF;
END;
FUNCTION rf_csa1_2 ( v: t_csa1_2_vct ) RETURN t_csa1_2 IS
BEGIN
IF v'LENGTH=0
THEN RETURN CX_csa1_2;
ELSE RETURN v(1);
END IF;
END;
FUNCTION rf_csa1_3 ( v: t_csa1_3_vct ) RETURN t_csa1_3 IS
BEGIN
IF v'LENGTH=0
THEN RETURN CX_csa1_3;
ELSE RETURN v(1);
END IF;
END;
FUNCTION rf_csa1_4 ( v: t_csa1_4_vct ) RETURN t_csa1_4 IS
BEGIN
IF v'LENGTH=0
THEN RETURN CX_csa1_4;
ELSE RETURN v(1);
END IF;
END;
FUNCTION rf_csa2_1 ( v: t_csa2_1_vct ) RETURN t_csa2_1 IS
BEGIN
IF v'LENGTH=0
THEN RETURN CX_csa2_1;
ELSE RETURN v(1);
END IF;
END;
FUNCTION rf_csa3_1 ( v: t_csa3_1_vct ) RETURN t_csa3_1 IS
BEGIN
IF v'LENGTH=0
THEN RETURN CX_csa3_1;
ELSE RETURN v(1);
END IF;
END;
FUNCTION rf_csa4_1 ( v: t_csa4_1_vct ) RETURN t_csa4_1 IS
BEGIN
IF v'LENGTH=0
THEN RETURN CX_csa4_1;
ELSE RETURN v(1);
END IF;
END;
FUNCTION rf_cca1_1 ( v: t_cca1_1_vct ) RETURN t_cca1_1 IS
BEGIN
IF v'LENGTH=0
THEN RETURN CX_cca1_1;
ELSE RETURN v(1);
END IF;
END;
FUNCTION rf_cca1_2 ( v: t_cca1_2_vct ) RETURN t_cca1_2 IS
BEGIN
IF v'LENGTH=0
THEN RETURN CX_cca1_2;
ELSE RETURN v(1);
END IF;
END;
FUNCTION rf_cca1_3 ( v: t_cca1_3_vct ) RETURN t_cca1_3 IS
BEGIN
IF v'LENGTH=0
THEN RETURN CX_cca1_3;
ELSE RETURN v(1);
END IF;
END;
FUNCTION rf_cca1_4 ( v: t_cca1_4_vct ) RETURN t_cca1_4 IS
BEGIN
IF v'LENGTH=0
THEN RETURN CX_cca1_4;
ELSE RETURN v(1);
END IF;
END;
FUNCTION rf_cca2_1 ( v: t_cca2_1_vct ) RETURN t_cca2_1 IS
BEGIN
IF v'LENGTH=0
THEN RETURN CX_cca2_1;
ELSE RETURN v(1);
END IF;
END;
FUNCTION rf_cca2_2 ( v: t_cca2_2_vct ) RETURN t_cca2_2 IS
BEGIN
IF v'LENGTH=0
THEN RETURN CX_cca2_2;
ELSE RETURN v(1);
END IF;
END;
FUNCTION rf_cca3_1 ( v: t_cca3_1_vct ) RETURN t_cca3_1 IS
BEGIN
IF v'LENGTH=0
THEN RETURN CX_cca3_1;
ELSE RETURN v(1);
END IF;
END;
FUNCTION rf_cca3_2 ( v: t_cca3_2_vct ) RETURN t_cca3_2 IS
BEGIN
IF v'LENGTH=0
THEN RETURN CX_cca3_2;
ELSE RETURN v(1);
END IF;
END;
FUNCTION rf_cmre_1 ( v: t_cmre_1_vct ) RETURN t_cmre_1 IS
BEGIN
IF v'LENGTH=0
THEN RETURN CX_cmre_1;
ELSE RETURN v(1);
END IF;
END;
FUNCTION rf_cmre_2 ( v: t_cmre_2_vct ) RETURN t_cmre_2 IS
BEGIN
IF v'LENGTH=0
THEN RETURN CX_cmre_2;
ELSE RETURN v(1);
END IF;
END;
FUNCTION rf_cca1_7 ( v: t_cca1_7_vct ) RETURN t_cca1_7 IS
BEGIN
IF v'LENGTH=0
THEN RETURN CX_cca1_7;
ELSE RETURN v(1);
END IF;
END;
FUNCTION rf_cmre_3 ( v: t_cmre_3_vct ) RETURN t_cmre_3 IS
BEGIN
IF v'LENGTH=0
THEN RETURN CX_cmre_3;
ELSE RETURN v(1);
END IF;
END;
--
--
FUNCTION val_t ( i : INTEGER ) RETURN st_scl1 IS
BEGIN
IF i = 0 THEN RETURN C0_scl1; END IF;
IF i = 1 THEN RETURN C1_scl1; END IF;
IF i = 2 THEN RETURN C2_scl1; END IF;
RETURN CX_scl1;
END;
FUNCTION val_t ( i : INTEGER ) RETURN TIME IS
BEGIN
IF i = 0 THEN RETURN C0_scl2; END IF;
IF i = 1 THEN RETURN C1_scl2; END IF;
IF i = 2 THEN RETURN C2_scl2; END IF;
RETURN CX_scl2;
END;
FUNCTION val_t ( i : INTEGER ) RETURN st_scl3 IS
BEGIN
IF i = 0 THEN RETURN C0_scl3; END IF;
IF i = 1 THEN RETURN C1_scl3; END IF;
IF i = 2 THEN RETURN C2_scl3; END IF;
RETURN CX_scl3;
END;
FUNCTION val_t ( i : INTEGER ) RETURN st_scl4 IS
BEGIN
IF i = 0 THEN RETURN C0_scl4; END IF;
IF i = 1 THEN RETURN C1_scl4; END IF;
IF i = 2 THEN RETURN C2_scl4; END IF;
RETURN CX_scl4;
END;
FUNCTION val_t ( i : INTEGER ) RETURN t_scre_1 IS
BEGIN
IF i = 0 THEN RETURN C0_scre_1; END IF;
IF i = 1 THEN RETURN C1_scre_1; END IF;
IF i = 2 THEN RETURN C2_scre_1; END IF;
RETURN CX_scre_1;
END;
FUNCTION val_t ( i : INTEGER ) RETURN t_csa1_1 IS
BEGIN
IF i = 0 THEN RETURN C0_csa1_1; END IF;
IF i = 1 THEN RETURN C1_csa1_1; END IF;
IF i = 2 THEN RETURN C2_csa1_1; END IF;
RETURN CX_csa1_1;
END;
FUNCTION val_t ( i : INTEGER ) RETURN t_csa1_2 IS
BEGIN
IF i = 0 THEN RETURN C0_csa1_2; END IF;
IF i = 1 THEN RETURN C1_csa1_2; END IF;
IF i = 2 THEN RETURN C2_csa1_2; END IF;
RETURN CX_csa1_2;
END;
FUNCTION val_t ( i : INTEGER ) RETURN t_csa1_3 IS
BEGIN
IF i = 0 THEN RETURN C0_csa1_3; END IF;
IF i = 1 THEN RETURN C1_csa1_3; END IF;
IF i = 2 THEN RETURN C2_csa1_3; END IF;
RETURN CX_csa1_3;
END;
FUNCTION val_t ( i : INTEGER ) RETURN t_csa1_4 IS
BEGIN
IF i = 0 THEN RETURN C0_csa1_4; END IF;
IF i = 1 THEN RETURN C1_csa1_4; END IF;
IF i = 2 THEN RETURN C2_csa1_4; END IF;
RETURN CX_csa1_4;
END;
FUNCTION val_t ( i : INTEGER ) RETURN t_csa2_1 IS
BEGIN
IF i = 0 THEN RETURN C0_csa2_1; END IF;
IF i = 1 THEN RETURN C1_csa2_1; END IF;
IF i = 2 THEN RETURN C2_csa2_1; END IF;
RETURN CX_csa2_1;
END;
FUNCTION val_t ( i : INTEGER ) RETURN t_csa3_1 IS
BEGIN
IF i = 0 THEN RETURN C0_csa3_1; END IF;
IF i = 1 THEN RETURN C1_csa3_1; END IF;
IF i = 2 THEN RETURN C2_csa3_1; END IF;
RETURN CX_csa3_1;
END;
FUNCTION val_t ( i : INTEGER ) RETURN t_csa4_1 IS
BEGIN
IF i = 0 THEN RETURN C0_csa4_1; END IF;
IF i = 1 THEN RETURN C1_csa4_1; END IF;
IF i = 2 THEN RETURN C2_csa4_1; END IF;
RETURN CX_csa4_1;
END;
FUNCTION val_t ( i : INTEGER ) RETURN t_cca1_1 IS
BEGIN
IF i = 0 THEN RETURN C0_cca1_1; END IF;
IF i = 1 THEN RETURN C1_cca1_1; END IF;
IF i = 2 THEN RETURN C2_cca1_1; END IF;
RETURN CX_cca1_1;
END;
FUNCTION val_t ( i : INTEGER ) RETURN t_cca1_2 IS
BEGIN
IF i = 0 THEN RETURN C0_cca1_2; END IF;
IF i = 1 THEN RETURN C1_cca1_2; END IF;
IF i = 2 THEN RETURN C2_cca1_2; END IF;
RETURN CX_cca1_2;
END;
FUNCTION val_t ( i : INTEGER ) RETURN t_cca1_3 IS
BEGIN
IF i = 0 THEN RETURN C0_cca1_3; END IF;
IF i = 1 THEN RETURN C1_cca1_3; END IF;
IF i = 2 THEN RETURN C2_cca1_3; END IF;
RETURN CX_cca1_3;
END;
FUNCTION val_t ( i : INTEGER ) RETURN t_cca1_4 IS
BEGIN
IF i = 0 THEN RETURN C0_cca1_4; END IF;
IF i = 1 THEN RETURN C1_cca1_4; END IF;
IF i = 2 THEN RETURN C2_cca1_4; END IF;
RETURN CX_cca1_4;
END;
FUNCTION val_t ( i : INTEGER ) RETURN t_cca2_1 IS
BEGIN
IF i = 0 THEN RETURN C0_cca2_1; END IF;
IF i = 1 THEN RETURN C1_cca2_1; END IF;
IF i = 2 THEN RETURN C2_cca2_1; END IF;
RETURN CX_cca2_1;
END;
FUNCTION val_t ( i : INTEGER ) RETURN t_cca2_2 IS
BEGIN
IF i = 0 THEN RETURN C0_cca2_2; END IF;
IF i = 1 THEN RETURN C1_cca2_2; END IF;
IF i = 2 THEN RETURN C2_cca2_2; END IF;
RETURN CX_cca2_2;
END;
FUNCTION val_t ( i : INTEGER ) RETURN t_cca3_1 IS
BEGIN
IF i = 0 THEN RETURN C0_cca3_1; END IF;
IF i = 1 THEN RETURN C1_cca3_1; END IF;
IF i = 2 THEN RETURN C2_cca3_1; END IF;
RETURN CX_cca3_1;
END;
FUNCTION val_t ( i : INTEGER ) RETURN t_cca3_2 IS
BEGIN
IF i = 0 THEN RETURN C0_cca3_2; END IF;
IF i = 1 THEN RETURN C1_cca3_2; END IF;
IF i = 2 THEN RETURN C2_cca3_2; END IF;
RETURN CX_cca3_2;
END;
FUNCTION val_t ( i : INTEGER ) RETURN t_cmre_1 IS
BEGIN
IF i = 0 THEN RETURN C0_cmre_1; END IF;
IF i = 1 THEN RETURN C1_cmre_1; END IF;
IF i = 2 THEN RETURN C2_cmre_1; END IF;
RETURN CX_cmre_1;
END;
FUNCTION val_t ( i : INTEGER ) RETURN t_cmre_2 IS
BEGIN
IF i = 0 THEN RETURN C0_cmre_2; END IF;
IF i = 1 THEN RETURN C1_cmre_2; END IF;
IF i = 2 THEN RETURN C2_cmre_2; END IF;
RETURN CX_cmre_2;
END;
FUNCTION val_t ( i : INTEGER ) RETURN t_cca1_7 IS
BEGIN
IF i = 0 THEN RETURN C0_cca1_7; END IF;
IF i = 1 THEN RETURN C1_cca1_7; END IF;
IF i = 2 THEN RETURN C2_cca1_7; END IF;
RETURN CX_cca1_7;
END;
FUNCTION val_t ( i : INTEGER ) RETURN t_cmre_3 IS
BEGIN
IF i = 0 THEN RETURN C0_cmre_3; END IF;
IF i = 1 THEN RETURN C1_cmre_3; END IF;
IF i = 2 THEN RETURN C2_cmre_3; END IF;
RETURN CX_cmre_3;
END;
--
--
FUNCTION val_i ( i : st_scl1 ) RETURN INTEGER IS
BEGIN
IF i = C0_scl1 THEN RETURN 0; END IF;
IF i = C1_scl1 THEN RETURN 1; END IF;
IF i = C2_scl1 THEN RETURN 2; END IF;
RETURN -1;
END;
FUNCTION val_i ( i : TIME ) RETURN INTEGER IS
BEGIN
IF i = C0_scl2 THEN RETURN 0; END IF;
IF i = C1_scl2 THEN RETURN 1; END IF;
IF i = C2_scl2 THEN RETURN 2; END IF;
RETURN -1;
END;
FUNCTION val_i ( i : st_scl3 ) RETURN INTEGER IS
BEGIN
IF i = C0_scl3 THEN RETURN 0; END IF;
IF i = C1_scl3 THEN RETURN 1; END IF;
IF i = C2_scl3 THEN RETURN 2; END IF;
RETURN -1;
END;
FUNCTION val_i ( i : st_scl4 ) RETURN INTEGER IS
BEGIN
IF i = C0_scl4 THEN RETURN 0; END IF;
IF i = C1_scl4 THEN RETURN 1; END IF;
IF i = C2_scl4 THEN RETURN 2; END IF;
RETURN -1;
END;
FUNCTION val_i ( i : t_scre_1 ) RETURN INTEGER IS
BEGIN
IF i = C0_scre_1 THEN RETURN 0; END IF;
IF i = C1_scre_1 THEN RETURN 1; END IF;
IF i = C2_scre_1 THEN RETURN 2; END IF;
RETURN -1;
END;
FUNCTION val_i ( i : t_csa1_1 ) RETURN INTEGER IS
BEGIN
IF i = C0_csa1_1 THEN RETURN 0; END IF;
IF i = C1_csa1_1 THEN RETURN 1; END IF;
IF i = C2_csa1_1 THEN RETURN 2; END IF;
RETURN -1;
END;
FUNCTION val_i ( i : t_csa1_2 ) RETURN INTEGER IS
BEGIN
IF i = C0_csa1_2 THEN RETURN 0; END IF;
IF i = C1_csa1_2 THEN RETURN 1; END IF;
IF i = C2_csa1_2 THEN RETURN 2; END IF;
RETURN -1;
END;
FUNCTION val_i ( i : t_csa1_3 ) RETURN INTEGER IS
BEGIN
IF i = C0_csa1_3 THEN RETURN 0; END IF;
IF i = C1_csa1_3 THEN RETURN 1; END IF;
IF i = C2_csa1_3 THEN RETURN 2; END IF;
RETURN -1;
END;
FUNCTION val_i ( i : t_csa1_4 ) RETURN INTEGER IS
BEGIN
IF i = C0_csa1_4 THEN RETURN 0; END IF;
IF i = C1_csa1_4 THEN RETURN 1; END IF;
IF i = C2_csa1_4 THEN RETURN 2; END IF;
RETURN -1;
END;
FUNCTION val_i ( i : t_csa2_1 ) RETURN INTEGER IS
BEGIN
IF i = C0_csa2_1 THEN RETURN 0; END IF;
IF i = C1_csa2_1 THEN RETURN 1; END IF;
IF i = C2_csa2_1 THEN RETURN 2; END IF;
RETURN -1;
END;
FUNCTION val_i ( i : t_csa3_1 ) RETURN INTEGER IS
BEGIN
IF i = C0_csa3_1 THEN RETURN 0; END IF;
IF i = C1_csa3_1 THEN RETURN 1; END IF;
IF i = C2_csa3_1 THEN RETURN 2; END IF;
RETURN -1;
END;
FUNCTION val_i ( i : t_csa4_1 ) RETURN INTEGER IS
BEGIN
IF i = C0_csa4_1 THEN RETURN 0; END IF;
IF i = C1_csa4_1 THEN RETURN 1; END IF;
IF i = C2_csa4_1 THEN RETURN 2; END IF;
RETURN -1;
END;
FUNCTION val_i ( i : t_cca1_1 ) RETURN INTEGER IS
BEGIN
IF i = C0_cca1_1 THEN RETURN 0; END IF;
IF i = C1_cca1_1 THEN RETURN 1; END IF;
IF i = C2_cca1_1 THEN RETURN 2; END IF;
RETURN -1;
END;
FUNCTION val_i ( i : t_cca1_2 ) RETURN INTEGER IS
BEGIN
IF i = C0_cca1_2 THEN RETURN 0; END IF;
IF i = C1_cca1_2 THEN RETURN 1; END IF;
IF i = C2_cca1_2 THEN RETURN 2; END IF;
RETURN -1;
END;
FUNCTION val_i ( i : t_cca1_3 ) RETURN INTEGER IS
BEGIN
IF i = C0_cca1_3 THEN RETURN 0; END IF;
IF i = C1_cca1_3 THEN RETURN 1; END IF;
IF i = C2_cca1_3 THEN RETURN 2; END IF;
RETURN -1;
END;
FUNCTION val_i ( i : t_cca1_4 ) RETURN INTEGER IS
BEGIN
IF i = C0_cca1_4 THEN RETURN 0; END IF;
IF i = C1_cca1_4 THEN RETURN 1; END IF;
IF i = C2_cca1_4 THEN RETURN 2; END IF;
RETURN -1;
END;
FUNCTION val_i ( i : t_cca2_1 ) RETURN INTEGER IS
BEGIN
IF i = C0_cca2_1 THEN RETURN 0; END IF;
IF i = C1_cca2_1 THEN RETURN 1; END IF;
IF i = C2_cca2_1 THEN RETURN 2; END IF;
RETURN -1;
END;
FUNCTION val_i ( i : t_cca2_2 ) RETURN INTEGER IS
BEGIN
IF i = C0_cca2_2 THEN RETURN 0; END IF;
IF i = C1_cca2_2 THEN RETURN 1; END IF;
IF i = C2_cca2_2 THEN RETURN 2; END IF;
RETURN -1;
END;
FUNCTION val_i ( i : t_cca3_1 ) RETURN INTEGER IS
BEGIN
IF i = C0_cca3_1 THEN RETURN 0; END IF;
IF i = C1_cca3_1 THEN RETURN 1; END IF;
IF i = C2_cca3_1 THEN RETURN 2; END IF;
RETURN -1;
END;
FUNCTION val_i ( i : t_cca3_2 ) RETURN INTEGER IS
BEGIN
IF i = C0_cca3_2 THEN RETURN 0; END IF;
IF i = C1_cca3_2 THEN RETURN 1; END IF;
IF i = C2_cca3_2 THEN RETURN 2; END IF;
RETURN -1;
END;
FUNCTION val_i ( i : t_cmre_1 ) RETURN INTEGER IS
BEGIN
IF i = C0_cmre_1 THEN RETURN 0; END IF;
IF i = C1_cmre_1 THEN RETURN 1; END IF;
IF i = C2_cmre_1 THEN RETURN 2; END IF;
RETURN -1;
END;
FUNCTION val_i ( i : t_cmre_2 ) RETURN INTEGER IS
BEGIN
IF i = C0_cmre_2 THEN RETURN 0; END IF;
IF i = C1_cmre_2 THEN RETURN 1; END IF;
IF i = C2_cmre_2 THEN RETURN 2; END IF;
RETURN -1;
END;
FUNCTION val_i ( i : t_cca1_7 ) RETURN INTEGER IS
BEGIN
IF i = C0_cca1_7 THEN RETURN 0; END IF;
IF i = C1_cca1_7 THEN RETURN 1; END IF;
IF i = C2_cca1_7 THEN RETURN 2; END IF;
RETURN -1;
END;
FUNCTION val_i ( i : t_cmre_3 ) RETURN INTEGER IS
BEGIN
IF i = C0_cmre_3 THEN RETURN 0; END IF;
IF i = C1_cmre_3 THEN RETURN 1; END IF;
IF i = C2_cmre_3 THEN RETURN 2; END IF;
RETURN -1;
END;
FUNCTION val_s ( i : st_scl1 ) RETURN STRING IS
BEGIN
IF i = C0_scl1 THEN RETURN "C0_scl1"; END IF;
IF i = C1_scl1 THEN RETURN "C1_scl1"; END IF;
IF i = C2_scl1 THEN RETURN "C2_scl1"; END IF;
RETURN "UNKNOWN";
END;
FUNCTION val_s ( i : TIME ) RETURN STRING IS
BEGIN
IF i = C0_scl2 THEN RETURN "C0_scl2"; END IF;
IF i = C1_scl2 THEN RETURN "C1_scl2"; END IF;
IF i = C2_scl2 THEN RETURN "C2_scl2"; END IF;
RETURN "UNKNOWN";
END;
FUNCTION val_s ( i : st_scl3 ) RETURN STRING IS
BEGIN
IF i = C0_scl3 THEN RETURN "C0_scl3"; END IF;
IF i = C1_scl3 THEN RETURN "C1_scl3"; END IF;
IF i = C2_scl3 THEN RETURN "C2_scl3"; END IF;
RETURN "UNKNOWN";
END;
FUNCTION val_s ( i : st_scl4 ) RETURN STRING IS
BEGIN
IF i = C0_scl4 THEN RETURN "C0_scl4"; END IF;
IF i = C1_scl4 THEN RETURN "C1_scl4"; END IF;
IF i = C2_scl4 THEN RETURN "C2_scl4"; END IF;
RETURN "UNKNOWN";
END;
FUNCTION val_s ( i : t_scre_1 ) RETURN STRING IS
BEGIN
IF i = C0_scre_1 THEN RETURN "C0_scre_1"; END IF;
IF i = C1_scre_1 THEN RETURN "C1_scre_1"; END IF;
IF i = C2_scre_1 THEN RETURN "C2_scre_1"; END IF;
RETURN "UNKNOWN";
END;
FUNCTION val_s ( i : t_csa1_1 ) RETURN STRING IS
BEGIN
IF i = C0_csa1_1 THEN RETURN "C0_csa1_1"; END IF;
IF i = C1_csa1_1 THEN RETURN "C1_csa1_1"; END IF;
IF i = C2_csa1_1 THEN RETURN "C2_csa1_1"; END IF;
RETURN "UNKNOWN";
END;
FUNCTION val_s ( i : t_csa1_2 ) RETURN STRING IS
BEGIN
IF i = C0_csa1_2 THEN RETURN "C0_csa1_2"; END IF;
IF i = C1_csa1_2 THEN RETURN "C1_csa1_2"; END IF;
IF i = C2_csa1_2 THEN RETURN "C2_csa1_2"; END IF;
RETURN "UNKNOWN";
END;
FUNCTION val_s ( i : t_csa1_3 ) RETURN STRING IS
BEGIN
IF i = C0_csa1_3 THEN RETURN "C0_csa1_3"; END IF;
IF i = C1_csa1_3 THEN RETURN "C1_csa1_3"; END IF;
IF i = C2_csa1_3 THEN RETURN "C2_csa1_3"; END IF;
RETURN "UNKNOWN";
END;
FUNCTION val_s ( i : t_csa1_4 ) RETURN STRING IS
BEGIN
IF i = C0_csa1_4 THEN RETURN "C0_csa1_4"; END IF;
IF i = C1_csa1_4 THEN RETURN "C1_csa1_4"; END IF;
IF i = C2_csa1_4 THEN RETURN "C2_csa1_4"; END IF;
RETURN "UNKNOWN";
END;
FUNCTION val_s ( i : t_csa2_1 ) RETURN STRING IS
BEGIN
IF i = C0_csa2_1 THEN RETURN "C0_csa2_1"; END IF;
IF i = C1_csa2_1 THEN RETURN "C1_csa2_1"; END IF;
IF i = C2_csa2_1 THEN RETURN "C2_csa2_1"; END IF;
RETURN "UNKNOWN";
END;
FUNCTION val_s ( i : t_csa3_1 ) RETURN STRING IS
BEGIN
IF i = C0_csa3_1 THEN RETURN "C0_csa3_1"; END IF;
IF i = C1_csa3_1 THEN RETURN "C1_csa3_1"; END IF;
IF i = C2_csa3_1 THEN RETURN "C2_csa3_1"; END IF;
RETURN "UNKNOWN";
END;
FUNCTION val_s ( i : t_csa4_1 ) RETURN STRING IS
BEGIN
IF i = C0_csa4_1 THEN RETURN "C0_csa4_1"; END IF;
IF i = C1_csa4_1 THEN RETURN "C1_csa4_1"; END IF;
IF i = C2_csa4_1 THEN RETURN "C2_csa4_1"; END IF;
RETURN "UNKNOWN";
END;
FUNCTION val_s ( i : t_cca1_1 ) RETURN STRING IS
BEGIN
IF i = C0_cca1_1 THEN RETURN "C0_cca1_1"; END IF;
IF i = C1_cca1_1 THEN RETURN "C1_cca1_1"; END IF;
IF i = C2_cca1_1 THEN RETURN "C2_cca1_1"; END IF;
RETURN "UNKNOWN";
END;
FUNCTION val_s ( i : t_cca1_2 ) RETURN STRING IS
BEGIN
IF i = C0_cca1_2 THEN RETURN "C0_cca1_2"; END IF;
IF i = C1_cca1_2 THEN RETURN "C1_cca1_2"; END IF;
IF i = C2_cca1_2 THEN RETURN "C2_cca1_2"; END IF;
RETURN "UNKNOWN";
END;
FUNCTION val_s ( i : t_cca1_3 ) RETURN STRING IS
BEGIN
IF i = C0_cca1_3 THEN RETURN "C0_cca1_3"; END IF;
IF i = C1_cca1_3 THEN RETURN "C1_cca1_3"; END IF;
IF i = C2_cca1_3 THEN RETURN "C2_cca1_3"; END IF;
RETURN "UNKNOWN";
END;
FUNCTION val_s ( i : t_cca1_4 ) RETURN STRING IS
BEGIN
IF i = C0_cca1_4 THEN RETURN "C0_cca1_4"; END IF;
IF i = C1_cca1_4 THEN RETURN "C1_cca1_4"; END IF;
IF i = C2_cca1_4 THEN RETURN "C2_cca1_4"; END IF;
RETURN "UNKNOWN";
END;
FUNCTION val_s ( i : t_cca2_1 ) RETURN STRING IS
BEGIN
IF i = C0_cca2_1 THEN RETURN "C0_cca2_1"; END IF;
IF i = C1_cca2_1 THEN RETURN "C1_cca2_1"; END IF;
IF i = C2_cca2_1 THEN RETURN "C2_cca2_1"; END IF;
RETURN "UNKNOWN";
END;
FUNCTION val_s ( i : t_cca2_2 ) RETURN STRING IS
BEGIN
IF i = C0_cca2_2 THEN RETURN "C0_cca2_2"; END IF;
IF i = C1_cca2_2 THEN RETURN "C1_cca2_2"; END IF;
IF i = C2_cca2_2 THEN RETURN "C2_cca2_2"; END IF;
RETURN "UNKNOWN";
END;
FUNCTION val_s ( i : t_cca3_1 ) RETURN STRING IS
BEGIN
IF i = C0_cca3_1 THEN RETURN "C0_cca3_1"; END IF;
IF i = C1_cca3_1 THEN RETURN "C1_cca3_1"; END IF;
IF i = C2_cca3_1 THEN RETURN "C2_cca3_1"; END IF;
RETURN "UNKNOWN";
END;
FUNCTION val_s ( i : t_cca3_2 ) RETURN STRING IS
BEGIN
IF i = C0_cca3_2 THEN RETURN "C0_cca3_2"; END IF;
IF i = C1_cca3_2 THEN RETURN "C1_cca3_2"; END IF;
IF i = C2_cca3_2 THEN RETURN "C2_cca3_2"; END IF;
RETURN "UNKNOWN";
END;
FUNCTION val_s ( i : t_cmre_1 ) RETURN STRING IS
BEGIN
IF i = C0_cmre_1 THEN RETURN "C0_cmre_1"; END IF;
IF i = C1_cmre_1 THEN RETURN "C1_cmre_1"; END IF;
IF i = C2_cmre_1 THEN RETURN "C2_cmre_1"; END IF;
RETURN "UNKNOWN";
END;
FUNCTION val_s ( i : t_cmre_2 ) RETURN STRING IS
BEGIN
IF i = C0_cmre_2 THEN RETURN "C0_cmre_2"; END IF;
IF i = C1_cmre_2 THEN RETURN "C1_cmre_2"; END IF;
IF i = C2_cmre_2 THEN RETURN "C2_cmre_2"; END IF;
RETURN "UNKNOWN";
END;
FUNCTION val_s ( i : t_cca1_7 ) RETURN STRING IS
BEGIN
IF i = C0_cca1_7 THEN RETURN "C0_cca1_7"; END IF;
IF i = C1_cca1_7 THEN RETURN "C1_cca1_7"; END IF;
IF i = C2_cca1_7 THEN RETURN "C2_cca1_7"; END IF;
RETURN "UNKNOWN";
END;
FUNCTION val_s ( i : t_cmre_3 ) RETURN STRING IS
BEGIN
IF i = C0_cmre_3 THEN RETURN "C0_cmre_3"; END IF;
IF i = C1_cmre_3 THEN RETURN "C1_cmre_3"; END IF;
IF i = C2_cmre_3 THEN RETURN "C2_cmre_3"; END IF;
RETURN "UNKNOWN";
END;
END c03s03b00x00p03n04i00517pkg;
USE work.c03s03b00x00p03n04i00517pkg.ALL;
ENTITY vests7 IS
END vests7;
ARCHITECTURE c03s03b00x00p03n04i00517arch OF vests7 IS
--
-- Access type declarations
--
TYPE at_scre_1 IS ACCESS t_scre_1 ;
TYPE at_cca1_1 IS ACCESS t_cca1_1 ;
TYPE at_cca1_2 IS ACCESS t_cca1_2 ;
TYPE at_cca1_3 IS ACCESS t_cca1_3 ;
TYPE at_cca1_4 IS ACCESS t_cca1_4 ;
TYPE at_cmre_1 IS ACCESS t_cmre_1 ;
TYPE at_cmre_2 IS ACCESS t_cmre_2 ;
TYPE at_cca1_7 IS ACCESS t_cca1_7 ;
TYPE at_cmre_3 IS ACCESS t_cmre_3 ;
--
--
BEGIN
TESTING: PROCESS
--
-- ACCESS VARIABLE declarations
--
VARIABLE AV0_scre_1 : at_scre_1 ;
VARIABLE AV2_scre_1 : at_scre_1 ;
VARIABLE AV0_cca1_1 : at_cca1_1 ;
VARIABLE AV2_cca1_1 : at_cca1_1 ;
VARIABLE AV0_cca1_2 : at_cca1_2 ;
VARIABLE AV2_cca1_2 : at_cca1_2 ;
VARIABLE AV0_cca1_3 : at_cca1_3 ;
VARIABLE AV2_cca1_3 : at_cca1_3 ;
VARIABLE AV0_cca1_4 : at_cca1_4 ;
VARIABLE AV2_cca1_4 : at_cca1_4 ;
VARIABLE AV0_cmre_1 : at_cmre_1 ;
VARIABLE AV2_cmre_1 : at_cmre_1 ;
VARIABLE AV0_cmre_2 : at_cmre_2 ;
VARIABLE AV2_cmre_2 : at_cmre_2 ;
VARIABLE AV0_cca1_7 : at_cca1_7 ;
VARIABLE AV2_cca1_7 : at_cca1_7 ;
VARIABLE AV0_cmre_3 : at_cmre_3 ;
VARIABLE AV2_cmre_3 : at_cmre_3 ;
--
--
BEGIN
--
-- Allocation of access values
--
AV0_scre_1 := NEW t_scre_1 ;
AV0_cca1_1 := NEW t_cca1_1 ;
AV0_cca1_2 := NEW t_cca1_2 ;
AV0_cca1_3 := NEW t_cca1_3 ;
AV0_cca1_4 := NEW t_cca1_4 ;
AV0_cmre_1 := NEW t_cmre_1 ;
AV0_cmre_2 := NEW t_cmre_2 ;
AV0_cca1_7 := NEW t_cca1_7 ;
AV0_cmre_3 := NEW t_cmre_3 ;
---
AV2_scre_1 := NEW t_scre_1 ' ( C2_scre_1 ) ;
AV2_cca1_1 := NEW t_cca1_1 ' ( C2_cca1_1 ) ;
AV2_cca1_2 := NEW t_cca1_2 ' ( C2_cca1_2 ) ;
AV2_cca1_3 := NEW t_cca1_3 ' ( C2_cca1_3 ) ;
AV2_cca1_4 := NEW t_cca1_4 ' ( C2_cca1_4 ) ;
AV2_cmre_1 := NEW t_cmre_1 ' ( C2_cmre_1 ) ;
AV2_cmre_2 := NEW t_cmre_2 ' ( C2_cmre_2 ) ;
AV2_cca1_7 := NEW t_cca1_7 ' ( C2_cca1_7 ) ;
AV2_cmre_3 := NEW t_cmre_3 ' ( C2_cmre_3 ) ;
--
--
ASSERT AV0_scre_1.all = C0_scre_1
REPORT "Improper initialization of AV0_scre_1" SEVERITY FAILURE;
ASSERT AV2_scre_1.all = C2_scre_1
REPORT "Improper initialization of AV2_scre_1" SEVERITY FAILURE;
ASSERT AV0_cca1_1.all = C0_cca1_1
REPORT "Improper initialization of AV0_cca1_1" SEVERITY FAILURE;
ASSERT AV2_cca1_1.all = C2_cca1_1
REPORT "Improper initialization of AV2_cca1_1" SEVERITY FAILURE;
ASSERT AV0_cca1_2.all = C0_cca1_2
REPORT "Improper initialization of AV0_cca1_2" SEVERITY FAILURE;
ASSERT AV2_cca1_2.all = C2_cca1_2
REPORT "Improper initialization of AV2_cca1_2" SEVERITY FAILURE;
ASSERT AV0_cca1_3.all = C0_cca1_3
REPORT "Improper initialization of AV0_cca1_3" SEVERITY FAILURE;
ASSERT AV2_cca1_3.all = C2_cca1_3
REPORT "Improper initialization of AV2_cca1_3" SEVERITY FAILURE;
ASSERT AV0_cca1_4.all = C0_cca1_4
REPORT "Improper initialization of AV0_cca1_4" SEVERITY FAILURE;
ASSERT AV2_cca1_4.all = C2_cca1_4
REPORT "Improper initialization of AV2_cca1_4" SEVERITY FAILURE;
ASSERT AV0_cmre_1.all = C0_cmre_1
REPORT "Improper initialization of AV0_cmre_1" SEVERITY FAILURE;
ASSERT AV2_cmre_1.all = C2_cmre_1
REPORT "Improper initialization of AV2_cmre_1" SEVERITY FAILURE;
ASSERT AV0_cmre_2.all = C0_cmre_2
REPORT "Improper initialization of AV0_cmre_2" SEVERITY FAILURE;
ASSERT AV2_cmre_2.all = C2_cmre_2
REPORT "Improper initialization of AV2_cmre_2" SEVERITY FAILURE;
ASSERT AV0_cca1_7.all = C0_cca1_7
REPORT "Improper initialization of AV0_cca1_7" SEVERITY FAILURE;
ASSERT AV2_cca1_7.all = C2_cca1_7
REPORT "Improper initialization of AV2_cca1_7" SEVERITY FAILURE;
ASSERT AV0_cmre_3.all = C0_cmre_3
REPORT "Improper initialization of AV0_cmre_3" SEVERITY FAILURE;
ASSERT AV2_cmre_3.all = C2_cmre_3
REPORT "Improper initialization of AV2_cmre_3" SEVERITY FAILURE;
--
--
assert NOT( ( AV0_scre_1.all = C0_scre_1 )
and ( AV2_scre_1.all = C2_scre_1 )
and ( AV0_cca1_1.all = C0_cca1_1 )
and ( AV2_cca1_1.all = C2_cca1_1 )
and ( AV0_cca1_2.all = C0_cca1_2 )
and ( AV2_cca1_2.all = C2_cca1_2 )
and ( AV0_cca1_3.all = C0_cca1_3 )
and ( AV2_cca1_3.all = C2_cca1_3 )
and ( AV0_cca1_4.all = C0_cca1_4 )
and ( AV2_cca1_4.all = C2_cca1_4 )
and ( AV0_cmre_1.all = C0_cmre_1 )
and ( AV2_cmre_1.all = C2_cmre_1 )
and ( AV0_cmre_2.all = C0_cmre_2 )
and ( AV2_cmre_2.all = C2_cmre_2 )
and ( AV0_cca1_7.all = C0_cca1_7 )
and ( AV2_cca1_7.all = C2_cca1_7 )
and ( AV0_cmre_3.all = C0_cmre_3 )
and ( AV2_cmre_3.all = C2_cmre_3 ))
report "***PASSED TEST: c03s03b00x00p03n04i00517"
severity NOTE;
assert ( ( AV0_scre_1.all = C0_scre_1 )
and ( AV2_scre_1.all = C2_scre_1 )
and ( AV0_cca1_1.all = C0_cca1_1 )
and ( AV2_cca1_1.all = C2_cca1_1 )
and ( AV0_cca1_2.all = C0_cca1_2 )
and ( AV2_cca1_2.all = C2_cca1_2 )
and ( AV0_cca1_3.all = C0_cca1_3 )
and ( AV2_cca1_3.all = C2_cca1_3 )
and ( AV0_cca1_4.all = C0_cca1_4 )
and ( AV2_cca1_4.all = C2_cca1_4 )
and ( AV0_cmre_1.all = C0_cmre_1 )
and ( AV2_cmre_1.all = C2_cmre_1 )
and ( AV0_cmre_2.all = C0_cmre_2 )
and ( AV2_cmre_2.all = C2_cmre_2 )
and ( AV0_cca1_7.all = C0_cca1_7 )
and ( AV2_cca1_7.all = C2_cca1_7 )
and ( AV0_cmre_3.all = C0_cmre_3 )
and ( AV2_cmre_3.all = C2_cmre_3 ))
report "***FAILED TEST: c03s03b00x00p03n04i00517 - Each access value designates an object of the subtype defined by the subtype indication of the access type definition."
severity ERROR;
wait;
END PROCESS TESTING;
END c03s03b00x00p03n04i00517arch;
| gpl-3.0 | ff7a9e814dba9adc004852774051cfe9 | 0.576188 | 2.588501 | false | false | false | false |
tgingold/ghdl | testsuite/vests/vhdl-ams/ashenden/compliant/digital-modeling/S_R_flipflop.vhd | 4 | 1,248 |
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
entity S_R_flipflop is
port ( s, r : in bit; q, q_n : out bit );
end entity S_R_flipflop;
--------------------------------------------------
architecture functional of S_R_flipflop is
begin
q <= '1' when s = '1' else
'0' when r = '1';
q_n <= '0' when s = '1' else
'1' when r = '1';
check : assert not (s = '1' and r = '1')
report "Incorrect use of S_R_flip_flop: s and r both '1'";
end architecture functional;
| gpl-2.0 | 0dc9f7a4cee3f1be03ad0340d4b42afa | 0.652244 | 3.759036 | false | false | false | false |
nickg/nvc | test/regress/elab8.vhd | 5 | 1,228 | entity sub is
port (
foo : out bit_vector(1 to 3) );
end entity;
architecture test of sub is
begin
process is
begin
foo <= "101";
wait for 10 ns;
foo <= "010";
wait for 10 ns;
foo <= "100";
wait;
end process;
end architecture;
-------------------------------------------------------------------------------
entity elab8 is
end entity;
architecture test of elab8 is
signal bar : bit_vector(1 to 3);
signal a, b, c : bit;
begin
sub1_i: entity work.sub
port map (
foo(1) => bar(3),
foo(2) => bar(2),
foo(3) => bar(1) );
sub2_i: entity work.sub
port map (
foo(1) => a,
foo(2) => b,
foo(3) => c );
process is
begin
wait for 1 ns;
assert a = '1';
assert b = '0';
assert c = '1';
assert bar = "101";
wait for 10 ns;
assert a = '0';
assert b = '1';
assert c = '0';
assert bar = "010";
wait for 10 ns;
assert a = '1';
assert b = '0';
assert c = '0';
assert bar = "001";
wait;
end process;
end architecture;
| gpl-3.0 | f4e2e5f149c6e4d2cfa7a2bae56c42a1 | 0.416938 | 3.743902 | false | false | false | false |
Darkin47/Zynq-TX-UTT | Vivado_HLS/image_contrast_adj/solution1/sim/vhdl/ip/xbip_utils_v3_0_6/xbip_utils_v3_0_vh_rfs.vhd | 9 | 157,786 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
aE0tvinbUqvf5G8iOWas6gNREbQuFk502egCNjwMc3+6gJd1I/BMUUOX5qQW09U6Dz5QTjdYJeMu
BdednffRbA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
TxHuajnnriiCieyaOeo9vEVhhF6E0SlcfsloChiDnNzjEUcYBpuq90q934s0YN7gjbNB0y1YXP38
pO4aJVjVDHtgQc9hcVKRWCY+SLmm5NBnnvyrRkfa1PktkKJTQZj/2gDjcjMMkYju1+vwg3fkU5nY
tsiE/5oiQtekBd9qRb8=
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
TRVYph4LWhyYSztF+Z3kg9/DCgkRHkIxQd6pSTadaFLNK1pvjGVtz0coatC+8yBwXBpX8qH8pzgQ
eNtjZnHnmvvppsUnB3oT6GtHc8ZbOa5d2Pj5SYg8kq4qu1uvfBeQT5muEZocwJvcgDBZu0eyl4w2
D4nfAZ8n7VvlQGJ2pBU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
TjdfrWruver+LNuSAogn8BUyDQhgT3unSr7d0ijUBW1pudWt7H8m43PM8C8F7GMGzoWSF/RwCRYt
K6EugueA6QJd9LwzrdeAH4J/7I45u9gLMWiuuoM2cxfkeA47taOn3YjbGhh7rRhDVMMDMGl8lAts
/WC578wsx7/Kx4rPZCFeJs7vBgb3/Z7cWixNXgXGe3fG8S/EqIPmho59+DIMrDvuoe6+2+duNsqq
FJnSqNcVlUB9kG8hH5hBVdjojRaG+WQRK1rxYjrP64CPOq1e2YeEjjObyQtUJlHZY4+5XnuuWNcD
IxrY1SFnW9N8gMm4+A9f5tk91IVoOBOR5bjHAQ==
`protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
dCaVnjYyDp8nNCbNOvnWD0ph47Hfz6TwHBWBIT1D3Y8I512RR5NwO8EaHtEllb0XbPk4RlKQUeJL
d4O56C3Xshtj0ztIeOCB6w2BGZ0ZEUUwLdxV4+uuCxg61xJTJbC5+98CI9c/dtj9t2jMXzOU5h03
9MP5Wd3x9jbAVoP7BVdxxYS21+bHd4j1yGKAyHwF3+Tq5hhF2BCYYLhowUHMA4a3WtlRvpMZybEZ
sr5a78W4wf+yigfsrYc8n4VIXH1K4KG4ybyQm60HF6fAQ23jiveqAWn317Lt2qi8mYhDGgrGYBXE
1fQjLr5As56kCk+4ZclnC+wS/LbCXoKf3u77Ow==
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2015_12", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
DjxadBnRj2ZC5Ujr1SHVkZRc/Ios5hhXUgItDDGYCBZgSlKScWNPNwHjrjJo0NYfOqYSgc8VYsZu
JDynCsDDqHNm56VKSTQwfXo1O2TPWokWbrgHHPsQYSZ+nxg2VdkUbeg8Y2eEXLHF67iGA1nOj7kG
R9cr6uM5e1CRoCPRESTqyzPE6q2lSlRI2BPq7cLUOotIKiaxo5KoUkqQyzmfC2q9AfbyU482iOG0
UJ6N0/Zwdh76iQhF4kEqeuNAA32bMVkg8FlXyKyKTGdVOtMJaHYbMZSIHPc60uQmH23NdbO73ebD
cToEBhdGO3wMx3ZvsZDMGkINdTsfEDB78KoFYA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 114672)
`protect data_block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`protect end_protected
| gpl-3.0 | ce6e1b40c118da3a9d8564a8e4af8941 | 0.953976 | 1.832547 | false | false | false | false |
tgingold/ghdl | testsuite/synth/issue937/tb_enot.vhdl | 1 | 492 | entity tb_enot is
end tb_enot;
architecture behav of tb_enot is
signal i : bit;
signal x : boolean;
signal o : bit;
begin
dut: entity work.enot
port map (i, x, o);
process
begin
i <= '0';
x <= false;
wait for 1 ns;
assert o = '0' severity failure;
i <= '1';
x <= false;
wait for 1 ns;
assert o = '1' severity failure;
i <= '1';
x <= True;
wait for 1 ns;
assert o = '0' severity failure;
wait;
end process;
end behav;
| gpl-2.0 | 714953f398aba8776ffd47cb9293731a | 0.552846 | 3.113924 | false | false | false | false |
nickg/nvc | test/parse/access.vhd | 1 | 473 | entity ee is end entity;
architecture aa of ee is
type int_ptr is access integer;
type bv_ptr is access bit_vector;
begin
process is
variable x, p : int_ptr;
variable v : integer;
variable a : bv_ptr;
variable q : bit_vector(1 to 3);
variable r : bit;
begin
x.all := 1;
v := x.all + 5;
p := new integer;
q := a.all(1 to 3);
r := a.all(3);
end process;
end architecture;
| gpl-3.0 | cfc85b9b8e06766cbf40302a22f62344 | 0.530655 | 3.529851 | false | false | false | false |
tgingold/ghdl | testsuite/synth/insert01/tb_insert01.vhdl | 1 | 586 | entity tb_insert01 is
end tb_insert01;
library ieee;
use ieee.std_logic_1164.all;
architecture behav of tb_insert01 is
signal a : std_logic_vector (3 downto 0);
signal b : std_logic;
signal o0, o1, o2, o3 : std_logic_vector (3 downto 0);
begin
dut: entity work.insert01
port map (a, b, o0, o1, o2, o3);
process
begin
a <= "0111";
b <= '0';
wait for 1 ns;
assert o0 = "0110" severity failure;
assert o1 = "0101" severity failure;
assert o2 = "0011" severity failure;
assert o3 = "0111" severity failure;
wait;
end process;
end behav;
| gpl-2.0 | e0e536da9615b017d0015679225803b7 | 0.643345 | 3.020619 | false | false | false | false |
tgingold/ghdl | testsuite/vests/vhdl-93/ashenden/compliant/ap_a_fg_a_11.vhd | 4 | 2,837 |
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ap_a_fg_a_11.vhd,v 1.2 2001-10-26 16:29:33 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
library ieee; use ieee.std_logic_1164.all;
entity RAM16x1 is
port ( \a<0>\, \a<1>\, \a<2>\, \a<3>\ : in std_ulogic;
\d\, \we\ : in std_ulogic;
\o\ : out std_ulogic );
end entity RAM16x1;
architecture a of RAM16x1 is
begin
end architecture a;
entity fg_a_11 is
end entity fg_a_11;
library ieee; use ieee.std_logic_1164.all;
architecture test of fg_a_11 is
-- code from book
component RAM16x1 is
port ( \a<0>\, \a<1>\, \a<2>\, \a<3>\ : in std_ulogic;
\d\, \we\ : in std_ulogic;
\o\ : out std_ulogic );
end component RAM16x1;
-- . . .
-- end code from book
signal address : std_ulogic_vector(3 downto 0);
signal raminp, ramout : std_ulogic_vector(15 downto 0);
signal write_enable : std_ulogic;
begin
-- code from book
g1 : for i in 0 to 15 generate
rama : component RAM16x1
port map ( \a<0>\ => address(0),
\a<1>\ => address(1),
\a<2>\ => address(2),
\a<3>\ => address(3),
\d\ => raminp ( i ),
\we\ => write_enable,
\o\ => ramout ( i ) );
end generate g1;
-- end code from book
end architecture test;
| gpl-2.0 | 329443e74ac08d96b2c6a8984dc0f293 | 0.451181 | 4.481833 | false | false | false | false |
tgingold/ghdl | testsuite/synth/memmux01/tb_memmux07.vhdl | 1 | 929 | entity tb_memmux07 is
end tb_memmux07;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
architecture behav of tb_memmux07 is
signal ad : std_logic;
signal val : std_logic_vector (1 downto 0);
signal dat, res : std_logic_vector (7 downto 0);
begin
dut : entity work.memmux07
port map (
ad => ad,
val => val,
dat => dat,
res => res);
process
begin
dat <= x"de";
ad <= '0';
val <= "00";
wait for 1 ns;
assert res = x"dc" severity failure;
ad <= '1';
val <= "00";
wait for 1 ns;
assert res = x"ce" severity failure;
ad <= '0';
val <= "01";
wait for 1 ns;
assert res = x"dd" severity failure;
ad <= '0';
val <= "10";
wait for 1 ns;
assert res = x"de" severity failure;
ad <= '1';
val <= "10";
wait for 1 ns;
assert res = x"ee" severity failure;
wait;
end process;
end behav;
| gpl-2.0 | 23c38d3edb21d769a862e853e99b373f | 0.555436 | 3.203448 | false | false | false | false |
tgingold/ghdl | testsuite/vests/vhdl-93/billowitch/compliant/tc2159.vhd | 4 | 2,296 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2159.vhd,v 1.2 2001-10-26 16:29:46 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s02b04x00p21n01i02159ent IS
END c07s02b04x00p21n01i02159ent;
ARCHITECTURE c07s02b04x00p21n01i02159arch OF c07s02b04x00p21n01i02159ent IS
TYPE severity_level_v is array (integer range <>) of severity_level;
SUBTYPE severity_level_5 is severity_level_v (1 to 5);
SUBTYPE severity_level_4 is severity_level_v (1 to 4);
BEGIN
TESTING: PROCESS
variable result : severity_level_5;
variable l_operand : severity_level := NOTE ;
variable r_operand : severity_level_4 := ( NOTE , FAILURE , NOTE , FAILURE );
BEGIN
--
-- The element is treated as an implicit single element array !
--
result := l_operand & r_operand;
wait for 5 ns;
assert NOT((result=(NOTE,NOTE,FAILURE,NOTE,FAILURE)) and (result(1)=NOTE))
report "***PASSED TEST: c07s02b04x00p21n01i02159"
severity NOTE;
assert ((result=(NOTE,NOTE,FAILURE,NOTE,FAILURE)) and (result(1)=NOTE))
report "***FAILED TEST: c07s02b04x00p21n01i02159 - Concatenation of element and SEVERITY_LEVEL array failed."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s02b04x00p21n01i02159arch;
| gpl-2.0 | f4f9f61cd690c52409bdb303da2ac16e | 0.675523 | 3.644444 | false | true | false | false |
tgingold/ghdl | testsuite/vests/vhdl-ams/ashenden/compliant/generators/fanout_tree.vhd | 4 | 2,221 |
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
library ieee; use ieee.std_logic_1164.all;
entity buf is
port ( a : in std_logic; y : out std_logic );
end entity buf;
architecture basic of buf is
begin
y <= a;
end architecture basic;
-- code from book
library ieee; use ieee.std_logic_1164.all;
entity fanout_tree is
generic ( height : natural );
port ( input : in std_logic;
output : out std_logic_vector (0 to 2**height - 1) );
end entity fanout_tree;
--------------------------------------------------
architecture recursive of fanout_tree is
begin
degenerate_tree : if height = 0 generate
begin
output(0) <= input;
end generate degenerate_tree;
compound_tree : if height > 0 generate
signal buffered_input_0, buffered_input_1 : std_logic;
begin
buf_0 : entity work.buf(basic)
port map ( a => input, y => buffered_input_0 );
subtree_0 : entity work.fanout_tree(recursive)
generic map ( height => height - 1 )
port map ( input => buffered_input_0,
output => output(0 to 2**(height - 1) - 1) );
buf_1 : entity work.buf(basic)
port map ( a => input, y => buffered_input_1 );
subtree_1 : entity work.fanout_tree(recursive)
generic map ( height => height - 1 )
port map ( input => buffered_input_1,
output => output(2**(height - 1) to 2**height - 1) );
end generate compound_tree;
end architecture recursive;
-- end code from book
| gpl-2.0 | 0b7e52742422c19cbb38f7cb9b399e41 | 0.656011 | 3.816151 | false | false | false | false |
nickg/nvc | test/regress/gensub6.vhd | 1 | 1,113 | entity gensub6 is
end entity;
architecture test of gensub6 is
procedure proc
generic (procedure preal(value : out real);
procedure pint(value : out integer))
(x : out integer; y : out real) is
begin
preal(y);
pint(x);
end procedure;
procedure get generic (type t; n : t) (x : out t) is
begin
x := n;
end procedure;
procedure get_one is new get generic map (t => integer, n => 1);
procedure get_one is new get generic map (t => real, n => 1.0);
procedure get_two is new get generic map (t => integer, n => 2);
procedure get_two is new get generic map (t => real, n => 2.0);
procedure proc_one is new proc generic map (preal => get_one, get_one);
procedure proc_two is new proc generic map (preal => get_two, get_two);
begin
p1: process is
variable i : integer;
variable r : real;
begin
proc_one(i, r);
assert i = 1;
assert r = 1.0;
proc_two(i, r);
assert i = 2;
assert r = 2.0;
wait;
end process;
end architecture;
| gpl-3.0 | c255995cab37791b6d0e958e7f4f3551 | 0.565139 | 3.64918 | false | false | false | false |
tgingold/ghdl | testsuite/synth/issue1220/tb_top.vhdl | 1 | 795 | entity tb_top is
end tb_top;
library ieee;
use ieee.std_logic_1164.all;
architecture behav of tb_top is
signal clk : std_logic;
signal x, y : std_logic_vector (1 downto 0);
signal data : std_logic_vector (3 downto 0);
begin
dut: entity work.top
port map (clk, x, y, data);
process
procedure pulse is
begin
wait for 1 ns;
clk <= '1';
wait for 1 ns;
clk <= '0';
end pulse;
begin
clk <= '0';
x <= "00";
y <= "00";
pulse;
assert data = "0001" severity failure;
x <= "10";
pulse;
assert data = "1110" severity failure;
y <= "01";
pulse;
assert data = "1101" severity failure;
x <= "10";
y <= "11";
pulse;
assert data = "0111" severity failure;
wait;
end process;
end behav;
| gpl-2.0 | a81f4847f7010055ba136c8d44e79779 | 0.559748 | 3.3125 | false | false | false | false |
nickg/nvc | test/regress/func12.vhd | 5 | 994 | entity func12 is
end entity;
architecture test of func12 is
function popcnt_high(value : in bit_vector(7 downto 0)) return natural is
variable cnt : natural := 0;
begin
report integer'image(value'left);
for i in 7 downto 4 loop
report bit'image(value(i));
if value(i) = '1' then
cnt := cnt + 1;
end if;
end loop;
return cnt;
end function;
function get_bits(v : in bit_vector(7 downto 0)) return bit_vector is
begin
for i in v'range loop
report integer'image(i) & " = " & bit'image(v(i));
end loop;
return v;
end function;
begin
process is
variable v : bit_vector(0 to 7) := X"05";
begin
assert popcnt_high(v) = 0;
v := X"f0";
assert popcnt_high(v) = 4;
assert popcnt_high(get_bits(X"20")) = 1;
--assert popcnt_high(v(0 to 3)) = 2;
wait;
end process;
end architecture;
| gpl-3.0 | c0bff84e65f4d77cf4a9865cb88a3809 | 0.539235 | 3.627737 | false | false | false | false |
tgingold/ghdl | testsuite/gna/issue467/AbstractMmPkg.vhd | 1 | 18,112 | -------------------------------------------------------------------------------
-- Title : Abstract Memory-Mapped Interface
-- Project :
-------------------------------------------------------------------------------
-- File : AbstractMmPkg.vhd
-- Author : Rob Gaddi <[email protected]>
-- Company : Highland Technology, Inc.
-- Created : 20-Nov-2017
-- Last update: 2017-11-25
-- Platform : Simulation
-- Standard : VHDL-2008
-------------------------------------------------------------------------------
-- Description: Support package for abstract memory-mapped interface BFMs.
-------------------------------------------------------------------------------
-- Revision History:
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library osvvm;
use osvvm.AlertLogPkg.all;
use osvvm.TbUtilPkg.all;
use osvvm.ResolutionPkg.all;
package AbstractMmPkg is
-----------------------------------------------------------------------
-- Constants and Types
-----------------------------------------------------------------------
type AlertLogIDArrayType is array(integer range <>) of AlertLogIDType;
function alert_resolver(ta: AlertLogIDArrayType) return AlertLogIDType;
subtype ResolvedAlert is alert_resolver AlertLogIDType;
-- Transaction types
type TransactionType_unresolved is (
NONE,
SINGLE,
LINEAR_BURST,
CONSTANT_BURST,
CYCLE_BURST,
BURST_DATA,
PARAM
);
type TransactionArrayType is array(integer range <>) of TransactionType_unresolved;
function resolved(ta: TransactionArrayType) return TransactionType_unresolved;
subtype TransactionType is resolved TransactionType_unresolved;
type AbstractMmRecType is record
writedata : std_logic_vector;
readdata : std_logic_vector;
address : unsigned;
byteen : std_logic_vector;
write : std_logic;
burstlen : integer_max;
trans : TransactionType;
addressiswords : std_logic;
alert : ResolvedAlert;
rdy : std_logic;
ack : std_logic;
end record AbstractMmRecType;
constant AMR_READ: std_logic := '0';
constant AMR_WRITE: std_logic := '1';
constant AMR_ADDRESS_BYTES : std_logic := '0';
constant AMR_ADDRESS_WORDS : std_logic := '1';
constant ALRT : AlertLogIDType := GetAlertLogID("AbstractMmPkg");
-----------------------------------------------------------------------
-- Driver Functions
-----------------------------------------------------------------------
-- AmrRead (single read)
procedure AmrRead(
data: out std_logic_vector;
addr: in unsigned;
byteen: in std_logic_vector;
signal rec: inout AbstractMmRecType
);
procedure AmrRead(
data: out std_logic_vector;
addr: in std_logic_vector;
byteen: in std_logic_vector;
signal rec: inout AbstractMmRecType
);
procedure AmrRead(
data: out std_logic_vector;
addr: in natural;
byteen: in std_logic_vector;
signal rec: inout AbstractMmRecType
);
procedure AmrRead(
data: out std_logic_vector;
addr: in unsigned;
signal rec: inout AbstractMmRecType
);
procedure AmrRead(
data: out std_logic_vector;
addr: in std_logic_vector;
signal rec: inout AbstractMmRecType
);
procedure AmrRead(
data: out std_logic_vector;
addr: in natural;
signal rec: inout AbstractMmRecType
);
-- AmrWrite (single write)
procedure AmrWrite(
data: in std_logic_vector;
addr: in unsigned;
byteen: in std_logic_vector;
signal rec: inout AbstractMmRecType
);
procedure AmrWrite(
data: in std_logic_vector;
addr: in std_logic_vector;
byteen: in std_logic_vector;
signal rec: inout AbstractMmRecType
);
procedure AmrWrite(
data: in std_logic_vector;
addr: in natural;
byteen: in std_logic_vector;
signal rec: inout AbstractMmRecType
);
procedure AmrWrite(
data: in std_logic_vector;
addr: in unsigned;
signal rec: inout AbstractMmRecType
);
procedure AmrWrite(
data: in std_logic_vector;
addr: in std_logic_vector;
signal rec: inout AbstractMmRecType
);
procedure AmrWrite(
data: in std_logic_vector;
addr: in natural;
signal rec: inout AbstractMmRecType
);
-- AmrAssert (single assert)
procedure AmrAssert(
data: in std_logic_vector;
addr: in unsigned;
byteen: in std_logic_vector;
signal rec: inout AbstractMmRecType
);
procedure AmrAssert(
data: in std_logic_vector;
addr: in std_logic_vector;
byteen: in std_logic_vector;
signal rec: inout AbstractMmRecType
);
procedure AmrAssert(
data: in std_logic_vector;
addr: in natural;
byteen: in std_logic_vector;
signal rec: inout AbstractMmRecType
);
procedure AmrAssert(
data: in std_logic_vector;
addr: in unsigned;
signal rec: inout AbstractMmRecType
);
procedure AmrAssert(
data: in std_logic_vector;
addr: in std_logic_vector;
signal rec: inout AbstractMmRecType
);
procedure AmrAssert(
data: in std_logic_vector;
addr: in natural;
signal rec: inout AbstractMmRecType
);
-----------------------------------------------------------------------
-- Model Support Functions
-----------------------------------------------------------------------
procedure InterpretByteEnable(
rec : in AbstractMmRecType;
width : out natural;
align : out natural
);
function GetByteAddress(rec: in AbstractMmRecType; unalign: boolean := false) return unsigned;
function GetWordAddress(rec: in AbstractMmRecType) return unsigned;
-----------------------------------------------------------------------
-- Utility Functions
-----------------------------------------------------------------------
-- Initialization
procedure InitializeAmr(signal rec: out AbstractMmRecType);
-- function INIT_AMR(datalen, addrlen : positive) return AbstractMmRecType;
-- function INIT_AMR(datalen, addrlen, belen : positive) return AbstractMmRecType;
-- Selecting word/byte addressing
procedure SetAddressWords(signal rec: inout AbstractMmRecType);
procedure SetAddressBytes(signal rec: inout AbstractMmRecType);
-- Overriding the default alert
procedure OverrideAlert(signal rec: inout AbstractMmRecType; alert: AlertLogIDType);
end package AbstractMmPkg;
package body AbstractMmPkg is
procedure InitializeAmr(signal rec: out AbstractMmRecType) is
variable local : AbstractMmRecType(
writedata(rec.writedata'range),
readdata(rec.readdata'range),
address(rec.address'range),
byteen(rec.byteen'range)
);
constant WD : std_logic_vector(rec.writedata'range) := (others => 'Z');
constant RD : std_logic_vector(rec.readdata'range) := (others => 'Z');
constant AD : unsigned(rec.address'range) := (others => 'Z');
constant BE : std_logic_vector(rec.byteen'range) := (others => 'Z');
begin
local := (
writedata => WD,
readdata => RD,
address => AD,
byteen => BE,
write => 'Z',
burstlen => integer'left,
trans => NONE,
addressiswords => 'Z',
alert => ALRT,
rdy => 'Z',
ack => 'Z'
);
rec <= local;
end procedure InitializeAmr;
--function INIT_AMR(
-- datalen, addrlen : positive
--) return AbstractMmRecType is
-- constant belen : positive := datalen / 8;
--begin
-- return INIT_AMR(datalen, addrlen, belen);
--end function INIT_AMR;
--function INIT_AMR(
-- datalen, addrlen, belen: positive
--) return AbstractMmRecType is
--begin
-- return (
-- writedata => (datalen downto 1 => 'Z'),
-- readdata => (datalen downto 1 => 'Z'),
-- address => (addrlen downto 1 => 'Z'),
-- byteen => (belen downto 1 => 'Z'),
-- write => 'Z',
-- burstlen => integer'left,
-- trans => NONE,
-- addressiswords => 'Z',
-- alert => ALRT,
-- rdy => 'Z',
-- ack => 'Z'
-- );
--end function INIT_AMR;
procedure SetAddressWords(signal rec: inout AbstractMmRecType) is
begin
rec.addressiswords <= AMR_ADDRESS_WORDS;
end procedure SetAddressWords;
procedure SetAddressBytes(signal rec: inout AbstractMmRecType) is
begin
rec.addressiswords <= AMR_ADDRESS_BYTES;
end procedure SetAddressBytes;
-----------------------------------------------------------------------
-- AmrRead
-----------------------------------------------------------------------
procedure AmrRead(
data: out std_logic_vector;
addr: in unsigned;
byteen: in std_logic_vector;
signal rec: inout AbstractMmRecType
) is
constant WD : std_logic_vector(rec.writedata'range) := (others => 'X');
begin
rec.writedata <= WD;
rec.address <= RESIZE(addr, rec.address'length);
rec.byteen <= byteen;
rec.write <= AMR_READ;
rec.burstlen <= 1;
rec.trans <= SINGLE;
RequestTransaction(rec.rdy, rec.ack);
data := rec.readdata;
end procedure AmrRead;
procedure AmrRead(
data: out std_logic_vector;
addr: in std_logic_vector;
byteen: in std_logic_vector;
signal rec: inout AbstractMmRecType
) is
begin
AmrRead(data, UNSIGNED(addr), byteen, rec);
end procedure AmrRead;
procedure AmrRead(
data: out std_logic_vector;
addr: in natural;
byteen: in std_logic_vector;
signal rec: inout AbstractMmRecType
) is
begin
AmrRead(data, TO_UNSIGNED(addr, rec.address'length), byteen, rec);
end procedure AmrRead;
procedure AmrRead(
data: out std_logic_vector;
addr: in unsigned;
signal rec: inout AbstractMmRecType
) is
variable byteen : std_logic_vector(rec.byteen'range) := (others => '1');
begin
AmrRead(data, addr, byteen, rec);
end procedure AmrRead;
procedure AmrRead(
data: out std_logic_vector;
addr: in std_logic_vector;
signal rec: inout AbstractMmRecType
) is
variable byteen : std_logic_vector(rec.byteen'range) := (others => '1');
begin
AmrRead(data, UNSIGNED(addr), byteen, rec);
end procedure AmrRead;
procedure AmrRead(
data: out std_logic_vector;
addr: in natural;
signal rec: inout AbstractMmRecType
) is
variable byteen : std_logic_vector(rec.byteen'range) := (others => '1');
begin
AmrRead(data, TO_UNSIGNED(addr, rec.address'length), byteen, rec);
end procedure AmrRead;
-----------------------------------------------------------------------
-- AmrWrite (single write)
-----------------------------------------------------------------------
procedure AmrWrite(
data: in std_logic_vector;
addr: in unsigned;
byteen: in std_logic_vector;
signal rec: inout AbstractMmRecType
) is
begin
rec.writedata <= data;
rec.address <= RESIZE(addr, rec.address'length);
rec.byteen <= byteen;
rec.write <= AMR_WRITE;
rec.burstlen <= 1;
rec.trans <= SINGLE;
RequestTransaction(rec.rdy, rec.ack);
end procedure AmrWrite;
procedure AmrWrite(
data: in std_logic_vector;
addr: in std_logic_vector;
byteen: in std_logic_vector;
signal rec: inout AbstractMmRecType
) is begin
AmrWrite(data, UNSIGNED(addr), byteen, rec);
end procedure AmrWrite;
procedure AmrWrite(
data: in std_logic_vector;
addr: in natural;
byteen: in std_logic_vector;
signal rec: inout AbstractMmRecType
) is begin
AmrWrite(data, TO_UNSIGNED(addr, rec.address'length), byteen, rec);
end procedure AmrWrite;
procedure AmrWrite(
data: in std_logic_vector;
addr: in unsigned;
signal rec: inout AbstractMmRecType
) is
constant byteen : std_logic_vector(rec.byteen'range) := (others => '1');
begin
AmrWrite(data, addr, byteen, rec);
end procedure AmrWrite;
procedure AmrWrite(
data: in std_logic_vector;
addr: in std_logic_vector;
signal rec: inout AbstractMmRecType
) is
constant byteen : std_logic_vector(rec.byteen'range) := (others => '1');
begin
AmrWrite(data, UNSIGNED(addr), byteen, rec);
end procedure AmrWrite;
procedure AmrWrite(
data: in std_logic_vector;
addr: in natural;
signal rec: inout AbstractMmRecType
) is
constant byteen : std_logic_vector(rec.byteen'range) := (others => '1');
begin
AmrWrite(data, TO_UNSIGNED(addr, rec.address'length), byteen, rec);
end procedure AmrWrite;
-----------------------------------------------------------------------
-- AmrAssert (single assert)
-----------------------------------------------------------------------
procedure AmrAssert(
data: in std_logic_vector;
addr: in unsigned;
byteen: in std_logic_vector;
signal rec: inout AbstractMmRecType
) is
variable readdata : std_logic_vector(data'range);
begin
AmrRead(readdata, addr, byteen, rec);
--AffirmIfEqual(rec.alert, readdata, data, "Assert @ 0x" & TO_HSTRING(addr));
end procedure AmrAssert;
procedure AmrAssert(
data: in std_logic_vector;
addr: in std_logic_vector;
byteen: in std_logic_vector;
signal rec: inout AbstractMmRecType
) is begin
AmrAssert(data, UNSIGNED(addr), byteen, rec);
end procedure AmrAssert;
procedure AmrAssert(
data: in std_logic_vector;
addr: in natural;
byteen: in std_logic_vector;
signal rec: inout AbstractMmRecType
) is begin
AmrAssert(data, TO_UNSIGNED(addr, rec.address'length), byteen, rec);
end procedure AmrAssert;
procedure AmrAssert(
data: in std_logic_vector;
addr: in unsigned;
signal rec: inout AbstractMmRecType
) is
constant byteen : std_logic_vector(rec.byteen'range) := (others => '1');
begin
AmrAssert(data, addr, byteen, rec);
end procedure AmrAssert;
procedure AmrAssert(
data: in std_logic_vector;
addr: in std_logic_vector;
signal rec: inout AbstractMmRecType
) is
constant byteen : std_logic_vector(rec.byteen'range) := (others => '1');
begin
AmrAssert(data, UNSIGNED(addr), byteen, rec);
end procedure AmrAssert;
procedure AmrAssert(
data: in std_logic_vector;
addr: in natural;
signal rec: inout AbstractMmRecType
) is
constant byteen : std_logic_vector(rec.byteen'range) := (others => '1');
begin
AmrAssert(data, TO_UNSIGNED(addr, rec.address'length), byteen, rec);
end procedure AmrAssert;
-----------------------------------------------------------------------
-- Utility Functions
-----------------------------------------------------------------------
-- Turn a number into the number of bits needed to represent it.
function clog2(x : positive) return natural is
variable y : natural := 1;
begin
for log in 0 to 255 loop
if y >= x then
return log;
end if;
y := y * 2;
end loop;
return natural'right;
end function clog2;
-- Allow only 1 entry to be other than NONE.
function resolved(ta: TransactionArrayType) return TransactionType_unresolved is
variable r : TransactionType_unresolved := NONE;
variable t : TransactionType_unresolved;
begin
for idx in ta'range loop
t := ta(idx);
if t /= NONE then
assert r = NONE
report "Multiple non-NONE transaction types."
severity failure;
r := t;
end if;
end loop;
return r;
end function resolved;
-- Allow up to 1 entry to be other than our local ALRT, in which
-- case it wins.
function alert_resolver(ta: AlertLogIDArrayType) return AlertLogIDType is
variable r : AlertLogIDType := ALRT;
variable t : AlertLogIDType;
begin
for idx in ta'range loop
t := ta(idx);
if (t /= ALRT) and (t >= ALERTLOG_BASE_ID) then
assert r = ALRT
report "Multiple alerts provided."
severity failure;
r := t;
end if;
end loop;
return r;
end function alert_resolver;
procedure InterpretByteEnable(
rec : in AbstractMmRecType;
width : out natural;
align : out natural
) is
alias byteen : std_logic_vector(rec.byteen'range) is rec.byteen;
alias LA : AlertLogIDType is rec.alert;
variable first, last: integer;
variable found : boolean := false;
begin
if (and byteen) = '1' then
-- Try to provide fast resolution for the most common case.
width := byteen'length;
align := 0;
else
-- Alright, do it the hard way. Scan for contiguous enables.
for i in byteen'low to byteen'high loop
if byteen(i) = '1' then
found := true;
first := i;
exit;
end if;
end loop;
if not found then
-- No byte enables are set
Alert(LA, "No byte enables set.", WARNING);
width := 0;
align := 0;
else
last := first;
for i in first+1 to byteen'high loop
if byteen(i) = '1' then
last := i;
else
exit;
end if;
end loop;
if last /= byteen'high then
for i in last+1 to byteen'high loop
if byteen(i) = '1' then
Alert(LA, "Non-contiguous byte enables " & TO_STRING(byteen), WARNING);
exit;
end if;
end loop;
end if;
width := last-first+1;
align := first;
end if;
end if;
end procedure InterpretByteEnable;
function GetByteAddress(rec: in AbstractMmRecType; unalign: boolean := false) return unsigned is
variable padding : unsigned(clog2(rec.byteen'length)-1 downto 0);
variable alignment : integer := integer'left;
begin
case rec.addressiswords is
when AMR_ADDRESS_BYTES =>
return rec.address;
when AMR_ADDRESS_WORDS =>
if unalign then
for i in rec.byteen'low to rec.byteen'high loop
if rec.byteen(i) = '1' then
alignment := i;
exit;
end if;
end loop;
if alignment /= integer'left then
report "All bytes disabled." severity warning;
alignment := 0;
end if;
padding := TO_UNSIGNED(alignment, padding'length);
else
padding := (others => '0');
end if;
return rec.address & PADDING;
when others =>
report "Byte/word addressing not defined." severity failure;
return (rec.address'range => 'X');
end case;
end function GetByteAddress;
function GetWordAddress(rec: in AbstractMmRecType) return unsigned is
variable padding : unsigned(clog2(rec.byteen'length)-1 downto 0);
variable alignment, width : integer;
begin
case rec.addressiswords is
when AMR_ADDRESS_BYTES =>
return rec.address(rec.address'high downto padding'length);
when AMR_ADDRESS_WORDS =>
return rec.address;
when others =>
report "Byte/word addressing not defined." severity failure;
return (rec.address'range => 'X');
end case;
end function GetWordAddress;
procedure OverrideAlert(signal rec: inout AbstractMmRecType; alert: AlertLogIDType) is
begin
rec.alert <= alert;
end procedure OverrideAlert;
end package body AbstractMmPkg;
| gpl-2.0 | 3d2f6ad89ebf001b370a4a25beacacb9 | 0.633723 | 3.642067 | false | false | false | false |
Darkin47/Zynq-TX-UTT | Vivado/image_conv_2D/image_conv_2D.srcs/sources_1/bd/design_1/ip/design_1_doImgProc_0_2/synth/design_1_doImgProc_0_2.vhd | 1 | 18,279 | -- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: utt.fr:hls_video:doImgProc:1.0
-- IP Revision: 1606211642
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY design_1_doImgProc_0_2 IS
PORT (
s_axi_CRTL_BUS_AWADDR : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
s_axi_CRTL_BUS_AWVALID : IN STD_LOGIC;
s_axi_CRTL_BUS_AWREADY : OUT STD_LOGIC;
s_axi_CRTL_BUS_WDATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_CRTL_BUS_WSTRB : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_CRTL_BUS_WVALID : IN STD_LOGIC;
s_axi_CRTL_BUS_WREADY : OUT STD_LOGIC;
s_axi_CRTL_BUS_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_CRTL_BUS_BVALID : OUT STD_LOGIC;
s_axi_CRTL_BUS_BREADY : IN STD_LOGIC;
s_axi_CRTL_BUS_ARADDR : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
s_axi_CRTL_BUS_ARVALID : IN STD_LOGIC;
s_axi_CRTL_BUS_ARREADY : OUT STD_LOGIC;
s_axi_CRTL_BUS_RDATA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_CRTL_BUS_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_CRTL_BUS_RVALID : OUT STD_LOGIC;
s_axi_CRTL_BUS_RREADY : IN STD_LOGIC;
s_axi_KERNEL_BUS_AWADDR : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
s_axi_KERNEL_BUS_AWVALID : IN STD_LOGIC;
s_axi_KERNEL_BUS_AWREADY : OUT STD_LOGIC;
s_axi_KERNEL_BUS_WDATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_KERNEL_BUS_WSTRB : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_KERNEL_BUS_WVALID : IN STD_LOGIC;
s_axi_KERNEL_BUS_WREADY : OUT STD_LOGIC;
s_axi_KERNEL_BUS_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_KERNEL_BUS_BVALID : OUT STD_LOGIC;
s_axi_KERNEL_BUS_BREADY : IN STD_LOGIC;
s_axi_KERNEL_BUS_ARADDR : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
s_axi_KERNEL_BUS_ARVALID : IN STD_LOGIC;
s_axi_KERNEL_BUS_ARREADY : OUT STD_LOGIC;
s_axi_KERNEL_BUS_RDATA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_KERNEL_BUS_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_KERNEL_BUS_RVALID : OUT STD_LOGIC;
s_axi_KERNEL_BUS_RREADY : IN STD_LOGIC;
ap_clk : IN STD_LOGIC;
ap_rst_n : IN STD_LOGIC;
interrupt : OUT STD_LOGIC;
inStream_TVALID : IN STD_LOGIC;
inStream_TREADY : OUT STD_LOGIC;
inStream_TDATA : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
inStream_TDEST : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
inStream_TKEEP : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
inStream_TSTRB : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
inStream_TUSER : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
inStream_TLAST : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
inStream_TID : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
outStream_TVALID : OUT STD_LOGIC;
outStream_TREADY : IN STD_LOGIC;
outStream_TDATA : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
outStream_TDEST : OUT STD_LOGIC_VECTOR(5 DOWNTO 0);
outStream_TKEEP : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
outStream_TSTRB : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
outStream_TUSER : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
outStream_TLAST : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
outStream_TID : OUT STD_LOGIC_VECTOR(4 DOWNTO 0)
);
END design_1_doImgProc_0_2;
ARCHITECTURE design_1_doImgProc_0_2_arch OF design_1_doImgProc_0_2 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF design_1_doImgProc_0_2_arch: ARCHITECTURE IS "yes";
COMPONENT doImgProc IS
GENERIC (
C_S_AXI_CRTL_BUS_ADDR_WIDTH : INTEGER;
C_S_AXI_CRTL_BUS_DATA_WIDTH : INTEGER;
C_S_AXI_KERNEL_BUS_ADDR_WIDTH : INTEGER;
C_S_AXI_KERNEL_BUS_DATA_WIDTH : INTEGER
);
PORT (
s_axi_CRTL_BUS_AWADDR : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
s_axi_CRTL_BUS_AWVALID : IN STD_LOGIC;
s_axi_CRTL_BUS_AWREADY : OUT STD_LOGIC;
s_axi_CRTL_BUS_WDATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_CRTL_BUS_WSTRB : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_CRTL_BUS_WVALID : IN STD_LOGIC;
s_axi_CRTL_BUS_WREADY : OUT STD_LOGIC;
s_axi_CRTL_BUS_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_CRTL_BUS_BVALID : OUT STD_LOGIC;
s_axi_CRTL_BUS_BREADY : IN STD_LOGIC;
s_axi_CRTL_BUS_ARADDR : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
s_axi_CRTL_BUS_ARVALID : IN STD_LOGIC;
s_axi_CRTL_BUS_ARREADY : OUT STD_LOGIC;
s_axi_CRTL_BUS_RDATA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_CRTL_BUS_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_CRTL_BUS_RVALID : OUT STD_LOGIC;
s_axi_CRTL_BUS_RREADY : IN STD_LOGIC;
s_axi_KERNEL_BUS_AWADDR : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
s_axi_KERNEL_BUS_AWVALID : IN STD_LOGIC;
s_axi_KERNEL_BUS_AWREADY : OUT STD_LOGIC;
s_axi_KERNEL_BUS_WDATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_KERNEL_BUS_WSTRB : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_KERNEL_BUS_WVALID : IN STD_LOGIC;
s_axi_KERNEL_BUS_WREADY : OUT STD_LOGIC;
s_axi_KERNEL_BUS_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_KERNEL_BUS_BVALID : OUT STD_LOGIC;
s_axi_KERNEL_BUS_BREADY : IN STD_LOGIC;
s_axi_KERNEL_BUS_ARADDR : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
s_axi_KERNEL_BUS_ARVALID : IN STD_LOGIC;
s_axi_KERNEL_BUS_ARREADY : OUT STD_LOGIC;
s_axi_KERNEL_BUS_RDATA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_KERNEL_BUS_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_KERNEL_BUS_RVALID : OUT STD_LOGIC;
s_axi_KERNEL_BUS_RREADY : IN STD_LOGIC;
ap_clk : IN STD_LOGIC;
ap_rst_n : IN STD_LOGIC;
interrupt : OUT STD_LOGIC;
inStream_TVALID : IN STD_LOGIC;
inStream_TREADY : OUT STD_LOGIC;
inStream_TDATA : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
inStream_TDEST : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
inStream_TKEEP : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
inStream_TSTRB : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
inStream_TUSER : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
inStream_TLAST : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
inStream_TID : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
outStream_TVALID : OUT STD_LOGIC;
outStream_TREADY : IN STD_LOGIC;
outStream_TDATA : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
outStream_TDEST : OUT STD_LOGIC_VECTOR(5 DOWNTO 0);
outStream_TKEEP : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
outStream_TSTRB : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
outStream_TUSER : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
outStream_TLAST : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
outStream_TID : OUT STD_LOGIC_VECTOR(4 DOWNTO 0)
);
END COMPONENT doImgProc;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF design_1_doImgProc_0_2_arch: ARCHITECTURE IS "doImgProc,Vivado 2016.1";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF design_1_doImgProc_0_2_arch : ARCHITECTURE IS "design_1_doImgProc_0_2,doImgProc,{}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF s_axi_CRTL_BUS_AWADDR: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_CRTL_BUS AWADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_CRTL_BUS_AWVALID: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_CRTL_BUS AWVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_CRTL_BUS_AWREADY: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_CRTL_BUS AWREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_CRTL_BUS_WDATA: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_CRTL_BUS WDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_CRTL_BUS_WSTRB: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_CRTL_BUS WSTRB";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_CRTL_BUS_WVALID: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_CRTL_BUS WVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_CRTL_BUS_WREADY: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_CRTL_BUS WREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_CRTL_BUS_BRESP: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_CRTL_BUS BRESP";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_CRTL_BUS_BVALID: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_CRTL_BUS BVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_CRTL_BUS_BREADY: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_CRTL_BUS BREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_CRTL_BUS_ARADDR: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_CRTL_BUS ARADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_CRTL_BUS_ARVALID: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_CRTL_BUS ARVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_CRTL_BUS_ARREADY: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_CRTL_BUS ARREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_CRTL_BUS_RDATA: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_CRTL_BUS RDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_CRTL_BUS_RRESP: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_CRTL_BUS RRESP";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_CRTL_BUS_RVALID: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_CRTL_BUS RVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_CRTL_BUS_RREADY: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_CRTL_BUS RREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_KERNEL_BUS_AWADDR: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_KERNEL_BUS AWADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_KERNEL_BUS_AWVALID: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_KERNEL_BUS AWVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_KERNEL_BUS_AWREADY: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_KERNEL_BUS AWREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_KERNEL_BUS_WDATA: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_KERNEL_BUS WDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_KERNEL_BUS_WSTRB: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_KERNEL_BUS WSTRB";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_KERNEL_BUS_WVALID: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_KERNEL_BUS WVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_KERNEL_BUS_WREADY: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_KERNEL_BUS WREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_KERNEL_BUS_BRESP: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_KERNEL_BUS BRESP";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_KERNEL_BUS_BVALID: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_KERNEL_BUS BVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_KERNEL_BUS_BREADY: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_KERNEL_BUS BREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_KERNEL_BUS_ARADDR: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_KERNEL_BUS ARADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_KERNEL_BUS_ARVALID: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_KERNEL_BUS ARVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_KERNEL_BUS_ARREADY: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_KERNEL_BUS ARREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_KERNEL_BUS_RDATA: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_KERNEL_BUS RDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_KERNEL_BUS_RRESP: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_KERNEL_BUS RRESP";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_KERNEL_BUS_RVALID: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_KERNEL_BUS RVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_KERNEL_BUS_RREADY: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_KERNEL_BUS RREADY";
ATTRIBUTE X_INTERFACE_INFO OF ap_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 ap_clk CLK";
ATTRIBUTE X_INTERFACE_INFO OF ap_rst_n: SIGNAL IS "xilinx.com:signal:reset:1.0 ap_rst_n RST";
ATTRIBUTE X_INTERFACE_INFO OF interrupt: SIGNAL IS "xilinx.com:signal:interrupt:1.0 interrupt INTERRUPT";
ATTRIBUTE X_INTERFACE_INFO OF inStream_TVALID: SIGNAL IS "xilinx.com:interface:axis:1.0 inStream TVALID";
ATTRIBUTE X_INTERFACE_INFO OF inStream_TREADY: SIGNAL IS "xilinx.com:interface:axis:1.0 inStream TREADY";
ATTRIBUTE X_INTERFACE_INFO OF inStream_TDATA: SIGNAL IS "xilinx.com:interface:axis:1.0 inStream TDATA";
ATTRIBUTE X_INTERFACE_INFO OF inStream_TDEST: SIGNAL IS "xilinx.com:interface:axis:1.0 inStream TDEST";
ATTRIBUTE X_INTERFACE_INFO OF inStream_TKEEP: SIGNAL IS "xilinx.com:interface:axis:1.0 inStream TKEEP";
ATTRIBUTE X_INTERFACE_INFO OF inStream_TSTRB: SIGNAL IS "xilinx.com:interface:axis:1.0 inStream TSTRB";
ATTRIBUTE X_INTERFACE_INFO OF inStream_TUSER: SIGNAL IS "xilinx.com:interface:axis:1.0 inStream TUSER";
ATTRIBUTE X_INTERFACE_INFO OF inStream_TLAST: SIGNAL IS "xilinx.com:interface:axis:1.0 inStream TLAST";
ATTRIBUTE X_INTERFACE_INFO OF inStream_TID: SIGNAL IS "xilinx.com:interface:axis:1.0 inStream TID";
ATTRIBUTE X_INTERFACE_INFO OF outStream_TVALID: SIGNAL IS "xilinx.com:interface:axis:1.0 outStream TVALID";
ATTRIBUTE X_INTERFACE_INFO OF outStream_TREADY: SIGNAL IS "xilinx.com:interface:axis:1.0 outStream TREADY";
ATTRIBUTE X_INTERFACE_INFO OF outStream_TDATA: SIGNAL IS "xilinx.com:interface:axis:1.0 outStream TDATA";
ATTRIBUTE X_INTERFACE_INFO OF outStream_TDEST: SIGNAL IS "xilinx.com:interface:axis:1.0 outStream TDEST";
ATTRIBUTE X_INTERFACE_INFO OF outStream_TKEEP: SIGNAL IS "xilinx.com:interface:axis:1.0 outStream TKEEP";
ATTRIBUTE X_INTERFACE_INFO OF outStream_TSTRB: SIGNAL IS "xilinx.com:interface:axis:1.0 outStream TSTRB";
ATTRIBUTE X_INTERFACE_INFO OF outStream_TUSER: SIGNAL IS "xilinx.com:interface:axis:1.0 outStream TUSER";
ATTRIBUTE X_INTERFACE_INFO OF outStream_TLAST: SIGNAL IS "xilinx.com:interface:axis:1.0 outStream TLAST";
ATTRIBUTE X_INTERFACE_INFO OF outStream_TID: SIGNAL IS "xilinx.com:interface:axis:1.0 outStream TID";
BEGIN
U0 : doImgProc
GENERIC MAP (
C_S_AXI_CRTL_BUS_ADDR_WIDTH => 5,
C_S_AXI_CRTL_BUS_DATA_WIDTH => 32,
C_S_AXI_KERNEL_BUS_ADDR_WIDTH => 5,
C_S_AXI_KERNEL_BUS_DATA_WIDTH => 32
)
PORT MAP (
s_axi_CRTL_BUS_AWADDR => s_axi_CRTL_BUS_AWADDR,
s_axi_CRTL_BUS_AWVALID => s_axi_CRTL_BUS_AWVALID,
s_axi_CRTL_BUS_AWREADY => s_axi_CRTL_BUS_AWREADY,
s_axi_CRTL_BUS_WDATA => s_axi_CRTL_BUS_WDATA,
s_axi_CRTL_BUS_WSTRB => s_axi_CRTL_BUS_WSTRB,
s_axi_CRTL_BUS_WVALID => s_axi_CRTL_BUS_WVALID,
s_axi_CRTL_BUS_WREADY => s_axi_CRTL_BUS_WREADY,
s_axi_CRTL_BUS_BRESP => s_axi_CRTL_BUS_BRESP,
s_axi_CRTL_BUS_BVALID => s_axi_CRTL_BUS_BVALID,
s_axi_CRTL_BUS_BREADY => s_axi_CRTL_BUS_BREADY,
s_axi_CRTL_BUS_ARADDR => s_axi_CRTL_BUS_ARADDR,
s_axi_CRTL_BUS_ARVALID => s_axi_CRTL_BUS_ARVALID,
s_axi_CRTL_BUS_ARREADY => s_axi_CRTL_BUS_ARREADY,
s_axi_CRTL_BUS_RDATA => s_axi_CRTL_BUS_RDATA,
s_axi_CRTL_BUS_RRESP => s_axi_CRTL_BUS_RRESP,
s_axi_CRTL_BUS_RVALID => s_axi_CRTL_BUS_RVALID,
s_axi_CRTL_BUS_RREADY => s_axi_CRTL_BUS_RREADY,
s_axi_KERNEL_BUS_AWADDR => s_axi_KERNEL_BUS_AWADDR,
s_axi_KERNEL_BUS_AWVALID => s_axi_KERNEL_BUS_AWVALID,
s_axi_KERNEL_BUS_AWREADY => s_axi_KERNEL_BUS_AWREADY,
s_axi_KERNEL_BUS_WDATA => s_axi_KERNEL_BUS_WDATA,
s_axi_KERNEL_BUS_WSTRB => s_axi_KERNEL_BUS_WSTRB,
s_axi_KERNEL_BUS_WVALID => s_axi_KERNEL_BUS_WVALID,
s_axi_KERNEL_BUS_WREADY => s_axi_KERNEL_BUS_WREADY,
s_axi_KERNEL_BUS_BRESP => s_axi_KERNEL_BUS_BRESP,
s_axi_KERNEL_BUS_BVALID => s_axi_KERNEL_BUS_BVALID,
s_axi_KERNEL_BUS_BREADY => s_axi_KERNEL_BUS_BREADY,
s_axi_KERNEL_BUS_ARADDR => s_axi_KERNEL_BUS_ARADDR,
s_axi_KERNEL_BUS_ARVALID => s_axi_KERNEL_BUS_ARVALID,
s_axi_KERNEL_BUS_ARREADY => s_axi_KERNEL_BUS_ARREADY,
s_axi_KERNEL_BUS_RDATA => s_axi_KERNEL_BUS_RDATA,
s_axi_KERNEL_BUS_RRESP => s_axi_KERNEL_BUS_RRESP,
s_axi_KERNEL_BUS_RVALID => s_axi_KERNEL_BUS_RVALID,
s_axi_KERNEL_BUS_RREADY => s_axi_KERNEL_BUS_RREADY,
ap_clk => ap_clk,
ap_rst_n => ap_rst_n,
interrupt => interrupt,
inStream_TVALID => inStream_TVALID,
inStream_TREADY => inStream_TREADY,
inStream_TDATA => inStream_TDATA,
inStream_TDEST => inStream_TDEST,
inStream_TKEEP => inStream_TKEEP,
inStream_TSTRB => inStream_TSTRB,
inStream_TUSER => inStream_TUSER,
inStream_TLAST => inStream_TLAST,
inStream_TID => inStream_TID,
outStream_TVALID => outStream_TVALID,
outStream_TREADY => outStream_TREADY,
outStream_TDATA => outStream_TDATA,
outStream_TDEST => outStream_TDEST,
outStream_TKEEP => outStream_TKEEP,
outStream_TSTRB => outStream_TSTRB,
outStream_TUSER => outStream_TUSER,
outStream_TLAST => outStream_TLAST,
outStream_TID => outStream_TID
);
END design_1_doImgProc_0_2_arch;
| gpl-3.0 | 116b9aaf622263db8da7e65ac168098e | 0.709995 | 3.226085 | false | false | false | false |
nickg/nvc | test/regress/wait16.vhd | 1 | 774 | entity wait16 is
end entity;
architecture test of wait16 is
type int_vec is array (natural range <>) of integer;
function get_4_ints(a, b, c, d : integer) return int_vec is
begin
return (a, b, c, d);
end function;
begin
p1: process is
constant x : int_vec := (1, 2, 3, 4, 5);
variable y : int_vec(1 to x'length) := x;
begin
wait for 5 ns;
assert y = (1, 2, 3, 4, 5);
wait;
end process;
p2: process is
constant x : int_vec := (6, 7, 8, 9);
variable y : int_vec(1 to x'length) := x;
begin
wait for 5 ns;
assert get_4_ints(1, 2, 3, 4) = (1, 2, 3, 4); -- Would overwrite y
assert y = (6, 7, 8, 9);
wait;
end process;
end architecture;
| gpl-3.0 | a3d49421f0be4b4442f6b6b796985040 | 0.523256 | 3.083665 | false | false | false | false |
tgingold/ghdl | testsuite/gna/issue1051/psi_common_bit_cc.vhd | 1 | 2,374 | ------------------------------------------------------------------------------
-- Copyright (c) 2018 by Paul Scherrer Institute, Switzerland
-- All rights reserved.
-- Authors: Oliver Bruendler
------------------------------------------------------------------------------
------------------------------------------------------------------------------
-- Description
------------------------------------------------------------------------------
-- This is a very basic clock crossing that allows passing multple independent
-- single-bit signals from one clock domain to another one.
-- Double stage synchronizers are implemeted for each bit, including then
-- required attributes.
--
------------------------------------------------------------------------------
-- Libraries
------------------------------------------------------------------------------
library ieee ;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
------------------------------------------------------------------------------
-- Entity Declaration
------------------------------------------------------------------------------
entity psi_common_bit_cc is
generic (
NumBits_g : positive := 1
);
port (
-- Clock Domain A
BitsA : in std_logic_vector(NumBits_g-1 downto 0);
-- Clock Domain B
ClkB : in std_logic;
BitsB : out std_logic_vector(NumBits_g-1 downto 0)
);
end entity;
------------------------------------------------------------------------------
-- Architecture Declaration
------------------------------------------------------------------------------
architecture rtl of psi_common_bit_cc is
signal Reg0 : std_logic_vector(NumBits_g-1 downto 0) := (others => '0');
signal Reg1 : std_logic_vector(NumBits_g-1 downto 0) := (others => '0');
attribute syn_srlstyle : string;
attribute syn_srlstyle of Reg0 : signal is "registers";
attribute syn_srlstyle of Reg1 : signal is "registers";
attribute shreg_extract : string;
attribute shreg_extract of Reg0 : signal is "no";
attribute shreg_extract of Reg1 : signal is "no";
attribute ASYNC_REG : string;
attribute ASYNC_REG of Reg0 : signal is "TRUE";
attribute ASYNC_REG of Reg1 : signal is "TRUE";
begin
-- Process
p : process(ClkB)
begin
if rising_edge(ClkB) then
Reg0 <= BitsA;
Reg1 <= Reg0;
end if;
end process;
BitsB <= Reg1;
end;
| gpl-2.0 | 2b993adf292c4fc42da54c9dbd8d1701 | 0.457456 | 4.600775 | false | false | false | false |
tgingold/ghdl | testsuite/vests/vhdl-ams/ashenden/compliant/subprograms/motor_system.vhd | 4 | 2,036 |
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
library ieee_proposed; use ieee_proposed.electrical_systems.all;
entity motor_system is
port ( terminal vp, vm : electrical;
terminal px : electrical_vector(1 to 3) );
end entity motor_system;
----------------------------------------------------------------
architecture state_space of motor_system is
quantity v_in across vp to vm;
quantity x across i_x through px to electrical_ref;
constant Tfb : real := 0.001;
constant Kfb : real := 1.0;
constant Te : real := 0.001;
constant Ke : real := 1.0;
constant Tm : real := 0.1;
constant Km : real := 1.0;
type real_matrix is array (1 to 3, 1 to 3) of real;
constant c : real_matrix := ( ( -1.0/Tfb, 0.0, Kfb/Tfb ),
( -Ke/Te, -1.0/Te, 0.0 ),
( 0.0, Km/Tm, -1.0/Tm ) );
begin
state_eqn : procedural is
variable sum : real_vector(1 to 3) := (0.0, 0.0, 0.0);
begin
for i in 1 to 3 loop
for j in 1 to 3 loop
sum(i) := sum(i) + c(i, j) * x(j);
end loop;
end loop;
x(1)'dot := sum(1);
x(2)'dot := sum(2) + (Ke/Te)*v_in;
x(3)'dot := sum(3);
end procedural state_eqn;
end architecture state_space;
| gpl-2.0 | d274a945b5d7bc6e977a0fd5daa4cffb | 0.602161 | 3.590829 | false | false | false | false |
tgingold/ghdl | testsuite/gna/bug040/p_jinfo_dc_xhuff_tbl_huffval.vhd | 2 | 1,461 | library ieee;
use ieee.std_logic_1164.all;
library ieee;
use ieee.numeric_std.all;
entity p_jinfo_dc_xhuff_tbl_huffval is
port (
wa0_data : in std_logic_vector(31 downto 0);
wa0_addr : in std_logic_vector(9 downto 0);
clk : in std_logic;
ra0_addr : in std_logic_vector(9 downto 0);
ra0_data : out std_logic_vector(31 downto 0);
wa0_en : in std_logic
);
end p_jinfo_dc_xhuff_tbl_huffval;
architecture augh of p_jinfo_dc_xhuff_tbl_huffval is
-- Embedded RAM
type ram_type is array (0 to 1023) of std_logic_vector(31 downto 0);
signal ram : ram_type := (others => (others => '0'));
-- Little utility functions to make VHDL syntactically correct
-- with the syntax to_integer(unsigned(vector)) when 'vector' is a std_logic.
-- This happens when accessing arrays with <= 2 cells, for example.
function to_integer(B: std_logic) return integer is
variable V: std_logic_vector(0 to 0);
begin
V(0) := B;
return to_integer(unsigned(V));
end;
function to_integer(V: std_logic_vector) return integer is
begin
return to_integer(unsigned(V));
end;
begin
-- Sequential process
-- It handles the Writes
process (clk)
begin
if rising_edge(clk) then
-- Write to the RAM
-- Note: there should be only one port.
if wa0_en = '1' then
ram( to_integer(wa0_addr) ) <= wa0_data;
end if;
end if;
end process;
-- The Read side (the outputs)
ra0_data <= ram( to_integer(ra0_addr) );
end architecture;
| gpl-2.0 | a23af1523d4c4ccc859c7651bd8c02af | 0.676934 | 2.875984 | false | false | false | false |
nickg/nvc | test/regress/bounds38.vhd | 1 | 656 | entity bounds38 is
end entity;
architecture test of bounds38 is
type int_vec_2d is array (natural range <>, natural range <>) of integer;
function double (x : in int_vec_2d) return int_vec_2d is
variable result : int_vec_2d(x'range(2), x'range(1));
variable sum : integer;
begin
for i in result'range(1) loop
for j in result'range(2) loop
result(i, j) := x(i, j) * 2; -- Error
end loop;
end loop;
return result;
end function;
signal s3, s4 : int_vec_2d(1 to 2, 5 to 7) := (others => (others => 0));
begin
p2: s4 <= double(s3);
end architecture;
| gpl-3.0 | b9890985aa61723e728b28f95c603ee9 | 0.570122 | 3.296482 | false | false | false | false |
nickg/nvc | test/elab/issue93.vhd | 5 | 676 | entity t is
generic(
ORDER : integer := 8
);
port(
clk : in bit;
reset : in bit
);
end entity t;
architecture RTL of t is
function calc_order(i:integer) return integer is
begin
if i mod 2 = 1 then
return i/2+1;
else
return i/2;
end if;
end function;
constant C_ORDER :integer:=calc_order(ORDER);
type t_48 is array (C_ORDER-1 downto 0) of bit_vector(47 downto 0);
signal a:t_48;
constant zero48 : bit_vector(47 downto 0):=(others=>'0');
begin
loop_gen: for i in 0 to C_ORDER-1 generate
a(i)<=zero48;
end generate;
end architecture RTL;
| gpl-3.0 | e7cd5e448280899467af074015384fc1 | 0.56213 | 3.595745 | false | false | false | false |
DE5Amigos/SylvesterTheDE2Bot | DE2Botv3Fall16Main/uart_dcfifo_in.vhd | 1 | 7,772 | -- megafunction wizard: %LPM_FIFO+%
-- GENERATION: STANDARD
-- VERSION: WM1.0
-- MODULE: dcfifo
-- ============================================================
-- File Name: uart_dcfifo_in.vhd
-- Megafunction Name(s):
-- dcfifo
--
-- Simulation Library Files(s):
-- altera_mf
-- ============================================================
-- ************************************************************
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
--
-- 9.1 Build 350 03/24/2010 SP 2 SJ Full Version
-- ************************************************************
--Copyright (C) 1991-2010 Altera Corporation
--Your use of Altera Corporation's design tools, logic functions
--and other software and tools, and its AMPP partner logic
--functions, and any output files from any of the foregoing
--(including device programming or simulation files), and any
--associated documentation or information are expressly subject
--to the terms and conditions of the Altera Program License
--Subscription Agreement, Altera MegaCore Function License
--Agreement, or other applicable license agreement, including,
--without limitation, that your use is for the sole purpose of
--programming logic devices manufactured by Altera and sold by
--Altera or its authorized distributors. Please refer to the
--applicable agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
LIBRARY altera_mf;
USE altera_mf.all;
ENTITY uart_dcfifo_in IS
PORT
(
aclr : IN STD_LOGIC := '0';
data : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
rdclk : IN STD_LOGIC ;
rdreq : IN STD_LOGIC ;
wrclk : IN STD_LOGIC ;
wrreq : IN STD_LOGIC ;
q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0);
rdempty : OUT STD_LOGIC ;
wrfull : OUT STD_LOGIC
);
END uart_dcfifo_in;
ARCHITECTURE SYN OF uart_dcfifo_in IS
SIGNAL sub_wire0 : STD_LOGIC ;
SIGNAL sub_wire1 : STD_LOGIC ;
SIGNAL sub_wire2 : STD_LOGIC_VECTOR (7 DOWNTO 0);
COMPONENT dcfifo
GENERIC (
intended_device_family : STRING;
lpm_hint : STRING;
lpm_numwords : NATURAL;
lpm_showahead : STRING;
lpm_type : STRING;
lpm_width : NATURAL;
lpm_widthu : NATURAL;
overflow_checking : STRING;
rdsync_delaypipe : NATURAL;
underflow_checking : STRING;
use_eab : STRING;
write_aclr_synch : STRING;
wrsync_delaypipe : NATURAL
);
PORT (
wrclk : IN STD_LOGIC ;
rdempty : OUT STD_LOGIC ;
rdreq : IN STD_LOGIC ;
aclr : IN STD_LOGIC ;
wrfull : OUT STD_LOGIC ;
rdclk : IN STD_LOGIC ;
q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0);
wrreq : IN STD_LOGIC ;
data : IN STD_LOGIC_VECTOR (7 DOWNTO 0)
);
END COMPONENT;
BEGIN
rdempty <= sub_wire0;
wrfull <= sub_wire1;
q <= sub_wire2(7 DOWNTO 0);
dcfifo_component : dcfifo
GENERIC MAP (
intended_device_family => "Cyclone II",
lpm_hint => "MAXIMIZE_SPEED=7,",
lpm_numwords => 32,
lpm_showahead => "ON",
lpm_type => "dcfifo",
lpm_width => 8,
lpm_widthu => 5,
overflow_checking => "ON",
rdsync_delaypipe => 5,
underflow_checking => "ON",
use_eab => "ON",
write_aclr_synch => "OFF",
wrsync_delaypipe => 5
)
PORT MAP (
wrclk => wrclk,
rdreq => rdreq,
aclr => aclr,
rdclk => rdclk,
wrreq => wrreq,
data => data,
rdempty => sub_wire0,
wrfull => sub_wire1,
q => sub_wire2
);
END SYN;
-- ============================================================
-- CNX file retrieval info
-- ============================================================
-- Retrieval info: PRIVATE: AlmostEmpty NUMERIC "0"
-- Retrieval info: PRIVATE: AlmostEmptyThr NUMERIC "-1"
-- Retrieval info: PRIVATE: AlmostFull NUMERIC "0"
-- Retrieval info: PRIVATE: AlmostFullThr NUMERIC "-1"
-- Retrieval info: PRIVATE: CLOCKS_ARE_SYNCHRONIZED NUMERIC "0"
-- Retrieval info: PRIVATE: Clock NUMERIC "4"
-- Retrieval info: PRIVATE: Depth NUMERIC "32"
-- Retrieval info: PRIVATE: Empty NUMERIC "1"
-- Retrieval info: PRIVATE: Full NUMERIC "1"
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone II"
-- Retrieval info: PRIVATE: LE_BasedFIFO NUMERIC "0"
-- Retrieval info: PRIVATE: LegacyRREQ NUMERIC "0"
-- Retrieval info: PRIVATE: MAX_DEPTH_BY_9 NUMERIC "0"
-- Retrieval info: PRIVATE: OVERFLOW_CHECKING NUMERIC "0"
-- Retrieval info: PRIVATE: Optimize NUMERIC "1"
-- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
-- Retrieval info: PRIVATE: UNDERFLOW_CHECKING NUMERIC "0"
-- Retrieval info: PRIVATE: UsedW NUMERIC "1"
-- Retrieval info: PRIVATE: Width NUMERIC "8"
-- Retrieval info: PRIVATE: dc_aclr NUMERIC "1"
-- Retrieval info: PRIVATE: diff_widths NUMERIC "0"
-- Retrieval info: PRIVATE: msb_usedw NUMERIC "0"
-- Retrieval info: PRIVATE: output_width NUMERIC "8"
-- Retrieval info: PRIVATE: rsEmpty NUMERIC "1"
-- Retrieval info: PRIVATE: rsFull NUMERIC "0"
-- Retrieval info: PRIVATE: rsUsedW NUMERIC "0"
-- Retrieval info: PRIVATE: sc_aclr NUMERIC "0"
-- Retrieval info: PRIVATE: sc_sclr NUMERIC "0"
-- Retrieval info: PRIVATE: wsEmpty NUMERIC "0"
-- Retrieval info: PRIVATE: wsFull NUMERIC "1"
-- Retrieval info: PRIVATE: wsUsedW NUMERIC "0"
-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone II"
-- Retrieval info: CONSTANT: LPM_HINT STRING "MAXIMIZE_SPEED=7,"
-- Retrieval info: CONSTANT: LPM_NUMWORDS NUMERIC "32"
-- Retrieval info: CONSTANT: LPM_SHOWAHEAD STRING "ON"
-- Retrieval info: CONSTANT: LPM_TYPE STRING "dcfifo"
-- Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "8"
-- Retrieval info: CONSTANT: LPM_WIDTHU NUMERIC "5"
-- Retrieval info: CONSTANT: OVERFLOW_CHECKING STRING "ON"
-- Retrieval info: CONSTANT: RDSYNC_DELAYPIPE NUMERIC "5"
-- Retrieval info: CONSTANT: UNDERFLOW_CHECKING STRING "ON"
-- Retrieval info: CONSTANT: USE_EAB STRING "ON"
-- Retrieval info: CONSTANT: WRITE_ACLR_SYNCH STRING "OFF"
-- Retrieval info: CONSTANT: WRSYNC_DELAYPIPE NUMERIC "5"
-- Retrieval info: USED_PORT: aclr 0 0 0 0 INPUT GND aclr
-- Retrieval info: USED_PORT: data 0 0 8 0 INPUT NODEFVAL data[7..0]
-- Retrieval info: USED_PORT: q 0 0 8 0 OUTPUT NODEFVAL q[7..0]
-- Retrieval info: USED_PORT: rdclk 0 0 0 0 INPUT NODEFVAL rdclk
-- Retrieval info: USED_PORT: rdempty 0 0 0 0 OUTPUT NODEFVAL rdempty
-- Retrieval info: USED_PORT: rdreq 0 0 0 0 INPUT NODEFVAL rdreq
-- Retrieval info: USED_PORT: wrclk 0 0 0 0 INPUT NODEFVAL wrclk
-- Retrieval info: USED_PORT: wrfull 0 0 0 0 OUTPUT NODEFVAL wrfull
-- Retrieval info: USED_PORT: wrreq 0 0 0 0 INPUT NODEFVAL wrreq
-- Retrieval info: CONNECT: @data 0 0 8 0 data 0 0 8 0
-- Retrieval info: CONNECT: q 0 0 8 0 @q 0 0 8 0
-- Retrieval info: CONNECT: @wrreq 0 0 0 0 wrreq 0 0 0 0
-- Retrieval info: CONNECT: @rdreq 0 0 0 0 rdreq 0 0 0 0
-- Retrieval info: CONNECT: @rdclk 0 0 0 0 rdclk 0 0 0 0
-- Retrieval info: CONNECT: @wrclk 0 0 0 0 wrclk 0 0 0 0
-- Retrieval info: CONNECT: rdempty 0 0 0 0 @rdempty 0 0 0 0
-- Retrieval info: CONNECT: wrfull 0 0 0 0 @wrfull 0 0 0 0
-- Retrieval info: CONNECT: @aclr 0 0 0 0 aclr 0 0 0 0
-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
-- Retrieval info: GEN_FILE: TYPE_NORMAL uart_dcfifo_in.vhd TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL uart_dcfifo_in.inc FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL uart_dcfifo_in.cmp FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL uart_dcfifo_in.bsf TRUE FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL uart_dcfifo_in_inst.vhd FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL uart_dcfifo_in_waveforms.html FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL uart_dcfifo_in_wave*.jpg FALSE
-- Retrieval info: LIB_FILE: altera_mf
| mit | f92edfc5b481caa7560bfb69e2a48dc4 | 0.651312 | 3.466548 | false | false | false | false |
tgingold/ghdl | testsuite/vests/vhdl-ams/ashenden/compliant/subprograms/mixer_wa.vhd | 4 | 1,655 |
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
library ieee_proposed; use ieee_proposed.electrical_systems.all;
entity mixer_wa is
port ( terminal inputs : electrical_vector(1 to 8);
terminal output : electrical );
end entity mixer_wa;
----------------------------------------------------------------
architecture weighted of mixer_wa is
quantity v_in across inputs;
quantity v_out across i_out through output;
quantity v1, v2, v3, v4, v5, v6, v7, v8 : real;
constant gains : real_vector(1 to 8)
:= ( 0.01, 0.04, 0.15, 0.30, 0.03, 0.15, 0.04, 0.01 );
begin
v1 == v_in(1) * gains(1);
v2 == v_in(2) * gains(2);
v3 == v_in(3) * gains(3);
v4 == v_in(4) * gains(4);
v5 == v_in(5) * gains(5);
v6 == v_in(6) * gains(6);
v7 == v_in(7) * gains(7);
v8 == v_in(8) * gains(8);
v_out == v1 + v2 + v3 + v4 + v5 + v6 + v7 + v8;
end architecture weighted;
| gpl-2.0 | e685331590f995cd82fa71e47dc815d5 | 0.634441 | 3.232422 | false | false | false | false |
tgingold/ghdl | testsuite/gna/bug037/sim_global.v08.vhdl | 2 | 1,578 | -- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*-
-- vim: tabstop=2:shiftwidth=2:noexpandtab
-- kate: tab-width 2; replace-tabs off; indent-width 2;
--
-- =============================================================================
-- Authors: Patrick Lehmann
--
-- Package: Global simulation constants and shared varibales.
--
-- Description:
-- ------------------------------------
-- TODO
--
-- License:
-- =============================================================================
-- Copyright 2007-2016 Technische Universitaet Dresden - Germany
-- Chair for VLSI-Design, Diagnostics and Architecture
--
-- Licensed under the Apache License, Version 2.0 (the "License");
-- you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at
--
-- http://www.apache.org/licenses/LICENSE-2.0
--
-- Unless required by applicable law or agreed to in writing, software
-- distributed under the License is distributed on an "AS IS" BASIS,
-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and
-- limitations under the License.
-- =============================================================================
library PoC;
use PoC.sim_protected.all;
package sim_global is
-- The default global status objects.
-- ===========================================================================
shared variable globalSimulationStatus : T_SIM_STATUS;
end package;
| gpl-2.0 | 0efa8dfe34295cc4c546f77f52b7d9a5 | 0.538023 | 4.508571 | false | false | false | false |
tgingold/ghdl | testsuite/gna/issue30/tb-alu.vhdl | 2 | 220,692 | library ieee;
use ieee.std_logic_1164.all;
package testbench is
constant zero: std_logic_vector(3 downto 0) := x"0";
constant one: std_logic_vector(3 downto 0) := x"1";
constant two: std_logic_vector(3 downto 0) := x"2";
constant three: std_logic_vector(3 downto 0) := x"3";
constant four: std_logic_vector(3 downto 0) := x"4";
constant five: std_logic_vector(3 downto 0) := x"5";
constant six: std_logic_vector(3 downto 0) := x"6";
constant seven: std_logic_vector(3 downto 0) := x"7";
constant eight: std_logic_vector(3 downto 0) := x"8";
constant nine: std_logic_vector(3 downto 0) := x"9";
constant ten: std_logic_vector(3 downto 0) := x"a";
constant eleven: std_logic_vector(3 downto 0) := x"b";
constant twelve: std_logic_vector(3 downto 0) := x"c";
constant thirteen: std_logic_vector(3 downto 0) := x"d";
constant fourteen: std_logic_vector(3 downto 0) := x"e";
constant fifteen: std_logic_vector(3 downto 0) := x"f";
constant counter_width: positive := 24;
constant Disable: std_logic := '0';
constant enable: std_logic := '1';
end;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
library work;
use work.testbench.all;
use work.definitions.all;
entity tb_alu is
end;
architecture struct_tb_alu of tb_alu is
component clkgen is
port(
clk_out: out std_logic;
resetn: out std_logic
);
end component;
component synchronous_latchN is
generic(
N: positive
);
port(
rstn: in std_logic;
clock: in std_logic;
clock_enable: in std_logic;
d: in std_logic_vector((N-1) downto 0);
q: out std_logic_vector((N-1) downto 0)
);
end component;
component synchronous_latch_autoclear is
port(
rstn: in std_logic;
clock: in std_logic;
clock_enable: in std_logic;
d: in std_logic;
q: out std_logic
);
end component;
component counterN is
generic(
N: positive
);
port(
clock: in std_logic;
carry_in: in std_logic;
clock_enable: in std_logic;
resetn: in std_logic;
output: out std_logic_vector((N-1) downto 0);
carry_out: out std_logic
);
end component;
component alu is
port(
-- control
operation: in std_logic_vector(4 downto 0);
-- operands
primary_operand: in std_logic_vector(7 downto 0);
secondary_operand: in std_logic_vector(7 downto 0);
flags_in: in std_logic_vector(7 downto 0);
-- results
output, flags_out: out std_logic_vector(7 downto 0);
secondary_out: out std_logic_vector(7 downto 0)
);
end component;
component magnitudeN is
generic(
N: positive
);
port(
a, b: in std_logic_vector((N-1) downto 0);
equal: out std_logic;
lt: out std_logic; -- '1' if a < b
gt: out std_logic -- '1' if a > b
);
end component;
signal clock: std_logic;
signal resetn: std_logic;
signal notclock: std_logic;
signal next_state: std_logic_vector(3 downto 0);
signal nxt_state: std_logic_vector(3 downto 0);
signal current_state: std_logic_vector(3 downto 0);
signal counter_state: std_logic;
signal counter_clock: std_logic;
signal counter_clock_enable: std_logic;
signal counter_out: std_logic_vector((counter_width - 1) downto 0);
signal counter_zero: std_logic;
signal zero_secondary_alu_result: std_logic;
signal test_bits: std_logic;
signal res_bits: std_logic;
signal res_result: std_logic_vector(7 downto 0);
signal alu_result: std_logic_vector(7 downto 0);
signal secondary_alu_result: std_logic_vector(7 downto 0);
signal flags_in: std_logic_vector(7 downto 0);
signal flags: std_logic_vector(7 downto 0);
signal sum_check: std_logic_vector(8 downto 0);
signal sum_overflow_check: std_logic;
signal sum_zero_check: std_logic;
signal half_sum_check: std_logic_vector(4 downto 0);
signal sum_checker: std_logic;
signal subtract_check: std_logic_vector(8 downto 0);
signal subtract_overflow_check: std_logic;
signal subtract_zero_check: std_logic;
signal half_difference_check: std_logic_vector(4 downto 0);
signal subtract_checker: std_logic;
signal and_check: std_logic_vector(7 downto 0);
signal and_zero_check: std_logic;
signal and_parity_check: std_logic;
signal and_checker: std_logic;
signal xor_check: std_logic_vector(7 downto 0);
signal xor_zero_check: std_logic;
signal xor_parity_check: std_logic;
signal xor_checker: std_logic;
signal or_check: std_logic_vector(7 downto 0);
signal or_zero_check: std_logic;
signal or_parity_check: std_logic;
signal or_checker: std_logic;
signal rlc_check: std_logic_vector(7 downto 0);
signal rlc_zero_check: std_logic;
signal rlc_parity_check: std_logic;
signal rlc_checker: std_logic;
signal rrc_check: std_logic_vector(7 downto 0);
signal rrc_zero_check: std_logic;
signal rrc_parity_check: std_logic;
signal rrc_checker: std_logic;
signal rl_check: std_logic_vector(7 downto 0);
signal rl_zero_check: std_logic;
signal rl_parity_check: std_logic;
signal rl_checker: std_logic;
signal rr_check: std_logic_vector(7 downto 0);
signal rr_zero_check: std_logic;
signal rr_parity_check: std_logic;
signal rr_checker: std_logic;
signal daa_unimp: std_logic;
signal cpl_check: std_logic_vector(7 downto 0);
signal cpl_checker: std_logic;
signal scf_checker: std_logic;
signal ccf_flags: std_logic_vector(7 downto 0);
signal sla_check: std_logic_vector(7 downto 0);
signal sla_zero_check: std_logic;
signal sla_parity_check: std_logic;
signal sla_checker: std_logic;
signal sra_check: std_logic_vector(7 downto 0);
signal sra_zero_check: std_logic;
signal sra_parity_check: std_logic;
signal sra_checker: std_logic;
signal sll_check: std_logic_vector(7 downto 0);
signal sll_zero_check: std_logic;
signal sll_parity_check: std_logic;
signal sll_checker: std_logic;
signal srl_check: std_logic_vector(7 downto 0);
signal srl_zero_check: std_logic;
signal srl_parity_check: std_logic;
signal srl_checker: std_logic;
signal bit_checker: std_logic;
signal bit_check: std_logic_vector(7 downto 0);
signal bit_zero_checker: std_logic;
signal res_checker: std_logic;
signal set_checker: std_logic;
signal inrc_zero: std_logic;
signal inrc_parity: std_logic;
signal primary_rld_check: std_logic_vector(7 downto 0);
signal secondary_rld_check: std_logic_vector(7 downto 0);
signal rld_zero_check: std_logic;
signal rld_parity_check: std_logic;
signal primary_rld_checker: std_logic;
signal secondary_rld_checker: std_logic;
signal primary_rrd_check: std_logic_vector(7 downto 0);
signal secondary_rrd_check: std_logic_vector(7 downto 0);
signal rrd_zero_check: std_logic;
signal rrd_parity_check: std_logic;
signal primary_rrd_checker: std_logic;
signal secondary_rrd_checker: std_logic;
signal bmtc_check: std_logic_vector(7 downto 0);
signal bmtc_parity_check: std_logic;
signal bmtc_checker: std_logic;
signal done: std_logic;
begin
u1: clkgen port map(
clk_out => clock,
resetn => resetn
);
notclock <= not clock;
u2: synchronous_latchN
generic map(
N => 4
)
port map(
rstn => resetn,
clock => notclock,
clock_enable => '1',
d => next_state,
q => nxt_state
);
u3: synchronous_latchN
generic map(
N => 4
)
port map(
rstn => resetn,
clock => clock,
clock_enable => '1',
d => nxt_state,
q => current_state
);
u4: synchronous_latch_autoclear port map(
rstn => resetn,
clock => notclock,
clock_enable => counter_clock,
d => counter_state,
q => counter_clock_enable
);
u5: counterN
generic map(
N => counter_width
)
port map(
clock => clock,
carry_in => '1',
clock_enable => counter_clock_enable,
resetn => resetn,
output => counter_out((counter_width - 1) downto 0),
carry_out => open
);
u6: alu port map(
operation => counter_out(21 downto 17),
primary_operand => counter_out(7 downto 0),
secondary_operand => counter_out(15 downto 8),
flags_in => flags_in,
output => alu_result,
flags_out => flags,
secondary_out => secondary_alu_result
);
flags_in <= ( carry_bit => counter_out(16),
others => '0');
u7: magnitudeN
generic map(
N => counter_width
)
port map(
a => counter_out,
b => x"000000",
equal => counter_zero,
lt => open,
gt => open
);
u8: magnitudeN
generic map(
N => 8
)
port map(
a => alu_result,
b => sum_check(7 downto 0),
equal => sum_checker,
lt => open,
gt => open
);
u9: magnitudeN
generic map(
N => 8
)
port map(
a => secondary_alu_result,
b => x"00",
equal => zero_secondary_alu_result,
lt => open,
gt => open
);
u10: magnitudeN
generic map(
N => 8
)
port map(
a => alu_result,
b => subtract_check(7 downto 0),
equal => subtract_checker,
lt => open,
gt => open
);
u11: magnitudeN
generic map(
N => 8
)
port map(
a => alu_result,
b => and_check(7 downto 0),
equal => and_checker,
lt => open,
gt => open
);
u12: magnitudeN
generic map(
N => 8
)
port map(
a => alu_result,
b => xor_check(7 downto 0),
equal => xor_checker,
lt => open,
gt => open
);
u13: magnitudeN
generic map(
N => 8
)
port map(
a => alu_result,
b => or_check(7 downto 0),
equal => or_checker,
lt => open,
gt => open
);
u14: magnitudeN
generic map(
N => 8
)
port map(
a => alu_result,
b => rlc_check(7 downto 0),
equal => rlc_checker,
lt => open,
gt => open
);
u15: magnitudeN
generic map(
N => 8
)
port map(
a => alu_result,
b => rrc_check(7 downto 0),
equal => rrc_checker,
lt => open,
gt => open
);
u16: magnitudeN
generic map(
N => 8
)
port map(
a => alu_result,
b => rl_check(7 downto 0),
equal => rl_checker,
lt => open,
gt => open
);
u17: magnitudeN
generic map(
N => 8
)
port map(
a => alu_result,
b => rr_check(7 downto 0),
equal => rr_checker,
lt => open,
gt => open
);
u18: magnitudeN
generic map(
N => 17
)
port map(
a => counter_out(16 downto 0),
b => "00000000000000000",
equal => daa_unimp,
lt => open,
gt => open
);
u19: magnitudeN
generic map(
N => 8
)
port map(
a => alu_result,
b => cpl_check(7 downto 0),
equal => cpl_checker,
lt => open,
gt => open
);
u20: magnitudeN
generic map(
N => 8
)
port map(
a => alu_result,
b => counter_out(7 downto 0),
equal => scf_checker,
lt => open,
gt => open
);
u21: magnitudeN
generic map(
N => 8
)
port map(
a => alu_result,
b => sla_check(7 downto 0),
equal => sla_checker,
lt => open,
gt => open
);
u22: magnitudeN
generic map(
N => 8
)
port map(
a => alu_result,
b => sra_check(7 downto 0),
equal => sra_checker,
lt => open,
gt => open
);
u23: magnitudeN
generic map(
N => 8
)
port map(
a => alu_result,
b => sll_check(7 downto 0),
equal => sll_checker,
lt => open,
gt => open
);
u24: magnitudeN
generic map(
N => 8
)
port map(
a => alu_result,
b => srl_check(7 downto 0),
equal => srl_checker,
lt => open,
gt => open
);
u25: magnitudeN
generic map(
N => 8
)
port map(
a => alu_result,
b => counter_out(15 downto 8),
equal => bit_checker,
lt => open,
gt => open
);
bit_check <= (counter_out(7 downto 0) and counter_out(15 downto 8));
u26: magnitudeN
generic map(
N => 8
)
port map(
a => x"00",
b => bit_check,
equal => bit_zero_checker,
lt => open,
gt => open
);
u27: magnitudeN
generic map(
N => 8
)
port map(
a => alu_result,
b => res_result,
equal => res_checker,
lt => open,
gt => open
);
u28: magnitudeN
generic map(
N => 8
)
port map(
a => alu_result,
b => primary_rld_check,
equal => primary_rld_checker,
lt => open,
gt => open
);
u29: magnitudeN
generic map(
N => 8
)
port map(
a => secondary_alu_result,
b => secondary_rld_check,
equal => secondary_rld_checker,
lt => open,
gt => open
);
u30: magnitudeN
generic map(
N => 8
)
port map(
a => alu_result,
b => primary_rrd_check,
equal => primary_rrd_checker,
lt => open,
gt => open
);
u31: magnitudeN
generic map(
N => 8
)
port map(
a => secondary_alu_result,
b => secondary_rrd_check,
equal => secondary_rrd_checker,
lt => open,
gt => open
);
u32: magnitudeN
generic map(
N => 8
)
port map(
a => alu_result,
b => bmtc_check,
equal => bmtc_checker,
lt => open,
gt => open
);
u33: magnitudeN
generic map(
N => 22
)
port map(
a => counter_out(21 downto 0),
b => (others => '1'), -- x"3fffff",
equal => done,
lt => open,
gt => open
);
process(current_state, resetn) begin
if resetn = '0' then
-- default states begin here
counter_state <= Disable;
counter_clock <= Disable;
test_bits <= (
(( counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and ( counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and ( counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and ( counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and ( counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and ( counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and ( counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and ( counter_out(0)))
);
res_bits <= (
((not counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and (not counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and (not counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and (not counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and (not counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and (not counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and (not counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and (not counter_out(0)))
);
sum_check <= ('0' & counter_out(15 downto 8)) + ('0' & counter_out(7 downto 0)) + (x"00" & (counter_out(16) and counter_out(17)));
sum_overflow_check <= (not (counter_out(15) xor counter_out(7))) and (counter_out(15) xor sum_check(7));
sum_zero_check <= not (sum_check(7) or sum_check(6) or sum_check(5) or sum_check(4) or sum_check(3) or sum_check(2) or sum_check(1) or sum_check(0));
half_sum_check <= ('0' & counter_out(11 downto 8)) + ('0' & counter_out(3 downto 0)) + (x"0" & (counter_out(16) and counter_out(17)));
subtract_check <= ('0' & counter_out(7 downto 0)) - ('0' & counter_out(15 downto 8)) - (x"00" & (counter_out(16) and counter_out(17) and counter_out(18) and (not counter_out(19))));
subtract_overflow_check <= (counter_out(7) xor counter_out(15)) and (counter_out(7) xor subtract_check(7));
subtract_zero_check <= not (subtract_check(7) or subtract_check(6) or subtract_check(5) or subtract_check(4) or subtract_check(3) or subtract_check(2) or subtract_check(1) or subtract_check(0));
half_difference_check <= ('0' & counter_out(3 downto 0)) - ('0' & counter_out(11 downto 8)) - (x"0" & (counter_out(16) and counter_out(17) and counter_out(18) and (not counter_out(19))));
and_check <= counter_out(15 downto 8) and counter_out(7 downto 0);
and_zero_check <= not (and_check(7) or and_check(6) or and_check(5) or and_check(4) or and_check(3) or and_check(2) or and_check(1) or and_check(0));
and_parity_check <= not (and_check(7) xor and_check(6) xor and_check(5) xor and_check(4) xor and_check(3) xor and_check(2) xor and_check(1) xor and_check(0));
xor_check <= counter_out(15 downto 8) xor counter_out(7 downto 0);
xor_zero_check <= not (xor_check(7) or xor_check(6) or xor_check(5) or xor_check(4) or xor_check(3) or xor_check(2) or xor_check(1) or xor_check(0));
xor_parity_check <= not (xor_check(7) xor xor_check(6) xor xor_check(5) xor xor_check(4) xor xor_check(3) xor xor_check(2) xor xor_check(1) xor xor_check(0));
or_check <= counter_out(15 downto 8) or counter_out(7 downto 0);
or_zero_check <= not (or_check(7) or or_check(6) or or_check(5) or or_check(4) or or_check(3) or or_check(2) or or_check(1) or or_check(0));
or_parity_check <= not (or_check(7) xor or_check(6) xor or_check(5) xor or_check(4) xor or_check(3) xor or_check(2) xor or_check(1) xor or_check(0));
rlc_check <= counter_out(6 downto 0) & counter_out(7);
rlc_zero_check <= not (rlc_check(7) or rlc_check(6) or rlc_check(5) or rlc_check(4) or rlc_check(3) or rlc_check(2) or rlc_check(1) or rlc_check(0));
rlc_parity_check <= not (rlc_check(7) xor rlc_check(6) xor rlc_check(5) xor rlc_check(4) xor rlc_check(3) xor rlc_check(2) xor rlc_check(1) xor rlc_check(0));
rrc_check <= counter_out(0) & counter_out(7 downto 1);
rrc_zero_check <= not (rrc_check(7) or rrc_check(6) or rrc_check(5) or rrc_check(4) or rrc_check(3) or rrc_check(2) or rrc_check(1) or rrc_check(0));
rrc_parity_check <= not (rrc_check(7) xor rrc_check(6) xor rrc_check(5) xor rrc_check(4) xor rrc_check(3) xor rrc_check(2) xor rrc_check(1) xor rrc_check(0));
rl_check <= counter_out(6 downto 0) & counter_out(16);
rl_zero_check <= not (rl_check(7) or rl_check(6) or rl_check(5) or rl_check(4) or rl_check(3) or rl_check(2) or rl_check(1) or rl_check(0));
rl_parity_check <= not (rl_check(7) xor rl_check(6) xor rl_check(5) xor rl_check(4) xor rl_check(3) xor rl_check(2) xor rl_check(1) xor rl_check(0));
rr_check <= counter_out(16) & counter_out(7 downto 1);
rr_zero_check <= not (rr_check(7) or rr_check(6) or rr_check(5) or rr_check(4) or rr_check(3) or rr_check(2) or rr_check(1) or rr_check(0));
rr_parity_check <= not (rr_check(7) xor rr_check(6) xor rr_check(5) xor rr_check(4) xor rr_check(3) xor rr_check(2) xor rr_check(1) xor rr_check(0));
cpl_check <= not counter_out(7 downto 0);
sla_check <= counter_out(6 downto 0) & '0';
sla_zero_check <= not (sla_check(7) or sla_check(6) or sla_check(5) or sla_check(4) or sla_check(3) or sla_check(2) or sla_check(1) or sla_check(0));
sla_parity_check <= not (sla_check(7) xor sla_check(6) xor sla_check(5) xor sla_check(4) xor sla_check(3) xor sla_check(2) xor sla_check(1) xor sla_check(0));
sra_check <= counter_out(7) & counter_out(7 downto 1);
sra_zero_check <= not (sra_check(7) or sra_check(6) or sra_check(5) or sra_check(4) or sra_check(3) or sra_check(2) or sra_check(1) or sra_check(0));
sra_parity_check <= not (sra_check(7) xor sra_check(6) xor sra_check(5) xor sra_check(4) xor sra_check(3) xor sra_check(2) xor sra_check(1) xor sra_check(0));
sll_check <= counter_out(6 downto 0) & '0';
sll_zero_check <= not (sll_check(7) or sll_check(6) or sll_check(5) or sll_check(4) or sll_check(3) or sll_check(2) or sll_check(1) or sll_check(0));
sll_parity_check <= not (sll_check(7) xor sll_check(6) xor sll_check(5) xor sll_check(4) xor sll_check(3) xor sll_check(2) xor sll_check(1) xor sll_check(0));
srl_check <= '0' & counter_out(7 downto 1);
srl_zero_check <= not (srl_check(7) or srl_check(6) or srl_check(5) or srl_check(4) or srl_check(3) or srl_check(2) or srl_check(1) or srl_check(0));
srl_parity_check <= not (srl_check(7) xor srl_check(6) xor srl_check(5) xor srl_check(4) xor srl_check(3) xor srl_check(2) xor srl_check(1) xor srl_check(0));
inrc_zero <= not (counter_out(7) or counter_out(6) or counter_out(5) or counter_out(4) or counter_out(3) or counter_out(2) or counter_out(1) or counter_out(0));
inrc_parity <= not (counter_out(7) xor counter_out(6) xor counter_out(5) xor counter_out(4) xor counter_out(3) xor counter_out(2) xor counter_out(1) xor counter_out(0));
primary_rld_check <= counter_out(7 downto 4) & counter_out(15 downto 12);
secondary_rld_check <= counter_out(11 downto 8) & counter_out(3 downto 0);
rld_zero_check <= not (primary_rld_check(7) or primary_rld_check(6) or primary_rld_check(5) or primary_rld_check(4) or primary_rld_check(3) or primary_rld_check(2) or primary_rld_check(1) or primary_rld_check(0));
rld_parity_check <= not (primary_rld_check(7) xor primary_rld_check(6) xor primary_rld_check(5) xor primary_rld_check(4) xor primary_rld_check(3) xor primary_rld_check(2) xor primary_rld_check(1) xor primary_rld_check(0));
primary_rrd_check <= counter_out(7 downto 4) & counter_out(11 downto 8);
secondary_rrd_check <= counter_out(3 downto 0) & counter_out(15 downto 12);
rrd_zero_check <= not (primary_rrd_check(7) or primary_rrd_check(6) or primary_rrd_check(5) or primary_rrd_check(4) or primary_rrd_check(3) or primary_rrd_check(2) or primary_rrd_check(1) or primary_rrd_check(0));
rrd_parity_check <= not (primary_rrd_check(7) xor primary_rrd_check(6) xor primary_rrd_check(5) xor primary_rrd_check(4) xor primary_rrd_check(3) xor primary_rrd_check(2) xor primary_rrd_check(1) xor primary_rrd_check(0));
bmtc_check <= counter_out(7 downto 0) or counter_out(15 downto 8);
bmtc_parity_check <= not (bmtc_check(7) or bmtc_check(6) or bmtc_check(5) or bmtc_check(4) or bmtc_check(3) or bmtc_check(2) or bmtc_check(1) or bmtc_check(0));
-- default states end here
next_state <= zero;
else
case current_state is
when zero =>
-- default states begin here
counter_state <= Disable;
counter_clock <= Disable;
test_bits <= (
(( counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and ( counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and ( counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and ( counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and ( counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and ( counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and ( counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and ( counter_out(0)))
);
res_bits <= (
((not counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and (not counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and (not counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and (not counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and (not counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and (not counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and (not counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and (not counter_out(0)))
);
sum_check <= ('0' & counter_out(15 downto 8)) + ('0' & counter_out(7 downto 0)) + (x"00" & (counter_out(16) and counter_out(17)));
sum_overflow_check <= (not (counter_out(15) xor counter_out(7))) and (counter_out(15) xor sum_check(7));
sum_zero_check <= not (sum_check(7) or sum_check(6) or sum_check(5) or sum_check(4) or sum_check(3) or sum_check(2) or sum_check(1) or sum_check(0));
half_sum_check <= ('0' & counter_out(11 downto 8)) + ('0' & counter_out(3 downto 0)) + (x"0" & (counter_out(16) and counter_out(17)));
subtract_check <= ('0' & counter_out(7 downto 0)) - ('0' & counter_out(15 downto 8)) - (x"00" & (counter_out(16) and counter_out(17) and counter_out(18) and (not counter_out(19))));
subtract_overflow_check <= (counter_out(7) xor counter_out(15)) and (counter_out(7) xor subtract_check(7));
subtract_zero_check <= not (subtract_check(7) or subtract_check(6) or subtract_check(5) or subtract_check(4) or subtract_check(3) or subtract_check(2) or subtract_check(1) or subtract_check(0));
half_difference_check <= ('0' & counter_out(3 downto 0)) - ('0' & counter_out(11 downto 8)) - (x"0" & (counter_out(16) and counter_out(17) and counter_out(18) and (not counter_out(19))));
and_check <= counter_out(15 downto 8) and counter_out(7 downto 0);
and_zero_check <= not (and_check(7) or and_check(6) or and_check(5) or and_check(4) or and_check(3) or and_check(2) or and_check(1) or and_check(0));
and_parity_check <= not (and_check(7) xor and_check(6) xor and_check(5) xor and_check(4) xor and_check(3) xor and_check(2) xor and_check(1) xor and_check(0));
xor_check <= counter_out(15 downto 8) xor counter_out(7 downto 0);
xor_zero_check <= not (xor_check(7) or xor_check(6) or xor_check(5) or xor_check(4) or xor_check(3) or xor_check(2) or xor_check(1) or xor_check(0));
xor_parity_check <= not (xor_check(7) xor xor_check(6) xor xor_check(5) xor xor_check(4) xor xor_check(3) xor xor_check(2) xor xor_check(1) xor xor_check(0));
or_check <= counter_out(15 downto 8) or counter_out(7 downto 0);
or_zero_check <= not (or_check(7) or or_check(6) or or_check(5) or or_check(4) or or_check(3) or or_check(2) or or_check(1) or or_check(0));
or_parity_check <= not (or_check(7) xor or_check(6) xor or_check(5) xor or_check(4) xor or_check(3) xor or_check(2) xor or_check(1) xor or_check(0));
rlc_check <= counter_out(6 downto 0) & counter_out(7);
rlc_zero_check <= not (rlc_check(7) or rlc_check(6) or rlc_check(5) or rlc_check(4) or rlc_check(3) or rlc_check(2) or rlc_check(1) or rlc_check(0));
rlc_parity_check <= not (rlc_check(7) xor rlc_check(6) xor rlc_check(5) xor rlc_check(4) xor rlc_check(3) xor rlc_check(2) xor rlc_check(1) xor rlc_check(0));
rrc_check <= counter_out(0) & counter_out(7 downto 1);
rrc_zero_check <= not (rrc_check(7) or rrc_check(6) or rrc_check(5) or rrc_check(4) or rrc_check(3) or rrc_check(2) or rrc_check(1) or rrc_check(0));
rrc_parity_check <= not (rrc_check(7) xor rrc_check(6) xor rrc_check(5) xor rrc_check(4) xor rrc_check(3) xor rrc_check(2) xor rrc_check(1) xor rrc_check(0));
rl_check <= counter_out(6 downto 0) & counter_out(16);
rl_zero_check <= not (rl_check(7) or rl_check(6) or rl_check(5) or rl_check(4) or rl_check(3) or rl_check(2) or rl_check(1) or rl_check(0));
rl_parity_check <= not (rl_check(7) xor rl_check(6) xor rl_check(5) xor rl_check(4) xor rl_check(3) xor rl_check(2) xor rl_check(1) xor rl_check(0));
rr_check <= counter_out(16) & counter_out(7 downto 1);
rr_zero_check <= not (rr_check(7) or rr_check(6) or rr_check(5) or rr_check(4) or rr_check(3) or rr_check(2) or rr_check(1) or rr_check(0));
rr_parity_check <= not (rr_check(7) xor rr_check(6) xor rr_check(5) xor rr_check(4) xor rr_check(3) xor rr_check(2) xor rr_check(1) xor rr_check(0));
cpl_check <= not counter_out(7 downto 0);
sla_check <= counter_out(6 downto 0) & '0';
sla_zero_check <= not (sla_check(7) or sla_check(6) or sla_check(5) or sla_check(4) or sla_check(3) or sla_check(2) or sla_check(1) or sla_check(0));
sla_parity_check <= not (sla_check(7) xor sla_check(6) xor sla_check(5) xor sla_check(4) xor sla_check(3) xor sla_check(2) xor sla_check(1) xor sla_check(0));
sra_check <= counter_out(7) & counter_out(7 downto 1);
sra_zero_check <= not (sra_check(7) or sra_check(6) or sra_check(5) or sra_check(4) or sra_check(3) or sra_check(2) or sra_check(1) or sra_check(0));
sra_parity_check <= not (sra_check(7) xor sra_check(6) xor sra_check(5) xor sra_check(4) xor sra_check(3) xor sra_check(2) xor sra_check(1) xor sra_check(0));
sll_check <= counter_out(6 downto 0) & '0';
sll_zero_check <= not (sll_check(7) or sll_check(6) or sll_check(5) or sll_check(4) or sll_check(3) or sll_check(2) or sll_check(1) or sll_check(0));
sll_parity_check <= not (sll_check(7) xor sll_check(6) xor sll_check(5) xor sll_check(4) xor sll_check(3) xor sll_check(2) xor sll_check(1) xor sll_check(0));
srl_check <= '0' & counter_out(7 downto 1);
srl_zero_check <= not (srl_check(7) or srl_check(6) or srl_check(5) or srl_check(4) or srl_check(3) or srl_check(2) or srl_check(1) or srl_check(0));
srl_parity_check <= not (srl_check(7) xor srl_check(6) xor srl_check(5) xor srl_check(4) xor srl_check(3) xor srl_check(2) xor srl_check(1) xor srl_check(0));
inrc_zero <= not (counter_out(7) or counter_out(6) or counter_out(5) or counter_out(4) or counter_out(3) or counter_out(2) or counter_out(1) or counter_out(0));
inrc_parity <= not (counter_out(7) xor counter_out(6) xor counter_out(5) xor counter_out(4) xor counter_out(3) xor counter_out(2) xor counter_out(1) xor counter_out(0));
primary_rld_check <= counter_out(7 downto 4) & counter_out(15 downto 12);
secondary_rld_check <= counter_out(11 downto 8) & counter_out(3 downto 0);
rld_zero_check <= not (primary_rld_check(7) or primary_rld_check(6) or primary_rld_check(5) or primary_rld_check(4) or primary_rld_check(3) or primary_rld_check(2) or primary_rld_check(1) or primary_rld_check(0));
rld_parity_check <= not (primary_rld_check(7) xor primary_rld_check(6) xor primary_rld_check(5) xor primary_rld_check(4) xor primary_rld_check(3) xor primary_rld_check(2) xor primary_rld_check(1) xor primary_rld_check(0));
primary_rrd_check <= counter_out(7 downto 4) & counter_out(11 downto 8);
secondary_rrd_check <= counter_out(3 downto 0) & counter_out(15 downto 12);
rrd_zero_check <= not (primary_rrd_check(7) or primary_rrd_check(6) or primary_rrd_check(5) or primary_rrd_check(4) or primary_rrd_check(3) or primary_rrd_check(2) or primary_rrd_check(1) or primary_rrd_check(0));
rrd_parity_check <= not (primary_rrd_check(7) xor primary_rrd_check(6) xor primary_rrd_check(5) xor primary_rrd_check(4) xor primary_rrd_check(3) xor primary_rrd_check(2) xor primary_rrd_check(1) xor primary_rrd_check(0));
bmtc_check <= counter_out(7 downto 0) or counter_out(15 downto 8);
bmtc_parity_check <= not (bmtc_check(7) or bmtc_check(6) or bmtc_check(5) or bmtc_check(4) or bmtc_check(3) or bmtc_check(2) or bmtc_check(1) or bmtc_check(0));
-- default states end here
next_state <= one;
when one =>
-- default states begin here
counter_state <= Disable;
counter_clock <= Disable;
test_bits <= (
(( counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and ( counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and ( counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and ( counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and ( counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and ( counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and ( counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and ( counter_out(0)))
);
res_bits <= (
((not counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and (not counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and (not counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and (not counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and (not counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and (not counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and (not counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and (not counter_out(0)))
);
sum_check <= ('0' & counter_out(15 downto 8)) + ('0' & counter_out(7 downto 0)) + (x"00" & (counter_out(16) and counter_out(17)));
sum_overflow_check <= (not (counter_out(15) xor counter_out(7))) and (counter_out(15) xor sum_check(7));
sum_zero_check <= not (sum_check(7) or sum_check(6) or sum_check(5) or sum_check(4) or sum_check(3) or sum_check(2) or sum_check(1) or sum_check(0));
half_sum_check <= ('0' & counter_out(11 downto 8)) + ('0' & counter_out(3 downto 0)) + (x"0" & (counter_out(16) and counter_out(17)));
subtract_check <= ('0' & counter_out(7 downto 0)) - ('0' & counter_out(15 downto 8)) - (x"00" & (counter_out(16) and counter_out(17) and counter_out(18) and (not counter_out(19))));
subtract_overflow_check <= (counter_out(7) xor counter_out(15)) and (counter_out(7) xor subtract_check(7));
subtract_zero_check <= not (subtract_check(7) or subtract_check(6) or subtract_check(5) or subtract_check(4) or subtract_check(3) or subtract_check(2) or subtract_check(1) or subtract_check(0));
half_difference_check <= ('0' & counter_out(3 downto 0)) - ('0' & counter_out(11 downto 8)) - (x"0" & (counter_out(16) and counter_out(17) and counter_out(18) and (not counter_out(19))));
and_check <= counter_out(15 downto 8) and counter_out(7 downto 0);
and_zero_check <= not (and_check(7) or and_check(6) or and_check(5) or and_check(4) or and_check(3) or and_check(2) or and_check(1) or and_check(0));
and_parity_check <= not (and_check(7) xor and_check(6) xor and_check(5) xor and_check(4) xor and_check(3) xor and_check(2) xor and_check(1) xor and_check(0));
xor_check <= counter_out(15 downto 8) xor counter_out(7 downto 0);
xor_zero_check <= not (xor_check(7) or xor_check(6) or xor_check(5) or xor_check(4) or xor_check(3) or xor_check(2) or xor_check(1) or xor_check(0));
xor_parity_check <= not (xor_check(7) xor xor_check(6) xor xor_check(5) xor xor_check(4) xor xor_check(3) xor xor_check(2) xor xor_check(1) xor xor_check(0));
or_check <= counter_out(15 downto 8) or counter_out(7 downto 0);
or_zero_check <= not (or_check(7) or or_check(6) or or_check(5) or or_check(4) or or_check(3) or or_check(2) or or_check(1) or or_check(0));
or_parity_check <= not (or_check(7) xor or_check(6) xor or_check(5) xor or_check(4) xor or_check(3) xor or_check(2) xor or_check(1) xor or_check(0));
rlc_check <= counter_out(6 downto 0) & counter_out(7);
rlc_zero_check <= not (rlc_check(7) or rlc_check(6) or rlc_check(5) or rlc_check(4) or rlc_check(3) or rlc_check(2) or rlc_check(1) or rlc_check(0));
rlc_parity_check <= not (rlc_check(7) xor rlc_check(6) xor rlc_check(5) xor rlc_check(4) xor rlc_check(3) xor rlc_check(2) xor rlc_check(1) xor rlc_check(0));
rrc_check <= counter_out(0) & counter_out(7 downto 1);
rrc_zero_check <= not (rrc_check(7) or rrc_check(6) or rrc_check(5) or rrc_check(4) or rrc_check(3) or rrc_check(2) or rrc_check(1) or rrc_check(0));
rrc_parity_check <= not (rrc_check(7) xor rrc_check(6) xor rrc_check(5) xor rrc_check(4) xor rrc_check(3) xor rrc_check(2) xor rrc_check(1) xor rrc_check(0));
rl_check <= counter_out(6 downto 0) & counter_out(16);
rl_zero_check <= not (rl_check(7) or rl_check(6) or rl_check(5) or rl_check(4) or rl_check(3) or rl_check(2) or rl_check(1) or rl_check(0));
rl_parity_check <= not (rl_check(7) xor rl_check(6) xor rl_check(5) xor rl_check(4) xor rl_check(3) xor rl_check(2) xor rl_check(1) xor rl_check(0));
rr_check <= counter_out(16) & counter_out(7 downto 1);
rr_zero_check <= not (rr_check(7) or rr_check(6) or rr_check(5) or rr_check(4) or rr_check(3) or rr_check(2) or rr_check(1) or rr_check(0));
rr_parity_check <= not (rr_check(7) xor rr_check(6) xor rr_check(5) xor rr_check(4) xor rr_check(3) xor rr_check(2) xor rr_check(1) xor rr_check(0));
cpl_check <= not counter_out(7 downto 0);
sla_check <= counter_out(6 downto 0) & '0';
sla_zero_check <= not (sla_check(7) or sla_check(6) or sla_check(5) or sla_check(4) or sla_check(3) or sla_check(2) or sla_check(1) or sla_check(0));
sla_parity_check <= not (sla_check(7) xor sla_check(6) xor sla_check(5) xor sla_check(4) xor sla_check(3) xor sla_check(2) xor sla_check(1) xor sla_check(0));
sra_check <= counter_out(7) & counter_out(7 downto 1);
sra_zero_check <= not (sra_check(7) or sra_check(6) or sra_check(5) or sra_check(4) or sra_check(3) or sra_check(2) or sra_check(1) or sra_check(0));
sra_parity_check <= not (sra_check(7) xor sra_check(6) xor sra_check(5) xor sra_check(4) xor sra_check(3) xor sra_check(2) xor sra_check(1) xor sra_check(0));
sll_check <= counter_out(6 downto 0) & '0';
sll_zero_check <= not (sll_check(7) or sll_check(6) or sll_check(5) or sll_check(4) or sll_check(3) or sll_check(2) or sll_check(1) or sll_check(0));
sll_parity_check <= not (sll_check(7) xor sll_check(6) xor sll_check(5) xor sll_check(4) xor sll_check(3) xor sll_check(2) xor sll_check(1) xor sll_check(0));
srl_check <= '0' & counter_out(7 downto 1);
srl_zero_check <= not (srl_check(7) or srl_check(6) or srl_check(5) or srl_check(4) or srl_check(3) or srl_check(2) or srl_check(1) or srl_check(0));
srl_parity_check <= not (srl_check(7) xor srl_check(6) xor srl_check(5) xor srl_check(4) xor srl_check(3) xor srl_check(2) xor srl_check(1) xor srl_check(0));
inrc_zero <= not (counter_out(7) or counter_out(6) or counter_out(5) or counter_out(4) or counter_out(3) or counter_out(2) or counter_out(1) or counter_out(0));
inrc_parity <= not (counter_out(7) xor counter_out(6) xor counter_out(5) xor counter_out(4) xor counter_out(3) xor counter_out(2) xor counter_out(1) xor counter_out(0));
primary_rld_check <= counter_out(7 downto 4) & counter_out(15 downto 12);
secondary_rld_check <= counter_out(11 downto 8) & counter_out(3 downto 0);
rld_zero_check <= not (primary_rld_check(7) or primary_rld_check(6) or primary_rld_check(5) or primary_rld_check(4) or primary_rld_check(3) or primary_rld_check(2) or primary_rld_check(1) or primary_rld_check(0));
rld_parity_check <= not (primary_rld_check(7) xor primary_rld_check(6) xor primary_rld_check(5) xor primary_rld_check(4) xor primary_rld_check(3) xor primary_rld_check(2) xor primary_rld_check(1) xor primary_rld_check(0));
primary_rrd_check <= counter_out(7 downto 4) & counter_out(11 downto 8);
secondary_rrd_check <= counter_out(3 downto 0) & counter_out(15 downto 12);
rrd_zero_check <= not (primary_rrd_check(7) or primary_rrd_check(6) or primary_rrd_check(5) or primary_rrd_check(4) or primary_rrd_check(3) or primary_rrd_check(2) or primary_rrd_check(1) or primary_rrd_check(0));
rrd_parity_check <= not (primary_rrd_check(7) xor primary_rrd_check(6) xor primary_rrd_check(5) xor primary_rrd_check(4) xor primary_rrd_check(3) xor primary_rrd_check(2) xor primary_rrd_check(1) xor primary_rrd_check(0));
bmtc_check <= counter_out(7 downto 0) or counter_out(15 downto 8);
bmtc_parity_check <= not (bmtc_check(7) or bmtc_check(6) or bmtc_check(5) or bmtc_check(4) or bmtc_check(3) or bmtc_check(2) or bmtc_check(1) or bmtc_check(0));
-- default states end here
assert counter_zero = '1'
report "counter initialisation failure"
severity failure;
next_state <= two;
when two =>
-- default states begin here
counter_state <= Disable;
counter_clock <= Disable;
test_bits <= (
(( counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and ( counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and ( counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and ( counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and ( counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and ( counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and ( counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and ( counter_out(0)))
);
res_bits <= (
((not counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and (not counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and (not counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and (not counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and (not counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and (not counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and (not counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and (not counter_out(0)))
);
sum_check <= ('0' & counter_out(15 downto 8)) + ('0' & counter_out(7 downto 0)) + (x"00" & (counter_out(16) and counter_out(17)));
sum_overflow_check <= (not (counter_out(15) xor counter_out(7))) and (counter_out(15) xor sum_check(7));
sum_zero_check <= not (sum_check(7) or sum_check(6) or sum_check(5) or sum_check(4) or sum_check(3) or sum_check(2) or sum_check(1) or sum_check(0));
half_sum_check <= ('0' & counter_out(11 downto 8)) + ('0' & counter_out(3 downto 0)) + (x"0" & (counter_out(16) and counter_out(17)));
subtract_check <= ('0' & counter_out(7 downto 0)) - ('0' & counter_out(15 downto 8)) - (x"00" & (counter_out(16) and counter_out(17) and counter_out(18) and (not counter_out(19))));
subtract_overflow_check <= (counter_out(7) xor counter_out(15)) and (counter_out(7) xor subtract_check(7));
subtract_zero_check <= not (subtract_check(7) or subtract_check(6) or subtract_check(5) or subtract_check(4) or subtract_check(3) or subtract_check(2) or subtract_check(1) or subtract_check(0));
half_difference_check <= ('0' & counter_out(3 downto 0)) - ('0' & counter_out(11 downto 8)) - (x"0" & (counter_out(16) and counter_out(17) and counter_out(18) and (not counter_out(19))));
and_check <= counter_out(15 downto 8) and counter_out(7 downto 0);
and_zero_check <= not (and_check(7) or and_check(6) or and_check(5) or and_check(4) or and_check(3) or and_check(2) or and_check(1) or and_check(0));
and_parity_check <= not (and_check(7) xor and_check(6) xor and_check(5) xor and_check(4) xor and_check(3) xor and_check(2) xor and_check(1) xor and_check(0));
xor_check <= counter_out(15 downto 8) xor counter_out(7 downto 0);
xor_zero_check <= not (xor_check(7) or xor_check(6) or xor_check(5) or xor_check(4) or xor_check(3) or xor_check(2) or xor_check(1) or xor_check(0));
xor_parity_check <= not (xor_check(7) xor xor_check(6) xor xor_check(5) xor xor_check(4) xor xor_check(3) xor xor_check(2) xor xor_check(1) xor xor_check(0));
or_check <= counter_out(15 downto 8) or counter_out(7 downto 0);
or_zero_check <= not (or_check(7) or or_check(6) or or_check(5) or or_check(4) or or_check(3) or or_check(2) or or_check(1) or or_check(0));
or_parity_check <= not (or_check(7) xor or_check(6) xor or_check(5) xor or_check(4) xor or_check(3) xor or_check(2) xor or_check(1) xor or_check(0));
rlc_check <= counter_out(6 downto 0) & counter_out(7);
rlc_zero_check <= not (rlc_check(7) or rlc_check(6) or rlc_check(5) or rlc_check(4) or rlc_check(3) or rlc_check(2) or rlc_check(1) or rlc_check(0));
rlc_parity_check <= not (rlc_check(7) xor rlc_check(6) xor rlc_check(5) xor rlc_check(4) xor rlc_check(3) xor rlc_check(2) xor rlc_check(1) xor rlc_check(0));
rrc_check <= counter_out(0) & counter_out(7 downto 1);
rrc_zero_check <= not (rrc_check(7) or rrc_check(6) or rrc_check(5) or rrc_check(4) or rrc_check(3) or rrc_check(2) or rrc_check(1) or rrc_check(0));
rrc_parity_check <= not (rrc_check(7) xor rrc_check(6) xor rrc_check(5) xor rrc_check(4) xor rrc_check(3) xor rrc_check(2) xor rrc_check(1) xor rrc_check(0));
rl_check <= counter_out(6 downto 0) & counter_out(16);
rl_zero_check <= not (rl_check(7) or rl_check(6) or rl_check(5) or rl_check(4) or rl_check(3) or rl_check(2) or rl_check(1) or rl_check(0));
rl_parity_check <= not (rl_check(7) xor rl_check(6) xor rl_check(5) xor rl_check(4) xor rl_check(3) xor rl_check(2) xor rl_check(1) xor rl_check(0));
rr_check <= counter_out(16) & counter_out(7 downto 1);
rr_zero_check <= not (rr_check(7) or rr_check(6) or rr_check(5) or rr_check(4) or rr_check(3) or rr_check(2) or rr_check(1) or rr_check(0));
rr_parity_check <= not (rr_check(7) xor rr_check(6) xor rr_check(5) xor rr_check(4) xor rr_check(3) xor rr_check(2) xor rr_check(1) xor rr_check(0));
cpl_check <= not counter_out(7 downto 0);
sla_check <= counter_out(6 downto 0) & '0';
sla_zero_check <= not (sla_check(7) or sla_check(6) or sla_check(5) or sla_check(4) or sla_check(3) or sla_check(2) or sla_check(1) or sla_check(0));
sla_parity_check <= not (sla_check(7) xor sla_check(6) xor sla_check(5) xor sla_check(4) xor sla_check(3) xor sla_check(2) xor sla_check(1) xor sla_check(0));
sra_check <= counter_out(7) & counter_out(7 downto 1);
sra_zero_check <= not (sra_check(7) or sra_check(6) or sra_check(5) or sra_check(4) or sra_check(3) or sra_check(2) or sra_check(1) or sra_check(0));
sra_parity_check <= not (sra_check(7) xor sra_check(6) xor sra_check(5) xor sra_check(4) xor sra_check(3) xor sra_check(2) xor sra_check(1) xor sra_check(0));
sll_check <= counter_out(6 downto 0) & '0';
sll_zero_check <= not (sll_check(7) or sll_check(6) or sll_check(5) or sll_check(4) or sll_check(3) or sll_check(2) or sll_check(1) or sll_check(0));
sll_parity_check <= not (sll_check(7) xor sll_check(6) xor sll_check(5) xor sll_check(4) xor sll_check(3) xor sll_check(2) xor sll_check(1) xor sll_check(0));
srl_check <= '0' & counter_out(7 downto 1);
srl_zero_check <= not (srl_check(7) or srl_check(6) or srl_check(5) or srl_check(4) or srl_check(3) or srl_check(2) or srl_check(1) or srl_check(0));
srl_parity_check <= not (srl_check(7) xor srl_check(6) xor srl_check(5) xor srl_check(4) xor srl_check(3) xor srl_check(2) xor srl_check(1) xor srl_check(0));
inrc_zero <= not (counter_out(7) or counter_out(6) or counter_out(5) or counter_out(4) or counter_out(3) or counter_out(2) or counter_out(1) or counter_out(0));
inrc_parity <= not (counter_out(7) xor counter_out(6) xor counter_out(5) xor counter_out(4) xor counter_out(3) xor counter_out(2) xor counter_out(1) xor counter_out(0));
primary_rld_check <= counter_out(7 downto 4) & counter_out(15 downto 12);
secondary_rld_check <= counter_out(11 downto 8) & counter_out(3 downto 0);
rld_zero_check <= not (primary_rld_check(7) or primary_rld_check(6) or primary_rld_check(5) or primary_rld_check(4) or primary_rld_check(3) or primary_rld_check(2) or primary_rld_check(1) or primary_rld_check(0));
rld_parity_check <= not (primary_rld_check(7) xor primary_rld_check(6) xor primary_rld_check(5) xor primary_rld_check(4) xor primary_rld_check(3) xor primary_rld_check(2) xor primary_rld_check(1) xor primary_rld_check(0));
primary_rrd_check <= counter_out(7 downto 4) & counter_out(11 downto 8);
secondary_rrd_check <= counter_out(3 downto 0) & counter_out(15 downto 12);
rrd_zero_check <= not (primary_rrd_check(7) or primary_rrd_check(6) or primary_rrd_check(5) or primary_rrd_check(4) or primary_rrd_check(3) or primary_rrd_check(2) or primary_rrd_check(1) or primary_rrd_check(0));
rrd_parity_check <= not (primary_rrd_check(7) xor primary_rrd_check(6) xor primary_rrd_check(5) xor primary_rrd_check(4) xor primary_rrd_check(3) xor primary_rrd_check(2) xor primary_rrd_check(1) xor primary_rrd_check(0));
bmtc_check <= counter_out(7 downto 0) or counter_out(15 downto 8);
bmtc_parity_check <= not (bmtc_check(7) or bmtc_check(6) or bmtc_check(5) or bmtc_check(4) or bmtc_check(3) or bmtc_check(2) or bmtc_check(1) or bmtc_check(0));
-- default states end here
next_state <= three;
when three =>
-- default states begin here
counter_state <= Disable;
counter_clock <= Disable;
test_bits <= (
(( counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and ( counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and ( counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and ( counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and ( counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and ( counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and ( counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and ( counter_out(0)))
);
res_bits <= (
((not counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and (not counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and (not counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and (not counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and (not counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and (not counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and (not counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and (not counter_out(0)))
);
sum_check <= ('0' & counter_out(15 downto 8)) + ('0' & counter_out(7 downto 0)) + (x"00" & (counter_out(16) and counter_out(17)));
sum_overflow_check <= (not (counter_out(15) xor counter_out(7))) and (counter_out(15) xor sum_check(7));
sum_zero_check <= not (sum_check(7) or sum_check(6) or sum_check(5) or sum_check(4) or sum_check(3) or sum_check(2) or sum_check(1) or sum_check(0));
half_sum_check <= ('0' & counter_out(11 downto 8)) + ('0' & counter_out(3 downto 0)) + (x"0" & (counter_out(16) and counter_out(17)));
subtract_check <= ('0' & counter_out(7 downto 0)) - ('0' & counter_out(15 downto 8)) - (x"00" & (counter_out(16) and counter_out(17) and counter_out(18) and (not counter_out(19))));
subtract_overflow_check <= (counter_out(7) xor counter_out(15)) and (counter_out(7) xor subtract_check(7));
subtract_zero_check <= not (subtract_check(7) or subtract_check(6) or subtract_check(5) or subtract_check(4) or subtract_check(3) or subtract_check(2) or subtract_check(1) or subtract_check(0));
half_difference_check <= ('0' & counter_out(3 downto 0)) - ('0' & counter_out(11 downto 8)) - (x"0" & (counter_out(16) and counter_out(17) and counter_out(18) and (not counter_out(19))));
and_check <= counter_out(15 downto 8) and counter_out(7 downto 0);
and_zero_check <= not (and_check(7) or and_check(6) or and_check(5) or and_check(4) or and_check(3) or and_check(2) or and_check(1) or and_check(0));
and_parity_check <= not (and_check(7) xor and_check(6) xor and_check(5) xor and_check(4) xor and_check(3) xor and_check(2) xor and_check(1) xor and_check(0));
xor_check <= counter_out(15 downto 8) xor counter_out(7 downto 0);
xor_zero_check <= not (xor_check(7) or xor_check(6) or xor_check(5) or xor_check(4) or xor_check(3) or xor_check(2) or xor_check(1) or xor_check(0));
xor_parity_check <= not (xor_check(7) xor xor_check(6) xor xor_check(5) xor xor_check(4) xor xor_check(3) xor xor_check(2) xor xor_check(1) xor xor_check(0));
or_check <= counter_out(15 downto 8) or counter_out(7 downto 0);
or_zero_check <= not (or_check(7) or or_check(6) or or_check(5) or or_check(4) or or_check(3) or or_check(2) or or_check(1) or or_check(0));
or_parity_check <= not (or_check(7) xor or_check(6) xor or_check(5) xor or_check(4) xor or_check(3) xor or_check(2) xor or_check(1) xor or_check(0));
rlc_check <= counter_out(6 downto 0) & counter_out(7);
rlc_zero_check <= not (rlc_check(7) or rlc_check(6) or rlc_check(5) or rlc_check(4) or rlc_check(3) or rlc_check(2) or rlc_check(1) or rlc_check(0));
rlc_parity_check <= not (rlc_check(7) xor rlc_check(6) xor rlc_check(5) xor rlc_check(4) xor rlc_check(3) xor rlc_check(2) xor rlc_check(1) xor rlc_check(0));
rrc_check <= counter_out(0) & counter_out(7 downto 1);
rrc_zero_check <= not (rrc_check(7) or rrc_check(6) or rrc_check(5) or rrc_check(4) or rrc_check(3) or rrc_check(2) or rrc_check(1) or rrc_check(0));
rrc_parity_check <= not (rrc_check(7) xor rrc_check(6) xor rrc_check(5) xor rrc_check(4) xor rrc_check(3) xor rrc_check(2) xor rrc_check(1) xor rrc_check(0));
rl_check <= counter_out(6 downto 0) & counter_out(16);
rl_zero_check <= not (rl_check(7) or rl_check(6) or rl_check(5) or rl_check(4) or rl_check(3) or rl_check(2) or rl_check(1) or rl_check(0));
rl_parity_check <= not (rl_check(7) xor rl_check(6) xor rl_check(5) xor rl_check(4) xor rl_check(3) xor rl_check(2) xor rl_check(1) xor rl_check(0));
rr_check <= counter_out(16) & counter_out(7 downto 1);
rr_zero_check <= not (rr_check(7) or rr_check(6) or rr_check(5) or rr_check(4) or rr_check(3) or rr_check(2) or rr_check(1) or rr_check(0));
rr_parity_check <= not (rr_check(7) xor rr_check(6) xor rr_check(5) xor rr_check(4) xor rr_check(3) xor rr_check(2) xor rr_check(1) xor rr_check(0));
cpl_check <= not counter_out(7 downto 0);
sla_check <= counter_out(6 downto 0) & '0';
sla_zero_check <= not (sla_check(7) or sla_check(6) or sla_check(5) or sla_check(4) or sla_check(3) or sla_check(2) or sla_check(1) or sla_check(0));
sla_parity_check <= not (sla_check(7) xor sla_check(6) xor sla_check(5) xor sla_check(4) xor sla_check(3) xor sla_check(2) xor sla_check(1) xor sla_check(0));
sra_check <= counter_out(7) & counter_out(7 downto 1);
sra_zero_check <= not (sra_check(7) or sra_check(6) or sra_check(5) or sra_check(4) or sra_check(3) or sra_check(2) or sra_check(1) or sra_check(0));
sra_parity_check <= not (sra_check(7) xor sra_check(6) xor sra_check(5) xor sra_check(4) xor sra_check(3) xor sra_check(2) xor sra_check(1) xor sra_check(0));
sll_check <= counter_out(6 downto 0) & '0';
sll_zero_check <= not (sll_check(7) or sll_check(6) or sll_check(5) or sll_check(4) or sll_check(3) or sll_check(2) or sll_check(1) or sll_check(0));
sll_parity_check <= not (sll_check(7) xor sll_check(6) xor sll_check(5) xor sll_check(4) xor sll_check(3) xor sll_check(2) xor sll_check(1) xor sll_check(0));
srl_check <= '0' & counter_out(7 downto 1);
srl_zero_check <= not (srl_check(7) or srl_check(6) or srl_check(5) or srl_check(4) or srl_check(3) or srl_check(2) or srl_check(1) or srl_check(0));
srl_parity_check <= not (srl_check(7) xor srl_check(6) xor srl_check(5) xor srl_check(4) xor srl_check(3) xor srl_check(2) xor srl_check(1) xor srl_check(0));
inrc_zero <= not (counter_out(7) or counter_out(6) or counter_out(5) or counter_out(4) or counter_out(3) or counter_out(2) or counter_out(1) or counter_out(0));
inrc_parity <= not (counter_out(7) xor counter_out(6) xor counter_out(5) xor counter_out(4) xor counter_out(3) xor counter_out(2) xor counter_out(1) xor counter_out(0));
primary_rld_check <= counter_out(7 downto 4) & counter_out(15 downto 12);
secondary_rld_check <= counter_out(11 downto 8) & counter_out(3 downto 0);
rld_zero_check <= not (primary_rld_check(7) or primary_rld_check(6) or primary_rld_check(5) or primary_rld_check(4) or primary_rld_check(3) or primary_rld_check(2) or primary_rld_check(1) or primary_rld_check(0));
rld_parity_check <= not (primary_rld_check(7) xor primary_rld_check(6) xor primary_rld_check(5) xor primary_rld_check(4) xor primary_rld_check(3) xor primary_rld_check(2) xor primary_rld_check(1) xor primary_rld_check(0));
primary_rrd_check <= counter_out(7 downto 4) & counter_out(11 downto 8);
secondary_rrd_check <= counter_out(3 downto 0) & counter_out(15 downto 12);
rrd_zero_check <= not (primary_rrd_check(7) or primary_rrd_check(6) or primary_rrd_check(5) or primary_rrd_check(4) or primary_rrd_check(3) or primary_rrd_check(2) or primary_rrd_check(1) or primary_rrd_check(0));
rrd_parity_check <= not (primary_rrd_check(7) xor primary_rrd_check(6) xor primary_rrd_check(5) xor primary_rrd_check(4) xor primary_rrd_check(3) xor primary_rrd_check(2) xor primary_rrd_check(1) xor primary_rrd_check(0));
bmtc_check <= counter_out(7 downto 0) or counter_out(15 downto 8);
bmtc_parity_check <= not (bmtc_check(7) or bmtc_check(6) or bmtc_check(5) or bmtc_check(4) or bmtc_check(3) or bmtc_check(2) or bmtc_check(1) or bmtc_check(0));
-- default states end here
case counter_out(21 downto 17) is
when add_operation | adc_operation =>
assert sum_checker = '1'
report "incorrect sum"
severity failure;
assert sum_check(8) = flags(carry_bit)
report "incorrect addition carry flag"
severity failure;
assert sum_overflow_check = flags(parity_overflow_bit)
report "incorrect addition overflow flag"
severity failure;
assert sum_zero_check = flags(zero_bit)
report "incorrect addition zero flag"
severity failure;
assert half_sum_check(4) = flags(half_carry_bit)
report "incorrect interdigit carry flag"
severity failure;
assert alu_result(7) = flags(sign_bit)
report "incorrect addition sign flag"
severity failure;
assert flags(add_sub_bit) = '0'
report "incorrect addition add/subtract flag"
severity failure;
assert zero_secondary_alu_result = '1'
report "secondary_alu_result != 0 for add/adc"
severity failure;
when sub_operation | sbc_operation =>
assert subtract_checker = '1'
report "incorrect difference"
severity failure;
assert subtract_check(8) = flags(carry_bit)
report "incorrect subtraction borrow flag"
severity failure;
assert subtract_overflow_check = flags(parity_overflow_bit)
report "incorrect subtraction overflow flag"
severity failure;
assert subtract_zero_check = flags(zero_bit)
report "incorrect subtraction zero flag"
severity failure;
assert half_difference_check(4) = flags(half_carry_bit)
report "incorrect interdigit borrow flag"
severity failure;
assert alu_result(7) = flags(sign_bit)
report "incorrect subtraction sign flag"
severity failure;
assert flags(add_sub_bit) = '1'
report "incorrect subtraction add/subtract flag"
severity failure;
assert zero_secondary_alu_result = '1'
report "secondary_alu_result != 0 for sub/sbc"
severity failure;
when and_operation =>
assert and_checker = '1'
report "incorrect logical AND result"
severity failure;
assert and_zero_check = flags(zero_bit)
report "incorrect logical AND zero flag"
severity failure;
assert and_parity_check = flags(parity_overflow_bit)
report "incorrect parity flag for logical AND"
severity failure;
assert alu_result(7) = flags(sign_bit)
report "incorrect sign flag for logical AND"
severity failure;
assert flags(half_carry_bit) = '1'
report "incorrect half-carry flag for logical AND"
severity failure;
assert flags(add_sub_bit) = '0'
report "incorrect add/subtract bit for logical AND"
severity failure;
assert flags(carry_bit) = '0'
report "incorrect carry bit for logical AND"
severity failure;
assert zero_secondary_alu_result = '1'
report "secondary_alu_result != 0 for and"
severity failure;
when xor_operation =>
assert xor_checker = '1'
report "incorrect logical XOR result"
severity failure;
assert xor_zero_check = flags(zero_bit)
report "incorrect logical XOR zero flag"
severity failure;
assert xor_parity_check = flags(parity_overflow_bit)
report "incorrect parity flag for logical XOR"
severity failure;
assert alu_result(7) = flags(sign_bit)
report "incorrect sign flag for logical XOR"
severity failure;
assert flags(half_carry_bit) = '1'
report "incorrect half-carry flag for logical XOR"
severity failure;
assert flags(add_sub_bit) = '0'
report "incorrect add/subtract flag for logical XOR"
severity failure;
assert flags(carry_bit) = '0'
report "incorrect carry bit for logical XOR"
severity failure;
assert zero_secondary_alu_result = '1'
report "secondary_alu_result != 0 for xor"
severity failure;
when or_operation =>
assert or_checker = '1'
report "incorrect logical OR result"
severity failure;
assert or_zero_check = flags(zero_bit)
report "incorrect logical OR zero flag"
severity failure;
assert or_parity_check = flags(parity_overflow_bit)
report "incorrect parity flag for logical OR"
severity failure;
assert alu_result(7) = flags(sign_bit)
report "incorrect sign flag for logical OR"
severity failure;
assert flags(half_carry_bit) = '1'
report "incorrect half-carry flag for logical OR"
severity failure;
assert flags(add_sub_bit) = '0'
report "incorrect add/subtract flag for logical OR"
severity failure;
assert flags(carry_bit) = '0'
report "incorrect carry flag for logical OR"
severity failure;
assert zero_secondary_alu_result = '1'
report "secondary_alu_result != 0 for OR operation"
severity failure;
when cmp_operation =>
assert subtract_checker = '1'
report "incorrect compare result"
severity failure;
assert subtract_check(8) = flags(carry_bit)
report "incorrect compare borrow flag"
severity failure;
assert subtract_overflow_check = flags(parity_overflow_bit)
report "incorrect compare overflow flag"
severity failure;
assert subtract_zero_check = flags(zero_bit)
report "incorrect compare zero flag"
severity failure;
assert half_difference_check(4) = flags(half_carry_bit)
report "incorrect compare interdigit borrow flag"
severity failure;
assert alu_result(7) = flags(sign_bit)
report "incorrect compare sign flag"
severity failure;
assert flags(add_sub_bit) = '1'
report "incorrect compare add/subtract flag"
severity failure;
assert zero_secondary_alu_result = '1'
report "secondary_alu_result != 0 for compare"
severity failure;
when rlc_operation =>
assert rlc_checker = '1'
report "incorrect rlc result"
severity failure;
assert rlc_zero_check = flags(zero_bit)
report "incorrect rlc zero flag"
severity failure;
assert rlc_parity_check = flags(parity_overflow_bit)
report "incorrect parity flag for rlc"
severity failure;
assert alu_result(7) = flags(sign_bit)
report "incorrect sign flag for rlc"
severity failure;
assert flags(half_carry_bit) = '0'
report "incorrect half-carry flag for rlc"
severity failure;
assert flags(add_sub_bit) = '0'
report "incorrect add/subtract flag for rlc"
severity failure;
assert flags(carry_bit) = counter_out(7)
report "incorrect carry flag for rlc"
severity failure;
assert zero_secondary_alu_result = '1'
report "secondary_alu_result != 0 for rls"
severity failure;
when rrc_operation =>
assert rrc_checker = '1'
report "incorrect rrc result"
severity failure;
assert rrc_zero_check = flags(zero_bit)
report "incorrect rrc zero bit"
severity failure;
assert rrc_parity_check = flags(parity_overflow_bit)
report "incorrect parity flag for rrc"
severity failure;
assert alu_result(7) = flags(sign_bit)
report "incorrect sign flag for rrc"
severity failure;
assert flags(half_carry_bit) = '0'
report "incorrect half-carry flag for rrc"
severity failure;
assert flags(add_sub_bit) = '0'
report "incorrect add/subtract flag for rrc"
severity failure;
assert flags(carry_bit) = counter_out(0)
report "incorrect carry flag for rrc"
severity failure;
assert zero_secondary_alu_result = '1'
report "secondary_alu_result != 0 for rrc"
severity failure;
when rl_operation =>
assert rl_checker = '1'
report "incorrect rl result"
severity failure;
assert rl_zero_check = flags(zero_bit)
report "incorrect rl zero bit"
severity failure;
assert rl_parity_check = flags(parity_overflow_bit)
report "incorrect parity flag for rl"
severity failure;
assert alu_result(7) = flags(sign_bit)
report "incorrect sign flag for rl"
severity failure;
assert flags(half_carry_bit) = '0'
report "incorrect half-carry flag for rl"
severity failure;
assert flags(add_sub_bit) = '0'
report "incorrect add/subtract flag for rl"
severity failure;
assert flags(carry_bit) = counter_out(7)
report "incorrect carry flag for rl"
severity failure;
assert zero_secondary_alu_result = '1'
report "secondary_alu_result != 0 for rl"
severity failure;
when rr_operation =>
assert rr_checker = '1'
report "incorrect rr result"
severity failure;
assert rr_zero_check = flags(zero_bit)
report "incorrect rr zero bit"
severity failure;
assert rr_parity_check = flags(parity_overflow_bit)
report "incorrect parity flag for rr"
severity failure;
assert alu_result(7) = flags(sign_bit)
report "incorrect sign flag for rr"
severity failure;
assert flags(half_carry_bit) = '0'
report "incorrect half-carry flag for rr"
severity failure;
assert flags(add_sub_bit) = '0'
report "incorrect add/subtract flag for rr"
severity failure;
assert flags(carry_bit) = counter_out(0)
report "incorrect carry flag for rr"
severity failure;
assert zero_secondary_alu_result = '1'
report "secondary_alu_result != 0 for rr"
severity failure;
when daa_operation =>
assert daa_unimp = '0'
report "DAA is not implemented"
severity note;
when cpl_operation =>
assert cpl_checker = '1'
report "incorrect cpl result"
severity failure;
assert flags(add_sub_bit) = '1'
report "incorrect cpl add/sub flag"
severity failure;
assert flags(half_carry_bit) = '1'
report "incorrect cpl half-carry flag"
severity failure;
assert zero_secondary_alu_result = '1'
report "secondary_alu_result != 0 for cpl"
severity failure;
when scf_operation =>
assert scf_checker = '1'
report "incorrect scf result"
severity failure;
assert flags(carry_bit) = '1'
report "incorrect carry flag for scf"
severity failure;
assert zero_secondary_alu_result = '1'
report "secondary_alu_result != 0 for scf"
severity failure;
when ccf_operation =>
assert scf_checker = '1'
report "incorrect ccf result"
severity failure;
assert flags(carry_bit) = not (counter_out(16))
report "incorrect ccf carry flag"
severity failure;
assert zero_secondary_alu_result = '1'
report "secondary_alu_result != 0 for ccf"
severity failure;
when sla_operation =>
assert sla_checker = '1'
report "incorrect sla result"
severity failure;
assert sla_zero_check = flags(zero_bit)
report "incorrect sla zero flag"
severity failure;
assert sla_parity_check = flags(parity_overflow_bit)
report "incorrect parity flag for sla"
severity failure;
assert alu_result(7) = flags(sign_bit)
report "incorrect sign flag for sla"
severity failure;
assert flags(half_carry_bit) = '0'
report "incorrect half-carry flag for sla"
severity failure;
assert flags(add_sub_bit) = '0'
report "incorrect add/subtract flag for sla"
severity failure;
assert flags(carry_bit) = counter_out(7)
report "incorrect carry bit for flag"
severity failure;
assert zero_secondary_alu_result = '1'
report "secondary_alu_result != 0 for sla"
severity failure;
when sra_operation =>
assert sra_checker = '1'
report "incorrect sra result"
severity failure;
assert sra_zero_check = flags(zero_bit)
report "incorrect sra zero flag"
severity failure;
assert sra_parity_check = flags(parity_overflow_bit)
report "incorrect parity flag for sra"
severity failure;
assert alu_result(7) = flags(sign_bit)
report "incorrect sign flag for sra"
severity failure;
assert flags(half_carry_bit) = '0'
report "incorrect half-carry flag for sra"
severity failure;
assert flags(add_sub_bit) = '0'
report "incorrect add/subtract flag for sra"
severity failure;
assert flags(carry_bit) = counter_out(0)
report "incorrect carry flag for sra"
severity failure;
assert zero_secondary_alu_result = '1'
report "secondary_alu_result != 0 for sra"
severity failure;
when sll_operation =>
assert sll_checker = '1'
report "incorrect sll result"
severity failure;
assert sll_zero_check = flags(zero_bit)
report "incorrect sll zero flag"
severity failure;
assert sll_parity_check = flags(parity_overflow_bit)
report "incorrect parity flag for sll"
severity failure;
assert alu_result(7) = flags(sign_bit)
report "incorrect sign flag for sll"
severity failure;
assert flags(half_carry_bit) = '0'
report "incorrect half-carry flag for sll"
severity failure;
assert flags(add_sub_bit) = '0'
report "incorrect add/subtract flag for sll"
severity failure;
assert flags(carry_bit) = counter_out(7)
report "incorrect carry flag for sll"
severity failure;
assert zero_secondary_alu_result = '1'
report "secondary_alu_result != 0 for sll"
severity failure;
when srl_operation =>
assert srl_checker = '1'
report "incorrect srl result"
severity failure;
assert srl_zero_check = flags(zero_bit)
report "incorrect srl zero flag"
severity failure;
assert srl_parity_check = flags(parity_overflow_bit)
report "incorrect parity flag for srl"
severity failure;
assert alu_result(7) = flags(sign_bit)
report "incorrect sign flag for srl"
severity failure;
assert flags(half_carry_bit) = '0'
report "incorrect half-carry flag for srl"
severity failure;
assert flags(add_sub_bit) = '0'
report "incorrect add/subtract flag for srl"
severity failure;
assert flags(carry_bit) = counter_out(0)
report "incorrect carry flag for srl"
severity failure;
assert zero_secondary_alu_result = '1'
report "secondary_alu_result != 0 for srl"
severity failure;
when bit_operation =>
assert bit_checker = '1'
report "incorrect result for bit operation"
severity failure;
if test_bits = '1' then
if bit_zero_checker = '1' then
assert flags(zero_bit) = '1'
report "BIT: zero flag != '1'"
severity failure;
elsif bit_zero_checker = '0' then
assert flags(zero_bit) = '0'
report "BIT: zero flag != '0'"
severity failure;
end if;
end if;
assert zero_secondary_alu_result = '1'
report "secondary_alu_result != 0 for bit"
severity failure;
when res_operation =>
if test_bits = '1' then
assert res_checker = '1'
report "incorrect result for RES"
severity failure;
end if;
assert zero_secondary_alu_result = '1'
report "secondary_alu_result != 0 for res"
severity failure;
when set_operation =>
if test_bits = '1' then
assert or_checker = '1'
report "incorrect result for SET"
severity failure;
end if;
assert zero_secondary_alu_result = '1'
report "secondary_alu_result != 0 for set"
severity failure;
when in16_operation =>
assert scf_checker = '1'
report "incorrect result for in r,(C)"
severity failure;
assert flags(zero_bit) = inrc_zero
report "incorrect zero flag for in r,(c)"
severity failure;
assert flags(parity_overflow_bit) = inrc_parity
report "incorrect parity flag for in r,(c)"
severity failure;
assert flags(half_carry_bit) = '0'
report "incorrect half-carry flag for in r,(c)"
severity failure;
assert flags(add_sub_bit) = '0'
report "incorrect add/subtract flag for in r,(c)"
severity failure;
assert flags(sign_bit) = alu_result(sign_bit)
report "incorrect sign flag for in r,(c)"
severity failure;
assert zero_secondary_alu_result = '1'
report "secondary_alu_result != 0 for in r, (c)"
severity failure;
when rld_operation =>
assert primary_rld_checker = '1'
report "incorrect primary result for rld"
severity failure;
assert secondary_rld_checker = '1'
report "incorrect secondary rld result"
severity failure;
assert alu_result(sign_bit) = flags(sign_bit)
report "incorrect sign flag for rld"
severity failure;
assert rld_zero_check = flags(zero_bit)
report "incorrect zero flag for rld"
severity failure;
assert rld_parity_check = flags(parity_overflow_bit)
report "incorrect parity flag for rld"
severity failure;
assert flags(half_carry_bit) = '0'
report "incorrect half-carry flag for rld"
severity failure;
assert flags(add_sub_bit) = '0'
report "incorrect add/subtract flag for rld"
severity failure;
when rrd_operation =>
assert primary_rrd_checker = '1'
report "incorrect primary result for rrd"
severity failure;
assert secondary_rrd_checker = '1'
report "incorrect secondary rrd result"
severity failure;
assert alu_result(sign_bit) = flags(sign_bit)
report "incorrect sign flag for rrd"
severity failure;
assert rrd_zero_check = flags(zero_bit)
report "incorrect zero flag for rrd"
severity failure;
assert rrd_parity_check = flags(parity_overflow_bit)
report "incorrect parity flag for rrd"
severity failure;
assert flags(half_carry_bit) = '0'
report "incorrect half-carry flag for rrd"
severity failure;
assert flags(add_sub_bit) = '0'
report "incorrect add/subtract flag for rrd"
severity failure;
when blockterm16_operation =>
assert bmtc_checker = '1'
report "incorrect bmtc result"
severity failure;
assert bmtc_parity_check = flags(parity_overflow_bit)
report "incorrect bmtc parity bit"
severity failure;
assert zero_secondary_alu_result = '1'
report "secondary_alu_result != 0 for block termination"
severity failure;
when others =>
-- assert counter_out(16 downto 0) /= ('0' & x"0000")
-- report "unimplemented alu operation"
-- severity warning;
end case;
if done = '1' then
counter_state <= Disable;
counter_clock <= Disable;
next_state <= fifteen;
else
counter_clock <= enable;
counter_state <= enable;
next_state <= two;
end if;
when four =>
-- default states begin here
counter_state <= Disable;
counter_clock <= Disable;
test_bits <= (
(( counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and ( counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and ( counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and ( counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and ( counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and ( counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and ( counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and ( counter_out(0)))
);
res_bits <= (
((not counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and (not counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and (not counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and (not counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and (not counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and (not counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and (not counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and (not counter_out(0)))
);
sum_check <= ('0' & counter_out(15 downto 8)) + ('0' & counter_out(7 downto 0)) + (x"00" & (counter_out(16) and counter_out(17)));
sum_overflow_check <= (not (counter_out(15) xor counter_out(7))) and (counter_out(15) xor sum_check(7));
sum_zero_check <= not (sum_check(7) or sum_check(6) or sum_check(5) or sum_check(4) or sum_check(3) or sum_check(2) or sum_check(1) or sum_check(0));
half_sum_check <= ('0' & counter_out(11 downto 8)) + ('0' & counter_out(3 downto 0)) + (x"0" & (counter_out(16) and counter_out(17)));
subtract_check <= ('0' & counter_out(7 downto 0)) - ('0' & counter_out(15 downto 8)) - (x"00" & (counter_out(16) and counter_out(17) and counter_out(18) and (not counter_out(19))));
subtract_overflow_check <= (counter_out(7) xor counter_out(15)) and (counter_out(7) xor subtract_check(7));
subtract_zero_check <= not (subtract_check(7) or subtract_check(6) or subtract_check(5) or subtract_check(4) or subtract_check(3) or subtract_check(2) or subtract_check(1) or subtract_check(0));
half_difference_check <= ('0' & counter_out(3 downto 0)) - ('0' & counter_out(11 downto 8)) - (x"0" & (counter_out(16) and counter_out(17) and counter_out(18) and (not counter_out(19))));
and_check <= counter_out(15 downto 8) and counter_out(7 downto 0);
and_zero_check <= not (and_check(7) or and_check(6) or and_check(5) or and_check(4) or and_check(3) or and_check(2) or and_check(1) or and_check(0));
and_parity_check <= not (and_check(7) xor and_check(6) xor and_check(5) xor and_check(4) xor and_check(3) xor and_check(2) xor and_check(1) xor and_check(0));
xor_check <= counter_out(15 downto 8) xor counter_out(7 downto 0);
xor_zero_check <= not (xor_check(7) or xor_check(6) or xor_check(5) or xor_check(4) or xor_check(3) or xor_check(2) or xor_check(1) or xor_check(0));
xor_parity_check <= not (xor_check(7) xor xor_check(6) xor xor_check(5) xor xor_check(4) xor xor_check(3) xor xor_check(2) xor xor_check(1) xor xor_check(0));
or_check <= counter_out(15 downto 8) or counter_out(7 downto 0);
or_zero_check <= not (or_check(7) or or_check(6) or or_check(5) or or_check(4) or or_check(3) or or_check(2) or or_check(1) or or_check(0));
or_parity_check <= not (or_check(7) xor or_check(6) xor or_check(5) xor or_check(4) xor or_check(3) xor or_check(2) xor or_check(1) xor or_check(0));
rlc_check <= counter_out(6 downto 0) & counter_out(7);
rlc_zero_check <= not (rlc_check(7) or rlc_check(6) or rlc_check(5) or rlc_check(4) or rlc_check(3) or rlc_check(2) or rlc_check(1) or rlc_check(0));
rlc_parity_check <= not (rlc_check(7) xor rlc_check(6) xor rlc_check(5) xor rlc_check(4) xor rlc_check(3) xor rlc_check(2) xor rlc_check(1) xor rlc_check(0));
rrc_check <= counter_out(0) & counter_out(7 downto 1);
rrc_zero_check <= not (rrc_check(7) or rrc_check(6) or rrc_check(5) or rrc_check(4) or rrc_check(3) or rrc_check(2) or rrc_check(1) or rrc_check(0));
rrc_parity_check <= not (rrc_check(7) xor rrc_check(6) xor rrc_check(5) xor rrc_check(4) xor rrc_check(3) xor rrc_check(2) xor rrc_check(1) xor rrc_check(0));
rl_check <= counter_out(6 downto 0) & counter_out(16);
rl_zero_check <= not (rl_check(7) or rl_check(6) or rl_check(5) or rl_check(4) or rl_check(3) or rl_check(2) or rl_check(1) or rl_check(0));
rl_parity_check <= not (rl_check(7) xor rl_check(6) xor rl_check(5) xor rl_check(4) xor rl_check(3) xor rl_check(2) xor rl_check(1) xor rl_check(0));
rr_check <= counter_out(16) & counter_out(7 downto 1);
rr_zero_check <= not (rr_check(7) or rr_check(6) or rr_check(5) or rr_check(4) or rr_check(3) or rr_check(2) or rr_check(1) or rr_check(0));
rr_parity_check <= not (rr_check(7) xor rr_check(6) xor rr_check(5) xor rr_check(4) xor rr_check(3) xor rr_check(2) xor rr_check(1) xor rr_check(0));
cpl_check <= not counter_out(7 downto 0);
sla_check <= counter_out(6 downto 0) & '0';
sla_zero_check <= not (sla_check(7) or sla_check(6) or sla_check(5) or sla_check(4) or sla_check(3) or sla_check(2) or sla_check(1) or sla_check(0));
sla_parity_check <= not (sla_check(7) xor sla_check(6) xor sla_check(5) xor sla_check(4) xor sla_check(3) xor sla_check(2) xor sla_check(1) xor sla_check(0));
sra_check <= counter_out(7) & counter_out(7 downto 1);
sra_zero_check <= not (sra_check(7) or sra_check(6) or sra_check(5) or sra_check(4) or sra_check(3) or sra_check(2) or sra_check(1) or sra_check(0));
sra_parity_check <= not (sra_check(7) xor sra_check(6) xor sra_check(5) xor sra_check(4) xor sra_check(3) xor sra_check(2) xor sra_check(1) xor sra_check(0));
sll_check <= counter_out(6 downto 0) & '0';
sll_zero_check <= not (sll_check(7) or sll_check(6) or sll_check(5) or sll_check(4) or sll_check(3) or sll_check(2) or sll_check(1) or sll_check(0));
sll_parity_check <= not (sll_check(7) xor sll_check(6) xor sll_check(5) xor sll_check(4) xor sll_check(3) xor sll_check(2) xor sll_check(1) xor sll_check(0));
srl_check <= '0' & counter_out(7 downto 1);
srl_zero_check <= not (srl_check(7) or srl_check(6) or srl_check(5) or srl_check(4) or srl_check(3) or srl_check(2) or srl_check(1) or srl_check(0));
srl_parity_check <= not (srl_check(7) xor srl_check(6) xor srl_check(5) xor srl_check(4) xor srl_check(3) xor srl_check(2) xor srl_check(1) xor srl_check(0));
inrc_zero <= not (counter_out(7) or counter_out(6) or counter_out(5) or counter_out(4) or counter_out(3) or counter_out(2) or counter_out(1) or counter_out(0));
inrc_parity <= not (counter_out(7) xor counter_out(6) xor counter_out(5) xor counter_out(4) xor counter_out(3) xor counter_out(2) xor counter_out(1) xor counter_out(0));
primary_rld_check <= counter_out(7 downto 4) & counter_out(15 downto 12);
secondary_rld_check <= counter_out(11 downto 8) & counter_out(3 downto 0);
rld_zero_check <= not (primary_rld_check(7) or primary_rld_check(6) or primary_rld_check(5) or primary_rld_check(4) or primary_rld_check(3) or primary_rld_check(2) or primary_rld_check(1) or primary_rld_check(0));
rld_parity_check <= not (primary_rld_check(7) xor primary_rld_check(6) xor primary_rld_check(5) xor primary_rld_check(4) xor primary_rld_check(3) xor primary_rld_check(2) xor primary_rld_check(1) xor primary_rld_check(0));
primary_rrd_check <= counter_out(7 downto 4) & counter_out(11 downto 8);
secondary_rrd_check <= counter_out(3 downto 0) & counter_out(15 downto 12);
rrd_zero_check <= not (primary_rrd_check(7) or primary_rrd_check(6) or primary_rrd_check(5) or primary_rrd_check(4) or primary_rrd_check(3) or primary_rrd_check(2) or primary_rrd_check(1) or primary_rrd_check(0));
rrd_parity_check <= not (primary_rrd_check(7) xor primary_rrd_check(6) xor primary_rrd_check(5) xor primary_rrd_check(4) xor primary_rrd_check(3) xor primary_rrd_check(2) xor primary_rrd_check(1) xor primary_rrd_check(0));
bmtc_check <= counter_out(7 downto 0) or counter_out(15 downto 8);
bmtc_parity_check <= not (bmtc_check(7) or bmtc_check(6) or bmtc_check(5) or bmtc_check(4) or bmtc_check(3) or bmtc_check(2) or bmtc_check(1) or bmtc_check(0));
-- default states end here
next_state <= five;
when five =>
-- default states begin here
counter_state <= Disable;
counter_clock <= Disable;
test_bits <= (
(( counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and ( counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and ( counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and ( counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and ( counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and ( counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and ( counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and ( counter_out(0)))
);
res_bits <= (
((not counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and (not counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and (not counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and (not counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and (not counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and (not counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and (not counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and (not counter_out(0)))
);
sum_check <= ('0' & counter_out(15 downto 8)) + ('0' & counter_out(7 downto 0)) + (x"00" & (counter_out(16) and counter_out(17)));
sum_overflow_check <= (not (counter_out(15) xor counter_out(7))) and (counter_out(15) xor sum_check(7));
sum_zero_check <= not (sum_check(7) or sum_check(6) or sum_check(5) or sum_check(4) or sum_check(3) or sum_check(2) or sum_check(1) or sum_check(0));
half_sum_check <= ('0' & counter_out(11 downto 8)) + ('0' & counter_out(3 downto 0)) + (x"0" & (counter_out(16) and counter_out(17)));
subtract_check <= ('0' & counter_out(7 downto 0)) - ('0' & counter_out(15 downto 8)) - (x"00" & (counter_out(16) and counter_out(17) and counter_out(18) and (not counter_out(19))));
subtract_overflow_check <= (counter_out(7) xor counter_out(15)) and (counter_out(7) xor subtract_check(7));
subtract_zero_check <= not (subtract_check(7) or subtract_check(6) or subtract_check(5) or subtract_check(4) or subtract_check(3) or subtract_check(2) or subtract_check(1) or subtract_check(0));
half_difference_check <= ('0' & counter_out(3 downto 0)) - ('0' & counter_out(11 downto 8)) - (x"0" & (counter_out(16) and counter_out(17) and counter_out(18) and (not counter_out(19))));
and_check <= counter_out(15 downto 8) and counter_out(7 downto 0);
and_zero_check <= not (and_check(7) or and_check(6) or and_check(5) or and_check(4) or and_check(3) or and_check(2) or and_check(1) or and_check(0));
and_parity_check <= not (and_check(7) xor and_check(6) xor and_check(5) xor and_check(4) xor and_check(3) xor and_check(2) xor and_check(1) xor and_check(0));
xor_check <= counter_out(15 downto 8) xor counter_out(7 downto 0);
xor_zero_check <= not (xor_check(7) or xor_check(6) or xor_check(5) or xor_check(4) or xor_check(3) or xor_check(2) or xor_check(1) or xor_check(0));
xor_parity_check <= not (xor_check(7) xor xor_check(6) xor xor_check(5) xor xor_check(4) xor xor_check(3) xor xor_check(2) xor xor_check(1) xor xor_check(0));
or_check <= counter_out(15 downto 8) or counter_out(7 downto 0);
or_zero_check <= not (or_check(7) or or_check(6) or or_check(5) or or_check(4) or or_check(3) or or_check(2) or or_check(1) or or_check(0));
or_parity_check <= not (or_check(7) xor or_check(6) xor or_check(5) xor or_check(4) xor or_check(3) xor or_check(2) xor or_check(1) xor or_check(0));
rlc_check <= counter_out(6 downto 0) & counter_out(7);
rlc_zero_check <= not (rlc_check(7) or rlc_check(6) or rlc_check(5) or rlc_check(4) or rlc_check(3) or rlc_check(2) or rlc_check(1) or rlc_check(0));
rlc_parity_check <= not (rlc_check(7) xor rlc_check(6) xor rlc_check(5) xor rlc_check(4) xor rlc_check(3) xor rlc_check(2) xor rlc_check(1) xor rlc_check(0));
rrc_check <= counter_out(0) & counter_out(7 downto 1);
rrc_zero_check <= not (rrc_check(7) or rrc_check(6) or rrc_check(5) or rrc_check(4) or rrc_check(3) or rrc_check(2) or rrc_check(1) or rrc_check(0));
rrc_parity_check <= not (rrc_check(7) xor rrc_check(6) xor rrc_check(5) xor rrc_check(4) xor rrc_check(3) xor rrc_check(2) xor rrc_check(1) xor rrc_check(0));
rl_check <= counter_out(6 downto 0) & counter_out(16);
rl_zero_check <= not (rl_check(7) or rl_check(6) or rl_check(5) or rl_check(4) or rl_check(3) or rl_check(2) or rl_check(1) or rl_check(0));
rl_parity_check <= not (rl_check(7) xor rl_check(6) xor rl_check(5) xor rl_check(4) xor rl_check(3) xor rl_check(2) xor rl_check(1) xor rl_check(0));
rr_check <= counter_out(16) & counter_out(7 downto 1);
rr_zero_check <= not (rr_check(7) or rr_check(6) or rr_check(5) or rr_check(4) or rr_check(3) or rr_check(2) or rr_check(1) or rr_check(0));
rr_parity_check <= not (rr_check(7) xor rr_check(6) xor rr_check(5) xor rr_check(4) xor rr_check(3) xor rr_check(2) xor rr_check(1) xor rr_check(0));
cpl_check <= not counter_out(7 downto 0);
sla_check <= counter_out(6 downto 0) & '0';
sla_zero_check <= not (sla_check(7) or sla_check(6) or sla_check(5) or sla_check(4) or sla_check(3) or sla_check(2) or sla_check(1) or sla_check(0));
sla_parity_check <= not (sla_check(7) xor sla_check(6) xor sla_check(5) xor sla_check(4) xor sla_check(3) xor sla_check(2) xor sla_check(1) xor sla_check(0));
sra_check <= counter_out(7) & counter_out(7 downto 1);
sra_zero_check <= not (sra_check(7) or sra_check(6) or sra_check(5) or sra_check(4) or sra_check(3) or sra_check(2) or sra_check(1) or sra_check(0));
sra_parity_check <= not (sra_check(7) xor sra_check(6) xor sra_check(5) xor sra_check(4) xor sra_check(3) xor sra_check(2) xor sra_check(1) xor sra_check(0));
sll_check <= counter_out(6 downto 0) & '0';
sll_zero_check <= not (sll_check(7) or sll_check(6) or sll_check(5) or sll_check(4) or sll_check(3) or sll_check(2) or sll_check(1) or sll_check(0));
sll_parity_check <= not (sll_check(7) xor sll_check(6) xor sll_check(5) xor sll_check(4) xor sll_check(3) xor sll_check(2) xor sll_check(1) xor sll_check(0));
srl_check <= '0' & counter_out(7 downto 1);
srl_zero_check <= not (srl_check(7) or srl_check(6) or srl_check(5) or srl_check(4) or srl_check(3) or srl_check(2) or srl_check(1) or srl_check(0));
srl_parity_check <= not (srl_check(7) xor srl_check(6) xor srl_check(5) xor srl_check(4) xor srl_check(3) xor srl_check(2) xor srl_check(1) xor srl_check(0));
inrc_zero <= not (counter_out(7) or counter_out(6) or counter_out(5) or counter_out(4) or counter_out(3) or counter_out(2) or counter_out(1) or counter_out(0));
inrc_parity <= not (counter_out(7) xor counter_out(6) xor counter_out(5) xor counter_out(4) xor counter_out(3) xor counter_out(2) xor counter_out(1) xor counter_out(0));
primary_rld_check <= counter_out(7 downto 4) & counter_out(15 downto 12);
secondary_rld_check <= counter_out(11 downto 8) & counter_out(3 downto 0);
rld_zero_check <= not (primary_rld_check(7) or primary_rld_check(6) or primary_rld_check(5) or primary_rld_check(4) or primary_rld_check(3) or primary_rld_check(2) or primary_rld_check(1) or primary_rld_check(0));
rld_parity_check <= not (primary_rld_check(7) xor primary_rld_check(6) xor primary_rld_check(5) xor primary_rld_check(4) xor primary_rld_check(3) xor primary_rld_check(2) xor primary_rld_check(1) xor primary_rld_check(0));
primary_rrd_check <= counter_out(7 downto 4) & counter_out(11 downto 8);
secondary_rrd_check <= counter_out(3 downto 0) & counter_out(15 downto 12);
rrd_zero_check <= not (primary_rrd_check(7) or primary_rrd_check(6) or primary_rrd_check(5) or primary_rrd_check(4) or primary_rrd_check(3) or primary_rrd_check(2) or primary_rrd_check(1) or primary_rrd_check(0));
rrd_parity_check <= not (primary_rrd_check(7) xor primary_rrd_check(6) xor primary_rrd_check(5) xor primary_rrd_check(4) xor primary_rrd_check(3) xor primary_rrd_check(2) xor primary_rrd_check(1) xor primary_rrd_check(0));
bmtc_check <= counter_out(7 downto 0) or counter_out(15 downto 8);
bmtc_parity_check <= not (bmtc_check(7) or bmtc_check(6) or bmtc_check(5) or bmtc_check(4) or bmtc_check(3) or bmtc_check(2) or bmtc_check(1) or bmtc_check(0));
-- default states end here
next_state <= six;
when six =>
-- default states begin here
counter_state <= Disable;
counter_clock <= Disable;
test_bits <= (
(( counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and ( counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and ( counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and ( counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and ( counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and ( counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and ( counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and ( counter_out(0)))
);
res_bits <= (
((not counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and (not counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and (not counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and (not counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and (not counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and (not counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and (not counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and (not counter_out(0)))
);
sum_check <= ('0' & counter_out(15 downto 8)) + ('0' & counter_out(7 downto 0)) + (x"00" & (counter_out(16) and counter_out(17)));
sum_overflow_check <= (not (counter_out(15) xor counter_out(7))) and (counter_out(15) xor sum_check(7));
sum_zero_check <= not (sum_check(7) or sum_check(6) or sum_check(5) or sum_check(4) or sum_check(3) or sum_check(2) or sum_check(1) or sum_check(0));
half_sum_check <= ('0' & counter_out(11 downto 8)) + ('0' & counter_out(3 downto 0)) + (x"0" & (counter_out(16) and counter_out(17)));
subtract_check <= ('0' & counter_out(7 downto 0)) - ('0' & counter_out(15 downto 8)) - (x"00" & (counter_out(16) and counter_out(17) and counter_out(18) and (not counter_out(19))));
subtract_overflow_check <= (counter_out(7) xor counter_out(15)) and (counter_out(7) xor subtract_check(7));
subtract_zero_check <= not (subtract_check(7) or subtract_check(6) or subtract_check(5) or subtract_check(4) or subtract_check(3) or subtract_check(2) or subtract_check(1) or subtract_check(0));
half_difference_check <= ('0' & counter_out(3 downto 0)) - ('0' & counter_out(11 downto 8)) - (x"0" & (counter_out(16) and counter_out(17) and counter_out(18) and (not counter_out(19))));
and_check <= counter_out(15 downto 8) and counter_out(7 downto 0);
and_zero_check <= not (and_check(7) or and_check(6) or and_check(5) or and_check(4) or and_check(3) or and_check(2) or and_check(1) or and_check(0));
and_parity_check <= not (and_check(7) xor and_check(6) xor and_check(5) xor and_check(4) xor and_check(3) xor and_check(2) xor and_check(1) xor and_check(0));
xor_check <= counter_out(15 downto 8) xor counter_out(7 downto 0);
xor_zero_check <= not (xor_check(7) or xor_check(6) or xor_check(5) or xor_check(4) or xor_check(3) or xor_check(2) or xor_check(1) or xor_check(0));
xor_parity_check <= not (xor_check(7) xor xor_check(6) xor xor_check(5) xor xor_check(4) xor xor_check(3) xor xor_check(2) xor xor_check(1) xor xor_check(0));
or_check <= counter_out(15 downto 8) or counter_out(7 downto 0);
or_zero_check <= not (or_check(7) or or_check(6) or or_check(5) or or_check(4) or or_check(3) or or_check(2) or or_check(1) or or_check(0));
or_parity_check <= not (or_check(7) xor or_check(6) xor or_check(5) xor or_check(4) xor or_check(3) xor or_check(2) xor or_check(1) xor or_check(0));
rlc_check <= counter_out(6 downto 0) & counter_out(7);
rlc_zero_check <= not (rlc_check(7) or rlc_check(6) or rlc_check(5) or rlc_check(4) or rlc_check(3) or rlc_check(2) or rlc_check(1) or rlc_check(0));
rlc_parity_check <= not (rlc_check(7) xor rlc_check(6) xor rlc_check(5) xor rlc_check(4) xor rlc_check(3) xor rlc_check(2) xor rlc_check(1) xor rlc_check(0));
rrc_check <= counter_out(0) & counter_out(7 downto 1);
rrc_zero_check <= not (rrc_check(7) or rrc_check(6) or rrc_check(5) or rrc_check(4) or rrc_check(3) or rrc_check(2) or rrc_check(1) or rrc_check(0));
rrc_parity_check <= not (rrc_check(7) xor rrc_check(6) xor rrc_check(5) xor rrc_check(4) xor rrc_check(3) xor rrc_check(2) xor rrc_check(1) xor rrc_check(0));
rl_check <= counter_out(6 downto 0) & counter_out(16);
rl_zero_check <= not (rl_check(7) or rl_check(6) or rl_check(5) or rl_check(4) or rl_check(3) or rl_check(2) or rl_check(1) or rl_check(0));
rl_parity_check <= not (rl_check(7) xor rl_check(6) xor rl_check(5) xor rl_check(4) xor rl_check(3) xor rl_check(2) xor rl_check(1) xor rl_check(0));
rr_check <= counter_out(16) & counter_out(7 downto 1);
rr_zero_check <= not (rr_check(7) or rr_check(6) or rr_check(5) or rr_check(4) or rr_check(3) or rr_check(2) or rr_check(1) or rr_check(0));
rr_parity_check <= not (rr_check(7) xor rr_check(6) xor rr_check(5) xor rr_check(4) xor rr_check(3) xor rr_check(2) xor rr_check(1) xor rr_check(0));
cpl_check <= not counter_out(7 downto 0);
sla_check <= counter_out(6 downto 0) & '0';
sla_zero_check <= not (sla_check(7) or sla_check(6) or sla_check(5) or sla_check(4) or sla_check(3) or sla_check(2) or sla_check(1) or sla_check(0));
sla_parity_check <= not (sla_check(7) xor sla_check(6) xor sla_check(5) xor sla_check(4) xor sla_check(3) xor sla_check(2) xor sla_check(1) xor sla_check(0));
sra_check <= counter_out(7) & counter_out(7 downto 1);
sra_zero_check <= not (sra_check(7) or sra_check(6) or sra_check(5) or sra_check(4) or sra_check(3) or sra_check(2) or sra_check(1) or sra_check(0));
sra_parity_check <= not (sra_check(7) xor sra_check(6) xor sra_check(5) xor sra_check(4) xor sra_check(3) xor sra_check(2) xor sra_check(1) xor sra_check(0));
sll_check <= counter_out(6 downto 0) & '0';
sll_zero_check <= not (sll_check(7) or sll_check(6) or sll_check(5) or sll_check(4) or sll_check(3) or sll_check(2) or sll_check(1) or sll_check(0));
sll_parity_check <= not (sll_check(7) xor sll_check(6) xor sll_check(5) xor sll_check(4) xor sll_check(3) xor sll_check(2) xor sll_check(1) xor sll_check(0));
srl_check <= '0' & counter_out(7 downto 1);
srl_zero_check <= not (srl_check(7) or srl_check(6) or srl_check(5) or srl_check(4) or srl_check(3) or srl_check(2) or srl_check(1) or srl_check(0));
srl_parity_check <= not (srl_check(7) xor srl_check(6) xor srl_check(5) xor srl_check(4) xor srl_check(3) xor srl_check(2) xor srl_check(1) xor srl_check(0));
inrc_zero <= not (counter_out(7) or counter_out(6) or counter_out(5) or counter_out(4) or counter_out(3) or counter_out(2) or counter_out(1) or counter_out(0));
inrc_parity <= not (counter_out(7) xor counter_out(6) xor counter_out(5) xor counter_out(4) xor counter_out(3) xor counter_out(2) xor counter_out(1) xor counter_out(0));
primary_rld_check <= counter_out(7 downto 4) & counter_out(15 downto 12);
secondary_rld_check <= counter_out(11 downto 8) & counter_out(3 downto 0);
rld_zero_check <= not (primary_rld_check(7) or primary_rld_check(6) or primary_rld_check(5) or primary_rld_check(4) or primary_rld_check(3) or primary_rld_check(2) or primary_rld_check(1) or primary_rld_check(0));
rld_parity_check <= not (primary_rld_check(7) xor primary_rld_check(6) xor primary_rld_check(5) xor primary_rld_check(4) xor primary_rld_check(3) xor primary_rld_check(2) xor primary_rld_check(1) xor primary_rld_check(0));
primary_rrd_check <= counter_out(7 downto 4) & counter_out(11 downto 8);
secondary_rrd_check <= counter_out(3 downto 0) & counter_out(15 downto 12);
rrd_zero_check <= not (primary_rrd_check(7) or primary_rrd_check(6) or primary_rrd_check(5) or primary_rrd_check(4) or primary_rrd_check(3) or primary_rrd_check(2) or primary_rrd_check(1) or primary_rrd_check(0));
rrd_parity_check <= not (primary_rrd_check(7) xor primary_rrd_check(6) xor primary_rrd_check(5) xor primary_rrd_check(4) xor primary_rrd_check(3) xor primary_rrd_check(2) xor primary_rrd_check(1) xor primary_rrd_check(0));
bmtc_check <= counter_out(7 downto 0) or counter_out(15 downto 8);
bmtc_parity_check <= not (bmtc_check(7) or bmtc_check(6) or bmtc_check(5) or bmtc_check(4) or bmtc_check(3) or bmtc_check(2) or bmtc_check(1) or bmtc_check(0));
-- default states end here
next_state <= seven;
when seven =>
-- default states begin here
counter_state <= Disable;
counter_clock <= Disable;
test_bits <= (
(( counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and ( counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and ( counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and ( counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and ( counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and ( counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and ( counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and ( counter_out(0)))
);
res_bits <= (
((not counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and (not counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and (not counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and (not counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and (not counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and (not counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and (not counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and (not counter_out(0)))
);
sum_check <= ('0' & counter_out(15 downto 8)) + ('0' & counter_out(7 downto 0)) + (x"00" & (counter_out(16) and counter_out(17)));
sum_overflow_check <= (not (counter_out(15) xor counter_out(7))) and (counter_out(15) xor sum_check(7));
sum_zero_check <= not (sum_check(7) or sum_check(6) or sum_check(5) or sum_check(4) or sum_check(3) or sum_check(2) or sum_check(1) or sum_check(0));
half_sum_check <= ('0' & counter_out(11 downto 8)) + ('0' & counter_out(3 downto 0)) + (x"0" & (counter_out(16) and counter_out(17)));
subtract_check <= ('0' & counter_out(7 downto 0)) - ('0' & counter_out(15 downto 8)) - (x"00" & (counter_out(16) and counter_out(17) and counter_out(18) and (not counter_out(19))));
subtract_overflow_check <= (counter_out(7) xor counter_out(15)) and (counter_out(7) xor subtract_check(7));
subtract_zero_check <= not (subtract_check(7) or subtract_check(6) or subtract_check(5) or subtract_check(4) or subtract_check(3) or subtract_check(2) or subtract_check(1) or subtract_check(0));
half_difference_check <= ('0' & counter_out(3 downto 0)) - ('0' & counter_out(11 downto 8)) - (x"0" & (counter_out(16) and counter_out(17) and counter_out(18) and (not counter_out(19))));
and_check <= counter_out(15 downto 8) and counter_out(7 downto 0);
and_zero_check <= not (and_check(7) or and_check(6) or and_check(5) or and_check(4) or and_check(3) or and_check(2) or and_check(1) or and_check(0));
and_parity_check <= not (and_check(7) xor and_check(6) xor and_check(5) xor and_check(4) xor and_check(3) xor and_check(2) xor and_check(1) xor and_check(0));
xor_check <= counter_out(15 downto 8) xor counter_out(7 downto 0);
xor_zero_check <= not (xor_check(7) or xor_check(6) or xor_check(5) or xor_check(4) or xor_check(3) or xor_check(2) or xor_check(1) or xor_check(0));
xor_parity_check <= not (xor_check(7) xor xor_check(6) xor xor_check(5) xor xor_check(4) xor xor_check(3) xor xor_check(2) xor xor_check(1) xor xor_check(0));
or_check <= counter_out(15 downto 8) or counter_out(7 downto 0);
or_zero_check <= not (or_check(7) or or_check(6) or or_check(5) or or_check(4) or or_check(3) or or_check(2) or or_check(1) or or_check(0));
or_parity_check <= not (or_check(7) xor or_check(6) xor or_check(5) xor or_check(4) xor or_check(3) xor or_check(2) xor or_check(1) xor or_check(0));
rlc_check <= counter_out(6 downto 0) & counter_out(7);
rlc_zero_check <= not (rlc_check(7) or rlc_check(6) or rlc_check(5) or rlc_check(4) or rlc_check(3) or rlc_check(2) or rlc_check(1) or rlc_check(0));
rlc_parity_check <= not (rlc_check(7) xor rlc_check(6) xor rlc_check(5) xor rlc_check(4) xor rlc_check(3) xor rlc_check(2) xor rlc_check(1) xor rlc_check(0));
rrc_check <= counter_out(0) & counter_out(7 downto 1);
rrc_zero_check <= not (rrc_check(7) or rrc_check(6) or rrc_check(5) or rrc_check(4) or rrc_check(3) or rrc_check(2) or rrc_check(1) or rrc_check(0));
rrc_parity_check <= not (rrc_check(7) xor rrc_check(6) xor rrc_check(5) xor rrc_check(4) xor rrc_check(3) xor rrc_check(2) xor rrc_check(1) xor rrc_check(0));
rl_check <= counter_out(6 downto 0) & counter_out(16);
rl_zero_check <= not (rl_check(7) or rl_check(6) or rl_check(5) or rl_check(4) or rl_check(3) or rl_check(2) or rl_check(1) or rl_check(0));
rl_parity_check <= not (rl_check(7) xor rl_check(6) xor rl_check(5) xor rl_check(4) xor rl_check(3) xor rl_check(2) xor rl_check(1) xor rl_check(0));
rr_check <= counter_out(16) & counter_out(7 downto 1);
rr_zero_check <= not (rr_check(7) or rr_check(6) or rr_check(5) or rr_check(4) or rr_check(3) or rr_check(2) or rr_check(1) or rr_check(0));
rr_parity_check <= not (rr_check(7) xor rr_check(6) xor rr_check(5) xor rr_check(4) xor rr_check(3) xor rr_check(2) xor rr_check(1) xor rr_check(0));
cpl_check <= not counter_out(7 downto 0);
sla_check <= counter_out(6 downto 0) & '0';
sla_zero_check <= not (sla_check(7) or sla_check(6) or sla_check(5) or sla_check(4) or sla_check(3) or sla_check(2) or sla_check(1) or sla_check(0));
sla_parity_check <= not (sla_check(7) xor sla_check(6) xor sla_check(5) xor sla_check(4) xor sla_check(3) xor sla_check(2) xor sla_check(1) xor sla_check(0));
sra_check <= counter_out(7) & counter_out(7 downto 1);
sra_zero_check <= not (sra_check(7) or sra_check(6) or sra_check(5) or sra_check(4) or sra_check(3) or sra_check(2) or sra_check(1) or sra_check(0));
sra_parity_check <= not (sra_check(7) xor sra_check(6) xor sra_check(5) xor sra_check(4) xor sra_check(3) xor sra_check(2) xor sra_check(1) xor sra_check(0));
sll_check <= counter_out(6 downto 0) & '0';
sll_zero_check <= not (sll_check(7) or sll_check(6) or sll_check(5) or sll_check(4) or sll_check(3) or sll_check(2) or sll_check(1) or sll_check(0));
sll_parity_check <= not (sll_check(7) xor sll_check(6) xor sll_check(5) xor sll_check(4) xor sll_check(3) xor sll_check(2) xor sll_check(1) xor sll_check(0));
srl_check <= '0' & counter_out(7 downto 1);
srl_zero_check <= not (srl_check(7) or srl_check(6) or srl_check(5) or srl_check(4) or srl_check(3) or srl_check(2) or srl_check(1) or srl_check(0));
srl_parity_check <= not (srl_check(7) xor srl_check(6) xor srl_check(5) xor srl_check(4) xor srl_check(3) xor srl_check(2) xor srl_check(1) xor srl_check(0));
inrc_zero <= not (counter_out(7) or counter_out(6) or counter_out(5) or counter_out(4) or counter_out(3) or counter_out(2) or counter_out(1) or counter_out(0));
inrc_parity <= not (counter_out(7) xor counter_out(6) xor counter_out(5) xor counter_out(4) xor counter_out(3) xor counter_out(2) xor counter_out(1) xor counter_out(0));
primary_rld_check <= counter_out(7 downto 4) & counter_out(15 downto 12);
secondary_rld_check <= counter_out(11 downto 8) & counter_out(3 downto 0);
rld_zero_check <= not (primary_rld_check(7) or primary_rld_check(6) or primary_rld_check(5) or primary_rld_check(4) or primary_rld_check(3) or primary_rld_check(2) or primary_rld_check(1) or primary_rld_check(0));
rld_parity_check <= not (primary_rld_check(7) xor primary_rld_check(6) xor primary_rld_check(5) xor primary_rld_check(4) xor primary_rld_check(3) xor primary_rld_check(2) xor primary_rld_check(1) xor primary_rld_check(0));
primary_rrd_check <= counter_out(7 downto 4) & counter_out(11 downto 8);
secondary_rrd_check <= counter_out(3 downto 0) & counter_out(15 downto 12);
rrd_zero_check <= not (primary_rrd_check(7) or primary_rrd_check(6) or primary_rrd_check(5) or primary_rrd_check(4) or primary_rrd_check(3) or primary_rrd_check(2) or primary_rrd_check(1) or primary_rrd_check(0));
rrd_parity_check <= not (primary_rrd_check(7) xor primary_rrd_check(6) xor primary_rrd_check(5) xor primary_rrd_check(4) xor primary_rrd_check(3) xor primary_rrd_check(2) xor primary_rrd_check(1) xor primary_rrd_check(0));
bmtc_check <= counter_out(7 downto 0) or counter_out(15 downto 8);
bmtc_parity_check <= not (bmtc_check(7) or bmtc_check(6) or bmtc_check(5) or bmtc_check(4) or bmtc_check(3) or bmtc_check(2) or bmtc_check(1) or bmtc_check(0));
-- default states end here
next_state <= eight;
when eight =>
-- default states begin here
counter_state <= Disable;
counter_clock <= Disable;
test_bits <= (
(( counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and ( counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and ( counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and ( counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and ( counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and ( counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and ( counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and ( counter_out(0)))
);
res_bits <= (
((not counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and (not counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and (not counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and (not counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and (not counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and (not counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and (not counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and (not counter_out(0)))
);
sum_check <= ('0' & counter_out(15 downto 8)) + ('0' & counter_out(7 downto 0)) + (x"00" & (counter_out(16) and counter_out(17)));
sum_overflow_check <= (not (counter_out(15) xor counter_out(7))) and (counter_out(15) xor sum_check(7));
sum_zero_check <= not (sum_check(7) or sum_check(6) or sum_check(5) or sum_check(4) or sum_check(3) or sum_check(2) or sum_check(1) or sum_check(0));
half_sum_check <= ('0' & counter_out(11 downto 8)) + ('0' & counter_out(3 downto 0)) + (x"0" & (counter_out(16) and counter_out(17)));
subtract_check <= ('0' & counter_out(7 downto 0)) - ('0' & counter_out(15 downto 8)) - (x"00" & (counter_out(16) and counter_out(17) and counter_out(18) and (not counter_out(19))));
subtract_overflow_check <= (counter_out(7) xor counter_out(15)) and (counter_out(7) xor subtract_check(7));
subtract_zero_check <= not (subtract_check(7) or subtract_check(6) or subtract_check(5) or subtract_check(4) or subtract_check(3) or subtract_check(2) or subtract_check(1) or subtract_check(0));
half_difference_check <= ('0' & counter_out(3 downto 0)) - ('0' & counter_out(11 downto 8)) - (x"0" & (counter_out(16) and counter_out(17) and counter_out(18) and (not counter_out(19))));
and_check <= counter_out(15 downto 8) and counter_out(7 downto 0);
and_zero_check <= not (and_check(7) or and_check(6) or and_check(5) or and_check(4) or and_check(3) or and_check(2) or and_check(1) or and_check(0));
and_parity_check <= not (and_check(7) xor and_check(6) xor and_check(5) xor and_check(4) xor and_check(3) xor and_check(2) xor and_check(1) xor and_check(0));
xor_check <= counter_out(15 downto 8) xor counter_out(7 downto 0);
xor_zero_check <= not (xor_check(7) or xor_check(6) or xor_check(5) or xor_check(4) or xor_check(3) or xor_check(2) or xor_check(1) or xor_check(0));
xor_parity_check <= not (xor_check(7) xor xor_check(6) xor xor_check(5) xor xor_check(4) xor xor_check(3) xor xor_check(2) xor xor_check(1) xor xor_check(0));
or_check <= counter_out(15 downto 8) or counter_out(7 downto 0);
or_zero_check <= not (or_check(7) or or_check(6) or or_check(5) or or_check(4) or or_check(3) or or_check(2) or or_check(1) or or_check(0));
or_parity_check <= not (or_check(7) xor or_check(6) xor or_check(5) xor or_check(4) xor or_check(3) xor or_check(2) xor or_check(1) xor or_check(0));
rlc_check <= counter_out(6 downto 0) & counter_out(7);
rlc_zero_check <= not (rlc_check(7) or rlc_check(6) or rlc_check(5) or rlc_check(4) or rlc_check(3) or rlc_check(2) or rlc_check(1) or rlc_check(0));
rlc_parity_check <= not (rlc_check(7) xor rlc_check(6) xor rlc_check(5) xor rlc_check(4) xor rlc_check(3) xor rlc_check(2) xor rlc_check(1) xor rlc_check(0));
rrc_check <= counter_out(0) & counter_out(7 downto 1);
rrc_zero_check <= not (rrc_check(7) or rrc_check(6) or rrc_check(5) or rrc_check(4) or rrc_check(3) or rrc_check(2) or rrc_check(1) or rrc_check(0));
rrc_parity_check <= not (rrc_check(7) xor rrc_check(6) xor rrc_check(5) xor rrc_check(4) xor rrc_check(3) xor rrc_check(2) xor rrc_check(1) xor rrc_check(0));
rl_check <= counter_out(6 downto 0) & counter_out(16);
rl_zero_check <= not (rl_check(7) or rl_check(6) or rl_check(5) or rl_check(4) or rl_check(3) or rl_check(2) or rl_check(1) or rl_check(0));
rl_parity_check <= not (rl_check(7) xor rl_check(6) xor rl_check(5) xor rl_check(4) xor rl_check(3) xor rl_check(2) xor rl_check(1) xor rl_check(0));
rr_check <= counter_out(16) & counter_out(7 downto 1);
rr_zero_check <= not (rr_check(7) or rr_check(6) or rr_check(5) or rr_check(4) or rr_check(3) or rr_check(2) or rr_check(1) or rr_check(0));
rr_parity_check <= not (rr_check(7) xor rr_check(6) xor rr_check(5) xor rr_check(4) xor rr_check(3) xor rr_check(2) xor rr_check(1) xor rr_check(0));
cpl_check <= not counter_out(7 downto 0);
sla_check <= counter_out(6 downto 0) & '0';
sla_zero_check <= not (sla_check(7) or sla_check(6) or sla_check(5) or sla_check(4) or sla_check(3) or sla_check(2) or sla_check(1) or sla_check(0));
sla_parity_check <= not (sla_check(7) xor sla_check(6) xor sla_check(5) xor sla_check(4) xor sla_check(3) xor sla_check(2) xor sla_check(1) xor sla_check(0));
sra_check <= counter_out(7) & counter_out(7 downto 1);
sra_zero_check <= not (sra_check(7) or sra_check(6) or sra_check(5) or sra_check(4) or sra_check(3) or sra_check(2) or sra_check(1) or sra_check(0));
sra_parity_check <= not (sra_check(7) xor sra_check(6) xor sra_check(5) xor sra_check(4) xor sra_check(3) xor sra_check(2) xor sra_check(1) xor sra_check(0));
sll_check <= counter_out(6 downto 0) & '0';
sll_zero_check <= not (sll_check(7) or sll_check(6) or sll_check(5) or sll_check(4) or sll_check(3) or sll_check(2) or sll_check(1) or sll_check(0));
sll_parity_check <= not (sll_check(7) xor sll_check(6) xor sll_check(5) xor sll_check(4) xor sll_check(3) xor sll_check(2) xor sll_check(1) xor sll_check(0));
srl_check <= '0' & counter_out(7 downto 1);
srl_zero_check <= not (srl_check(7) or srl_check(6) or srl_check(5) or srl_check(4) or srl_check(3) or srl_check(2) or srl_check(1) or srl_check(0));
srl_parity_check <= not (srl_check(7) xor srl_check(6) xor srl_check(5) xor srl_check(4) xor srl_check(3) xor srl_check(2) xor srl_check(1) xor srl_check(0));
inrc_zero <= not (counter_out(7) or counter_out(6) or counter_out(5) or counter_out(4) or counter_out(3) or counter_out(2) or counter_out(1) or counter_out(0));
inrc_parity <= not (counter_out(7) xor counter_out(6) xor counter_out(5) xor counter_out(4) xor counter_out(3) xor counter_out(2) xor counter_out(1) xor counter_out(0));
primary_rld_check <= counter_out(7 downto 4) & counter_out(15 downto 12);
secondary_rld_check <= counter_out(11 downto 8) & counter_out(3 downto 0);
rld_zero_check <= not (primary_rld_check(7) or primary_rld_check(6) or primary_rld_check(5) or primary_rld_check(4) or primary_rld_check(3) or primary_rld_check(2) or primary_rld_check(1) or primary_rld_check(0));
rld_parity_check <= not (primary_rld_check(7) xor primary_rld_check(6) xor primary_rld_check(5) xor primary_rld_check(4) xor primary_rld_check(3) xor primary_rld_check(2) xor primary_rld_check(1) xor primary_rld_check(0));
primary_rrd_check <= counter_out(7 downto 4) & counter_out(11 downto 8);
secondary_rrd_check <= counter_out(3 downto 0) & counter_out(15 downto 12);
rrd_zero_check <= not (primary_rrd_check(7) or primary_rrd_check(6) or primary_rrd_check(5) or primary_rrd_check(4) or primary_rrd_check(3) or primary_rrd_check(2) or primary_rrd_check(1) or primary_rrd_check(0));
rrd_parity_check <= not (primary_rrd_check(7) xor primary_rrd_check(6) xor primary_rrd_check(5) xor primary_rrd_check(4) xor primary_rrd_check(3) xor primary_rrd_check(2) xor primary_rrd_check(1) xor primary_rrd_check(0));
bmtc_check <= counter_out(7 downto 0) or counter_out(15 downto 8);
bmtc_parity_check <= not (bmtc_check(7) or bmtc_check(6) or bmtc_check(5) or bmtc_check(4) or bmtc_check(3) or bmtc_check(2) or bmtc_check(1) or bmtc_check(0));
-- default states end here
next_state <= nine;
when nine =>
-- default states begin here
counter_state <= Disable;
counter_clock <= Disable;
test_bits <= (
(( counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and ( counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and ( counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and ( counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and ( counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and ( counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and ( counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and ( counter_out(0)))
);
res_bits <= (
((not counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and (not counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and (not counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and (not counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and (not counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and (not counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and (not counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and (not counter_out(0)))
);
sum_check <= ('0' & counter_out(15 downto 8)) + ('0' & counter_out(7 downto 0)) + (x"00" & (counter_out(16) and counter_out(17)));
sum_overflow_check <= (not (counter_out(15) xor counter_out(7))) and (counter_out(15) xor sum_check(7));
sum_zero_check <= not (sum_check(7) or sum_check(6) or sum_check(5) or sum_check(4) or sum_check(3) or sum_check(2) or sum_check(1) or sum_check(0));
half_sum_check <= ('0' & counter_out(11 downto 8)) + ('0' & counter_out(3 downto 0)) + (x"0" & (counter_out(16) and counter_out(17)));
subtract_check <= ('0' & counter_out(7 downto 0)) - ('0' & counter_out(15 downto 8)) - (x"00" & (counter_out(16) and counter_out(17) and counter_out(18) and (not counter_out(19))));
subtract_overflow_check <= (counter_out(7) xor counter_out(15)) and (counter_out(7) xor subtract_check(7));
subtract_zero_check <= not (subtract_check(7) or subtract_check(6) or subtract_check(5) or subtract_check(4) or subtract_check(3) or subtract_check(2) or subtract_check(1) or subtract_check(0));
half_difference_check <= ('0' & counter_out(3 downto 0)) - ('0' & counter_out(11 downto 8)) - (x"0" & (counter_out(16) and counter_out(17) and counter_out(18) and (not counter_out(19))));
and_check <= counter_out(15 downto 8) and counter_out(7 downto 0);
and_zero_check <= not (and_check(7) or and_check(6) or and_check(5) or and_check(4) or and_check(3) or and_check(2) or and_check(1) or and_check(0));
and_parity_check <= not (and_check(7) xor and_check(6) xor and_check(5) xor and_check(4) xor and_check(3) xor and_check(2) xor and_check(1) xor and_check(0));
xor_check <= counter_out(15 downto 8) xor counter_out(7 downto 0);
xor_zero_check <= not (xor_check(7) or xor_check(6) or xor_check(5) or xor_check(4) or xor_check(3) or xor_check(2) or xor_check(1) or xor_check(0));
xor_parity_check <= not (xor_check(7) xor xor_check(6) xor xor_check(5) xor xor_check(4) xor xor_check(3) xor xor_check(2) xor xor_check(1) xor xor_check(0));
or_check <= counter_out(15 downto 8) or counter_out(7 downto 0);
or_zero_check <= not (or_check(7) or or_check(6) or or_check(5) or or_check(4) or or_check(3) or or_check(2) or or_check(1) or or_check(0));
or_parity_check <= not (or_check(7) xor or_check(6) xor or_check(5) xor or_check(4) xor or_check(3) xor or_check(2) xor or_check(1) xor or_check(0));
rlc_check <= counter_out(6 downto 0) & counter_out(7);
rlc_zero_check <= not (rlc_check(7) or rlc_check(6) or rlc_check(5) or rlc_check(4) or rlc_check(3) or rlc_check(2) or rlc_check(1) or rlc_check(0));
rlc_parity_check <= not (rlc_check(7) xor rlc_check(6) xor rlc_check(5) xor rlc_check(4) xor rlc_check(3) xor rlc_check(2) xor rlc_check(1) xor rlc_check(0));
rrc_check <= counter_out(0) & counter_out(7 downto 1);
rrc_zero_check <= not (rrc_check(7) or rrc_check(6) or rrc_check(5) or rrc_check(4) or rrc_check(3) or rrc_check(2) or rrc_check(1) or rrc_check(0));
rrc_parity_check <= not (rrc_check(7) xor rrc_check(6) xor rrc_check(5) xor rrc_check(4) xor rrc_check(3) xor rrc_check(2) xor rrc_check(1) xor rrc_check(0));
rl_check <= counter_out(6 downto 0) & counter_out(16);
rl_zero_check <= not (rl_check(7) or rl_check(6) or rl_check(5) or rl_check(4) or rl_check(3) or rl_check(2) or rl_check(1) or rl_check(0));
rl_parity_check <= not (rl_check(7) xor rl_check(6) xor rl_check(5) xor rl_check(4) xor rl_check(3) xor rl_check(2) xor rl_check(1) xor rl_check(0));
rr_check <= counter_out(16) & counter_out(7 downto 1);
rr_zero_check <= not (rr_check(7) or rr_check(6) or rr_check(5) or rr_check(4) or rr_check(3) or rr_check(2) or rr_check(1) or rr_check(0));
rr_parity_check <= not (rr_check(7) xor rr_check(6) xor rr_check(5) xor rr_check(4) xor rr_check(3) xor rr_check(2) xor rr_check(1) xor rr_check(0));
cpl_check <= not counter_out(7 downto 0);
sla_check <= counter_out(6 downto 0) & '0';
sla_zero_check <= not (sla_check(7) or sla_check(6) or sla_check(5) or sla_check(4) or sla_check(3) or sla_check(2) or sla_check(1) or sla_check(0));
sla_parity_check <= not (sla_check(7) xor sla_check(6) xor sla_check(5) xor sla_check(4) xor sla_check(3) xor sla_check(2) xor sla_check(1) xor sla_check(0));
sra_check <= counter_out(7) & counter_out(7 downto 1);
sra_zero_check <= not (sra_check(7) or sra_check(6) or sra_check(5) or sra_check(4) or sra_check(3) or sra_check(2) or sra_check(1) or sra_check(0));
sra_parity_check <= not (sra_check(7) xor sra_check(6) xor sra_check(5) xor sra_check(4) xor sra_check(3) xor sra_check(2) xor sra_check(1) xor sra_check(0));
sll_check <= counter_out(6 downto 0) & '0';
sll_zero_check <= not (sll_check(7) or sll_check(6) or sll_check(5) or sll_check(4) or sll_check(3) or sll_check(2) or sll_check(1) or sll_check(0));
sll_parity_check <= not (sll_check(7) xor sll_check(6) xor sll_check(5) xor sll_check(4) xor sll_check(3) xor sll_check(2) xor sll_check(1) xor sll_check(0));
srl_check <= '0' & counter_out(7 downto 1);
srl_zero_check <= not (srl_check(7) or srl_check(6) or srl_check(5) or srl_check(4) or srl_check(3) or srl_check(2) or srl_check(1) or srl_check(0));
srl_parity_check <= not (srl_check(7) xor srl_check(6) xor srl_check(5) xor srl_check(4) xor srl_check(3) xor srl_check(2) xor srl_check(1) xor srl_check(0));
inrc_zero <= not (counter_out(7) or counter_out(6) or counter_out(5) or counter_out(4) or counter_out(3) or counter_out(2) or counter_out(1) or counter_out(0));
inrc_parity <= not (counter_out(7) xor counter_out(6) xor counter_out(5) xor counter_out(4) xor counter_out(3) xor counter_out(2) xor counter_out(1) xor counter_out(0));
primary_rld_check <= counter_out(7 downto 4) & counter_out(15 downto 12);
secondary_rld_check <= counter_out(11 downto 8) & counter_out(3 downto 0);
rld_zero_check <= not (primary_rld_check(7) or primary_rld_check(6) or primary_rld_check(5) or primary_rld_check(4) or primary_rld_check(3) or primary_rld_check(2) or primary_rld_check(1) or primary_rld_check(0));
rld_parity_check <= not (primary_rld_check(7) xor primary_rld_check(6) xor primary_rld_check(5) xor primary_rld_check(4) xor primary_rld_check(3) xor primary_rld_check(2) xor primary_rld_check(1) xor primary_rld_check(0));
primary_rrd_check <= counter_out(7 downto 4) & counter_out(11 downto 8);
secondary_rrd_check <= counter_out(3 downto 0) & counter_out(15 downto 12);
rrd_zero_check <= not (primary_rrd_check(7) or primary_rrd_check(6) or primary_rrd_check(5) or primary_rrd_check(4) or primary_rrd_check(3) or primary_rrd_check(2) or primary_rrd_check(1) or primary_rrd_check(0));
rrd_parity_check <= not (primary_rrd_check(7) xor primary_rrd_check(6) xor primary_rrd_check(5) xor primary_rrd_check(4) xor primary_rrd_check(3) xor primary_rrd_check(2) xor primary_rrd_check(1) xor primary_rrd_check(0));
bmtc_check <= counter_out(7 downto 0) or counter_out(15 downto 8);
bmtc_parity_check <= not (bmtc_check(7) or bmtc_check(6) or bmtc_check(5) or bmtc_check(4) or bmtc_check(3) or bmtc_check(2) or bmtc_check(1) or bmtc_check(0));
-- default states end here
next_state <= ten;
when ten =>
-- default states begin here
counter_state <= Disable;
counter_clock <= Disable;
test_bits <= (
(( counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and ( counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and ( counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and ( counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and ( counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and ( counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and ( counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and ( counter_out(0)))
);
res_bits <= (
((not counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and (not counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and (not counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and (not counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and (not counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and (not counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and (not counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and (not counter_out(0)))
);
sum_check <= ('0' & counter_out(15 downto 8)) + ('0' & counter_out(7 downto 0)) + (x"00" & (counter_out(16) and counter_out(17)));
sum_overflow_check <= (not (counter_out(15) xor counter_out(7))) and (counter_out(15) xor sum_check(7));
sum_zero_check <= not (sum_check(7) or sum_check(6) or sum_check(5) or sum_check(4) or sum_check(3) or sum_check(2) or sum_check(1) or sum_check(0));
half_sum_check <= ('0' & counter_out(11 downto 8)) + ('0' & counter_out(3 downto 0)) + (x"0" & (counter_out(16) and counter_out(17)));
subtract_check <= ('0' & counter_out(7 downto 0)) - ('0' & counter_out(15 downto 8)) - (x"00" & (counter_out(16) and counter_out(17) and counter_out(18) and (not counter_out(19))));
subtract_overflow_check <= (counter_out(7) xor counter_out(15)) and (counter_out(7) xor subtract_check(7));
subtract_zero_check <= not (subtract_check(7) or subtract_check(6) or subtract_check(5) or subtract_check(4) or subtract_check(3) or subtract_check(2) or subtract_check(1) or subtract_check(0));
half_difference_check <= ('0' & counter_out(3 downto 0)) - ('0' & counter_out(11 downto 8)) - (x"0" & (counter_out(16) and counter_out(17) and counter_out(18) and (not counter_out(19))));
and_check <= counter_out(15 downto 8) and counter_out(7 downto 0);
and_zero_check <= not (and_check(7) or and_check(6) or and_check(5) or and_check(4) or and_check(3) or and_check(2) or and_check(1) or and_check(0));
and_parity_check <= not (and_check(7) xor and_check(6) xor and_check(5) xor and_check(4) xor and_check(3) xor and_check(2) xor and_check(1) xor and_check(0));
xor_check <= counter_out(15 downto 8) xor counter_out(7 downto 0);
xor_zero_check <= not (xor_check(7) or xor_check(6) or xor_check(5) or xor_check(4) or xor_check(3) or xor_check(2) or xor_check(1) or xor_check(0));
xor_parity_check <= not (xor_check(7) xor xor_check(6) xor xor_check(5) xor xor_check(4) xor xor_check(3) xor xor_check(2) xor xor_check(1) xor xor_check(0));
or_check <= counter_out(15 downto 8) or counter_out(7 downto 0);
or_zero_check <= not (or_check(7) or or_check(6) or or_check(5) or or_check(4) or or_check(3) or or_check(2) or or_check(1) or or_check(0));
or_parity_check <= not (or_check(7) xor or_check(6) xor or_check(5) xor or_check(4) xor or_check(3) xor or_check(2) xor or_check(1) xor or_check(0));
rlc_check <= counter_out(6 downto 0) & counter_out(7);
rlc_zero_check <= not (rlc_check(7) or rlc_check(6) or rlc_check(5) or rlc_check(4) or rlc_check(3) or rlc_check(2) or rlc_check(1) or rlc_check(0));
rlc_parity_check <= not (rlc_check(7) xor rlc_check(6) xor rlc_check(5) xor rlc_check(4) xor rlc_check(3) xor rlc_check(2) xor rlc_check(1) xor rlc_check(0));
rrc_check <= counter_out(0) & counter_out(7 downto 1);
rrc_zero_check <= not (rrc_check(7) or rrc_check(6) or rrc_check(5) or rrc_check(4) or rrc_check(3) or rrc_check(2) or rrc_check(1) or rrc_check(0));
rrc_parity_check <= not (rrc_check(7) xor rrc_check(6) xor rrc_check(5) xor rrc_check(4) xor rrc_check(3) xor rrc_check(2) xor rrc_check(1) xor rrc_check(0));
rl_check <= counter_out(6 downto 0) & counter_out(16);
rl_zero_check <= not (rl_check(7) or rl_check(6) or rl_check(5) or rl_check(4) or rl_check(3) or rl_check(2) or rl_check(1) or rl_check(0));
rl_parity_check <= not (rl_check(7) xor rl_check(6) xor rl_check(5) xor rl_check(4) xor rl_check(3) xor rl_check(2) xor rl_check(1) xor rl_check(0));
rr_check <= counter_out(16) & counter_out(7 downto 1);
rr_zero_check <= not (rr_check(7) or rr_check(6) or rr_check(5) or rr_check(4) or rr_check(3) or rr_check(2) or rr_check(1) or rr_check(0));
rr_parity_check <= not (rr_check(7) xor rr_check(6) xor rr_check(5) xor rr_check(4) xor rr_check(3) xor rr_check(2) xor rr_check(1) xor rr_check(0));
cpl_check <= not counter_out(7 downto 0);
sla_check <= counter_out(6 downto 0) & '0';
sla_zero_check <= not (sla_check(7) or sla_check(6) or sla_check(5) or sla_check(4) or sla_check(3) or sla_check(2) or sla_check(1) or sla_check(0));
sla_parity_check <= not (sla_check(7) xor sla_check(6) xor sla_check(5) xor sla_check(4) xor sla_check(3) xor sla_check(2) xor sla_check(1) xor sla_check(0));
sra_check <= counter_out(7) & counter_out(7 downto 1);
sra_zero_check <= not (sra_check(7) or sra_check(6) or sra_check(5) or sra_check(4) or sra_check(3) or sra_check(2) or sra_check(1) or sra_check(0));
sra_parity_check <= not (sra_check(7) xor sra_check(6) xor sra_check(5) xor sra_check(4) xor sra_check(3) xor sra_check(2) xor sra_check(1) xor sra_check(0));
sll_check <= counter_out(6 downto 0) & '0';
sll_zero_check <= not (sll_check(7) or sll_check(6) or sll_check(5) or sll_check(4) or sll_check(3) or sll_check(2) or sll_check(1) or sll_check(0));
sll_parity_check <= not (sll_check(7) xor sll_check(6) xor sll_check(5) xor sll_check(4) xor sll_check(3) xor sll_check(2) xor sll_check(1) xor sll_check(0));
srl_check <= '0' & counter_out(7 downto 1);
srl_zero_check <= not (srl_check(7) or srl_check(6) or srl_check(5) or srl_check(4) or srl_check(3) or srl_check(2) or srl_check(1) or srl_check(0));
srl_parity_check <= not (srl_check(7) xor srl_check(6) xor srl_check(5) xor srl_check(4) xor srl_check(3) xor srl_check(2) xor srl_check(1) xor srl_check(0));
inrc_zero <= not (counter_out(7) or counter_out(6) or counter_out(5) or counter_out(4) or counter_out(3) or counter_out(2) or counter_out(1) or counter_out(0));
inrc_parity <= not (counter_out(7) xor counter_out(6) xor counter_out(5) xor counter_out(4) xor counter_out(3) xor counter_out(2) xor counter_out(1) xor counter_out(0));
primary_rld_check <= counter_out(7 downto 4) & counter_out(15 downto 12);
secondary_rld_check <= counter_out(11 downto 8) & counter_out(3 downto 0);
rld_zero_check <= not (primary_rld_check(7) or primary_rld_check(6) or primary_rld_check(5) or primary_rld_check(4) or primary_rld_check(3) or primary_rld_check(2) or primary_rld_check(1) or primary_rld_check(0));
rld_parity_check <= not (primary_rld_check(7) xor primary_rld_check(6) xor primary_rld_check(5) xor primary_rld_check(4) xor primary_rld_check(3) xor primary_rld_check(2) xor primary_rld_check(1) xor primary_rld_check(0));
primary_rrd_check <= counter_out(7 downto 4) & counter_out(11 downto 8);
secondary_rrd_check <= counter_out(3 downto 0) & counter_out(15 downto 12);
rrd_zero_check <= not (primary_rrd_check(7) or primary_rrd_check(6) or primary_rrd_check(5) or primary_rrd_check(4) or primary_rrd_check(3) or primary_rrd_check(2) or primary_rrd_check(1) or primary_rrd_check(0));
rrd_parity_check <= not (primary_rrd_check(7) xor primary_rrd_check(6) xor primary_rrd_check(5) xor primary_rrd_check(4) xor primary_rrd_check(3) xor primary_rrd_check(2) xor primary_rrd_check(1) xor primary_rrd_check(0));
bmtc_check <= counter_out(7 downto 0) or counter_out(15 downto 8);
bmtc_parity_check <= not (bmtc_check(7) or bmtc_check(6) or bmtc_check(5) or bmtc_check(4) or bmtc_check(3) or bmtc_check(2) or bmtc_check(1) or bmtc_check(0));
-- default states end here
next_state <= eleven;
when eleven =>
-- default states begin here
counter_state <= Disable;
counter_clock <= Disable;
test_bits <= (
(( counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and ( counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and ( counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and ( counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and ( counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and ( counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and ( counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and ( counter_out(0)))
);
res_bits <= (
((not counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and (not counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and (not counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and (not counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and (not counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and (not counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and (not counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and (not counter_out(0)))
);
sum_check <= ('0' & counter_out(15 downto 8)) + ('0' & counter_out(7 downto 0)) + (x"00" & (counter_out(16) and counter_out(17)));
sum_overflow_check <= (not (counter_out(15) xor counter_out(7))) and (counter_out(15) xor sum_check(7));
sum_zero_check <= not (sum_check(7) or sum_check(6) or sum_check(5) or sum_check(4) or sum_check(3) or sum_check(2) or sum_check(1) or sum_check(0));
half_sum_check <= ('0' & counter_out(11 downto 8)) + ('0' & counter_out(3 downto 0)) + (x"0" & (counter_out(16) and counter_out(17)));
subtract_check <= ('0' & counter_out(7 downto 0)) - ('0' & counter_out(15 downto 8)) - (x"00" & (counter_out(16) and counter_out(17) and counter_out(18) and (not counter_out(19))));
subtract_overflow_check <= (counter_out(7) xor counter_out(15)) and (counter_out(7) xor subtract_check(7));
subtract_zero_check <= not (subtract_check(7) or subtract_check(6) or subtract_check(5) or subtract_check(4) or subtract_check(3) or subtract_check(2) or subtract_check(1) or subtract_check(0));
half_difference_check <= ('0' & counter_out(3 downto 0)) - ('0' & counter_out(11 downto 8)) - (x"0" & (counter_out(16) and counter_out(17) and counter_out(18) and (not counter_out(19))));
and_check <= counter_out(15 downto 8) and counter_out(7 downto 0);
and_zero_check <= not (and_check(7) or and_check(6) or and_check(5) or and_check(4) or and_check(3) or and_check(2) or and_check(1) or and_check(0));
and_parity_check <= not (and_check(7) xor and_check(6) xor and_check(5) xor and_check(4) xor and_check(3) xor and_check(2) xor and_check(1) xor and_check(0));
xor_check <= counter_out(15 downto 8) xor counter_out(7 downto 0);
xor_zero_check <= not (xor_check(7) or xor_check(6) or xor_check(5) or xor_check(4) or xor_check(3) or xor_check(2) or xor_check(1) or xor_check(0));
xor_parity_check <= not (xor_check(7) xor xor_check(6) xor xor_check(5) xor xor_check(4) xor xor_check(3) xor xor_check(2) xor xor_check(1) xor xor_check(0));
or_check <= counter_out(15 downto 8) or counter_out(7 downto 0);
or_zero_check <= not (or_check(7) or or_check(6) or or_check(5) or or_check(4) or or_check(3) or or_check(2) or or_check(1) or or_check(0));
or_parity_check <= not (or_check(7) xor or_check(6) xor or_check(5) xor or_check(4) xor or_check(3) xor or_check(2) xor or_check(1) xor or_check(0));
rlc_check <= counter_out(6 downto 0) & counter_out(7);
rlc_zero_check <= not (rlc_check(7) or rlc_check(6) or rlc_check(5) or rlc_check(4) or rlc_check(3) or rlc_check(2) or rlc_check(1) or rlc_check(0));
rlc_parity_check <= not (rlc_check(7) xor rlc_check(6) xor rlc_check(5) xor rlc_check(4) xor rlc_check(3) xor rlc_check(2) xor rlc_check(1) xor rlc_check(0));
rrc_check <= counter_out(0) & counter_out(7 downto 1);
rrc_zero_check <= not (rrc_check(7) or rrc_check(6) or rrc_check(5) or rrc_check(4) or rrc_check(3) or rrc_check(2) or rrc_check(1) or rrc_check(0));
rrc_parity_check <= not (rrc_check(7) xor rrc_check(6) xor rrc_check(5) xor rrc_check(4) xor rrc_check(3) xor rrc_check(2) xor rrc_check(1) xor rrc_check(0));
rl_check <= counter_out(6 downto 0) & counter_out(16);
rl_zero_check <= not (rl_check(7) or rl_check(6) or rl_check(5) or rl_check(4) or rl_check(3) or rl_check(2) or rl_check(1) or rl_check(0));
rl_parity_check <= not (rl_check(7) xor rl_check(6) xor rl_check(5) xor rl_check(4) xor rl_check(3) xor rl_check(2) xor rl_check(1) xor rl_check(0));
rr_check <= counter_out(16) & counter_out(7 downto 1);
rr_zero_check <= not (rr_check(7) or rr_check(6) or rr_check(5) or rr_check(4) or rr_check(3) or rr_check(2) or rr_check(1) or rr_check(0));
rr_parity_check <= not (rr_check(7) xor rr_check(6) xor rr_check(5) xor rr_check(4) xor rr_check(3) xor rr_check(2) xor rr_check(1) xor rr_check(0));
cpl_check <= not counter_out(7 downto 0);
sla_check <= counter_out(6 downto 0) & '0';
sla_zero_check <= not (sla_check(7) or sla_check(6) or sla_check(5) or sla_check(4) or sla_check(3) or sla_check(2) or sla_check(1) or sla_check(0));
sla_parity_check <= not (sla_check(7) xor sla_check(6) xor sla_check(5) xor sla_check(4) xor sla_check(3) xor sla_check(2) xor sla_check(1) xor sla_check(0));
sra_check <= counter_out(7) & counter_out(7 downto 1);
sra_zero_check <= not (sra_check(7) or sra_check(6) or sra_check(5) or sra_check(4) or sra_check(3) or sra_check(2) or sra_check(1) or sra_check(0));
sra_parity_check <= not (sra_check(7) xor sra_check(6) xor sra_check(5) xor sra_check(4) xor sra_check(3) xor sra_check(2) xor sra_check(1) xor sra_check(0));
sll_check <= counter_out(6 downto 0) & '0';
sll_zero_check <= not (sll_check(7) or sll_check(6) or sll_check(5) or sll_check(4) or sll_check(3) or sll_check(2) or sll_check(1) or sll_check(0));
sll_parity_check <= not (sll_check(7) xor sll_check(6) xor sll_check(5) xor sll_check(4) xor sll_check(3) xor sll_check(2) xor sll_check(1) xor sll_check(0));
srl_check <= '0' & counter_out(7 downto 1);
srl_zero_check <= not (srl_check(7) or srl_check(6) or srl_check(5) or srl_check(4) or srl_check(3) or srl_check(2) or srl_check(1) or srl_check(0));
srl_parity_check <= not (srl_check(7) xor srl_check(6) xor srl_check(5) xor srl_check(4) xor srl_check(3) xor srl_check(2) xor srl_check(1) xor srl_check(0));
inrc_zero <= not (counter_out(7) or counter_out(6) or counter_out(5) or counter_out(4) or counter_out(3) or counter_out(2) or counter_out(1) or counter_out(0));
inrc_parity <= not (counter_out(7) xor counter_out(6) xor counter_out(5) xor counter_out(4) xor counter_out(3) xor counter_out(2) xor counter_out(1) xor counter_out(0));
primary_rld_check <= counter_out(7 downto 4) & counter_out(15 downto 12);
secondary_rld_check <= counter_out(11 downto 8) & counter_out(3 downto 0);
rld_zero_check <= not (primary_rld_check(7) or primary_rld_check(6) or primary_rld_check(5) or primary_rld_check(4) or primary_rld_check(3) or primary_rld_check(2) or primary_rld_check(1) or primary_rld_check(0));
rld_parity_check <= not (primary_rld_check(7) xor primary_rld_check(6) xor primary_rld_check(5) xor primary_rld_check(4) xor primary_rld_check(3) xor primary_rld_check(2) xor primary_rld_check(1) xor primary_rld_check(0));
primary_rrd_check <= counter_out(7 downto 4) & counter_out(11 downto 8);
secondary_rrd_check <= counter_out(3 downto 0) & counter_out(15 downto 12);
rrd_zero_check <= not (primary_rrd_check(7) or primary_rrd_check(6) or primary_rrd_check(5) or primary_rrd_check(4) or primary_rrd_check(3) or primary_rrd_check(2) or primary_rrd_check(1) or primary_rrd_check(0));
rrd_parity_check <= not (primary_rrd_check(7) xor primary_rrd_check(6) xor primary_rrd_check(5) xor primary_rrd_check(4) xor primary_rrd_check(3) xor primary_rrd_check(2) xor primary_rrd_check(1) xor primary_rrd_check(0));
bmtc_check <= counter_out(7 downto 0) or counter_out(15 downto 8);
bmtc_parity_check <= not (bmtc_check(7) or bmtc_check(6) or bmtc_check(5) or bmtc_check(4) or bmtc_check(3) or bmtc_check(2) or bmtc_check(1) or bmtc_check(0));
-- default states end here
next_state <= twelve;
when twelve =>
-- default states begin here
counter_state <= Disable;
counter_clock <= Disable;
test_bits <= (
(( counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and ( counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and ( counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and ( counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and ( counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and ( counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and ( counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and ( counter_out(0)))
);
res_bits <= (
((not counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and (not counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and (not counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and (not counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and (not counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and (not counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and (not counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and (not counter_out(0)))
);
sum_check <= ('0' & counter_out(15 downto 8)) + ('0' & counter_out(7 downto 0)) + (x"00" & (counter_out(16) and counter_out(17)));
sum_overflow_check <= (not (counter_out(15) xor counter_out(7))) and (counter_out(15) xor sum_check(7));
sum_zero_check <= not (sum_check(7) or sum_check(6) or sum_check(5) or sum_check(4) or sum_check(3) or sum_check(2) or sum_check(1) or sum_check(0));
half_sum_check <= ('0' & counter_out(11 downto 8)) + ('0' & counter_out(3 downto 0)) + (x"0" & (counter_out(16) and counter_out(17)));
subtract_check <= ('0' & counter_out(7 downto 0)) - ('0' & counter_out(15 downto 8)) - (x"00" & (counter_out(16) and counter_out(17) and counter_out(18) and (not counter_out(19))));
subtract_overflow_check <= (counter_out(7) xor counter_out(15)) and (counter_out(7) xor subtract_check(7));
subtract_zero_check <= not (subtract_check(7) or subtract_check(6) or subtract_check(5) or subtract_check(4) or subtract_check(3) or subtract_check(2) or subtract_check(1) or subtract_check(0));
half_difference_check <= ('0' & counter_out(3 downto 0)) - ('0' & counter_out(11 downto 8)) - (x"0" & (counter_out(16) and counter_out(17) and counter_out(18) and (not counter_out(19))));
and_check <= counter_out(15 downto 8) and counter_out(7 downto 0);
and_zero_check <= not (and_check(7) or and_check(6) or and_check(5) or and_check(4) or and_check(3) or and_check(2) or and_check(1) or and_check(0));
and_parity_check <= not (and_check(7) xor and_check(6) xor and_check(5) xor and_check(4) xor and_check(3) xor and_check(2) xor and_check(1) xor and_check(0));
xor_check <= counter_out(15 downto 8) xor counter_out(7 downto 0);
xor_zero_check <= not (xor_check(7) or xor_check(6) or xor_check(5) or xor_check(4) or xor_check(3) or xor_check(2) or xor_check(1) or xor_check(0));
xor_parity_check <= not (xor_check(7) xor xor_check(6) xor xor_check(5) xor xor_check(4) xor xor_check(3) xor xor_check(2) xor xor_check(1) xor xor_check(0));
or_check <= counter_out(15 downto 8) or counter_out(7 downto 0);
or_zero_check <= not (or_check(7) or or_check(6) or or_check(5) or or_check(4) or or_check(3) or or_check(2) or or_check(1) or or_check(0));
or_parity_check <= not (or_check(7) xor or_check(6) xor or_check(5) xor or_check(4) xor or_check(3) xor or_check(2) xor or_check(1) xor or_check(0));
rlc_check <= counter_out(6 downto 0) & counter_out(7);
rlc_zero_check <= not (rlc_check(7) or rlc_check(6) or rlc_check(5) or rlc_check(4) or rlc_check(3) or rlc_check(2) or rlc_check(1) or rlc_check(0));
rlc_parity_check <= not (rlc_check(7) xor rlc_check(6) xor rlc_check(5) xor rlc_check(4) xor rlc_check(3) xor rlc_check(2) xor rlc_check(1) xor rlc_check(0));
rrc_check <= counter_out(0) & counter_out(7 downto 1);
rrc_zero_check <= not (rrc_check(7) or rrc_check(6) or rrc_check(5) or rrc_check(4) or rrc_check(3) or rrc_check(2) or rrc_check(1) or rrc_check(0));
rrc_parity_check <= not (rrc_check(7) xor rrc_check(6) xor rrc_check(5) xor rrc_check(4) xor rrc_check(3) xor rrc_check(2) xor rrc_check(1) xor rrc_check(0));
rl_check <= counter_out(6 downto 0) & counter_out(16);
rl_zero_check <= not (rl_check(7) or rl_check(6) or rl_check(5) or rl_check(4) or rl_check(3) or rl_check(2) or rl_check(1) or rl_check(0));
rl_parity_check <= not (rl_check(7) xor rl_check(6) xor rl_check(5) xor rl_check(4) xor rl_check(3) xor rl_check(2) xor rl_check(1) xor rl_check(0));
rr_check <= counter_out(16) & counter_out(7 downto 1);
rr_zero_check <= not (rr_check(7) or rr_check(6) or rr_check(5) or rr_check(4) or rr_check(3) or rr_check(2) or rr_check(1) or rr_check(0));
rr_parity_check <= not (rr_check(7) xor rr_check(6) xor rr_check(5) xor rr_check(4) xor rr_check(3) xor rr_check(2) xor rr_check(1) xor rr_check(0));
cpl_check <= not counter_out(7 downto 0);
sla_check <= counter_out(6 downto 0) & '0';
sla_zero_check <= not (sla_check(7) or sla_check(6) or sla_check(5) or sla_check(4) or sla_check(3) or sla_check(2) or sla_check(1) or sla_check(0));
sla_parity_check <= not (sla_check(7) xor sla_check(6) xor sla_check(5) xor sla_check(4) xor sla_check(3) xor sla_check(2) xor sla_check(1) xor sla_check(0));
sra_check <= counter_out(7) & counter_out(7 downto 1);
sra_zero_check <= not (sra_check(7) or sra_check(6) or sra_check(5) or sra_check(4) or sra_check(3) or sra_check(2) or sra_check(1) or sra_check(0));
sra_parity_check <= not (sra_check(7) xor sra_check(6) xor sra_check(5) xor sra_check(4) xor sra_check(3) xor sra_check(2) xor sra_check(1) xor sra_check(0));
sll_check <= counter_out(6 downto 0) & '0';
sll_zero_check <= not (sll_check(7) or sll_check(6) or sll_check(5) or sll_check(4) or sll_check(3) or sll_check(2) or sll_check(1) or sll_check(0));
sll_parity_check <= not (sll_check(7) xor sll_check(6) xor sll_check(5) xor sll_check(4) xor sll_check(3) xor sll_check(2) xor sll_check(1) xor sll_check(0));
srl_check <= '0' & counter_out(7 downto 1);
srl_zero_check <= not (srl_check(7) or srl_check(6) or srl_check(5) or srl_check(4) or srl_check(3) or srl_check(2) or srl_check(1) or srl_check(0));
srl_parity_check <= not (srl_check(7) xor srl_check(6) xor srl_check(5) xor srl_check(4) xor srl_check(3) xor srl_check(2) xor srl_check(1) xor srl_check(0));
inrc_zero <= not (counter_out(7) or counter_out(6) or counter_out(5) or counter_out(4) or counter_out(3) or counter_out(2) or counter_out(1) or counter_out(0));
inrc_parity <= not (counter_out(7) xor counter_out(6) xor counter_out(5) xor counter_out(4) xor counter_out(3) xor counter_out(2) xor counter_out(1) xor counter_out(0));
primary_rld_check <= counter_out(7 downto 4) & counter_out(15 downto 12);
secondary_rld_check <= counter_out(11 downto 8) & counter_out(3 downto 0);
rld_zero_check <= not (primary_rld_check(7) or primary_rld_check(6) or primary_rld_check(5) or primary_rld_check(4) or primary_rld_check(3) or primary_rld_check(2) or primary_rld_check(1) or primary_rld_check(0));
rld_parity_check <= not (primary_rld_check(7) xor primary_rld_check(6) xor primary_rld_check(5) xor primary_rld_check(4) xor primary_rld_check(3) xor primary_rld_check(2) xor primary_rld_check(1) xor primary_rld_check(0));
primary_rrd_check <= counter_out(7 downto 4) & counter_out(11 downto 8);
secondary_rrd_check <= counter_out(3 downto 0) & counter_out(15 downto 12);
rrd_zero_check <= not (primary_rrd_check(7) or primary_rrd_check(6) or primary_rrd_check(5) or primary_rrd_check(4) or primary_rrd_check(3) or primary_rrd_check(2) or primary_rrd_check(1) or primary_rrd_check(0));
rrd_parity_check <= not (primary_rrd_check(7) xor primary_rrd_check(6) xor primary_rrd_check(5) xor primary_rrd_check(4) xor primary_rrd_check(3) xor primary_rrd_check(2) xor primary_rrd_check(1) xor primary_rrd_check(0));
bmtc_check <= counter_out(7 downto 0) or counter_out(15 downto 8);
bmtc_parity_check <= not (bmtc_check(7) or bmtc_check(6) or bmtc_check(5) or bmtc_check(4) or bmtc_check(3) or bmtc_check(2) or bmtc_check(1) or bmtc_check(0));
-- default states end here
next_state <= thirteen;
when thirteen =>
-- default states begin here
counter_state <= Disable;
counter_clock <= Disable;
test_bits <= (
(( counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and ( counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and ( counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and ( counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and ( counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and ( counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and ( counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and ( counter_out(0)))
);
res_bits <= (
((not counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and (not counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and (not counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and (not counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and (not counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and (not counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and (not counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and (not counter_out(0)))
);
sum_check <= ('0' & counter_out(15 downto 8)) + ('0' & counter_out(7 downto 0)) + (x"00" & (counter_out(16) and counter_out(17)));
sum_overflow_check <= (not (counter_out(15) xor counter_out(7))) and (counter_out(15) xor sum_check(7));
sum_zero_check <= not (sum_check(7) or sum_check(6) or sum_check(5) or sum_check(4) or sum_check(3) or sum_check(2) or sum_check(1) or sum_check(0));
half_sum_check <= ('0' & counter_out(11 downto 8)) + ('0' & counter_out(3 downto 0)) + (x"0" & (counter_out(16) and counter_out(17)));
subtract_check <= ('0' & counter_out(7 downto 0)) - ('0' & counter_out(15 downto 8)) - (x"00" & (counter_out(16) and counter_out(17) and counter_out(18) and (not counter_out(19))));
subtract_overflow_check <= (counter_out(7) xor counter_out(15)) and (counter_out(7) xor subtract_check(7));
subtract_zero_check <= not (subtract_check(7) or subtract_check(6) or subtract_check(5) or subtract_check(4) or subtract_check(3) or subtract_check(2) or subtract_check(1) or subtract_check(0));
half_difference_check <= ('0' & counter_out(3 downto 0)) - ('0' & counter_out(11 downto 8)) - (x"0" & (counter_out(16) and counter_out(17) and counter_out(18) and (not counter_out(19))));
and_check <= counter_out(15 downto 8) and counter_out(7 downto 0);
and_zero_check <= not (and_check(7) or and_check(6) or and_check(5) or and_check(4) or and_check(3) or and_check(2) or and_check(1) or and_check(0));
and_parity_check <= not (and_check(7) xor and_check(6) xor and_check(5) xor and_check(4) xor and_check(3) xor and_check(2) xor and_check(1) xor and_check(0));
xor_check <= counter_out(15 downto 8) xor counter_out(7 downto 0);
xor_zero_check <= not (xor_check(7) or xor_check(6) or xor_check(5) or xor_check(4) or xor_check(3) or xor_check(2) or xor_check(1) or xor_check(0));
xor_parity_check <= not (xor_check(7) xor xor_check(6) xor xor_check(5) xor xor_check(4) xor xor_check(3) xor xor_check(2) xor xor_check(1) xor xor_check(0));
or_check <= counter_out(15 downto 8) or counter_out(7 downto 0);
or_zero_check <= not (or_check(7) or or_check(6) or or_check(5) or or_check(4) or or_check(3) or or_check(2) or or_check(1) or or_check(0));
or_parity_check <= not (or_check(7) xor or_check(6) xor or_check(5) xor or_check(4) xor or_check(3) xor or_check(2) xor or_check(1) xor or_check(0));
rlc_check <= counter_out(6 downto 0) & counter_out(7);
rlc_zero_check <= not (rlc_check(7) or rlc_check(6) or rlc_check(5) or rlc_check(4) or rlc_check(3) or rlc_check(2) or rlc_check(1) or rlc_check(0));
rlc_parity_check <= not (rlc_check(7) xor rlc_check(6) xor rlc_check(5) xor rlc_check(4) xor rlc_check(3) xor rlc_check(2) xor rlc_check(1) xor rlc_check(0));
rrc_check <= counter_out(0) & counter_out(7 downto 1);
rrc_zero_check <= not (rrc_check(7) or rrc_check(6) or rrc_check(5) or rrc_check(4) or rrc_check(3) or rrc_check(2) or rrc_check(1) or rrc_check(0));
rrc_parity_check <= not (rrc_check(7) xor rrc_check(6) xor rrc_check(5) xor rrc_check(4) xor rrc_check(3) xor rrc_check(2) xor rrc_check(1) xor rrc_check(0));
rl_check <= counter_out(6 downto 0) & counter_out(16);
rl_zero_check <= not (rl_check(7) or rl_check(6) or rl_check(5) or rl_check(4) or rl_check(3) or rl_check(2) or rl_check(1) or rl_check(0));
rl_parity_check <= not (rl_check(7) xor rl_check(6) xor rl_check(5) xor rl_check(4) xor rl_check(3) xor rl_check(2) xor rl_check(1) xor rl_check(0));
rr_check <= counter_out(16) & counter_out(7 downto 1);
rr_zero_check <= not (rr_check(7) or rr_check(6) or rr_check(5) or rr_check(4) or rr_check(3) or rr_check(2) or rr_check(1) or rr_check(0));
rr_parity_check <= not (rr_check(7) xor rr_check(6) xor rr_check(5) xor rr_check(4) xor rr_check(3) xor rr_check(2) xor rr_check(1) xor rr_check(0));
cpl_check <= not counter_out(7 downto 0);
sla_check <= counter_out(6 downto 0) & '0';
sla_zero_check <= not (sla_check(7) or sla_check(6) or sla_check(5) or sla_check(4) or sla_check(3) or sla_check(2) or sla_check(1) or sla_check(0));
sla_parity_check <= not (sla_check(7) xor sla_check(6) xor sla_check(5) xor sla_check(4) xor sla_check(3) xor sla_check(2) xor sla_check(1) xor sla_check(0));
sra_check <= counter_out(7) & counter_out(7 downto 1);
sra_zero_check <= not (sra_check(7) or sra_check(6) or sra_check(5) or sra_check(4) or sra_check(3) or sra_check(2) or sra_check(1) or sra_check(0));
sra_parity_check <= not (sra_check(7) xor sra_check(6) xor sra_check(5) xor sra_check(4) xor sra_check(3) xor sra_check(2) xor sra_check(1) xor sra_check(0));
sll_check <= counter_out(6 downto 0) & '0';
sll_zero_check <= not (sll_check(7) or sll_check(6) or sll_check(5) or sll_check(4) or sll_check(3) or sll_check(2) or sll_check(1) or sll_check(0));
sll_parity_check <= not (sll_check(7) xor sll_check(6) xor sll_check(5) xor sll_check(4) xor sll_check(3) xor sll_check(2) xor sll_check(1) xor sll_check(0));
srl_check <= '0' & counter_out(7 downto 1);
srl_zero_check <= not (srl_check(7) or srl_check(6) or srl_check(5) or srl_check(4) or srl_check(3) or srl_check(2) or srl_check(1) or srl_check(0));
srl_parity_check <= not (srl_check(7) xor srl_check(6) xor srl_check(5) xor srl_check(4) xor srl_check(3) xor srl_check(2) xor srl_check(1) xor srl_check(0));
inrc_zero <= not (counter_out(7) or counter_out(6) or counter_out(5) or counter_out(4) or counter_out(3) or counter_out(2) or counter_out(1) or counter_out(0));
inrc_parity <= not (counter_out(7) xor counter_out(6) xor counter_out(5) xor counter_out(4) xor counter_out(3) xor counter_out(2) xor counter_out(1) xor counter_out(0));
primary_rld_check <= counter_out(7 downto 4) & counter_out(15 downto 12);
secondary_rld_check <= counter_out(11 downto 8) & counter_out(3 downto 0);
rld_zero_check <= not (primary_rld_check(7) or primary_rld_check(6) or primary_rld_check(5) or primary_rld_check(4) or primary_rld_check(3) or primary_rld_check(2) or primary_rld_check(1) or primary_rld_check(0));
rld_parity_check <= not (primary_rld_check(7) xor primary_rld_check(6) xor primary_rld_check(5) xor primary_rld_check(4) xor primary_rld_check(3) xor primary_rld_check(2) xor primary_rld_check(1) xor primary_rld_check(0));
primary_rrd_check <= counter_out(7 downto 4) & counter_out(11 downto 8);
secondary_rrd_check <= counter_out(3 downto 0) & counter_out(15 downto 12);
rrd_zero_check <= not (primary_rrd_check(7) or primary_rrd_check(6) or primary_rrd_check(5) or primary_rrd_check(4) or primary_rrd_check(3) or primary_rrd_check(2) or primary_rrd_check(1) or primary_rrd_check(0));
rrd_parity_check <= not (primary_rrd_check(7) xor primary_rrd_check(6) xor primary_rrd_check(5) xor primary_rrd_check(4) xor primary_rrd_check(3) xor primary_rrd_check(2) xor primary_rrd_check(1) xor primary_rrd_check(0));
bmtc_check <= counter_out(7 downto 0) or counter_out(15 downto 8);
bmtc_parity_check <= not (bmtc_check(7) or bmtc_check(6) or bmtc_check(5) or bmtc_check(4) or bmtc_check(3) or bmtc_check(2) or bmtc_check(1) or bmtc_check(0));
-- default states end here
next_state <= fourteen;
when fourteen =>
-- default states begin here
counter_state <= Disable;
counter_clock <= Disable;
test_bits <= (
(( counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and ( counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and ( counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and ( counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and ( counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and ( counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and ( counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and ( counter_out(0)))
);
res_bits <= (
((not counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and (not counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and (not counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and (not counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and (not counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and (not counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and (not counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and (not counter_out(0)))
);
sum_check <= ('0' & counter_out(15 downto 8)) + ('0' & counter_out(7 downto 0)) + (x"00" & (counter_out(16) and counter_out(17)));
sum_overflow_check <= (not (counter_out(15) xor counter_out(7))) and (counter_out(15) xor sum_check(7));
sum_zero_check <= not (sum_check(7) or sum_check(6) or sum_check(5) or sum_check(4) or sum_check(3) or sum_check(2) or sum_check(1) or sum_check(0));
half_sum_check <= ('0' & counter_out(11 downto 8)) + ('0' & counter_out(3 downto 0)) + (x"0" & (counter_out(16) and counter_out(17)));
subtract_check <= ('0' & counter_out(7 downto 0)) - ('0' & counter_out(15 downto 8)) - (x"00" & (counter_out(16) and counter_out(17) and counter_out(18) and (not counter_out(19))));
subtract_overflow_check <= (counter_out(7) xor counter_out(15)) and (counter_out(7) xor subtract_check(7));
subtract_zero_check <= not (subtract_check(7) or subtract_check(6) or subtract_check(5) or subtract_check(4) or subtract_check(3) or subtract_check(2) or subtract_check(1) or subtract_check(0));
half_difference_check <= ('0' & counter_out(3 downto 0)) - ('0' & counter_out(11 downto 8)) - (x"0" & (counter_out(16) and counter_out(17) and counter_out(18) and (not counter_out(19))));
and_check <= counter_out(15 downto 8) and counter_out(7 downto 0);
and_zero_check <= not (and_check(7) or and_check(6) or and_check(5) or and_check(4) or and_check(3) or and_check(2) or and_check(1) or and_check(0));
and_parity_check <= not (and_check(7) xor and_check(6) xor and_check(5) xor and_check(4) xor and_check(3) xor and_check(2) xor and_check(1) xor and_check(0));
xor_check <= counter_out(15 downto 8) xor counter_out(7 downto 0);
xor_zero_check <= not (xor_check(7) or xor_check(6) or xor_check(5) or xor_check(4) or xor_check(3) or xor_check(2) or xor_check(1) or xor_check(0));
xor_parity_check <= not (xor_check(7) xor xor_check(6) xor xor_check(5) xor xor_check(4) xor xor_check(3) xor xor_check(2) xor xor_check(1) xor xor_check(0));
or_check <= counter_out(15 downto 8) or counter_out(7 downto 0);
or_zero_check <= not (or_check(7) or or_check(6) or or_check(5) or or_check(4) or or_check(3) or or_check(2) or or_check(1) or or_check(0));
or_parity_check <= not (or_check(7) xor or_check(6) xor or_check(5) xor or_check(4) xor or_check(3) xor or_check(2) xor or_check(1) xor or_check(0));
rlc_check <= counter_out(6 downto 0) & counter_out(7);
rlc_zero_check <= not (rlc_check(7) or rlc_check(6) or rlc_check(5) or rlc_check(4) or rlc_check(3) or rlc_check(2) or rlc_check(1) or rlc_check(0));
rlc_parity_check <= not (rlc_check(7) xor rlc_check(6) xor rlc_check(5) xor rlc_check(4) xor rlc_check(3) xor rlc_check(2) xor rlc_check(1) xor rlc_check(0));
rrc_check <= counter_out(0) & counter_out(7 downto 1);
rrc_zero_check <= not (rrc_check(7) or rrc_check(6) or rrc_check(5) or rrc_check(4) or rrc_check(3) or rrc_check(2) or rrc_check(1) or rrc_check(0));
rrc_parity_check <= not (rrc_check(7) xor rrc_check(6) xor rrc_check(5) xor rrc_check(4) xor rrc_check(3) xor rrc_check(2) xor rrc_check(1) xor rrc_check(0));
rl_check <= counter_out(6 downto 0) & counter_out(16);
rl_zero_check <= not (rl_check(7) or rl_check(6) or rl_check(5) or rl_check(4) or rl_check(3) or rl_check(2) or rl_check(1) or rl_check(0));
rl_parity_check <= not (rl_check(7) xor rl_check(6) xor rl_check(5) xor rl_check(4) xor rl_check(3) xor rl_check(2) xor rl_check(1) xor rl_check(0));
rr_check <= counter_out(16) & counter_out(7 downto 1);
rr_zero_check <= not (rr_check(7) or rr_check(6) or rr_check(5) or rr_check(4) or rr_check(3) or rr_check(2) or rr_check(1) or rr_check(0));
rr_parity_check <= not (rr_check(7) xor rr_check(6) xor rr_check(5) xor rr_check(4) xor rr_check(3) xor rr_check(2) xor rr_check(1) xor rr_check(0));
cpl_check <= not counter_out(7 downto 0);
sla_check <= counter_out(6 downto 0) & '0';
sla_zero_check <= not (sla_check(7) or sla_check(6) or sla_check(5) or sla_check(4) or sla_check(3) or sla_check(2) or sla_check(1) or sla_check(0));
sla_parity_check <= not (sla_check(7) xor sla_check(6) xor sla_check(5) xor sla_check(4) xor sla_check(3) xor sla_check(2) xor sla_check(1) xor sla_check(0));
sra_check <= counter_out(7) & counter_out(7 downto 1);
sra_zero_check <= not (sra_check(7) or sra_check(6) or sra_check(5) or sra_check(4) or sra_check(3) or sra_check(2) or sra_check(1) or sra_check(0));
sra_parity_check <= not (sra_check(7) xor sra_check(6) xor sra_check(5) xor sra_check(4) xor sra_check(3) xor sra_check(2) xor sra_check(1) xor sra_check(0));
sll_check <= counter_out(6 downto 0) & '0';
sll_zero_check <= not (sll_check(7) or sll_check(6) or sll_check(5) or sll_check(4) or sll_check(3) or sll_check(2) or sll_check(1) or sll_check(0));
sll_parity_check <= not (sll_check(7) xor sll_check(6) xor sll_check(5) xor sll_check(4) xor sll_check(3) xor sll_check(2) xor sll_check(1) xor sll_check(0));
srl_check <= '0' & counter_out(7 downto 1);
srl_zero_check <= not (srl_check(7) or srl_check(6) or srl_check(5) or srl_check(4) or srl_check(3) or srl_check(2) or srl_check(1) or srl_check(0));
srl_parity_check <= not (srl_check(7) xor srl_check(6) xor srl_check(5) xor srl_check(4) xor srl_check(3) xor srl_check(2) xor srl_check(1) xor srl_check(0));
inrc_zero <= not (counter_out(7) or counter_out(6) or counter_out(5) or counter_out(4) or counter_out(3) or counter_out(2) or counter_out(1) or counter_out(0));
inrc_parity <= not (counter_out(7) xor counter_out(6) xor counter_out(5) xor counter_out(4) xor counter_out(3) xor counter_out(2) xor counter_out(1) xor counter_out(0));
primary_rld_check <= counter_out(7 downto 4) & counter_out(15 downto 12);
secondary_rld_check <= counter_out(11 downto 8) & counter_out(3 downto 0);
rld_zero_check <= not (primary_rld_check(7) or primary_rld_check(6) or primary_rld_check(5) or primary_rld_check(4) or primary_rld_check(3) or primary_rld_check(2) or primary_rld_check(1) or primary_rld_check(0));
rld_parity_check <= not (primary_rld_check(7) xor primary_rld_check(6) xor primary_rld_check(5) xor primary_rld_check(4) xor primary_rld_check(3) xor primary_rld_check(2) xor primary_rld_check(1) xor primary_rld_check(0));
primary_rrd_check <= counter_out(7 downto 4) & counter_out(11 downto 8);
secondary_rrd_check <= counter_out(3 downto 0) & counter_out(15 downto 12);
rrd_zero_check <= not (primary_rrd_check(7) or primary_rrd_check(6) or primary_rrd_check(5) or primary_rrd_check(4) or primary_rrd_check(3) or primary_rrd_check(2) or primary_rrd_check(1) or primary_rrd_check(0));
rrd_parity_check <= not (primary_rrd_check(7) xor primary_rrd_check(6) xor primary_rrd_check(5) xor primary_rrd_check(4) xor primary_rrd_check(3) xor primary_rrd_check(2) xor primary_rrd_check(1) xor primary_rrd_check(0));
bmtc_check <= counter_out(7 downto 0) or counter_out(15 downto 8);
bmtc_parity_check <= not (bmtc_check(7) or bmtc_check(6) or bmtc_check(5) or bmtc_check(4) or bmtc_check(3) or bmtc_check(2) or bmtc_check(1) or bmtc_check(0));
-- default states end here
next_state <= fifteen;
when fifteen =>
-- default states begin here
counter_state <= Disable;
counter_clock <= Disable;
test_bits <= (
(( counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and ( counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and ( counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and ( counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and ( counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and ( counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and ( counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and ( counter_out(0)))
);
res_bits <= (
((not counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and (not counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and (not counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and (not counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and (not counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and (not counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and (not counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and (not counter_out(0)))
);
sum_check <= ('0' & counter_out(15 downto 8)) + ('0' & counter_out(7 downto 0)) + (x"00" & (counter_out(16) and counter_out(17)));
sum_overflow_check <= (not (counter_out(15) xor counter_out(7))) and (counter_out(15) xor sum_check(7));
sum_zero_check <= not (sum_check(7) or sum_check(6) or sum_check(5) or sum_check(4) or sum_check(3) or sum_check(2) or sum_check(1) or sum_check(0));
half_sum_check <= ('0' & counter_out(11 downto 8)) + ('0' & counter_out(3 downto 0)) + (x"0" & (counter_out(16) and counter_out(17)));
subtract_check <= ('0' & counter_out(7 downto 0)) - ('0' & counter_out(15 downto 8)) - (x"00" & (counter_out(16) and counter_out(17) and counter_out(18) and (not counter_out(19))));
subtract_overflow_check <= (counter_out(7) xor counter_out(15)) and (counter_out(7) xor subtract_check(7));
subtract_zero_check <= not (subtract_check(7) or subtract_check(6) or subtract_check(5) or subtract_check(4) or subtract_check(3) or subtract_check(2) or subtract_check(1) or subtract_check(0));
half_difference_check <= ('0' & counter_out(3 downto 0)) - ('0' & counter_out(11 downto 8)) - (x"0" & (counter_out(16) and counter_out(17) and counter_out(18) and (not counter_out(19))));
and_check <= counter_out(15 downto 8) and counter_out(7 downto 0);
and_zero_check <= not (and_check(7) or and_check(6) or and_check(5) or and_check(4) or and_check(3) or and_check(2) or and_check(1) or and_check(0));
and_parity_check <= not (and_check(7) xor and_check(6) xor and_check(5) xor and_check(4) xor and_check(3) xor and_check(2) xor and_check(1) xor and_check(0));
xor_check <= counter_out(15 downto 8) xor counter_out(7 downto 0);
xor_zero_check <= not (xor_check(7) or xor_check(6) or xor_check(5) or xor_check(4) or xor_check(3) or xor_check(2) or xor_check(1) or xor_check(0));
xor_parity_check <= not (xor_check(7) xor xor_check(6) xor xor_check(5) xor xor_check(4) xor xor_check(3) xor xor_check(2) xor xor_check(1) xor xor_check(0));
or_check <= counter_out(15 downto 8) or counter_out(7 downto 0);
or_zero_check <= not (or_check(7) or or_check(6) or or_check(5) or or_check(4) or or_check(3) or or_check(2) or or_check(1) or or_check(0));
or_parity_check <= not (or_check(7) xor or_check(6) xor or_check(5) xor or_check(4) xor or_check(3) xor or_check(2) xor or_check(1) xor or_check(0));
rlc_check <= counter_out(6 downto 0) & counter_out(7);
rlc_zero_check <= not (rlc_check(7) or rlc_check(6) or rlc_check(5) or rlc_check(4) or rlc_check(3) or rlc_check(2) or rlc_check(1) or rlc_check(0));
rlc_parity_check <= not (rlc_check(7) xor rlc_check(6) xor rlc_check(5) xor rlc_check(4) xor rlc_check(3) xor rlc_check(2) xor rlc_check(1) xor rlc_check(0));
rrc_check <= counter_out(0) & counter_out(7 downto 1);
rrc_zero_check <= not (rrc_check(7) or rrc_check(6) or rrc_check(5) or rrc_check(4) or rrc_check(3) or rrc_check(2) or rrc_check(1) or rrc_check(0));
rrc_parity_check <= not (rrc_check(7) xor rrc_check(6) xor rrc_check(5) xor rrc_check(4) xor rrc_check(3) xor rrc_check(2) xor rrc_check(1) xor rrc_check(0));
rl_check <= counter_out(6 downto 0) & counter_out(16);
rl_zero_check <= not (rl_check(7) or rl_check(6) or rl_check(5) or rl_check(4) or rl_check(3) or rl_check(2) or rl_check(1) or rl_check(0));
rl_parity_check <= not (rl_check(7) xor rl_check(6) xor rl_check(5) xor rl_check(4) xor rl_check(3) xor rl_check(2) xor rl_check(1) xor rl_check(0));
rr_check <= counter_out(16) & counter_out(7 downto 1);
rr_zero_check <= not (rr_check(7) or rr_check(6) or rr_check(5) or rr_check(4) or rr_check(3) or rr_check(2) or rr_check(1) or rr_check(0));
rr_parity_check <= not (rr_check(7) xor rr_check(6) xor rr_check(5) xor rr_check(4) xor rr_check(3) xor rr_check(2) xor rr_check(1) xor rr_check(0));
cpl_check <= not counter_out(7 downto 0);
sla_check <= counter_out(6 downto 0) & '0';
sla_zero_check <= not (sla_check(7) or sla_check(6) or sla_check(5) or sla_check(4) or sla_check(3) or sla_check(2) or sla_check(1) or sla_check(0));
sla_parity_check <= not (sla_check(7) xor sla_check(6) xor sla_check(5) xor sla_check(4) xor sla_check(3) xor sla_check(2) xor sla_check(1) xor sla_check(0));
sra_check <= counter_out(7) & counter_out(7 downto 1);
sra_zero_check <= not (sra_check(7) or sra_check(6) or sra_check(5) or sra_check(4) or sra_check(3) or sra_check(2) or sra_check(1) or sra_check(0));
sra_parity_check <= not (sra_check(7) xor sra_check(6) xor sra_check(5) xor sra_check(4) xor sra_check(3) xor sra_check(2) xor sra_check(1) xor sra_check(0));
sll_check <= counter_out(6 downto 0) & '0';
sll_zero_check <= not (sll_check(7) or sll_check(6) or sll_check(5) or sll_check(4) or sll_check(3) or sll_check(2) or sll_check(1) or sll_check(0));
sll_parity_check <= not (sll_check(7) xor sll_check(6) xor sll_check(5) xor sll_check(4) xor sll_check(3) xor sll_check(2) xor sll_check(1) xor sll_check(0));
srl_check <= '0' & counter_out(7 downto 1);
srl_zero_check <= not (srl_check(7) or srl_check(6) or srl_check(5) or srl_check(4) or srl_check(3) or srl_check(2) or srl_check(1) or srl_check(0));
srl_parity_check <= not (srl_check(7) xor srl_check(6) xor srl_check(5) xor srl_check(4) xor srl_check(3) xor srl_check(2) xor srl_check(1) xor srl_check(0));
inrc_zero <= not (counter_out(7) or counter_out(6) or counter_out(5) or counter_out(4) or counter_out(3) or counter_out(2) or counter_out(1) or counter_out(0));
inrc_parity <= not (counter_out(7) xor counter_out(6) xor counter_out(5) xor counter_out(4) xor counter_out(3) xor counter_out(2) xor counter_out(1) xor counter_out(0));
primary_rld_check <= counter_out(7 downto 4) & counter_out(15 downto 12);
secondary_rld_check <= counter_out(11 downto 8) & counter_out(3 downto 0);
rld_zero_check <= not (primary_rld_check(7) or primary_rld_check(6) or primary_rld_check(5) or primary_rld_check(4) or primary_rld_check(3) or primary_rld_check(2) or primary_rld_check(1) or primary_rld_check(0));
rld_parity_check <= not (primary_rld_check(7) xor primary_rld_check(6) xor primary_rld_check(5) xor primary_rld_check(4) xor primary_rld_check(3) xor primary_rld_check(2) xor primary_rld_check(1) xor primary_rld_check(0));
primary_rrd_check <= counter_out(7 downto 4) & counter_out(11 downto 8);
secondary_rrd_check <= counter_out(3 downto 0) & counter_out(15 downto 12);
rrd_zero_check <= not (primary_rrd_check(7) or primary_rrd_check(6) or primary_rrd_check(5) or primary_rrd_check(4) or primary_rrd_check(3) or primary_rrd_check(2) or primary_rrd_check(1) or primary_rrd_check(0));
rrd_parity_check <= not (primary_rrd_check(7) xor primary_rrd_check(6) xor primary_rrd_check(5) xor primary_rrd_check(4) xor primary_rrd_check(3) xor primary_rrd_check(2) xor primary_rrd_check(1) xor primary_rrd_check(0));
bmtc_check <= counter_out(7 downto 0) or counter_out(15 downto 8);
bmtc_parity_check <= not (bmtc_check(7) or bmtc_check(6) or bmtc_check(5) or bmtc_check(4) or bmtc_check(3) or bmtc_check(2) or bmtc_check(1) or bmtc_check(0));
-- default states end here
assert false
report "test success"
severity note;
when others =>
-- default states begin here
counter_state <= Disable;
counter_clock <= Disable;
test_bits <= (
(( counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and ( counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and ( counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and ( counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and ( counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and ( counter_out(2)) and (not counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and ( counter_out(1)) and (not counter_out(0))) or
((not counter_out(7)) and (not counter_out(6)) and (not counter_out(5)) and (not counter_out(4)) and (not counter_out(3)) and (not counter_out(2)) and (not counter_out(1)) and ( counter_out(0)))
);
res_bits <= (
((not counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and (not counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and (not counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and (not counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and (not counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and (not counter_out(2)) and ( counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and (not counter_out(1)) and ( counter_out(0))) or
(( counter_out(7)) and ( counter_out(6)) and ( counter_out(5)) and ( counter_out(4)) and ( counter_out(3)) and ( counter_out(2)) and ( counter_out(1)) and (not counter_out(0)))
);
sum_check <= ('0' & counter_out(15 downto 8)) + ('0' & counter_out(7 downto 0)) + (x"00" & (counter_out(16) and counter_out(17)));
sum_overflow_check <= (not (counter_out(15) xor counter_out(7))) and (counter_out(15) xor sum_check(7));
sum_zero_check <= not (sum_check(7) or sum_check(6) or sum_check(5) or sum_check(4) or sum_check(3) or sum_check(2) or sum_check(1) or sum_check(0));
half_sum_check <= ('0' & counter_out(11 downto 8)) + ('0' & counter_out(3 downto 0)) + (x"0" & (counter_out(16) and counter_out(17)));
subtract_check <= ('0' & counter_out(7 downto 0)) - ('0' & counter_out(15 downto 8)) - (x"00" & (counter_out(16) and counter_out(17) and counter_out(18) and (not counter_out(19))));
subtract_overflow_check <= (counter_out(7) xor counter_out(15)) and (counter_out(7) xor subtract_check(7));
subtract_zero_check <= not (subtract_check(7) or subtract_check(6) or subtract_check(5) or subtract_check(4) or subtract_check(3) or subtract_check(2) or subtract_check(1) or subtract_check(0));
half_difference_check <= ('0' & counter_out(3 downto 0)) - ('0' & counter_out(11 downto 8)) - (x"0" & (counter_out(16) and counter_out(17) and counter_out(18) and (not counter_out(19))));
and_check <= counter_out(15 downto 8) and counter_out(7 downto 0);
and_zero_check <= not (and_check(7) or and_check(6) or and_check(5) or and_check(4) or and_check(3) or and_check(2) or and_check(1) or and_check(0));
and_parity_check <= not (and_check(7) xor and_check(6) xor and_check(5) xor and_check(4) xor and_check(3) xor and_check(2) xor and_check(1) xor and_check(0));
xor_check <= counter_out(15 downto 8) xor counter_out(7 downto 0);
xor_zero_check <= not (xor_check(7) or xor_check(6) or xor_check(5) or xor_check(4) or xor_check(3) or xor_check(2) or xor_check(1) or xor_check(0));
xor_parity_check <= not (xor_check(7) xor xor_check(6) xor xor_check(5) xor xor_check(4) xor xor_check(3) xor xor_check(2) xor xor_check(1) xor xor_check(0));
or_check <= counter_out(15 downto 8) or counter_out(7 downto 0);
or_zero_check <= not (or_check(7) or or_check(6) or or_check(5) or or_check(4) or or_check(3) or or_check(2) or or_check(1) or or_check(0));
or_parity_check <= not (or_check(7) xor or_check(6) xor or_check(5) xor or_check(4) xor or_check(3) xor or_check(2) xor or_check(1) xor or_check(0));
rlc_check <= counter_out(6 downto 0) & counter_out(7);
rlc_zero_check <= not (rlc_check(7) or rlc_check(6) or rlc_check(5) or rlc_check(4) or rlc_check(3) or rlc_check(2) or rlc_check(1) or rlc_check(0));
rlc_parity_check <= not (rlc_check(7) xor rlc_check(6) xor rlc_check(5) xor rlc_check(4) xor rlc_check(3) xor rlc_check(2) xor rlc_check(1) xor rlc_check(0));
rrc_check <= counter_out(0) & counter_out(7 downto 1);
rrc_zero_check <= not (rrc_check(7) or rrc_check(6) or rrc_check(5) or rrc_check(4) or rrc_check(3) or rrc_check(2) or rrc_check(1) or rrc_check(0));
rrc_parity_check <= not (rrc_check(7) xor rrc_check(6) xor rrc_check(5) xor rrc_check(4) xor rrc_check(3) xor rrc_check(2) xor rrc_check(1) xor rrc_check(0));
rl_check <= counter_out(6 downto 0) & counter_out(16);
rl_zero_check <= not (rl_check(7) or rl_check(6) or rl_check(5) or rl_check(4) or rl_check(3) or rl_check(2) or rl_check(1) or rl_check(0));
rl_parity_check <= not (rl_check(7) xor rl_check(6) xor rl_check(5) xor rl_check(4) xor rl_check(3) xor rl_check(2) xor rl_check(1) xor rl_check(0));
rr_check <= counter_out(16) & counter_out(7 downto 1);
rr_zero_check <= not (rr_check(7) or rr_check(6) or rr_check(5) or rr_check(4) or rr_check(3) or rr_check(2) or rr_check(1) or rr_check(0));
rr_parity_check <= not (rr_check(7) xor rr_check(6) xor rr_check(5) xor rr_check(4) xor rr_check(3) xor rr_check(2) xor rr_check(1) xor rr_check(0));
cpl_check <= not counter_out(7 downto 0);
sla_check <= counter_out(6 downto 0) & '0';
sla_zero_check <= not (sla_check(7) or sla_check(6) or sla_check(5) or sla_check(4) or sla_check(3) or sla_check(2) or sla_check(1) or sla_check(0));
sla_parity_check <= not (sla_check(7) xor sla_check(6) xor sla_check(5) xor sla_check(4) xor sla_check(3) xor sla_check(2) xor sla_check(1) xor sla_check(0));
sra_check <= counter_out(7) & counter_out(7 downto 1);
sra_zero_check <= not (sra_check(7) or sra_check(6) or sra_check(5) or sra_check(4) or sra_check(3) or sra_check(2) or sra_check(1) or sra_check(0));
sra_parity_check <= not (sra_check(7) xor sra_check(6) xor sra_check(5) xor sra_check(4) xor sra_check(3) xor sra_check(2) xor sra_check(1) xor sra_check(0));
sll_check <= counter_out(6 downto 0) & '0';
sll_zero_check <= not (sll_check(7) or sll_check(6) or sll_check(5) or sll_check(4) or sll_check(3) or sll_check(2) or sll_check(1) or sll_check(0));
sll_parity_check <= not (sll_check(7) xor sll_check(6) xor sll_check(5) xor sll_check(4) xor sll_check(3) xor sll_check(2) xor sll_check(1) xor sll_check(0));
srl_check <= '0' & counter_out(7 downto 1);
srl_zero_check <= not (srl_check(7) or srl_check(6) or srl_check(5) or srl_check(4) or srl_check(3) or srl_check(2) or srl_check(1) or srl_check(0));
srl_parity_check <= not (srl_check(7) xor srl_check(6) xor srl_check(5) xor srl_check(4) xor srl_check(3) xor srl_check(2) xor srl_check(1) xor srl_check(0));
inrc_zero <= not (counter_out(7) or counter_out(6) or counter_out(5) or counter_out(4) or counter_out(3) or counter_out(2) or counter_out(1) or counter_out(0));
inrc_parity <= not (counter_out(7) xor counter_out(6) xor counter_out(5) xor counter_out(4) xor counter_out(3) xor counter_out(2) xor counter_out(1) xor counter_out(0));
primary_rld_check <= counter_out(7 downto 4) & counter_out(15 downto 12);
secondary_rld_check <= counter_out(11 downto 8) & counter_out(3 downto 0);
rld_zero_check <= not (primary_rld_check(7) or primary_rld_check(6) or primary_rld_check(5) or primary_rld_check(4) or primary_rld_check(3) or primary_rld_check(2) or primary_rld_check(1) or primary_rld_check(0));
rld_parity_check <= not (primary_rld_check(7) xor primary_rld_check(6) xor primary_rld_check(5) xor primary_rld_check(4) xor primary_rld_check(3) xor primary_rld_check(2) xor primary_rld_check(1) xor primary_rld_check(0));
primary_rrd_check <= counter_out(7 downto 4) & counter_out(11 downto 8);
secondary_rrd_check <= counter_out(3 downto 0) & counter_out(15 downto 12);
rrd_zero_check <= not (primary_rrd_check(7) or primary_rrd_check(6) or primary_rrd_check(5) or primary_rrd_check(4) or primary_rrd_check(3) or primary_rrd_check(2) or primary_rrd_check(1) or primary_rrd_check(0));
rrd_parity_check <= not (primary_rrd_check(7) xor primary_rrd_check(6) xor primary_rrd_check(5) xor primary_rrd_check(4) xor primary_rrd_check(3) xor primary_rrd_check(2) xor primary_rrd_check(1) xor primary_rrd_check(0));
bmtc_check <= counter_out(7 downto 0) or counter_out(15 downto 8);
bmtc_parity_check <= not (bmtc_check(7) or bmtc_check(6) or bmtc_check(5) or bmtc_check(4) or bmtc_check(3) or bmtc_check(2) or bmtc_check(1) or bmtc_check(0));
-- default states end here
next_state <= zero;
assert false
report "state machine failure"
severity failure;
end case;
end if;
end process;
end;
| gpl-2.0 | 0e5f72870377ae3cc261da997c4b2df0 | 0.64957 | 2.518395 | false | false | false | false |
tgingold/ghdl | testsuite/vests/vhdl-93/billowitch/compliant/tc1364.vhd | 4 | 6,489 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1364.vhd,v 1.2 2001-10-26 16:29:40 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s05b00x00p03n01i01364ent IS
END c08s05b00x00p03n01i01364ent;
ARCHITECTURE c08s05b00x00p03n01i01364arch OF c08s05b00x00p03n01i01364ent IS
BEGIN
TESTING: PROCESS
--
-- Define constants for package
--
constant lowb : integer := 1 ;
constant highb : integer := 5 ;
constant lowb_i2 : integer := 0 ;
constant highb_i2 : integer := 1000 ;
constant lowb_p : integer := -100 ;
constant highb_p : integer := 1000 ;
constant lowb_r : real := 0.0 ;
constant highb_r : real := 1000.0 ;
constant lowb_r2 : real := 8.0 ;
constant highb_r2 : real := 80.0 ;
constant c_boolean_1 : boolean := false ;
constant c_boolean_2 : boolean := true ;
--
-- bit
constant c_bit_1 : bit := '0' ;
constant c_bit_2 : bit := '1' ;
-- severity_level
constant c_severity_level_1 : severity_level := NOTE ;
constant c_severity_level_2 : severity_level := WARNING ;
--
-- character
constant c_character_1 : character := 'A' ;
constant c_character_2 : character := 'a' ;
-- integer types
-- predefined
constant c_integer_1 : integer := lowb ;
constant c_integer_2 : integer := highb ;
--
-- user defined integer type
type t_int1 is range 0 to 100 ;
constant c_t_int1_1 : t_int1 := 0 ;
constant c_t_int1_2 : t_int1 := 10 ;
subtype st_int1 is t_int1 range 8 to 60 ;
constant c_st_int1_1 : st_int1 := 8 ;
constant c_st_int1_2 : st_int1 := 9 ;
--
-- physical types
-- predefined
constant c_time_1 : time := 1 ns ;
constant c_time_2 : time := 2 ns ;
--
--
-- floating point types
-- predefined
constant c_real_1 : real := 0.0 ;
constant c_real_2 : real := 1.0 ;
--
-- simple record
type t_rec1 is record
f1 : integer range lowb_i2 to highb_i2 ;
f2 : time ;
f3 : boolean ;
f4 : real ;
end record ;
constant c_t_rec1_1 : t_rec1 :=
(c_integer_1, c_time_1, c_boolean_1, c_real_1) ;
constant c_t_rec1_2 : t_rec1 :=
(c_integer_2, c_time_2, c_boolean_2, c_real_2) ;
subtype st_rec1 is t_rec1 ;
constant c_st_rec1_1 : st_rec1 := c_t_rec1_1 ;
constant c_st_rec1_2 : st_rec1 := c_t_rec1_2 ;
--
-- more complex record
type t_rec2 is record
f1 : boolean ;
f2 : st_rec1 ;
f3 : time ;
end record ;
constant c_t_rec2_1 : t_rec2 :=
(c_boolean_1, c_st_rec1_1, c_time_1) ;
constant c_t_rec2_2 : t_rec2 :=
(c_boolean_2, c_st_rec1_2, c_time_2) ;
subtype st_rec2 is t_rec2 ;
constant c_st_rec2_1 : st_rec2 := c_t_rec2_1 ;
constant c_st_rec2_2 : st_rec2 := c_t_rec2_2 ;
--
-- simple array
type t_arr1 is array (integer range <>) of st_int1 ;
subtype t_arr1_range1 is integer range lowb to highb ;
subtype st_arr1 is t_arr1 (t_arr1_range1) ;
constant c_st_arr1_1 : st_arr1 := (others => c_st_int1_1) ;
constant c_st_arr1_2 : st_arr1 := (others => c_st_int1_2) ;
constant c_t_arr1_1 : st_arr1 := c_st_arr1_1 ;
constant c_t_arr1_2 : st_arr1 := c_st_arr1_2 ;
--
-- more complex array
type t_arr2 is array (integer range <>, boolean range <>) of st_arr1 ;
subtype t_arr2_range1 is integer range lowb to highb ;
subtype t_arr2_range2 is boolean range false to true ;
subtype st_arr2 is t_arr2 (t_arr2_range1, t_arr2_range2);
constant c_st_arr2_1 : st_arr2 := (others => (others => c_st_arr1_1)) ;
constant c_st_arr2_2 : st_arr2 := (others => (others => c_st_arr1_2)) ;
constant c_t_arr2_1 : st_arr2 := c_st_arr2_1 ;
constant c_t_arr2_2 : st_arr2 := c_st_arr2_2 ;
--
-- most complex record
type t_rec3 is record
f1 : boolean ;
f2 : st_rec2 ;
f3 : st_arr2 ;
end record ;
constant c_t_rec3_1 : t_rec3 :=
(c_boolean_1, c_st_rec2_1, c_st_arr2_1) ;
constant c_t_rec3_2 : t_rec3 :=
(c_boolean_2, c_st_rec2_2, c_st_arr2_2) ;
subtype st_rec3 is t_rec3 ;
constant c_st_rec3_1 : st_rec3 := c_t_rec3_1 ;
constant c_st_rec3_2 : st_rec3 := c_t_rec3_2 ;
--
-- most complex array
type t_arr3 is array (integer range <>, boolean range <>) of st_rec3 ;
subtype t_arr3_range1 is integer range lowb to highb ;
subtype t_arr3_range2 is boolean range true downto false ;
subtype st_arr3 is t_arr3 (t_arr3_range1, t_arr3_range2) ;
constant c_st_arr3_1 : st_arr3 := (others => (others => c_st_rec3_1)) ;
constant c_st_arr3_2 : st_arr3 := (others => (others => c_st_rec3_2)) ;
constant c_t_arr3_1 : st_arr3 := c_st_arr3_1 ;
constant c_t_arr3_2 : st_arr3 := c_st_arr3_2 ;
--
variable v_st_arr1 : st_arr1 := c_st_arr1_1 ;
--
BEGIN
v_st_arr1(st_arr1'Left) :=
c_st_arr1_2(st_arr1'Right) ;
assert NOT(v_st_arr1(st_arr1'Left) = c_st_int1_2)
report "***PASSED TEST: c08s05b00x00p03n01i01364"
severity NOTE;
assert (v_st_arr1(st_arr1'Left) = c_st_int1_2)
report "***FAILED TEST: c08s05b00x00p03n01i01364 - The types of the variable and the assigned variable must match."
severity ERROR;
wait;
END PROCESS TESTING;
END c08s05b00x00p03n01i01364arch;
| gpl-2.0 | 8b6dd347957c3090f093664a436f4f2d | 0.582832 | 2.957612 | false | false | false | false |
nickg/nvc | test/regress/issue153.vhd | 1 | 2,167 | entity test_inst is
generic(
G_ROUND : natural := 0;
G_ROUND_ENABLE : boolean := false
);
port(
i_value : in bit_vector(7 downto 0);
o_ena : out bit;
o_value : out bit_vector(7 downto 0)
);
end test_inst;
architecture rtl of test_inst is
begin
o_ena <='1' when G_ROUND_ENABLE else '0';
o_value <=(others=>'1') when G_ROUND=1 and G_ROUND_ENABLE else not i_value;
end architecture rtl;
entity issue153 is
end entity issue153;
architecture beh of issue153 is
constant G_ROUND_ENABLE:boolean:=true;
constant C_ADDROUND : bit_vector(7 downto 0):="00001111";
constant C_ZERO8 : bit_vector(7 downto 0):=(others=>'0');
signal s_ena:bit_vector(7 downto 0);
type T_IN_DATA is array(integer range<>) of bit_vector(7 downto 0);
--signal s_value: T_IN_DATA(7 downto -1);-- this should work anyway, uncomment this to compare with ghdl for bug 2
signal s_value: T_IN_DATA(7 downto 0);--this is for bug 1, nvc should report error
begin
GEN_MACS_V : for v in 0 to 7 generate
signal C :bit_vector(7 downto 0);
signal D :bit_vector(7 downto 0);
begin
--should fail here, but doesn't
--GHDL failed here with "bound check failure"
-- ghdl drives correct values on each instances, nvc doesn't
--C <= C_ADDROUND when v=0 and G_ROUND_ENABLE else s_value(v-1);--bug 1
-- below is workaround, but I am lazy enough to not use it :))))
c_gen: if v=0 and G_ROUND_ENABLE generate
C <= C_ADDROUND;
end generate c_gen;
nc_gen: if v>0 generate
C <= s_value(v-1);
end generate nc_gen;
test_i : entity work.test_inst
generic map(
G_ROUND => 1
)
port map(
i_value => C,
o_ena => s_ena(v),
o_value => s_value(v)
);
end generate GEN_MACS_V;
process
begin
wait for 1 ns;
assert s_value(0) = not C_ADDROUND;
assert s_value(1) = C_ADDROUND;
wait;
end process;
end architecture;
| gpl-3.0 | 017898eea689044dce12027bd104f4d1 | 0.565759 | 3.558292 | false | true | false | false |
stanford-ppl/spatial-lang | spatial/core/resources/chiselgen/template-level/fringeArria10/build/ip/pr_region_alternate/pr_region_alternate_sysid_qsys_0/pr_region_alternate_sysid_qsys_0_inst.vhd | 1 | 693 | component pr_region_alternate_sysid_qsys_0 is
port (
clock : in std_logic := 'X'; -- clk
readdata : out std_logic_vector(31 downto 0); -- readdata
address : in std_logic := 'X'; -- address
reset_n : in std_logic := 'X' -- reset_n
);
end component pr_region_alternate_sysid_qsys_0;
u0 : component pr_region_alternate_sysid_qsys_0
port map (
clock => CONNECTED_TO_clock, -- clk.clk
readdata => CONNECTED_TO_readdata, -- control_slave.readdata
address => CONNECTED_TO_address, -- .address
reset_n => CONNECTED_TO_reset_n -- reset.reset_n
);
| mit | 6abcf659a603ead0daf49bccb4d9bb21 | 0.541126 | 3.331731 | false | false | false | false |
tgingold/ghdl | testsuite/synth/cnt01/tb_cnt02.vhdl | 1 | 791 | entity tb_cnt02 is
end tb_cnt02;
library ieee;
use ieee.std_logic_1164.all;
architecture behav of tb_cnt02 is
signal clk : std_logic;
signal rst : std_logic;
signal low : std_logic;
begin
dut: entity work.cnt02
port map (clk => clk, rst => rst, low => low);
process
procedure pulse is
begin
clk <= '0';
wait for 1 ns;
clk <= '1';
wait for 1 ns;
end pulse;
begin
rst <= '1';
pulse;
assert low = '0' severity failure;
rst <= '0';
pulse;
assert low = '0' severity failure;
pulse;
assert low = '0' severity failure;
pulse;
assert low = '0' severity failure;
pulse;
assert low = '1' severity failure;
pulse;
assert low = '1' severity failure;
wait;
end process;
end behav;
| gpl-2.0 | 5045ab9c2a69b77024c7e3df3cbf72fc | 0.586599 | 3.43913 | false | false | false | false |
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.