repo_name
stringlengths 6
79
| path
stringlengths 6
236
| copies
int64 1
472
| size
int64 137
1.04M
| content
stringlengths 137
1.04M
| license
stringclasses 15
values | hash
stringlengths 32
32
| alpha_frac
float64 0.25
0.96
| ratio
float64 1.51
17.5
| autogenerated
bool 1
class | config_or_test
bool 2
classes | has_no_keywords
bool 1
class | has_few_assignments
bool 1
class |
---|---|---|---|---|---|---|---|---|---|---|---|---|
Darkin47/Zynq-TX-UTT | Vivado/image_conv_2D/image_conv_2D.srcs/sources_1/bd/design_1/ipshared/xilinx.com/axi_sg_v4_1/hdl/src/vhdl/axi_sg_updt_q_mngr.vhd | 7 | 39,579 | -- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_sg_updt_q_mngr.vhd
-- Description: This entity is the descriptor update queue manager
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library axi_sg_v4_1_2;
use axi_sg_v4_1_2.axi_sg_pkg.all;
library lib_pkg_v1_0_2;
use lib_pkg_v1_0_2.lib_pkg.all;
-------------------------------------------------------------------------------
entity axi_sg_updt_q_mngr is
generic (
C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32;
-- Master AXI Memory Map Address Width for Scatter Gather R/W Port
C_M_AXI_SG_DATA_WIDTH : integer range 32 to 32 := 32;
-- Master AXI Memory Map Data Width for Scatter Gather R/W Port
C_S_AXIS_UPDPTR_TDATA_WIDTH : integer range 32 to 32 := 32;
-- 32 Update Status Bits
C_S_AXIS_UPDSTS_TDATA_WIDTH : integer range 33 to 33 := 33;
-- 1 IOC bit + 32 Update Status Bits
C_SG_UPDT_DESC2QUEUE : integer range 0 to 8 := 0;
-- Number of descriptors to fetch and queue for each channel.
-- A value of zero excludes the fetch queues.
C_SG_CH1_WORDS_TO_UPDATE : integer range 1 to 16 := 8;
-- Number of words to update
C_SG_CH2_WORDS_TO_UPDATE : integer range 1 to 16 := 8;
-- Number of words to update
C_INCLUDE_CH1 : integer range 0 to 1 := 1;
-- Include or Exclude channel 1 scatter gather engine
-- 0 = Exclude Channel 1 SG Engine
-- 1 = Include Channel 1 SG Engine
C_INCLUDE_CH2 : integer range 0 to 1 := 1;
-- Include or Exclude channel 2 scatter gather engine
-- 0 = Exclude Channel 2 SG Engine
-- 1 = Include Channel 2 SG Engine
C_AXIS_IS_ASYNC : integer range 0 to 1 := 0;
-- Channel 1 is async to sg_aclk
-- 0 = Synchronous to SG ACLK
-- 1 = Asynchronous to SG ACLK
C_FAMILY : string := "virtex7"
-- Device family used for proper BRAM selection
);
port (
-----------------------------------------------------------------------
-- AXI Scatter Gather Interface
-----------------------------------------------------------------------
m_axi_sg_aclk : in std_logic ; --
m_axi_sg_aresetn : in std_logic ; --
--
--***********************************-- --
--** Channel 1 Control **-- --
--***********************************-- --
ch1_updt_curdesc_wren : out std_logic ; --
ch1_updt_curdesc : out std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
ch1_updt_active : in std_logic ; --
ch1_updt_queue_empty : out std_logic ; --
ch1_updt_ioc : out std_logic ; --
ch1_updt_ioc_irq_set : in std_logic ; --
--
ch1_dma_interr : out std_logic ; --
ch1_dma_slverr : out std_logic ; --
ch1_dma_decerr : out std_logic ; --
ch1_dma_interr_set : in std_logic ; --
ch1_dma_slverr_set : in std_logic ; --
ch1_dma_decerr_set : in std_logic ; --
--
--***********************************-- --
--** Channel 2 Control **-- --
--***********************************-- --
ch2_updt_active : in std_logic ; --
-- ch2_updt_curdesc_wren : out std_logic ; --
-- ch2_updt_curdesc : out std_logic_vector --
-- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
ch2_updt_queue_empty : out std_logic ; --
ch2_updt_ioc : out std_logic ; --
ch2_updt_ioc_irq_set : in std_logic ; --
--
ch2_dma_interr : out std_logic ; --
ch2_dma_slverr : out std_logic ; --
ch2_dma_decerr : out std_logic ; --
ch2_dma_interr_set : in std_logic ; --
ch2_dma_slverr_set : in std_logic ; --
ch2_dma_decerr_set : in std_logic ; --
--
--***********************************-- --
--** Channel 1 Update Interface In **-- --
--***********************************-- --
s_axis_ch1_updt_aclk : in std_logic ; --
-- Update Pointer Stream --
s_axis_ch1_updtptr_tdata : in std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0); --
s_axis_ch1_updtptr_tvalid : in std_logic ; --
s_axis_ch1_updtptr_tready : out std_logic ; --
s_axis_ch1_updtptr_tlast : in std_logic ; --
--
-- Update Status Stream --
s_axis_ch1_updtsts_tdata : in std_logic_vector --
(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); --
s_axis_ch1_updtsts_tvalid : in std_logic ; --
s_axis_ch1_updtsts_tready : out std_logic ; --
s_axis_ch1_updtsts_tlast : in std_logic ; --
--
--***********************************-- --
--** Channel 2 Update Interface In **-- --
--***********************************-- --
s_axis_ch2_updt_aclk : in std_logic ; --
-- Update Pointer Stream --
s_axis_ch2_updtptr_tdata : in std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0); --
s_axis_ch2_updtptr_tvalid : in std_logic ; --
s_axis_ch2_updtptr_tready : out std_logic ; --
s_axis_ch2_updtptr_tlast : in std_logic ; --
--
-- Update Status Stream --
s_axis_ch2_updtsts_tdata : in std_logic_vector --
(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); --
s_axis_ch2_updtsts_tvalid : in std_logic ; --
s_axis_ch2_updtsts_tready : out std_logic ; --
s_axis_ch2_updtsts_tlast : in std_logic ; --
--
--***************************************-- --
--** Update Interface to AXI DataMover **-- --
--***************************************-- --
-- S2MM Stream Out To DataMover --
s_axis_s2mm_tdata : out std_logic_vector --
(C_M_AXI_SG_DATA_WIDTH-1 downto 0) ; --
s_axis_s2mm_tlast : out std_logic ; --
s_axis_s2mm_tvalid : out std_logic ; --
s_axis_s2mm_tready : in std_logic --
);
end axi_sg_updt_q_mngr;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_sg_updt_q_mngr is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
signal m_axis_ch1_updt_tdata : std_logic_vector(C_M_AXI_SG_DATA_WIDTH-1 downto 0) := (others => '0');
signal m_axis_ch1_updt_tlast : std_logic := '0';
signal m_axis_ch1_updt_tvalid : std_logic := '0';
signal m_axis_ch1_updt_tready : std_logic := '0';
signal m_axis_ch2_updt_tdata : std_logic_vector(C_M_AXI_SG_DATA_WIDTH-1 downto 0) := (others => '0');
signal m_axis_ch2_updt_tlast : std_logic := '0';
signal m_axis_ch2_updt_tvalid : std_logic := '0';
signal m_axis_ch2_updt_tready : std_logic := '0';
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
--*****************************************************************************
--** CHANNEL 1 **
--*****************************************************************************
-------------------------------------------------------------------------------
-- If Channel 1 is enabled then instantiate descriptor update logic.
-------------------------------------------------------------------------------
-- If Descriptor Update queueing enabled then instantiate Queue Logic
GEN_QUEUE : if C_SG_UPDT_DESC2QUEUE /= 0 generate
begin
-------------------------------------------------------------------------------
I_UPDT_DESC_QUEUE : entity axi_sg_v4_1_2.axi_sg_updt_queue
generic map(
C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH ,
C_M_AXIS_UPDT_DATA_WIDTH => C_M_AXI_SG_DATA_WIDTH ,
C_S_AXIS_UPDPTR_TDATA_WIDTH => C_S_AXIS_UPDPTR_TDATA_WIDTH ,
C_S_AXIS_UPDSTS_TDATA_WIDTH => C_S_AXIS_UPDSTS_TDATA_WIDTH ,
C_SG_UPDT_DESC2QUEUE => C_SG_UPDT_DESC2QUEUE ,
C_SG_WORDS_TO_UPDATE => C_SG_CH1_WORDS_TO_UPDATE ,
C_SG2_WORDS_TO_UPDATE => C_SG_CH2_WORDS_TO_UPDATE ,
C_AXIS_IS_ASYNC => C_AXIS_IS_ASYNC ,
C_INCLUDE_MM2S => C_INCLUDE_CH1 ,
C_INCLUDE_S2MM => C_INCLUDE_CH2 ,
C_FAMILY => C_FAMILY
)
port map(
-----------------------------------------------------------------------
-- AXI Scatter Gather Interface
-----------------------------------------------------------------------
m_axi_sg_aclk => m_axi_sg_aclk ,
m_axi_sg_aresetn => m_axi_sg_aresetn ,
s_axis_updt_aclk => s_axis_ch1_updt_aclk ,
--********************************--
--** Control and Status **--
--********************************--
updt_curdesc_wren => ch1_updt_curdesc_wren ,
updt_curdesc => ch1_updt_curdesc ,
updt_active => ch1_updt_active ,
updt_queue_empty => ch1_updt_queue_empty ,
updt_ioc => ch1_updt_ioc ,
updt_ioc_irq_set => ch1_updt_ioc_irq_set ,
dma_interr => ch1_dma_interr ,
dma_slverr => ch1_dma_slverr ,
dma_decerr => ch1_dma_decerr ,
dma_interr_set => ch1_dma_interr_set ,
dma_slverr_set => ch1_dma_slverr_set ,
dma_decerr_set => ch1_dma_decerr_set ,
-- updt2_curdesc_wren => ch2_updt_curdesc_wren ,
-- updt2_curdesc => ch2_updt_curdesc ,
updt2_active => ch2_updt_active ,
updt2_queue_empty => ch2_updt_queue_empty ,
updt2_ioc => ch2_updt_ioc ,
updt2_ioc_irq_set => ch2_updt_ioc_irq_set ,
dma2_interr => ch2_dma_interr ,
dma2_slverr => ch2_dma_slverr ,
dma2_decerr => ch2_dma_decerr ,
dma2_interr_set => ch2_dma_interr_set ,
dma2_slverr_set => ch2_dma_slverr_set ,
dma2_decerr_set => ch2_dma_decerr_set ,
--********************************--
--** Update Interfaces In **--
--********************************--
-- Update Pointer Stream
s_axis_updtptr_tdata => s_axis_ch1_updtptr_tdata ,
s_axis_updtptr_tvalid => s_axis_ch1_updtptr_tvalid ,
s_axis_updtptr_tready => s_axis_ch1_updtptr_tready ,
s_axis_updtptr_tlast => s_axis_ch1_updtptr_tlast ,
-- Update Status Stream
s_axis_updtsts_tdata => s_axis_ch1_updtsts_tdata ,
s_axis_updtsts_tvalid => s_axis_ch1_updtsts_tvalid ,
s_axis_updtsts_tready => s_axis_ch1_updtsts_tready ,
s_axis_updtsts_tlast => s_axis_ch1_updtsts_tlast ,
-- Update Pointer Stream
s_axis2_updtptr_tdata => s_axis_ch2_updtptr_tdata ,
s_axis2_updtptr_tvalid => s_axis_ch2_updtptr_tvalid ,
s_axis2_updtptr_tready => s_axis_ch2_updtptr_tready ,
s_axis2_updtptr_tlast => s_axis_ch2_updtptr_tlast ,
-- Update Status Stream
s_axis2_updtsts_tdata => s_axis_ch2_updtsts_tdata ,
s_axis2_updtsts_tvalid => s_axis_ch2_updtsts_tvalid ,
s_axis2_updtsts_tready => s_axis_ch2_updtsts_tready ,
s_axis2_updtsts_tlast => s_axis_ch2_updtsts_tlast ,
--********************************--
--** Update Interfaces Out **--
--********************************--
-- S2MM Stream Out To DataMover
m_axis_updt_tdata => s_axis_s2mm_tdata, --m_axis_ch1_updt_tdata ,
m_axis_updt_tlast => s_axis_s2mm_tlast, --m_axis_ch1_updt_tlast ,
m_axis_updt_tvalid => s_axis_s2mm_tvalid, --m_axis_ch1_updt_tvalid ,
m_axis_updt_tready => s_axis_s2mm_tready --m_axis_ch1_updt_tready ,
-- m_axis2_updt_tdata => m_axis_ch2_updt_tdata ,
-- m_axis2_updt_tlast => m_axis_ch2_updt_tlast ,
-- m_axis2_updt_tvalid => m_axis_ch2_updt_tvalid ,
-- m_axis2_updt_tready => m_axis_ch2_updt_tready
);
end generate GEN_QUEUE;
--*****************************************************************************
--** CHANNEL 1 - NO DESCRIPTOR QUEUE **
--*****************************************************************************
-- No update queue enabled, therefore map internal stream logic
-- directly to channel port.
GEN_NO_QUEUE : if C_SG_UPDT_DESC2QUEUE = 0 generate
begin
I_NO_UPDT_DESC_QUEUE : entity axi_sg_v4_1_2.axi_sg_updt_noqueue
generic map(
C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH ,
C_M_AXIS_UPDT_DATA_WIDTH => C_M_AXI_SG_DATA_WIDTH ,
C_S_AXIS_UPDPTR_TDATA_WIDTH => C_S_AXIS_UPDPTR_TDATA_WIDTH ,
C_S_AXIS_UPDSTS_TDATA_WIDTH => C_S_AXIS_UPDSTS_TDATA_WIDTH
)
port map(
-----------------------------------------------------------------------
-- AXI Scatter Gather Interface
-----------------------------------------------------------------------
m_axi_sg_aclk => m_axi_sg_aclk ,
m_axi_sg_aresetn => m_axi_sg_aresetn ,
--********************************--
--** Control and Status **--
--********************************--
updt_curdesc_wren => ch1_updt_curdesc_wren ,
updt_curdesc => ch1_updt_curdesc ,
updt_active => ch1_updt_active ,
updt_queue_empty => ch1_updt_queue_empty ,
updt_ioc => ch1_updt_ioc ,
updt_ioc_irq_set => ch1_updt_ioc_irq_set ,
dma_interr => ch1_dma_interr ,
dma_slverr => ch1_dma_slverr ,
dma_decerr => ch1_dma_decerr ,
dma_interr_set => ch1_dma_interr_set ,
dma_slverr_set => ch1_dma_slverr_set ,
dma_decerr_set => ch1_dma_decerr_set ,
updt2_active => ch2_updt_active ,
updt2_queue_empty => ch2_updt_queue_empty ,
updt2_ioc => ch2_updt_ioc ,
updt2_ioc_irq_set => ch2_updt_ioc_irq_set ,
dma2_interr => ch2_dma_interr ,
dma2_slverr => ch2_dma_slverr ,
dma2_decerr => ch2_dma_decerr ,
dma2_interr_set => ch2_dma_interr_set ,
dma2_slverr_set => ch2_dma_slverr_set ,
dma2_decerr_set => ch2_dma_decerr_set ,
--********************************--
--** Update Interfaces In **--
--********************************--
-- Update Pointer Stream
s_axis_updtptr_tdata => s_axis_ch1_updtptr_tdata ,
s_axis_updtptr_tvalid => s_axis_ch1_updtptr_tvalid ,
s_axis_updtptr_tready => s_axis_ch1_updtptr_tready ,
s_axis_updtptr_tlast => s_axis_ch1_updtptr_tlast ,
-- Update Status Stream
s_axis_updtsts_tdata => s_axis_ch1_updtsts_tdata ,
s_axis_updtsts_tvalid => s_axis_ch1_updtsts_tvalid ,
s_axis_updtsts_tready => s_axis_ch1_updtsts_tready ,
s_axis_updtsts_tlast => s_axis_ch1_updtsts_tlast ,
-- Update Pointer Stream
s_axis2_updtptr_tdata => s_axis_ch2_updtptr_tdata ,
s_axis2_updtptr_tvalid => s_axis_ch2_updtptr_tvalid ,
s_axis2_updtptr_tready => s_axis_ch2_updtptr_tready ,
s_axis2_updtptr_tlast => s_axis_ch2_updtptr_tlast ,
-- Update Status Stream
s_axis2_updtsts_tdata => s_axis_ch2_updtsts_tdata ,
s_axis2_updtsts_tvalid => s_axis_ch2_updtsts_tvalid ,
s_axis2_updtsts_tready => s_axis_ch2_updtsts_tready ,
s_axis2_updtsts_tlast => s_axis_ch2_updtsts_tlast ,
--********************************--
--** Update Interfaces Out **--
--********************************--
-- S2MM Stream Out To DataMover
m_axis_updt_tdata => s_axis_s2mm_tdata, --m_axis_ch1_updt_tdata ,
m_axis_updt_tlast => s_axis_s2mm_tlast, --m_axis_ch1_updt_tlast ,
m_axis_updt_tvalid => s_axis_s2mm_tvalid, --m_axis_ch1_updt_tvalid ,
m_axis_updt_tready => s_axis_s2mm_tready --m_axis_ch1_updt_tready ,
-- m_axis_updt_tdata => m_axis_ch1_updt_tdata ,
-- m_axis_updt_tlast => m_axis_ch1_updt_tlast ,
-- m_axis_updt_tvalid => m_axis_ch1_updt_tvalid ,
-- m_axis_updt_tready => m_axis_ch1_updt_tready ,
-- S2MM Stream Out To DataMover
-- m_axis2_updt_tdata => m_axis_ch2_updt_tdata ,
-- m_axis2_updt_tlast => m_axis_ch2_updt_tlast ,
-- m_axis2_updt_tvalid => m_axis_ch2_updt_tvalid ,
-- m_axis2_updt_tready => m_axis_ch2_updt_tready
);
end generate GEN_NO_QUEUE;
-- Channel 1 NOT included therefore tie ch1 outputs off
--GEN_NO_CH1_UPDATE_Q_IF : if C_INCLUDE_CH1 = 0 generate
--begin
-- ch1_updt_curdesc_wren <= '0';
-- ch1_updt_curdesc <= (others => '0');
-- ch1_updt_queue_empty <= '1';
-- ch1_updt_ioc <= '0';
-- ch1_dma_interr <= '0';
-- ch1_dma_slverr <= '0';
-- ch1_dma_decerr <= '0';
-- m_axis_ch1_updt_tdata <= (others => '0');
-- m_axis_ch1_updt_tlast <= '0';
-- m_axis_ch1_updt_tvalid <= '0';
-- s_axis_ch1_updtptr_tready <= '0';
-- s_axis_ch1_updtsts_tready <= '0';
--end generate GEN_NO_CH1_UPDATE_Q_IF;
--*****************************************************************************
--** CHANNEL 2 **
--*****************************************************************************
-------------------------------------------------------------------------------
-- If Channel 2 is enabled then instantiate descriptor update logic.
-------------------------------------------------------------------------------
--GEN_CH2_UPDATE_Q_IF : if C_INCLUDE_CH2 = 1 generate
--
--begin
--
-- --*************************************************************************
-- --** CHANNEL 2 - DESCRIPTOR QUEUE **
-- --*************************************************************************
-- -- If Descriptor Update queueing enabled then instantiate Queue Logic
-- GEN_CH2_QUEUE : if C_SG_UPDT_DESC2QUEUE /= 0 generate
-- begin
-- ---------------------------------------------------------------------------
-- I_CH2_UPDT_DESC_QUEUE : entity axi_sg_v4_1_2.axi_sg_updt_queue
-- generic map(
-- C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH ,
-- C_M_AXIS_UPDT_DATA_WIDTH => C_M_AXI_SG_DATA_WIDTH ,
-- C_S_AXIS_UPDPTR_TDATA_WIDTH => C_S_AXIS_UPDPTR_TDATA_WIDTH ,
-- C_S_AXIS_UPDSTS_TDATA_WIDTH => C_S_AXIS_UPDSTS_TDATA_WIDTH ,
-- C_SG_UPDT_DESC2QUEUE => C_SG_UPDT_DESC2QUEUE ,
-- C_SG_WORDS_TO_UPDATE => C_SG_CH2_WORDS_TO_UPDATE ,
-- C_FAMILY => C_FAMILY
-- )
-- port map(
-- ---------------------------------------------------------------
-- -- AXI Scatter Gather Interface
-- ---------------------------------------------------------------
-- m_axi_sg_aclk => m_axi_sg_aclk ,
-- m_axi_sg_aresetn => m_axi_sg_aresetn ,
-- s_axis_updt_aclk => s_axis_ch2_updt_aclk ,
--
-- --********************************--
-- --** Control and Status **--
-- --********************************--
-- updt_curdesc_wren => ch2_updt_curdesc_wren ,
-- updt_curdesc => ch2_updt_curdesc ,
-- updt_active => ch2_updt_active ,
-- updt_queue_empty => ch2_updt_queue_empty ,
-- updt_ioc => ch2_updt_ioc ,
-- updt_ioc_irq_set => ch2_updt_ioc_irq_set ,
--
-- dma_interr => ch2_dma_interr ,
-- dma_slverr => ch2_dma_slverr ,
-- dma_decerr => ch2_dma_decerr ,
-- dma_interr_set => ch2_dma_interr_set ,
-- dma_slverr_set => ch2_dma_slverr_set ,
-- dma_decerr_set => ch2_dma_decerr_set ,
--
-- --********************************--
-- --** Update Interfaces In **--
-- --********************************--
-- -- Update Pointer Stream
-- s_axis_updtptr_tdata => s_axis_ch2_updtptr_tdata ,
-- s_axis_updtptr_tvalid => s_axis_ch2_updtptr_tvalid ,
-- s_axis_updtptr_tready => s_axis_ch2_updtptr_tready ,
-- s_axis_updtptr_tlast => s_axis_ch2_updtptr_tlast ,
--
-- -- Update Status Stream
-- s_axis_updtsts_tdata => s_axis_ch2_updtsts_tdata ,
-- s_axis_updtsts_tvalid => s_axis_ch2_updtsts_tvalid ,
-- s_axis_updtsts_tready => s_axis_ch2_updtsts_tready ,
-- s_axis_updtsts_tlast => s_axis_ch2_updtsts_tlast ,
--
-- --********************************--
-- --** Update Interfaces Out **--
-- --********************************--
-- -- S2MM Stream Out To DataMover
-- m_axis_updt_tdata => m_axis_ch2_updt_tdata ,
-- m_axis_updt_tlast => m_axis_ch2_updt_tlast ,
-- m_axis_updt_tvalid => m_axis_ch2_updt_tvalid ,
-- m_axis_updt_tready => m_axis_ch2_updt_tready
-- );
--
-- end generate GEN_CH2_QUEUE;
--
--
-- --*****************************************************************************
-- --** CHANNEL 2 - NO DESCRIPTOR QUEUE **
-- --*****************************************************************************
--
-- -- No update queue enabled, therefore map internal stream logic
-- -- directly to channel port.
-- GEN_CH2_NO_QUEUE : if C_SG_UPDT_DESC2QUEUE = 0 generate
-- I_NO_CH2_UPDT_DESC_QUEUE : entity axi_sg_v4_1_2.axi_sg_updt_noqueue
-- generic map(
-- C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH ,
-- C_M_AXIS_UPDT_DATA_WIDTH => C_M_AXI_SG_DATA_WIDTH ,
-- C_S_AXIS_UPDPTR_TDATA_WIDTH => C_S_AXIS_UPDPTR_TDATA_WIDTH ,
-- C_S_AXIS_UPDSTS_TDATA_WIDTH => C_S_AXIS_UPDSTS_TDATA_WIDTH
-- )
-- port map(
-- ---------------------------------------------------------------
-- -- AXI Scatter Gather Interface
-- ---------------------------------------------------------------
-- m_axi_sg_aclk => m_axi_sg_aclk ,
-- m_axi_sg_aresetn => m_axi_sg_aresetn ,
--
-- --********************************--
-- --** Control and Status **--
-- --********************************--
-- updt_curdesc_wren => ch2_updt_curdesc_wren ,
-- updt_curdesc => ch2_updt_curdesc ,
-- updt_active => ch2_updt_active ,
-- updt_queue_empty => ch2_updt_queue_empty ,
-- updt_ioc => ch2_updt_ioc ,
-- updt_ioc_irq_set => ch2_updt_ioc_irq_set ,
--
-- dma_interr => ch2_dma_interr ,
-- dma_slverr => ch2_dma_slverr ,
-- dma_decerr => ch2_dma_decerr ,
-- dma_interr_set => ch2_dma_interr_set ,
-- dma_slverr_set => ch2_dma_slverr_set ,
-- dma_decerr_set => ch2_dma_decerr_set ,
--
-- --********************************--
-- --** Update Interfaces In **--
-- --********************************--
-- -- Update Pointer Stream
-- s_axis_updtptr_tdata => s_axis_ch2_updtptr_tdata ,
-- s_axis_updtptr_tvalid => s_axis_ch2_updtptr_tvalid ,
-- s_axis_updtptr_tready => s_axis_ch2_updtptr_tready ,
-- s_axis_updtptr_tlast => s_axis_ch2_updtptr_tlast ,
--
-- -- Update Status Stream
-- s_axis_updtsts_tdata => s_axis_ch2_updtsts_tdata ,
-- s_axis_updtsts_tvalid => s_axis_ch2_updtsts_tvalid ,
-- s_axis_updtsts_tready => s_axis_ch2_updtsts_tready ,
-- s_axis_updtsts_tlast => s_axis_ch2_updtsts_tlast ,
--
-- --********************************--
-- --** Update Interfaces Out **--
-- --********************************--
-- -- S2MM Stream Out To DataMover
-- m_axis_updt_tdata => m_axis_ch2_updt_tdata ,
-- m_axis_updt_tlast => m_axis_ch2_updt_tlast ,
-- m_axis_updt_tvalid => m_axis_ch2_updt_tvalid ,
-- m_axis_updt_tready => m_axis_ch2_updt_tready
-- );
--
-- end generate GEN_CH2_NO_QUEUE;
--
--end generate GEN_CH2_UPDATE_Q_IF;
--
---- Channel 2 NOT included therefore tie ch2 outputs off
--GEN_NO_CH2_UPDATE_Q_IF : if C_INCLUDE_CH2 = 0 generate
--begin
-- ch2_updt_curdesc_wren <= '0';
-- ch2_updt_curdesc <= (others => '0');
-- ch2_updt_queue_empty <= '1';
--
-- ch2_updt_ioc <= '0';
-- ch2_dma_interr <= '0';
-- ch2_dma_slverr <= '0';
-- ch2_dma_decerr <= '0';
--
-- m_axis_ch2_updt_tdata <= (others => '0');
-- m_axis_ch2_updt_tlast <= '0';
-- m_axis_ch2_updt_tvalid <= '0';
--
-- s_axis_ch2_updtptr_tready <= '0';
-- s_axis_ch2_updtsts_tready <= '0';
--
--end generate GEN_NO_CH2_UPDATE_Q_IF;
-------------------------------------------------------------------------------
-- MUX For DataMover
-------------------------------------------------------------------------------
--TO_DATAMVR_MUX : process(ch1_updt_active,
-- ch2_updt_active,
-- m_axis_ch1_updt_tdata,
-- m_axis_ch1_updt_tlast,
-- m_axis_ch1_updt_tvalid,
-- m_axis_ch2_updt_tdata,
-- m_axis_ch2_updt_tlast,
-- m_axis_ch2_updt_tvalid)
-- begin
-- if(ch1_updt_active = '1')then
-- s_axis_s2mm_tdata <= m_axis_ch1_updt_tdata;
-- s_axis_s2mm_tlast <= m_axis_ch1_updt_tlast;
-- s_axis_s2mm_tvalid <= m_axis_ch1_updt_tvalid;
-- elsif(ch2_updt_active = '1')then
-- s_axis_s2mm_tdata <= m_axis_ch2_updt_tdata;
-- s_axis_s2mm_tlast <= m_axis_ch2_updt_tlast;
-- s_axis_s2mm_tvalid <= m_axis_ch2_updt_tvalid;
-- else
-- s_axis_s2mm_tdata <= (others => '0');
-- s_axis_s2mm_tlast <= '0';
-- s_axis_s2mm_tvalid <= '0';
-- end if;
-- end process TO_DATAMVR_MUX;
--
--m_axis_ch1_updt_tready <= s_axis_s2mm_tready;
--m_axis_ch2_updt_tready <= s_axis_s2mm_tready;
--
end implementation;
| gpl-3.0 | e6b1be5a9ed94411acb36f7ecbe9932d | 0.352055 | 4.661837 | false | false | false | false |
tgingold/ghdl | testsuite/synth/psl01/cover2.vhdl | 1 | 497 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity cover2 is
port (clk, rst: std_logic;
cnt : out unsigned(3 downto 0));
end cover2;
architecture behav of cover2 is
signal val : unsigned (3 downto 0);
default clock is rising_edge(clk);
begin
process(clk)
begin
if rising_edge(clk) then
if rst = '1' then
val <= (others => '0');
else
val <= val + 1;
end if;
end if;
end process;
cnt <= val;
cover {val = 10};
end behav;
| gpl-2.0 | ce0110a6cff2c0dcd5d9985922a7204e | 0.62173 | 3.14557 | false | false | false | false |
tgingold/ghdl | testsuite/gna/issue50/idct.d/output_split6.vhd | 2 | 1,410 | library ieee;
use ieee.std_logic_1164.all;
library ieee;
use ieee.numeric_std.all;
entity output_split6 is
port (
wa0_data : in std_logic_vector(7 downto 0);
wa0_addr : in std_logic_vector(2 downto 0);
ra0_data : out std_logic_vector(7 downto 0);
ra0_addr : in std_logic_vector(2 downto 0);
wa0_en : in std_logic;
clk : in std_logic
);
end output_split6;
architecture augh of output_split6 is
-- Embedded RAM
type ram_type is array (0 to 7) of std_logic_vector(7 downto 0);
signal ram : ram_type := (others => (others => '0'));
-- Little utility functions to make VHDL syntactically correct
-- with the syntax to_integer(unsigned(vector)) when 'vector' is a std_logic.
-- This happens when accessing arrays with <= 2 cells, for example.
function to_integer(B: std_logic) return integer is
variable V: std_logic_vector(0 to 0);
begin
V(0) := B;
return to_integer(unsigned(V));
end;
function to_integer(V: std_logic_vector) return integer is
begin
return to_integer(unsigned(V));
end;
begin
-- Sequential process
-- It handles the Writes
process (clk)
begin
if rising_edge(clk) then
-- Write to the RAM
-- Note: there should be only one port.
if wa0_en = '1' then
ram( to_integer(wa0_addr) ) <= wa0_data;
end if;
end if;
end process;
-- The Read side (the outputs)
ra0_data <= ram( to_integer(ra0_addr) );
end architecture;
| gpl-2.0 | 0c09c8c0c001cf195c9cdd490cf25d24 | 0.673759 | 2.895277 | false | false | false | false |
tgingold/ghdl | testsuite/gna/issue1295/psl_next_event_a.vhdl | 1 | 1,804 | library ieee;
use ieee.std_logic_1164.all;
entity sequencer is
generic (
seq : string
);
port (
clk : in std_logic;
data : out std_logic
);
end entity sequencer;
architecture rtl of sequencer is
signal index : natural := seq'low;
signal ch : character;
function to_bit (a : in character) return std_logic is
variable ret : std_logic;
begin
case a is
when '0' | '_' => ret := '0';
when '1' | '-' => ret := '1';
when others => ret := 'X';
end case;
return ret;
end function to_bit;
begin
process (clk) is
begin
if rising_edge(clk) then
if (index < seq'high) then
index <= index + 1;
end if;
end if;
end process;
ch <= seq(index);
data <= to_bit(ch);
end architecture rtl;
library ieee;
use ieee.std_logic_1164.all;
entity psl_next_event_a is
end entity psl_next_event_a;
architecture psl of psl_next_event_a is
signal clk : std_logic := '0';
component sequencer is
generic (
seq : string
);
port (
clk : in std_logic;
data : out std_logic
);
end component sequencer;
signal a, b, c : std_logic;
begin
-- 012345678901234
SEQ_A : sequencer generic map ("_-______________-____") port map (clk, a);
SEQ_B : sequencer generic map ("--___--__----________") port map (clk, b);
SEQ_C : sequencer generic map ("_____-___---_____----") port map (clk, c);
-- All is sensitive to rising edge of clk
default clock is rising_edge(clk);
-- This assertion holds
assert_NEXT_EVENT_a : assert always ((a and b) -> next_event_a(c)[1 to 4](b));
process
begin
for i in 1 to 2*20 loop
wait for 1 ns;
clk <= not clk;
end loop;
wait;
end process;
end architecture psl;
| gpl-2.0 | f2c7c443480f864cb3a138efef6283c6 | 0.562639 | 3.469231 | false | false | false | false |
tgingold/ghdl | testsuite/gna/issue50/vector.d/pkg_tb.vhd | 2 | 1,772 | --test bench written by alban bourge @ tima
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
package pkg_tb is
--fsm state types
type state_t is (Rst,Sig_start,Ack_data,Running,Waitfor,Cp_search,Cp_save,Idle,Rst_uut,Rest_ini0,Rest_ini1,Rest,Stop);
--context descriptor
subtype context_t is std_logic_vector(1 downto 0);
--argument width and type of fsm instruction
constant ARG_WIDTH : integer := 8;
subtype argument_t is unsigned(ARG_WIDTH - 1 downto 0);
type instruction is
record
state : state_t;
context_uut : context_t;
arg : argument_t;
end record;
--reset instruction
constant instr_rst : instruction := (state => Rst, context_uut => (others =>'0'), arg => (others =>'0'));
--ram instruction
type ram_instruction is
record
sel : std_logic;
we : std_logic;
addr_up : std_logic;
addr_z : std_logic;
end record;
constant ram_instr_z : ram_instruction := (sel => '0', we => '0', addr_up => '0', addr_z => '0');
--assert unit instruction
type assert_instruction is
record
en_feed : std_logic;
en_check : std_logic;
end record;
constant assert_instr_z : assert_instruction := (en_feed => '0', en_check => '0');
--size of instruction table defined by PC_SIZE i.e. width of program counter
constant PC_SIZE : integer := 5;
type table_behavior is array (0 to 2**PC_SIZE - 1) of instruction;
--constraint fixed by unit under test (augh dependant)
--##CONSTRAINTS_START##--
subtype stdin_vector is std_logic_vector(31 downto 0);
subtype stdout_vector is std_logic_vector(31 downto 0);
subtype cp_vector is std_logic_vector(63 downto 0);
--##CONSTRAINTS_END##--
--assert_uut vector number counter size
constant VEC_NO_SIZE : integer := 20;
end pkg_tb;
| gpl-2.0 | 24c03ef2c7210f6b9459550d1e65a726 | 0.676072 | 3.119718 | false | false | false | false |
nickg/nvc | test/elab/issue184.vhd | 5 | 345 | entity ent is
generic (
config : string := "config0";
bits : bit_vector := "10101" );
end entity;
architecture a of ent is
signal sig : integer;
begin
gen_cfg1 : if config = "config1" generate
bad: sig <= 0;
end generate;
gen_cfg2 : if bits /= "00000" generate
good: sig <= 1;
end generate;
end architecture;
| gpl-3.0 | 0b7805ef087845bcebd56def96fc71ab | 0.617391 | 3.45 | false | true | false | false |
tgingold/ghdl | testsuite/synth/uassoc01/uassoc01.vhdl | 1 | 730 | library ieee;
use ieee.std_logic_1164.all;
entity uassoc01_sub is
port (i : std_logic_vector;
o : out std_logic_vector);
end uassoc01_sub;
architecture behav of uassoc01_sub is
begin
o <= not i;
end behav;
library ieee;
use ieee.std_logic_1164.all;
entity uassoc01 is
port (i1 : std_logic_vector(3 downto 0);
i2 : std_logic_vector(7 downto 0);
o : out std_logic_vector(3 downto 0));
end uassoc01;
architecture rtl of uassoc01 is
signal o1: std_logic_vector(3 downto 0);
signal o2: std_logic_vector(7 downto 0);
begin
dut1: entity work.uassoc01_sub
port map (i => i1, o => o1);
dut2: entity work.uassoc01_sub
port map (i => i2, o => o2);
o <= o1 xor o2 (3 downto 0);
end rtl;
| gpl-2.0 | fa69cde662b4dd6339c450e6ff8b9fa5 | 0.658904 | 2.885375 | false | false | false | false |
tgingold/ghdl | testsuite/synth/aggr02/tb_targ01.vhdl | 1 | 550 | entity tb_targ01 is
end tb_targ01;
library ieee;
use ieee.std_logic_1164.all;
architecture behav of tb_targ01 is
signal v : std_logic_vector(2 downto 0);
signal o0 : std_logic;
signal o1 : std_logic;
signal o2 : std_logic;
begin
dut: entity work.targ01
port map (v, o0, o1, o2);
process
begin
v <= "010";
wait for 1 ns;
assert o2 = '0' and o1 = '1' and o0 = '0' severity failure;
v <= "101";
wait for 1 ns;
assert o2 = '1' and o1 = '0' and o0 = '1' severity failure;
wait;
end process;
end behav;
| gpl-2.0 | 32c760dcea27e511c3ee8c3d9956c2f7 | 0.614545 | 2.806122 | false | false | false | false |
Darkin47/Zynq-TX-UTT | Vivado_HLS/image_contrast_adj/solution1/impl/ip/tmp.srcs/sources_1/ip/doHistStretch_ap_sitofp_4_no_dsp_32/synth/doHistStretch_ap_sitofp_4_no_dsp_32.vhd | 3 | 12,516 | -- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:floating_point:7.1
-- IP Revision: 2
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY floating_point_v7_1_2;
USE floating_point_v7_1_2.floating_point_v7_1_2;
ENTITY doHistStretch_ap_sitofp_4_no_dsp_32 IS
PORT (
aclk : IN STD_LOGIC;
aclken : IN STD_LOGIC;
s_axis_a_tvalid : IN STD_LOGIC;
s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axis_result_tvalid : OUT STD_LOGIC;
m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
);
END doHistStretch_ap_sitofp_4_no_dsp_32;
ARCHITECTURE doHistStretch_ap_sitofp_4_no_dsp_32_arch OF doHistStretch_ap_sitofp_4_no_dsp_32 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF doHistStretch_ap_sitofp_4_no_dsp_32_arch: ARCHITECTURE IS "yes";
COMPONENT floating_point_v7_1_2 IS
GENERIC (
C_XDEVICEFAMILY : STRING;
C_HAS_ADD : INTEGER;
C_HAS_SUBTRACT : INTEGER;
C_HAS_MULTIPLY : INTEGER;
C_HAS_DIVIDE : INTEGER;
C_HAS_SQRT : INTEGER;
C_HAS_COMPARE : INTEGER;
C_HAS_FIX_TO_FLT : INTEGER;
C_HAS_FLT_TO_FIX : INTEGER;
C_HAS_FLT_TO_FLT : INTEGER;
C_HAS_RECIP : INTEGER;
C_HAS_RECIP_SQRT : INTEGER;
C_HAS_ABSOLUTE : INTEGER;
C_HAS_LOGARITHM : INTEGER;
C_HAS_EXPONENTIAL : INTEGER;
C_HAS_FMA : INTEGER;
C_HAS_FMS : INTEGER;
C_HAS_ACCUMULATOR_A : INTEGER;
C_HAS_ACCUMULATOR_S : INTEGER;
C_A_WIDTH : INTEGER;
C_A_FRACTION_WIDTH : INTEGER;
C_B_WIDTH : INTEGER;
C_B_FRACTION_WIDTH : INTEGER;
C_C_WIDTH : INTEGER;
C_C_FRACTION_WIDTH : INTEGER;
C_RESULT_WIDTH : INTEGER;
C_RESULT_FRACTION_WIDTH : INTEGER;
C_COMPARE_OPERATION : INTEGER;
C_LATENCY : INTEGER;
C_OPTIMIZATION : INTEGER;
C_MULT_USAGE : INTEGER;
C_BRAM_USAGE : INTEGER;
C_RATE : INTEGER;
C_ACCUM_INPUT_MSB : INTEGER;
C_ACCUM_MSB : INTEGER;
C_ACCUM_LSB : INTEGER;
C_HAS_UNDERFLOW : INTEGER;
C_HAS_OVERFLOW : INTEGER;
C_HAS_INVALID_OP : INTEGER;
C_HAS_DIVIDE_BY_ZERO : INTEGER;
C_HAS_ACCUM_OVERFLOW : INTEGER;
C_HAS_ACCUM_INPUT_OVERFLOW : INTEGER;
C_HAS_ACLKEN : INTEGER;
C_HAS_ARESETN : INTEGER;
C_THROTTLE_SCHEME : INTEGER;
C_HAS_A_TUSER : INTEGER;
C_HAS_A_TLAST : INTEGER;
C_HAS_B : INTEGER;
C_HAS_B_TUSER : INTEGER;
C_HAS_B_TLAST : INTEGER;
C_HAS_C : INTEGER;
C_HAS_C_TUSER : INTEGER;
C_HAS_C_TLAST : INTEGER;
C_HAS_OPERATION : INTEGER;
C_HAS_OPERATION_TUSER : INTEGER;
C_HAS_OPERATION_TLAST : INTEGER;
C_HAS_RESULT_TUSER : INTEGER;
C_HAS_RESULT_TLAST : INTEGER;
C_TLAST_RESOLUTION : INTEGER;
C_A_TDATA_WIDTH : INTEGER;
C_A_TUSER_WIDTH : INTEGER;
C_B_TDATA_WIDTH : INTEGER;
C_B_TUSER_WIDTH : INTEGER;
C_C_TDATA_WIDTH : INTEGER;
C_C_TUSER_WIDTH : INTEGER;
C_OPERATION_TDATA_WIDTH : INTEGER;
C_OPERATION_TUSER_WIDTH : INTEGER;
C_RESULT_TDATA_WIDTH : INTEGER;
C_RESULT_TUSER_WIDTH : INTEGER;
C_FIXED_DATA_UNSIGNED : INTEGER
);
PORT (
aclk : IN STD_LOGIC;
aclken : IN STD_LOGIC;
aresetn : IN STD_LOGIC;
s_axis_a_tvalid : IN STD_LOGIC;
s_axis_a_tready : OUT STD_LOGIC;
s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_a_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_a_tlast : IN STD_LOGIC;
s_axis_b_tvalid : IN STD_LOGIC;
s_axis_b_tready : OUT STD_LOGIC;
s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_b_tlast : IN STD_LOGIC;
s_axis_c_tvalid : IN STD_LOGIC;
s_axis_c_tready : OUT STD_LOGIC;
s_axis_c_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_c_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_c_tlast : IN STD_LOGIC;
s_axis_operation_tvalid : IN STD_LOGIC;
s_axis_operation_tready : OUT STD_LOGIC;
s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_operation_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_operation_tlast : IN STD_LOGIC;
m_axis_result_tvalid : OUT STD_LOGIC;
m_axis_result_tready : IN STD_LOGIC;
m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axis_result_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_result_tlast : OUT STD_LOGIC
);
END COMPONENT floating_point_v7_1_2;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF doHistStretch_ap_sitofp_4_no_dsp_32_arch: ARCHITECTURE IS "floating_point_v7_1_2,Vivado 2016.1";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF doHistStretch_ap_sitofp_4_no_dsp_32_arch : ARCHITECTURE IS "doHistStretch_ap_sitofp_4_no_dsp_32,floating_point_v7_1_2,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF doHistStretch_ap_sitofp_4_no_dsp_32_arch: ARCHITECTURE IS "doHistStretch_ap_sitofp_4_no_dsp_32,floating_point_v7_1_2,{x_ipProduct=Vivado 2016.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=floating_point,x_ipVersion=7.1,x_ipCoreRevision=2,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_XDEVICEFAMILY=virtex7,C_HAS_ADD=0,C_HAS_SUBTRACT=0,C_HAS_MULTIPLY=0,C_HAS_DIVIDE=0,C_HAS_SQRT=0,C_HAS_COMPARE=0,C_HAS_FIX_TO_FLT=1,C_HAS_FLT_TO_FIX=0,C_HAS_FLT_TO_FLT=0,C_HAS_RECIP=0,C_HAS_RECIP_SQRT=0,C_HAS_ABSOLUTE=0,C_HAS_LOGARITHM=0,C_HAS_EXPONENTIAL=0,C_HAS_FMA=0,C_HAS_FM" &
"S=0,C_HAS_ACCUMULATOR_A=0,C_HAS_ACCUMULATOR_S=0,C_A_WIDTH=32,C_A_FRACTION_WIDTH=0,C_B_WIDTH=32,C_B_FRACTION_WIDTH=0,C_C_WIDTH=32,C_C_FRACTION_WIDTH=0,C_RESULT_WIDTH=32,C_RESULT_FRACTION_WIDTH=24,C_COMPARE_OPERATION=8,C_LATENCY=4,C_OPTIMIZATION=1,C_MULT_USAGE=0,C_BRAM_USAGE=0,C_RATE=1,C_ACCUM_INPUT_MSB=32,C_ACCUM_MSB=32,C_ACCUM_LSB=-31,C_HAS_UNDERFLOW=0,C_HAS_OVERFLOW=0,C_HAS_INVALID_OP=0,C_HAS_DIVIDE_BY_ZERO=0,C_HAS_ACCUM_OVERFLOW=0,C_HAS_ACCUM_INPUT_OVERFLOW=0,C_HAS_ACLKEN=1,C_HAS_ARESETN=0,C_T" &
"HROTTLE_SCHEME=3,C_HAS_A_TUSER=0,C_HAS_A_TLAST=0,C_HAS_B=0,C_HAS_B_TUSER=0,C_HAS_B_TLAST=0,C_HAS_C=0,C_HAS_C_TUSER=0,C_HAS_C_TLAST=0,C_HAS_OPERATION=0,C_HAS_OPERATION_TUSER=0,C_HAS_OPERATION_TLAST=0,C_HAS_RESULT_TUSER=0,C_HAS_RESULT_TLAST=0,C_TLAST_RESOLUTION=0,C_A_TDATA_WIDTH=32,C_A_TUSER_WIDTH=1,C_B_TDATA_WIDTH=32,C_B_TUSER_WIDTH=1,C_C_TDATA_WIDTH=32,C_C_TUSER_WIDTH=1,C_OPERATION_TDATA_WIDTH=8,C_OPERATION_TUSER_WIDTH=1,C_RESULT_TDATA_WIDTH=32,C_RESULT_TUSER_WIDTH=1,C_FIXED_DATA_UNSIGNED=0}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK";
ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TDATA";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TDATA";
BEGIN
U0 : floating_point_v7_1_2
GENERIC MAP (
C_XDEVICEFAMILY => "virtex7",
C_HAS_ADD => 0,
C_HAS_SUBTRACT => 0,
C_HAS_MULTIPLY => 0,
C_HAS_DIVIDE => 0,
C_HAS_SQRT => 0,
C_HAS_COMPARE => 0,
C_HAS_FIX_TO_FLT => 1,
C_HAS_FLT_TO_FIX => 0,
C_HAS_FLT_TO_FLT => 0,
C_HAS_RECIP => 0,
C_HAS_RECIP_SQRT => 0,
C_HAS_ABSOLUTE => 0,
C_HAS_LOGARITHM => 0,
C_HAS_EXPONENTIAL => 0,
C_HAS_FMA => 0,
C_HAS_FMS => 0,
C_HAS_ACCUMULATOR_A => 0,
C_HAS_ACCUMULATOR_S => 0,
C_A_WIDTH => 32,
C_A_FRACTION_WIDTH => 0,
C_B_WIDTH => 32,
C_B_FRACTION_WIDTH => 0,
C_C_WIDTH => 32,
C_C_FRACTION_WIDTH => 0,
C_RESULT_WIDTH => 32,
C_RESULT_FRACTION_WIDTH => 24,
C_COMPARE_OPERATION => 8,
C_LATENCY => 4,
C_OPTIMIZATION => 1,
C_MULT_USAGE => 0,
C_BRAM_USAGE => 0,
C_RATE => 1,
C_ACCUM_INPUT_MSB => 32,
C_ACCUM_MSB => 32,
C_ACCUM_LSB => -31,
C_HAS_UNDERFLOW => 0,
C_HAS_OVERFLOW => 0,
C_HAS_INVALID_OP => 0,
C_HAS_DIVIDE_BY_ZERO => 0,
C_HAS_ACCUM_OVERFLOW => 0,
C_HAS_ACCUM_INPUT_OVERFLOW => 0,
C_HAS_ACLKEN => 1,
C_HAS_ARESETN => 0,
C_THROTTLE_SCHEME => 3,
C_HAS_A_TUSER => 0,
C_HAS_A_TLAST => 0,
C_HAS_B => 0,
C_HAS_B_TUSER => 0,
C_HAS_B_TLAST => 0,
C_HAS_C => 0,
C_HAS_C_TUSER => 0,
C_HAS_C_TLAST => 0,
C_HAS_OPERATION => 0,
C_HAS_OPERATION_TUSER => 0,
C_HAS_OPERATION_TLAST => 0,
C_HAS_RESULT_TUSER => 0,
C_HAS_RESULT_TLAST => 0,
C_TLAST_RESOLUTION => 0,
C_A_TDATA_WIDTH => 32,
C_A_TUSER_WIDTH => 1,
C_B_TDATA_WIDTH => 32,
C_B_TUSER_WIDTH => 1,
C_C_TDATA_WIDTH => 32,
C_C_TUSER_WIDTH => 1,
C_OPERATION_TDATA_WIDTH => 8,
C_OPERATION_TUSER_WIDTH => 1,
C_RESULT_TDATA_WIDTH => 32,
C_RESULT_TUSER_WIDTH => 1,
C_FIXED_DATA_UNSIGNED => 0
)
PORT MAP (
aclk => aclk,
aclken => aclken,
aresetn => '1',
s_axis_a_tvalid => s_axis_a_tvalid,
s_axis_a_tdata => s_axis_a_tdata,
s_axis_a_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_a_tlast => '0',
s_axis_b_tvalid => '0',
s_axis_b_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axis_b_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_b_tlast => '0',
s_axis_c_tvalid => '0',
s_axis_c_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axis_c_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_c_tlast => '0',
s_axis_operation_tvalid => '0',
s_axis_operation_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_operation_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_operation_tlast => '0',
m_axis_result_tvalid => m_axis_result_tvalid,
m_axis_result_tready => '0',
m_axis_result_tdata => m_axis_result_tdata
);
END doHistStretch_ap_sitofp_4_no_dsp_32_arch;
| gpl-3.0 | 318629f72e04078c92f899e19dbbc5c0 | 0.650447 | 3.029775 | false | false | false | false |
Darkin47/Zynq-TX-UTT | Vivado/image_conv_2D/image_conv_2D.srcs/sources_1/bd/design_1/ipshared/xilinx.com/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_mm2s_dre.vhd | 3 | 87,982 | -------------------------------------------------------------------------------
-- axi_datamover_mm2s_dre.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_datamover_mm2s_dre.vhd
--
-- Description:
-- This VHDL design implements a 64 bit wide (8 byte lane) function that
-- realigns an arbitrarily aligned input data stream to an arbitrarily aligned
-- output data stream.
--
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
---------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library axi_datamover_v5_1_10;
use axi_datamover_v5_1_10.axi_datamover_dre_mux8_1_x_n;
use axi_datamover_v5_1_10.axi_datamover_dre_mux4_1_x_n;
use axi_datamover_v5_1_10.axi_datamover_dre_mux2_1_x_n;
-------------------------------------------------------------------------------
entity axi_datamover_mm2s_dre is
Generic (
C_DWIDTH : Integer := 64;
-- Sets the native data width of the DRE
C_ALIGN_WIDTH : Integer := 3
-- Sets the alignment port widths. The value should be
-- log2(C_DWIDTH)
);
port (
-- Clock and Reset inputs ---------------
dre_clk : In std_logic; --
dre_rst : In std_logic; --
----------------------------------------
-- Alignment Controls ------------------------------------------------
dre_new_align : In std_logic; --
dre_use_autodest : In std_logic; --
dre_src_align : In std_logic_vector(C_ALIGN_WIDTH-1 downto 0); --
dre_dest_align : In std_logic_vector(C_ALIGN_WIDTH-1 downto 0); --
dre_flush : In std_logic; --
----------------------------------------------------------------------
-- Input Stream Interface --------------------------------------------
dre_in_tstrb : In std_logic_vector((C_DWIDTH/8)-1 downto 0); --
dre_in_tdata : In std_logic_vector(C_DWIDTH-1 downto 0); --
dre_in_tlast : In std_logic; --
dre_in_tvalid : In std_logic; --
dre_in_tready : Out std_logic; --
----------------------------------------------------------------------
-- Output Stream Interface -------------------------------------------
dre_out_tstrb : Out std_logic_vector((C_DWIDTH/8)-1 downto 0); --
dre_out_tdata : Out std_logic_vector(C_DWIDTH-1 downto 0); --
dre_out_tlast : Out std_logic; --
dre_out_tvalid : Out std_logic; --
dre_out_tready : In std_logic --
----------------------------------------------------------------------
);
end entity axi_datamover_mm2s_dre;
architecture implementation of axi_datamover_mm2s_dre is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-- Functions
-------------------------------------------------------------------
-- Function
--
-- Function Name: get_start_index
--
-- Function Description:
-- This function calculates the bus bit index corresponding
-- to the MSB of the Slice lane index input and the Slice width.
--
-------------------------------------------------------------------
function get_start_index (lane_index : integer;
lane_width : integer)
return integer is
Variable bit_index_start : Integer := 0;
begin
bit_index_start := lane_index*lane_width;
return(bit_index_start);
end function get_start_index;
-------------------------------------------------------------------
-- Function
--
-- Function Name: get_end_index
--
-- Function Description:
-- This function calculates the bus bit index corresponding
-- to the LSB of the Slice lane index input and the Slice width.
--
-------------------------------------------------------------------
function get_end_index (lane_index : integer;
lane_width : integer)
return integer is
Variable bit_index_end : Integer := 0;
begin
bit_index_end := (lane_index*lane_width) + (lane_width-1);
return(bit_index_end);
end function get_end_index;
-- Constants
Constant BYTE_WIDTH : integer := 8; -- bits
Constant DATA_WIDTH_BYTES : integer := C_DWIDTH/BYTE_WIDTH;
Constant SLICE_WIDTH : integer := BYTE_WIDTH+2; -- 8 data bits plus Strobe plus TLAST bit
Constant SLICE_STROBE_INDEX : integer := (BYTE_WIDTH-1)+1;
Constant SLICE_TLAST_INDEX : integer := SLICE_STROBE_INDEX+1;
Constant ZEROED_SLICE : std_logic_vector(SLICE_WIDTH-1 downto 0) := (others => '0');
Constant NUM_BYTE_LANES : integer := C_DWIDTH/BYTE_WIDTH;
Constant ALIGN_VECT_WIDTH : integer := C_ALIGN_WIDTH;
Constant NO_STRB_SET_VALUE : integer := 0;
-- Types
type sig_byte_lane_type is array(DATA_WIDTH_BYTES-1 downto 0) of
std_logic_vector(SLICE_WIDTH-1 downto 0);
-- Signals
signal sig_input_data_reg : sig_byte_lane_type;
signal sig_delay_data_reg : sig_byte_lane_type;
signal sig_output_data_reg : sig_byte_lane_type;
signal sig_pass_mux_bus : sig_byte_lane_type;
signal sig_delay_mux_bus : sig_byte_lane_type;
signal sig_final_mux_bus : sig_byte_lane_type;
Signal sig_dre_strb_out_i : std_logic_vector(DATA_WIDTH_BYTES-1 downto 0) := (others => '0');
Signal sig_dre_data_out_i : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0');
Signal sig_dest_align_i : std_logic_vector(ALIGN_VECT_WIDTH-1 downto 0) := (others => '0');
Signal sig_dre_flush_i : std_logic := '0';
Signal sig_pipeline_halt : std_logic := '0';
Signal sig_dre_tvalid_i : std_logic := '0';
Signal sig_input_accept : std_logic := '0';
Signal sig_tlast_enables : std_logic_vector(NUM_BYTE_LANES-1 downto 0) := (others => '0');
signal sig_final_mux_has_tlast : std_logic := '0';
signal sig_tlast_out : std_logic := '0';
Signal sig_tlast_strobes : std_logic_vector(NUM_BYTE_LANES-1 downto 0) := (others => '0');
Signal sig_next_auto_dest : std_logic_vector(ALIGN_VECT_WIDTH-1 downto 0) := (others => '0');
Signal sig_current_dest_align : std_logic_vector(ALIGN_VECT_WIDTH-1 downto 0) := (others => '0');
Signal sig_last_written_strb : std_logic_vector(NUM_BYTE_LANES-1 downto 0) := (others => '0');
Signal sig_auto_flush : std_logic := '0';
Signal sig_flush_db1 : std_logic := '0';
Signal sig_flush_db2 : std_logic := '0';
signal sig_flush_db1_complete : std_logic := '0';
signal sig_flush_db2_complete : std_logic := '0';
signal sig_output_xfer : std_logic := '0';
signal sig_advance_pipe_data : std_logic := '0';
Signal sig_flush_reg : std_logic := '0';
Signal sig_input_flush_stall : std_logic := '0';
signal sig_enable_input_rdy : std_logic := '0';
signal sig_input_ready : std_logic := '0';
begin --(architecture implementation)
-- Misc assignments
--dre_in_tready <= sig_input_accept ;
dre_in_tready <= sig_input_ready ;
dre_out_tstrb <= sig_dre_strb_out_i ;
dre_out_tdata <= sig_dre_data_out_i ;
dre_out_tvalid <= sig_dre_tvalid_i ;
dre_out_tlast <= sig_tlast_out ;
sig_pipeline_halt <= sig_dre_tvalid_i and not(dre_out_tready);
sig_output_xfer <= sig_dre_tvalid_i and dre_out_tready;
sig_advance_pipe_data <= (dre_in_tvalid or
sig_dre_flush_i) and
not(sig_pipeline_halt) and
sig_enable_input_rdy;
sig_dre_flush_i <= sig_auto_flush ;
sig_input_accept <= dre_in_tvalid and
sig_input_ready;
sig_flush_db1_complete <= sig_flush_db1 and
not(sig_pipeline_halt);
sig_flush_db2_complete <= sig_flush_db2 and
not(sig_pipeline_halt);
sig_auto_flush <= sig_flush_db1 or sig_flush_db2;
sig_input_flush_stall <= sig_auto_flush; -- commanded flush needed for concatonation
sig_last_written_strb <= sig_dre_strb_out_i;
sig_input_ready <= sig_enable_input_rdy and
not(sig_pipeline_halt) and
not(sig_input_flush_stall) ;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_RESET_FLOP
--
-- Process Description:
-- Just a flop for generating an input disable while reset
-- is in progress.
--
-------------------------------------------------------------
IMP_RESET_FLOP : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1') then
sig_enable_input_rdy <= '0';
else
sig_enable_input_rdy <= '1';
end if;
end if;
end process IMP_RESET_FLOP;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_FLUSH_IN
--
-- Process Description:
-- Register for the flush signal
--
-------------------------------------------------------------
REG_FLUSH_IN : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1' or
sig_flush_db2 = '1') then
sig_flush_reg <= '0';
elsif (sig_input_accept = '1') then
sig_flush_reg <= dre_flush;
else
null; -- hold current state
end if;
end if;
end process REG_FLUSH_IN;
-------------------------------------------------------------
-- Combinational Process
--
-- Label: DO_FINAL_MUX_TLAST_OR
--
-- Process Description:
-- Look at all associated tlast bits in the Final Mux output
-- and detirmine if any are set.
--
--
-------------------------------------------------------------
DO_FINAL_MUX_TLAST_OR : process (sig_final_mux_bus)
Variable lvar_finalmux_or : std_logic_vector(NUM_BYTE_LANES-1 downto 0);
begin
lvar_finalmux_or(0) := sig_final_mux_bus(0)(SLICE_TLAST_INDEX);
for tlast_index in 1 to NUM_BYTE_LANES-1 loop
lvar_finalmux_or(tlast_index) :=
lvar_finalmux_or(tlast_index-1) or
sig_final_mux_bus(tlast_index)(SLICE_TLAST_INDEX);
end loop;
sig_final_mux_has_tlast <= lvar_finalmux_or(NUM_BYTE_LANES-1);
end process DO_FINAL_MUX_TLAST_OR;
------------------------------------------------------------------------
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: GEN_FLUSH_DB1
--
-- Process Description:
-- Creates the first sequential flag indicating that the DRE needs to flush out
-- current contents before allowing any new inputs. This is
-- triggered by the receipt of the TLAST.
--
-------------------------------------------------------------
GEN_FLUSH_DB1 : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
If (dre_rst = '1' or
sig_flush_db2_complete = '1') Then
sig_flush_db1 <= '0';
Elsif (sig_input_accept = '1') Then
sig_flush_db1 <= dre_flush or dre_in_tlast;
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process GEN_FLUSH_DB1;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: GEN_FLUSH_DB2
--
-- Process Description:
-- Creates a second sequential flag indicating that the DRE
-- is flushing out current contents. This is
-- triggered by the assertion of the first sequential flush
-- flag.
--
-------------------------------------------------------------
GEN_FLUSH_DB2 : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
If (dre_rst = '1' or
sig_flush_db2_complete = '1') Then
sig_flush_db2 <= '0';
elsif (sig_pipeline_halt = '0') then
sig_flush_db2 <= sig_flush_db1;
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process GEN_FLUSH_DB2;
-------------------------------------------------------------
-- Combinational Process
--
-- Label: CALC_DEST_STRB_ALIGN
--
-- Process Description:
-- This process calculates the byte lane position of the
-- left-most STRB that is unasserted on the DRE output STRB bus.
-- The resulting value is used as the Destination Alignment
-- Vector for the DRE.
--
-------------------------------------------------------------
CALC_DEST_STRB_ALIGN : process (sig_last_written_strb)
Variable lvar_last_strb_hole_position : Integer range 0 to NUM_BYTE_LANES;
Variable lvar_strb_hole_detected : Boolean;
Variable lvar_first_strb_assert_found : Boolean;
Variable lvar_loop_count : integer range 0 to NUM_BYTE_LANES;
Begin
lvar_loop_count := NUM_BYTE_LANES;
lvar_last_strb_hole_position := 0;
lvar_strb_hole_detected := FALSE;
lvar_first_strb_assert_found := FALSE;
-- Search through the output STRB bus starting with the MSByte
while (lvar_loop_count > 0) loop
If (sig_last_written_strb(lvar_loop_count-1) = '0' and
lvar_first_strb_assert_found = FALSE) Then
lvar_strb_hole_detected := TRUE;
lvar_last_strb_hole_position := lvar_loop_count-1;
Elsif (sig_last_written_strb(lvar_loop_count-1) = '1') Then
lvar_first_strb_assert_found := true;
else
null; -- do nothing
End if;
lvar_loop_count := lvar_loop_count - 1;
End loop;
-- now assign the encoder output value to the bit position of the last Strobe encountered
If (lvar_strb_hole_detected) Then
sig_current_dest_align <= STD_LOGIC_VECTOR(TO_UNSIGNED(lvar_last_strb_hole_position, ALIGN_VECT_WIDTH));
else
sig_current_dest_align <= STD_LOGIC_VECTOR(TO_UNSIGNED(NO_STRB_SET_VALUE, ALIGN_VECT_WIDTH));
End if;
end process CALC_DEST_STRB_ALIGN;
------------------------------------------------------------
------------------------------------------------------------
------------------------------------------------------------
-- For Generate
--
-- Label: FORMAT_OUTPUT_DATA_STRB
--
-- For Generate Description:
-- Connect the output Data and Strobe ports to the appropriate
-- bits in the sig_output_data_reg.
--
------------------------------------------------------------
FORMAT_OUTPUT_DATA_STRB : for byte_lane_index in 0 to NUM_BYTE_LANES-1 generate
begin
sig_dre_data_out_i(get_end_index(byte_lane_index, BYTE_WIDTH) downto
get_start_index(byte_lane_index, BYTE_WIDTH)) <=
sig_output_data_reg(byte_lane_index)(BYTE_WIDTH-1 downto 0);
sig_dre_strb_out_i(byte_lane_index) <=
sig_output_data_reg(byte_lane_index)(SLICE_WIDTH-2);
end generate FORMAT_OUTPUT_DATA_STRB;
------------------------------------------------------------
------------------------------------------------------------
------------------------------------------------------------
---------------------------------------------------------------------------------
-- Registers
------------------------------------------------------------
-- For Generate
--
-- Label: GEN_INPUT_REG
--
-- For Generate Description:
--
-- Implements a programble number of input register slices.
--
--
------------------------------------------------------------
GEN_INPUT_REG : for slice_index in 0 to NUM_BYTE_LANES-1 generate
begin
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: DO_INPUTREG_SLICE
--
-- Process Description:
-- Implement a single register slice for the Input Register.
--
-------------------------------------------------------------
DO_INPUTREG_SLICE : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1' or
sig_flush_db1_complete = '1' or -- clear on reset or if
(dre_in_tvalid = '1' and
sig_pipeline_halt = '0' and -- the pipe is being advanced and
dre_in_tstrb(slice_index) = '0')) then -- no new valid data id being loaded
sig_input_data_reg(slice_index) <= ZEROED_SLICE;
elsif (dre_in_tstrb(slice_index) = '1' and
sig_input_accept = '1') then
sig_input_data_reg(slice_index) <= sig_tlast_enables(slice_index) &
dre_in_tstrb(slice_index) &
dre_in_tdata((slice_index*8)+7 downto slice_index*8);
else
null; -- don't change state
end if;
end if;
end process DO_INPUTREG_SLICE;
end generate GEN_INPUT_REG;
------------------------------------------------------------
-- For Generate
--
-- Label: GEN_DELAY_REG
--
-- For Generate Description:
--
-- Implements a programble number of output register slices
--
--
------------------------------------------------------------
GEN_DELAY_REG : for slice_index in 0 to NUM_BYTE_LANES-1 generate
begin
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: DO_DELAYREG_SLICE
--
-- Process Description:
-- Implement a single register slice
--
-------------------------------------------------------------
DO_DELAYREG_SLICE : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1' or -- clear on reset or if
(sig_advance_pipe_data = '1' and -- the pipe is being advanced and
sig_delay_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '0')) then -- no new valid data id being loaded
sig_delay_data_reg(slice_index) <= ZEROED_SLICE;
elsif (sig_delay_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '1' and
sig_advance_pipe_data = '1') then
sig_delay_data_reg(slice_index) <= sig_delay_mux_bus(slice_index);
else
null; -- don't change state
end if;
end if;
end process DO_DELAYREG_SLICE;
end generate GEN_DELAY_REG;
------------------------------------------------------------
-- For Generate
--
-- Label: GEN_OUTPUT_REG
--
-- For Generate Description:
--
-- Implements a programble number of output register slices
--
--
------------------------------------------------------------
GEN_OUTPUT_REG : for slice_index in 0 to NUM_BYTE_LANES-1 generate
begin
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: DO_OUTREG_SLICE
--
-- Process Description:
-- Implement a single register slice
--
-------------------------------------------------------------
DO_OUTREG_SLICE : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1' or -- clear on reset or if
(sig_output_xfer = '1' and -- the output is being transfered and
sig_final_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '0')) then -- no new valid data id being loaded
sig_output_data_reg(slice_index) <= ZEROED_SLICE;
elsif (sig_final_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '1' and
sig_advance_pipe_data = '1') then
sig_output_data_reg(slice_index) <= sig_final_mux_bus(slice_index);
else
null; -- don't change state
end if;
end if;
end process DO_OUTREG_SLICE;
end generate GEN_OUTPUT_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: GEN_TVALID
--
-- Process Description:
-- This sync process generates the Write request for the
-- destination interface.
--
-------------------------------------------------------------
GEN_TVALID : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1') then
sig_dre_tvalid_i <= '0';
elsif (sig_advance_pipe_data = '1') then
sig_dre_tvalid_i <= sig_final_mux_bus(NUM_BYTE_LANES-1)(SLICE_STROBE_INDEX) or -- MS Strobe is set or
sig_final_mux_has_tlast; -- the Last data beat of a packet
Elsif (dre_out_tready = '1' and -- a completed write but no
sig_dre_tvalid_i = '1') Then -- new input data so clear
-- until more input data shows up
sig_dre_tvalid_i <= '0';
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process GEN_TVALID;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: GEN_TLAST_OUT
--
-- Process Description:
-- This sync process generates the TLAST output for the
-- destination interface.
--
-------------------------------------------------------------
GEN_TLAST_OUT : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1') then
sig_tlast_out <= '0';
elsif (sig_advance_pipe_data = '1') then
sig_tlast_out <= sig_final_mux_has_tlast;
Elsif (dre_out_tready = '1' and -- a completed transfer
sig_dre_tvalid_i = '1') Then -- so clear tlast
sig_tlast_out <= '0';
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process GEN_TLAST_OUT;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_MUXFARM_64
--
-- If Generate Description:
-- Support Logic and Mux Farm for 64-bit data path case
--
--
------------------------------------------------------------
GEN_MUXFARM_64 : if (C_DWIDTH = 64) generate
signal sig_cntl_state_64 : std_logic_vector(5 downto 0) := (others => '0');
Signal s_case_i_64 : Integer range 0 to 7 := 0;
Signal sig_shift_case_i : std_logic_vector(2 downto 0) := (others => '0');
Signal sig_shift_case_reg : std_logic_vector(2 downto 0) := (others => '0');
Signal sig_final_mux_sel : std_logic_vector(7 downto 0) := (others => '0');
begin
-------------------------------------------------------------
-- Combinational Process
--
-- Label: FIND_MS_STRB_SET_8
--
-- Process Description:
-- This process finds the most significant asserted strobe
-- position. This position is used to enable the input flop
-- for TLAST that is associated with that byte position. The
-- TLAST can then flow through the DRE pipe with the last
-- valid byte of data.
--
-------------------------------------------------------------
FIND_MS_STRB_SET_8 : process (dre_in_tlast,
dre_in_tstrb,
sig_tlast_strobes)
begin
sig_tlast_strobes <= dre_in_tstrb(7 downto 0); -- makes case choice locally static
if (dre_in_tlast = '0') then
sig_tlast_enables <= "00000000";
elsif (sig_tlast_strobes(7) = '1') then
sig_tlast_enables <= "10000000";
elsif (sig_tlast_strobes(6) = '1') then
sig_tlast_enables <= "01000000";
elsif (sig_tlast_strobes(5) = '1') then
sig_tlast_enables <= "00100000";
elsif (sig_tlast_strobes(4) = '1') then
sig_tlast_enables <= "00010000";
elsif (sig_tlast_strobes(3) = '1') then
sig_tlast_enables <= "00001000";
elsif (sig_tlast_strobes(2) = '1') then
sig_tlast_enables <= "00000100";
elsif (sig_tlast_strobes(1) = '1') then
sig_tlast_enables <= "00000010";
else
sig_tlast_enables <= "00000001";
end if;
end process FIND_MS_STRB_SET_8;
---------------------------------------------------------------------------------
-- Shift Case logic
-- The new auto-destination alignment is based on the last
-- strobe alignment written into the output register.
sig_next_auto_dest <= sig_current_dest_align;
-- Select the destination alignment to use
sig_dest_align_i <= sig_next_auto_dest
When (dre_use_autodest = '1')
Else dre_dest_align;
-- Convert shift case to sld_logic_vector
--sig_shift_case_i <= CONV_STD_LOGIC_VECTOR(s_case_i_64, 3);
sig_shift_case_i <= STD_LOGIC_VECTOR(TO_UNSIGNED(s_case_i_64, 3));
-------------------------------------------------------------
-- Combinational Process
--
-- Label: DO_SHIFT_CASE_64
--
-- Process Description:
-- Implements the DRE Control State Calculator
--
-------------------------------------------------------------
DO_SHIFT_CASE_64 : process (dre_src_align ,
sig_dest_align_i,
sig_cntl_state_64)
-- signal sig_cntl_state_64 : std_logic_vector(5 downto 0);
-- Signal s_case_i_64 : Integer range 0 to 7;
begin
sig_cntl_state_64 <= dre_src_align & sig_dest_align_i;
case sig_cntl_state_64 is
when "000000" =>
s_case_i_64 <= 0;
when "000001" =>
s_case_i_64 <= 7;
when "000010" =>
s_case_i_64 <= 6;
when "000011" =>
s_case_i_64 <= 5;
when "000100" =>
s_case_i_64 <= 4;
when "000101" =>
s_case_i_64 <= 3;
when "000110" =>
s_case_i_64 <= 2;
when "000111" =>
s_case_i_64 <= 1;
when "001000" =>
s_case_i_64 <= 1;
when "001001" =>
s_case_i_64 <= 0;
when "001010" =>
s_case_i_64 <= 7;
when "001011" =>
s_case_i_64 <= 6;
when "001100" =>
s_case_i_64 <= 5;
when "001101" =>
s_case_i_64 <= 4;
when "001110" =>
s_case_i_64 <= 3;
when "001111" =>
s_case_i_64 <= 2;
when "010000" =>
s_case_i_64 <= 2;
when "010001" =>
s_case_i_64 <= 1;
when "010010" =>
s_case_i_64 <= 0;
when "010011" =>
s_case_i_64 <= 7;
when "010100" =>
s_case_i_64 <= 6;
when "010101" =>
s_case_i_64 <= 5;
when "010110" =>
s_case_i_64 <= 4;
when "010111" =>
s_case_i_64 <= 3;
when "011000" =>
s_case_i_64 <= 3;
when "011001" =>
s_case_i_64 <= 2;
when "011010" =>
s_case_i_64 <= 1;
when "011011" =>
s_case_i_64 <= 0;
when "011100" =>
s_case_i_64 <= 7;
when "011101" =>
s_case_i_64 <= 6;
when "011110" =>
s_case_i_64 <= 5;
when "011111" =>
s_case_i_64 <= 4;
when "100000" =>
s_case_i_64 <= 4;
when "100001" =>
s_case_i_64 <= 3;
when "100010" =>
s_case_i_64 <= 2;
when "100011" =>
s_case_i_64 <= 1;
when "100100" =>
s_case_i_64 <= 0;
when "100101" =>
s_case_i_64 <= 7;
when "100110" =>
s_case_i_64 <= 6;
when "100111" =>
s_case_i_64 <= 5;
when "101000" =>
s_case_i_64 <= 5;
when "101001" =>
s_case_i_64 <= 4;
when "101010" =>
s_case_i_64 <= 3;
when "101011" =>
s_case_i_64 <= 2;
when "101100" =>
s_case_i_64 <= 1;
when "101101" =>
s_case_i_64 <= 0;
when "101110" =>
s_case_i_64 <= 7;
when "101111" =>
s_case_i_64 <= 6;
when "110000" =>
s_case_i_64 <= 6;
when "110001" =>
s_case_i_64 <= 5;
when "110010" =>
s_case_i_64 <= 4;
when "110011" =>
s_case_i_64 <= 3;
when "110100" =>
s_case_i_64 <= 2;
when "110101" =>
s_case_i_64 <= 1;
when "110110" =>
s_case_i_64 <= 0;
when "110111" =>
s_case_i_64 <= 7;
when "111000" =>
s_case_i_64 <= 7;
when "111001" =>
s_case_i_64 <= 6;
when "111010" =>
s_case_i_64 <= 5;
when "111011" =>
s_case_i_64 <= 4;
when "111100" =>
s_case_i_64 <= 3;
when "111101" =>
s_case_i_64 <= 2;
when "111110" =>
s_case_i_64 <= 1;
when "111111" =>
s_case_i_64 <= 0;
when others =>
NULL;
end case;
end process DO_SHIFT_CASE_64;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_SHIFT_CASE
--
-- Process Description:
-- This process registers the Shift Case output from the
-- Shift Case Generator. This will be used to control the
-- select inputs of the Shift Muxes for the duration of the
-- data transfer session. If Pass Through is requested, then
-- Shift Case 0 is forced regardless of source and destination
-- alignment values.
--
-------------------------------------------------------------
REG_SHIFT_CASE : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1') then
sig_shift_case_reg <= (others => '0');
elsif (dre_new_align = '1' and
sig_input_accept = '1') then
sig_shift_case_reg <= sig_shift_case_i;
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process REG_SHIFT_CASE;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start PASS Mux Farm Design-------------------------------------------------
-- Pass Mux Byte 0 (wire)
-- This is a wire so.....
sig_pass_mux_bus(0) <= sig_input_data_reg(0);
-- Pass Mux Byte 1 (2-1 x8 Mux)
I_MUX2_1_PASS_B1 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(0) ,
I0 => sig_input_data_reg(1) ,
I1 => sig_input_data_reg(0) ,
Y => sig_pass_mux_bus(1)
);
-- Pass Mux Byte 2 (4-1 x8 Mux)
I_MUX4_1_PASS_B2 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => sig_input_data_reg(2) ,
I1 => ZEROED_SLICE ,
I2 => sig_input_data_reg(0) ,
I3 => sig_input_data_reg(1) ,
Y => sig_pass_mux_bus(2)
);
-- Pass Mux Byte 3 (4-1 x8 Mux)
I_MUX4_1_PASS_B3 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => sig_input_data_reg(3) ,
I1 => sig_input_data_reg(0) ,
I2 => sig_input_data_reg(1) ,
I3 => sig_input_data_reg(2) ,
Y => sig_pass_mux_bus(3)
);
-- Pass Mux Byte 4 (8-1 x8 Mux)
I_MUX8_1_PASS_B4 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0),
I0 => sig_input_data_reg(4) ,
I1 => ZEROED_SLICE ,
I2 => ZEROED_SLICE ,
I3 => ZEROED_SLICE ,
I4 => sig_input_data_reg(0) ,
I5 => sig_input_data_reg(1) ,
I6 => sig_input_data_reg(2) ,
I7 => sig_input_data_reg(3) ,
Y => sig_pass_mux_bus(4)
);
-- Pass Mux Byte 5 (8-1 x8 Mux)
I_MUX8_1_PASS_B5 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0),
I0 => sig_input_data_reg(5) ,
I1 => ZEROED_SLICE ,
I2 => ZEROED_SLICE ,
I3 => sig_input_data_reg(0) ,
I4 => sig_input_data_reg(1) ,
I5 => sig_input_data_reg(2) ,
I6 => sig_input_data_reg(3) ,
I7 => sig_input_data_reg(4) ,
Y => sig_pass_mux_bus(5)
);
-- Pass Mux Byte 6 (8-1 x8 Mux)
I_MUX8_1_PASS_B6 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0),
I0 => sig_input_data_reg(6) ,
I1 => ZEROED_SLICE ,
I2 => sig_input_data_reg(0) ,
I3 => sig_input_data_reg(1) ,
I4 => sig_input_data_reg(2) ,
I5 => sig_input_data_reg(3) ,
I6 => sig_input_data_reg(4) ,
I7 => sig_input_data_reg(5) ,
Y => sig_pass_mux_bus(6)
);
-- Pass Mux Byte 7 (8-1 x8 Mux)
I_MUX8_1_PASS_B7 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0),
I0 => sig_input_data_reg(7) ,
I1 => sig_input_data_reg(0) ,
I2 => sig_input_data_reg(1) ,
I3 => sig_input_data_reg(2) ,
I4 => sig_input_data_reg(3) ,
I5 => sig_input_data_reg(4) ,
I6 => sig_input_data_reg(5) ,
I7 => sig_input_data_reg(6) ,
Y => sig_pass_mux_bus(7)
);
-- End PASS Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start Delay Mux Farm Design-------------------------------------------------
-- Delay Mux Byte 0 (8-1 x8 Mux)
I_MUX8_1_DLY_B0 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0) ,
I0 => ZEROED_SLICE ,
I1 => sig_input_data_reg(1) ,
I2 => sig_input_data_reg(2) ,
I3 => sig_input_data_reg(3) ,
I4 => sig_input_data_reg(4) ,
I5 => sig_input_data_reg(5) ,
I6 => sig_input_data_reg(6) ,
I7 => sig_input_data_reg(7) ,
Y => sig_delay_mux_bus(0)
);
-- Delay Mux Byte 1 (8-1 x8 Mux)
I_MUX8_1_DLY_B1 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0),
I0 => ZEROED_SLICE ,
I1 => sig_input_data_reg(2) ,
I2 => sig_input_data_reg(3) ,
I3 => sig_input_data_reg(4) ,
I4 => sig_input_data_reg(5) ,
I5 => sig_input_data_reg(6) ,
I6 => sig_input_data_reg(7) ,
I7 => ZEROED_SLICE ,
Y => sig_delay_mux_bus(1)
);
-- Delay Mux Byte 2 (8-1 x8 Mux)
I_MUX8_1_DLY_B2 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0),
I0 => ZEROED_SLICE ,
I1 => sig_input_data_reg(3) ,
I2 => sig_input_data_reg(4) ,
I3 => sig_input_data_reg(5) ,
I4 => sig_input_data_reg(6) ,
I5 => sig_input_data_reg(7) ,
I6 => ZEROED_SLICE ,
I7 => ZEROED_SLICE ,
Y => sig_delay_mux_bus(2)
);
-- Delay Mux Byte 3 (4-1 x8 Mux)
I_MUX4_1_DLY_B3 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => sig_input_data_reg(7) ,
I1 => sig_input_data_reg(4) ,
I2 => sig_input_data_reg(5) ,
I3 => sig_input_data_reg(6) ,
Y => sig_delay_mux_bus(3)
);
-- Delay Mux Byte 4 (4-1 x8 Mux)
I_MUX4_1_DLY_B4 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => ZEROED_SLICE ,
I1 => sig_input_data_reg(5) ,
I2 => sig_input_data_reg(6) ,
I3 => sig_input_data_reg(7) ,
Y => sig_delay_mux_bus(4)
);
-- Delay Mux Byte 5 (2-1 x8 Mux)
I_MUX2_1_DLY_B5 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH -- : Integer := 8
)
port map(
Sel => sig_shift_case_reg(0),
I0 => sig_input_data_reg(7),
I1 => sig_input_data_reg(6),
Y => sig_delay_mux_bus(5)
);
-- Delay Mux Byte 6 (Wire)
sig_delay_mux_bus(6) <= sig_input_data_reg(7);
-- Delay Mux Byte 7 (Zeroed)
sig_delay_mux_bus(7) <= ZEROED_SLICE;
-- End Delay Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start Final Mux Farm Design-------------------------------------------------
-- Final Mux Byte 0 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B0_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 0 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B0_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(0) <= '0';
when "001" =>
sig_final_mux_sel(0) <= '1';
when "010" =>
sig_final_mux_sel(0) <= '1';
when "011" =>
sig_final_mux_sel(0) <= '1';
when "100" =>
sig_final_mux_sel(0) <= '1';
when "101" =>
sig_final_mux_sel(0) <= '1';
when "110" =>
sig_final_mux_sel(0) <= '1';
when "111" =>
sig_final_mux_sel(0) <= '1';
when others =>
sig_final_mux_sel(0) <= '0';
end case;
end process MUX2_1_FINAL_B0_CNTL;
I_MUX2_1_FINAL_B0 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(0) ,
I0 => sig_input_data_reg(0),
I1 => sig_delay_data_reg(0),
Y => sig_final_mux_bus(0)
);
-- Final Mux Byte 1 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B1_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 1 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B1_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(1) <= '0';
when "001" =>
sig_final_mux_sel(1) <= '1';
when "010" =>
sig_final_mux_sel(1) <= '1';
when "011" =>
sig_final_mux_sel(1) <= '1';
when "100" =>
sig_final_mux_sel(1) <= '1';
when "101" =>
sig_final_mux_sel(1) <= '1';
when "110" =>
sig_final_mux_sel(1) <= '1';
when "111" =>
sig_final_mux_sel(1) <= '0';
when others =>
sig_final_mux_sel(1) <= '0';
end case;
end process MUX2_1_FINAL_B1_CNTL;
I_MUX2_1_FINAL_B1 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(1) ,
I0 => sig_pass_mux_bus(1) ,
I1 => sig_delay_data_reg(1),
Y => sig_final_mux_bus(1)
);
-- Final Mux Byte 2 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B2_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 2 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B2_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(2) <= '0';
when "001" =>
sig_final_mux_sel(2) <= '1';
when "010" =>
sig_final_mux_sel(2) <= '1';
when "011" =>
sig_final_mux_sel(2) <= '1';
when "100" =>
sig_final_mux_sel(2) <= '1';
when "101" =>
sig_final_mux_sel(2) <= '1';
when "110" =>
sig_final_mux_sel(2) <= '0';
when "111" =>
sig_final_mux_sel(2) <= '0';
when others =>
sig_final_mux_sel(2) <= '0';
end case;
end process MUX2_1_FINAL_B2_CNTL;
I_MUX2_1_FINAL_B2 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(2) ,
I0 => sig_pass_mux_bus(2) ,
I1 => sig_delay_data_reg(2),
Y => sig_final_mux_bus(2)
);
-- Final Mux Byte 3 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B3_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 3 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B3_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(3) <= '0';
when "001" =>
sig_final_mux_sel(3) <= '1';
when "010" =>
sig_final_mux_sel(3) <= '1';
when "011" =>
sig_final_mux_sel(3) <= '1';
when "100" =>
sig_final_mux_sel(3) <= '1';
when "101" =>
sig_final_mux_sel(3) <= '0';
when "110" =>
sig_final_mux_sel(3) <= '0';
when "111" =>
sig_final_mux_sel(3) <= '0';
when others =>
sig_final_mux_sel(3) <= '0';
end case;
end process MUX2_1_FINAL_B3_CNTL;
I_MUX2_1_FINAL_B3 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(3) ,
I0 => sig_pass_mux_bus(3) ,
I1 => sig_delay_data_reg(3),
Y => sig_final_mux_bus(3)
);
-- Final Mux Byte 4 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B4_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 4 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B4_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(4) <= '0';
when "001" =>
sig_final_mux_sel(4) <= '1';
when "010" =>
sig_final_mux_sel(4) <= '1';
when "011" =>
sig_final_mux_sel(4) <= '1';
when "100" =>
sig_final_mux_sel(4) <= '0';
when "101" =>
sig_final_mux_sel(4) <= '0';
when "110" =>
sig_final_mux_sel(4) <= '0';
when "111" =>
sig_final_mux_sel(4) <= '0';
when others =>
sig_final_mux_sel(4) <= '0';
end case;
end process MUX2_1_FINAL_B4_CNTL;
I_MUX2_1_FINAL_B4 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(4) ,
I0 => sig_pass_mux_bus(4) ,
I1 => sig_delay_data_reg(4),
Y => sig_final_mux_bus(4)
);
-- Final Mux Byte 5 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B5_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 5 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B5_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(5) <= '0';
when "001" =>
sig_final_mux_sel(5) <= '1';
when "010" =>
sig_final_mux_sel(5) <= '1';
when "011" =>
sig_final_mux_sel(5) <= '0';
when "100" =>
sig_final_mux_sel(5) <= '0';
when "101" =>
sig_final_mux_sel(5) <= '0';
when "110" =>
sig_final_mux_sel(5) <= '0';
when "111" =>
sig_final_mux_sel(5) <= '0';
when others =>
sig_final_mux_sel(5) <= '0';
end case;
end process MUX2_1_FINAL_B5_CNTL;
I_MUX2_1_FINAL_B5 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(5) ,
I0 => sig_pass_mux_bus(5) ,
I1 => sig_delay_data_reg(5),
Y => sig_final_mux_bus(5)
);
-- Final Mux Byte 6 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B6_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 6 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B6_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(6) <= '0';
when "001" =>
sig_final_mux_sel(6) <= '1';
when "010" =>
sig_final_mux_sel(6) <= '0';
when "011" =>
sig_final_mux_sel(6) <= '0';
when "100" =>
sig_final_mux_sel(6) <= '0';
when "101" =>
sig_final_mux_sel(6) <= '0';
when "110" =>
sig_final_mux_sel(6) <= '0';
when "111" =>
sig_final_mux_sel(6) <= '0';
when others =>
sig_final_mux_sel(6) <= '0';
end case;
end process MUX2_1_FINAL_B6_CNTL;
I_MUX2_1_FINAL_B6 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(6) ,
I0 => sig_pass_mux_bus(6) ,
I1 => sig_delay_data_reg(6),
Y => sig_final_mux_bus(6)
);
-- Final Mux Byte 7 (wire)
sig_final_mux_sel(7) <= '0';
sig_final_mux_bus(7) <= sig_pass_mux_bus(7);
-- End Final Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
end generate GEN_MUXFARM_64;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_MUXFARM_32
--
-- If Generate Description:
-- Support Logic and Mux Farm for 32-bit data path case
--
--
------------------------------------------------------------
GEN_MUXFARM_32 : if (C_DWIDTH = 32) generate
signal sig_cntl_state_32 : std_logic_vector(3 downto 0);
Signal s_case_i_32 : Integer range 0 to 3;
Signal sig_shift_case_i : std_logic_vector(1 downto 0);
Signal sig_shift_case_reg : std_logic_vector(1 downto 0);
Signal sig_final_mux_sel : std_logic_vector(3 downto 0);
begin
-------------------------------------------------------------
-- Combinational Process
--
-- Label: FIND_MS_STRB_SET_4
--
-- Process Description:
-- This process finds the most significant asserted strobe
-- position. This position is used to enable the input flop
-- for TLAST that is associated with that byte position. The
-- TLAST can then flow through the DRE pipe with the last
-- valid byte of data.
--
-------------------------------------------------------------
FIND_MS_STRB_SET_4 : process (dre_in_tlast,
dre_in_tstrb,
sig_tlast_strobes)
begin
sig_tlast_strobes <= dre_in_tstrb(3 downto 0); -- makes case choice locally static
if (dre_in_tlast = '0') then
sig_tlast_enables <= "0000";
elsif (sig_tlast_strobes(3) = '1') then
sig_tlast_enables <= "1000";
elsif (sig_tlast_strobes(2) = '1') then
sig_tlast_enables <= "0100";
elsif (sig_tlast_strobes(1) = '1') then
sig_tlast_enables <= "0010";
else
sig_tlast_enables <= "0001";
end if;
end process FIND_MS_STRB_SET_4;
---------------------------------------------------------------------------------
-- Shift Case logic
-- The new auto-destination alignment is based on the last
-- strobe alignment written into the output register.
sig_next_auto_dest <= sig_current_dest_align;
-- Select the destination alignment to use
sig_dest_align_i <= sig_next_auto_dest
When (dre_use_autodest = '1')
Else dre_dest_align;
-- Convert shift case to sld_logic_vector
--sig_shift_case_i <= CONV_STD_LOGIC_VECTOR(s_case_i_32, 2);
sig_shift_case_i <= STD_LOGIC_VECTOR(TO_UNSIGNED(s_case_i_32, 2));
-------------------------------------------------------------
-- Combinational Process
--
-- Label: DO_SHIFT_CASE_32
--
-- Process Description:
-- Implements the DRE Control State Calculator
--
-------------------------------------------------------------
DO_SHIFT_CASE_32 : process (dre_src_align ,
sig_dest_align_i,
sig_cntl_state_32)
begin
sig_cntl_state_32 <= dre_src_align(1 downto 0) & sig_dest_align_i(1 downto 0);
case sig_cntl_state_32 is
when "0000" =>
s_case_i_32 <= 0;
when "0001" =>
s_case_i_32 <= 3;
when "0010" =>
s_case_i_32 <= 2;
when "0011" =>
s_case_i_32 <= 1;
when "0100" =>
s_case_i_32 <= 1;
when "0101" =>
s_case_i_32 <= 0;
when "0110" =>
s_case_i_32 <= 3;
when "0111" =>
s_case_i_32 <= 2;
when "1000" =>
s_case_i_32 <= 2;
when "1001" =>
s_case_i_32 <= 1;
when "1010" =>
s_case_i_32 <= 0;
when "1011" =>
s_case_i_32 <= 3;
when "1100" =>
s_case_i_32 <= 3;
when "1101" =>
s_case_i_32 <= 2;
when "1110" =>
s_case_i_32 <= 1;
when "1111" =>
s_case_i_32 <= 0;
when others =>
NULL;
end case;
end process DO_SHIFT_CASE_32;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_SHIFT_CASE
--
-- Process Description:
-- This process registers the Shift Case output from the
-- Shift Case Generator. This will be used to control the
-- select inputs of the Shift Muxes for the duration of the
-- data transfer session. If Pass Through is requested, then
-- Shift Case 0 is forced regardless of source and destination
-- alignment values.
--
-------------------------------------------------------------
REG_SHIFT_CASE : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1') then
sig_shift_case_reg <= (others => '0');
elsif (dre_new_align = '1' and
sig_input_accept = '1') then
sig_shift_case_reg <= sig_shift_case_i;
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process REG_SHIFT_CASE;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start PASS Mux Farm Design-------------------------------------------------
-- Pass Mux Byte 0 (wire)
-- This is a wire so.....
sig_pass_mux_bus(0) <= sig_input_data_reg(0);
-- Pass Mux Byte 1 (2-1 x8 Mux)
I_MUX2_1_PASS_B1 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(0),
I0 => sig_input_data_reg(1),
I1 => sig_input_data_reg(0),
Y => sig_pass_mux_bus(1)
);
-- Pass Mux Byte 2 (4-1 x8 Mux)
I_MUX4_1_PASS_B2 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => sig_input_data_reg(2) ,
I1 => ZEROED_SLICE ,
I2 => sig_input_data_reg(0) ,
I3 => sig_input_data_reg(1) ,
Y => sig_pass_mux_bus(2)
);
-- Pass Mux Byte 3 (4-1 x8 Mux)
I_MUX4_1_PASS_B3 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => sig_input_data_reg(3) ,
I1 => sig_input_data_reg(0) ,
I2 => sig_input_data_reg(1) ,
I3 => sig_input_data_reg(2) ,
Y => sig_pass_mux_bus(3)
);
-- End PASS Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start Delay Mux Farm Design-------------------------------------------------
-- Delay Mux Byte 0 (4-1 x8 Mux)
I_MUX4_1_DLY_B4 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => ZEROED_SLICE ,
I1 => sig_input_data_reg(1) ,
I2 => sig_input_data_reg(2) ,
I3 => sig_input_data_reg(3) ,
Y => sig_delay_mux_bus(0)
);
-- Delay Mux Byte 1 (2-1 x8 Mux)
I_MUX2_1_DLY_B5 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(0),
I0 => sig_input_data_reg(3),
I1 => sig_input_data_reg(2),
Y => sig_delay_mux_bus(1)
);
-- Delay Mux Byte 2 (Wire)
sig_delay_mux_bus(2) <= sig_input_data_reg(3);
-- Delay Mux Byte 3 (Zeroed)
sig_delay_mux_bus(3) <= ZEROED_SLICE;
-- End Delay Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start Final Mux Farm Design-------------------------------------------------
-- Final Mux Slice 0 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B0_CNTL
--
-- Process Description:
-- This process generates the Select Control for Slice 0 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B0_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "00" =>
sig_final_mux_sel(0) <= '0';
when "01" =>
sig_final_mux_sel(0) <= '1';
when "10" =>
sig_final_mux_sel(0) <= '1';
when "11" =>
sig_final_mux_sel(0) <= '1';
when others =>
sig_final_mux_sel(0) <= '0';
end case;
end process MUX2_1_FINAL_B0_CNTL;
I_MUX2_1_FINAL_B0 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(0) ,
I0 => sig_pass_mux_bus(0) ,
I1 => sig_delay_data_reg(0),
Y => sig_final_mux_bus(0)
);
-- Final Mux Slice 1 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B1_CNTL
--
-- Process Description:
-- This process generates the Select Control for slice 1 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B1_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "00" =>
sig_final_mux_sel(1) <= '0';
when "01" =>
sig_final_mux_sel(1) <= '1';
when "10" =>
sig_final_mux_sel(1) <= '1';
when "11" =>
sig_final_mux_sel(1) <= '0';
when others =>
sig_final_mux_sel(1) <= '0';
end case;
end process MUX2_1_FINAL_B1_CNTL;
I_MUX2_1_FINAL_B1 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(1) ,
I0 => sig_pass_mux_bus(1) ,
I1 => sig_delay_data_reg(1),
Y => sig_final_mux_bus(1)
);
-- Final Mux Slice 2 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B2_CNTL
--
-- Process Description:
-- This process generates the Select Control for Slice 2 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B2_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "00" =>
sig_final_mux_sel(2) <= '0';
when "01" =>
sig_final_mux_sel(2) <= '1';
when "10" =>
sig_final_mux_sel(2) <= '0';
when "11" =>
sig_final_mux_sel(2) <= '0';
when others =>
sig_final_mux_sel(2) <= '0';
end case;
end process MUX2_1_FINAL_B2_CNTL;
I_MUX2_1_FINAL_B2 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(2) ,
I0 => sig_pass_mux_bus(2) ,
I1 => sig_delay_data_reg(2),
Y => sig_final_mux_bus(2)
);
-- Final Mux Slice 3 (wire)
sig_final_mux_sel(3) <= '0';
sig_final_mux_bus(3) <= sig_pass_mux_bus(3);
-- End Final Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
end generate GEN_MUXFARM_32;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_MUXFARM_16
--
-- If Generate Description:
-- Support Logic and Mux Farm for 16-bit data path case
--
--
------------------------------------------------------------
GEN_MUXFARM_16 : if (C_DWIDTH = 16) generate
signal sig_cntl_state_16 : std_logic_vector(1 downto 0);
Signal s_case_i_16 : Integer range 0 to 1;
Signal sig_shift_case_i : std_logic;
Signal sig_shift_case_reg : std_logic;
Signal sig_final_mux_sel : std_logic_vector(1 downto 0);
begin
-------------------------------------------------------------
-- Combinational Process
--
-- Label: FIND_MS_STRB_SET_2
--
-- Process Description:
-- This process finds the most significant asserted strobe
-- position. This position is used to enable the input flop
-- for TLAST that is associated with that byte position. The
-- TLAST can then flow through the DRE pipe with the last
-- valid byte of data.
--
-------------------------------------------------------------
FIND_MS_STRB_SET_2 : process (dre_in_tlast,
dre_in_tstrb,
sig_tlast_strobes)
begin
sig_tlast_strobes <= dre_in_tstrb(1 downto 0); -- makes case choice locally static
if (dre_in_tlast = '0') then
sig_tlast_enables <= "00";
elsif (sig_tlast_strobes(1) = '1') then
sig_tlast_enables <= "10";
else
sig_tlast_enables <= "01";
end if;
end process FIND_MS_STRB_SET_2;
---------------------------------------------------------------------------------
-- Shift Case logic
-- The new auto-destination alignment is based on the last
-- strobe alignment written into the output register.
sig_next_auto_dest <= sig_current_dest_align;
-- Select the destination alignment to use
sig_dest_align_i <= sig_next_auto_dest
When (dre_use_autodest = '1')
Else dre_dest_align;
-- Convert shift case to std_logic
sig_shift_case_i <= '1'
When s_case_i_16 = 1
Else '0';
-------------------------------------------------------------
-- Combinational Process
--
-- Label: DO_SHIFT_CASE_16
--
-- Process Description:
-- Implements the DRE Control State Calculator
--
-------------------------------------------------------------
DO_SHIFT_CASE_16 : process (dre_src_align ,
sig_dest_align_i,
sig_cntl_state_16)
begin
sig_cntl_state_16 <= dre_src_align(0) & sig_dest_align_i(0);
case sig_cntl_state_16 is
when "00" =>
s_case_i_16 <= 0;
when "01" =>
s_case_i_16 <= 1;
when "10" =>
s_case_i_16 <= 1;
when "11" =>
s_case_i_16 <= 0;
when others =>
NULL;
end case;
end process DO_SHIFT_CASE_16;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_SHIFT_CASE
--
-- Process Description:
-- This process registers the Shift Case output from the
-- Shift Case Generator. This will be used to control the
-- select inputs of the Shift Muxes for the duration of the
-- data transfer session. If Pass Through is requested, then
-- Shift Case 0 is forced regardless of source and destination
-- alignment values.
--
-------------------------------------------------------------
REG_SHIFT_CASE : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1') then
sig_shift_case_reg <= '0';
elsif (dre_new_align = '1' and
sig_input_accept = '1') then
sig_shift_case_reg <= sig_shift_case_i;
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process REG_SHIFT_CASE;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start PASS Mux Farm Design-------------------------------------------------
-- Pass Mux Byte 0 (wire)
-- This is a wire so.....
sig_pass_mux_bus(0) <= sig_input_data_reg(0);
-- Pass Mux Byte 1 (2-1 x8 Mux)
I_MUX2_1_PASS_B1 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg,
I0 => sig_input_data_reg(1),
I1 => sig_input_data_reg(0),
Y => sig_pass_mux_bus(1)
);
-- End PASS Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start Delay Mux Farm Design-------------------------------------------------
-- Delay Mux Slice 0 (Wire)
sig_delay_mux_bus(0) <= sig_input_data_reg(1);
-- Delay Mux Slice 1 (Zeroed)
sig_delay_mux_bus(1) <= ZEROED_SLICE;
-- End Delay Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start Final Mux Farm Design-------------------------------------------------
-- Final Mux Slice 0 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B0_CNTL
--
-- Process Description:
-- This process generates the Select Control for Slice 0 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B0_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when '0' =>
sig_final_mux_sel(0) <= '0';
when others =>
sig_final_mux_sel(0) <= '1';
end case;
end process MUX2_1_FINAL_B0_CNTL;
I_MUX2_1_FINAL_B0 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(0) ,
I0 => sig_pass_mux_bus(0) ,
I1 => sig_delay_data_reg(0),
Y => sig_final_mux_bus(0)
);
-- Final Mux Slice 1 (wire)
sig_final_mux_sel(1) <= '0';
sig_final_mux_bus(1) <= sig_pass_mux_bus(1);
-- End Final Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
end generate GEN_MUXFARM_16;
end implementation;
| gpl-3.0 | 3d92ad5d66ddf336065d43e86d0e798a | 0.368507 | 4.598923 | false | false | false | false |
tgingold/ghdl | libraries/vital2000/memory_b.vhdl | 6 | 275,738 | -- ----------------------------------------------------------------------------
-- Title : Standard VITAL Memory Package
-- :
-- Library : Vital_Memory
-- :
-- Developers : IEEE DASC Timing Working Group (TWG), PAR 1076.4
-- : Ekambaram Balaji, LSI Logic Corporation
-- : Jose De Castro, Consultant
-- : Prakash Bare, GDA Technologies
-- : William Yam, LSI Logic Corporation
-- : Dennis Brophy, Model Technology
-- :
-- Purpose : This packages defines standard types, constants, functions
-- : and procedures for use in developing ASIC memory models.
-- :
-- ----------------------------------------------------------------------------
--
-- ----------------------------------------------------------------------------
-- Modification History :
-- ----------------------------------------------------------------------------
-- Ver:|Auth:| Date:| Changes Made:
-- 0.1 | eb |071796| First prototye as part of VITAL memory proposal
-- 0.2 | jdc |012897| Initial prototyping with proposed MTM scheme
-- 0.3 | jdc |090297| Extensive updates for TAG review (functional)
-- 0.4 | eb |091597| Changed naming conventions for VitalMemoryTable
-- | | | Added interface of VitalMemoryCrossPorts() &
-- | | | VitalMemoryViolation().
-- 0.5 | jdc |092997| Completed naming changes thoughout package body.
-- | | | Testing with simgle port test model looks ok.
-- 0.6 | jdc |121797| Major updates to the packages:
-- | | | - Implement VitalMemoryCrossPorts()
-- | | | - Use new VitalAddressValueType
-- | | | - Use new VitalCrossPortModeType enum
-- | | | - Overloading without SamePort args
-- | | | - Honor erroneous address values
-- | | | - Honor ports disabled with 'Z'
-- | | | - Implement implicit read 'M' table symbol
-- | | | - Cleanup buses to use (H DOWNTO L)
-- | | | - Message control via MsgOn,HeaderMsg,PortName
-- | | | - Tested with 1P1RW,2P2RW,4P2R2W,4P4RW cases
-- 0.7 | jdc |052698| Bug fixes to the packages:
-- | | | - Fix failure with negative Address values
-- | | | - Added debug messages for VMT table search
-- | | | - Remove 'S' for action column (only 's')
-- | | | - Remove 's' for response column (only 'S')
-- | | | - Remove 'X' for action and response columns
-- 0.8 | jdc |061298| Implemented VitalMemoryViolation()
-- | | | - Minimal functionality violation tables
-- | | | - Missing:
-- | | | - Cannot handle wide violation variables
-- | | | - Cannot handle sub-word cases
-- | | | Fixed IIC version of MemoryMatch
-- | | | Fixed 'M' vs 'm' switched on debug output
-- | | | TO BE DONE:
-- | | | - Implement 'd' corrupting a single bit
-- | | | - Implement 'D' corrupting a single bit
-- 0.9 |eb/sc|080498| Added UNDEF value for VitalPortFlagType
-- 0.10|eb/sc|080798| Added CORRUPT value for VitalPortFlagType
-- 0.11|eb/sc|081798| Added overloaded function interface for
-- | | | VitalDeclareMemory
-- 0.14| jdc |113198| Merging of memory functionality and version
-- | | | 1.4 9/17/98 of timing package from Prakash
-- 0.15| jdc |120198| Major development of VMV functionality
-- 0.16| jdc |120298| Complete VMV functionlality for initial testing
-- | | | - New ViolationTableCorruptMask() procedure
-- | | | - New MemoryTableCorruptMask() procedure
-- | | | - HandleMemoryAction():
-- | | | - Removed DataOutBus bogus output
-- | | | - Replaced DataOutTmp with DataInTmp
-- | | | - Added CorruptMask input handling
-- | | | - Implemented 'd','D' using CorruptMask
-- | | | - CorruptMask on 'd','C','L','D','E'
-- | | | - CorruptMask ignored on 'c','l','e'
-- | | | - Changed 'l','d','e' to set PortFlag to CORRUPT
-- | | | - Changed 'L','D','E' to set PortFlag to CORRUPT
-- | | | - Changed 'c','l','d','e' to ignore HighBit, LowBit
-- | | | - Changed 'C','L','D','E' to use HighBit, LowBit
-- | | | - HandleDataAction():
-- | | | - Added CorruptMask input handling
-- | | | - Implemented 'd','D' using CorruptMask
-- | | | - CorruptMask on 'd','C','L','D','E'
-- | | | - CorruptMask ignored on 'l','e'
-- | | | - Changed 'l','d','e' to set PortFlag to CORRUPT
-- | | | - Changed 'L','D','E' to set PortFlag to CORRUPT
-- | | | - Changed 'l','d','e' to ignore HighBit, LowBit
-- | | | - Changed 'L','D','E' to use HighBit, LowBit
-- | | | - MemoryTableLookUp():
-- | | | - Added MsgOn table debug output
-- | | | - Uses new MemoryTableCorruptMask()
-- | | | - ViolationTableLookUp():
-- | | | - Uses new ViolationTableCorruptMask()
-- 0.17| jdc |120898| - Added VitalMemoryViolationSymbolType,
-- | | | VitalMemoryViolationTableType data
-- | | | types but not used yet (need to discuss)
-- | | | - Added overload for VitalMemoryViolation()
-- | | | which does not have array flags
-- | | | - Bug fixes for VMV functionality:
-- | | | - ViolationTableLookUp() not handling '-' in
-- | | | scalar violation matching
-- | | | - VitalMemoryViolation() now normalizes
-- | | | VFlagArrayTmp'LEFT as LSB before calling
-- | | | ViolationTableLookUp() for proper scanning
-- | | | - ViolationTableCorruptMask() had to remove
-- | | | normalization of CorruptMaskTmp and
-- | | | ViolMaskTmp for proper MSB:LSB corruption
-- | | | - HandleMemoryAction(), HandleDataAction()
-- | | | - Removed 'D','E' since not being used
-- | | | - Use XOR instead of OR for corrupt masks
-- | | | - Now 'd' is sensitive to HighBit, LowBit
-- | | | - Fixed LowBit overflow in bit writeable case
-- | | | - MemoryTableCorruptMask()
-- | | | - ViolationTableCorruptMask()
-- | | | - VitalMemoryTable()
-- | | | - VitalMemoryCrossPorts()
-- | | | - Fixed VitalMemoryViolation() failing on
-- | | | error AddressValue from earlier VMT()
-- | | | - Minor cleanup of code formatting
-- 0.18| jdc |032599| - In VitalDeclareMemory()
-- | | | - Added BinaryLoadFile formal arg and
-- | | | modified LoadMemory() to handle bin
-- | | | - Added NOCHANGE to VitalPortFlagType
-- | | | - For VitalCrossPortModeType
-- | | | - Added CpContention enum
-- | | | - In HandleDataAction()
-- | | | - Set PortFlag := NOCHANGE for 'S'
-- | | | - In HandleMemoryAction()
-- | | | - Set PortFlag := NOCHANGE for 's'
-- | | | - In VitalMemoryTable() and
-- | | | VitalMemoryViolation()
-- | | | - Honor PortFlag = NOCHANGE returned
-- | | | from HandleMemoryAction()
-- | | | - In VitalMemoryCrossPorts()
-- | | | - Fixed Address = AddressJ for all
-- | | | conditions of DoWrCont & DoCpRead
-- | | | - Handle CpContention like WrContOnly
-- | | | under CpReadOnly conditions, with
-- | | | associated memory message changes
-- | | | - Handle PortFlag = NOCHANGE like
-- | | | PortFlag = READ for actions
-- | | | - Modeling change:
-- | | | - Need to init PortFlag every delta
-- | | | PortFlag_A := (OTHES => UNDEF);
-- | | | - Updated InternalTimingCheck code
-- 0.19| jdc |042599| - Fixes for bit-writeable cases
-- | | | - Check PortFlag after HandleDataAction
-- | | | in VitalMemoryViolation()
-- 0.20| jdc |042599| - Merge PortFlag changes from Prakash
-- | | | and Willian:
-- | | | VitalMemorySchedulePathDelay()
-- | | | VitalMemoryExpandPortFlag()
-- 0.21| jdc |072199| - Changed VitalCrossPortModeType enums,
-- | | | added new CpReadAndReadContention.
-- | | | - Fixed VitalMemoryCrossPorts() parameter
-- | | | SamePortFlag to INOUT so that it can
-- | | | set CORRUPT or READ value.
-- | | | - Fixed VitalMemoryTable() where PortFlag
-- | | | setting by HandleDataAction() is being
-- | | | ignored when HandleMemoryAction() sets
-- | | | PortFlagTmp to NOCHANGE.
-- | | | - Fixed VitalMemoryViolation() to set
-- | | | all bits of PortFlag when violating.
-- 0.22| jdc |072399| - Added HIGHZ to PortFlagType. HandleData
-- | | | checks whether the previous state is HIGHZ.
-- | | | If yes then portFlag should be NOCHANGE
-- | | | for VMPD to ignore IORetain corruption.
-- | | | The idea is that the first Z should be
-- | | | propagated but later ones should be ignored.
-- | | |
-- 0.23| jdc |100499| - Took code checked in by Dennis 09/28/99
-- | | | - Changed VitalPortFlagType to record of
-- | | | new VitalPortStateType to hold current,
-- | | | previous values and separate disable.
-- | | | Also created VitalDefaultPortFlag const.
-- | | | Removed usage of PortFlag NOCHANGE
-- | | | - VitalMemoryTable() changes:
-- | | | Optimized return when all curr = prev
-- | | | AddressValue is now INOUT to optimize
-- | | | Transfer PF.MemoryCurrent to MemoryPrevious
-- | | | Transfer PF.DataCurrent to DataPrevious
-- | | | Reset PF.OutputDisable to FALSE
-- | | | Expects PortFlag init in declaration
-- | | | No need to init PortFlag every delta
-- | | | - VitalMemorySchedulePathDelay() changes:
-- | | | Initialize with VitalDefaultPortFlag
-- | | | Check PortFlag.OutputDisable
-- | | | - HandleMemoryAction() changes:
-- | | | Set value of PortFlag.MemoryCurrent
-- | | | Never set PortFlag.OutputDisable
-- | | | - HandleDataAction() changes:
-- | | | Set value of PortFlag.DataCurrent
-- | | | Set PortFlag.DataCurrent for HIGHZ
-- | | | - VitalMemoryCrossPorts() changes:
-- | | | Check/set value of PF.MemoryCurrent
-- | | | Check value of PF.OutputDisable
-- | | | - VitalMemoryViolation() changes:
-- | | | Fixed bug - not reading inout PF value
-- | | | Clean up setting of PortFlag
-- 0.24| jdc |100899| - Modified update of PF.OutputDisable
-- | | | to correctly accomodate 2P1W1R case:
-- | | | the read port should not exhibit
-- | | | IO retain corrupt when reading
-- | | | addr unrelated to addr being written.
-- 0.25| jdc |100999| - VitalMemoryViolation() change:
-- | | | Fixed bug with RDNWR mode incorrectly
-- | | | updating the PF.OutputDisable
-- 0.26| jdc |100999| - VitalMemoryCrossPorts() change:
-- | | | Fixed bugs with update of PF
-- 0.27| jdc |101499| - VitalMemoryCrossPorts() change:
-- | | | Added DoRdWrCont message (ErrMcpRdWrCo,
-- | | | Memory cross port read/write data only
-- | | | contention)
-- | | | - VitalMemoryTable() change:
-- | | | Set PF.OutputDisable := TRUE for the
-- | | | optimized cases.
-- 0.28| pb |112399| - Added 8 VMPD procedures for vector
-- | | | PathCondition support. Now the total
-- | | | number of overloadings for VMPD is 24.
-- | | | - Number of overloadings for SetupHold
-- | | | procedures increased to 5. Scalar violations
-- | | | are not supported anymore. Vector checkEnabled
-- | | | support is provided through the new overloading
-- 0.29| jdc |120999| - HandleMemoryAction() HandleDataAction()
-- | | | Reinstated 'D' and 'E' actions but
-- | | | with new PortFlagType
-- | | | - Updated file handling syntax, must compile
-- | | | with -93 syntax now.
-- 0.30| jdc |022300| - Formated for 80 column max width
-- ----------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.Vital_Timing.all;
USE IEEE.Vital_Primitives.all;
LIBRARY STD;
USE STD.TEXTIO.ALL;
-- ----------------------------------------------------------------------------
PACKAGE BODY Vital_Memory IS
-- ----------------------------------------------------------------------------
-- Timing Section
-- ----------------------------------------------------------------------------
FILE LogFile : TEXT OPEN write_mode IS "delayLog";
FILE Output : TEXT OPEN write_mode IS "STD_OUTPUT";
-- Added for turning off the debug msg..
CONSTANT PrintDebugMsg : STD_ULOGIC := '0';
-- '0' - don't print in STD OUTPUT
-- '1' - print in STD OUTPUT
-- Type and constant definitions for type conversion.
TYPE MVL9_TO_CHAR_TBL IS ARRAY (STD_ULOGIC) OF character;
--constant MVL9_to_char: MVL9_TO_CHAR_TBL := "UX01ZWLH-";
CONSTANT MVL9_to_char: MVL9_TO_CHAR_TBL := "XX01ZX010";
-- ----------------------------------------------------------------------------
-- STD_LOGIC WRITE UTILITIES
-- ----------------------------------------------------------------------------
PROCEDURE WRITE(
l : INOUT line;
val : IN std_logic_vector;
justify : IN side := right;
field : IN width := 0
) IS
VARIABLE invect : std_logic_vector(val'LENGTH DOWNTO 1);
VARIABLE ins : STRING(val'LENGTH DOWNTO 1);
BEGIN
invect := val;
FOR I IN invect'length DOWNTO 1 LOOP
ins(I) := MVL9_to_char(invect(I));
END LOOP;
WRITE(L, ins, justify, field);
END;
PROCEDURE WRITE(
l : INOUT line;
val : IN std_ulogic;
justify : IN side := right;
field : in width := 0
) IS
VARIABLE ins : CHARACTER;
BEGIN
ins := MVL9_to_char(val);
WRITE(L, ins, justify, field);
END;
-- ----------------------------------------------------------------------------
PROCEDURE DelayValue(
InputTime : IN TIME ;
outline : INOUT LINE
) IS
CONSTANT header : STRING := "TIME'HIGH";
BEGIN
IF(InputTime = TIME'HIGH) THEN
WRITE(outline, header);
ELSE
WRITE(outline, InputTime);
END IF;
END DelayValue;
-- ----------------------------------------------------------------------------
PROCEDURE PrintScheduleDataArray (
ScheduleDataArray : IN VitalMemoryScheduleDataVectorType
) IS
VARIABLE outline1 : LINE;
VARIABLE outline2 : LINE;
VARIABLE value : TIME;
CONSTANT empty : STRING := " ";
CONSTANT header1 : STRING := "i Age PropDly RetainDly";
CONSTANT header2 : STRING := "i Sc.Value Output Lastvalue Sc.Time";
BEGIN
WRITE (outline1, empty);
WRITE (outline1, NOW);
outline2 := outline1;
WRITELINE (LogFile, outline1);
IF (PrintDebugMsg = '1') THEN
WRITELINE (output, outline2);
END IF;
WRITE (outline1, header1);
outline2 := outline1;
WRITELINE (LogFile, outline1);
IF (PrintDebugMsg = '1') THEN
WRITELINE (output, outline2);
END IF;
FOR i IN ScheduleDataArray'RANGE LOOP
WRITE (outline1, i );
WRITE (outline1, empty);
DelayValue(ScheduleDataArray(i).InputAge, outline1);
WRITE (outline1, empty);
DelayValue(ScheduleDataArray(i).PropDelay, outline1);
WRITE (outline1, empty);
DelayValue(ScheduleDataArray(i).OutputRetainDelay, outline1);
outline2 := outline1;
WRITELINE (LogFile, outline1);
IF (PrintDebugMsg = '1') THEN
WRITELINE (output, outline2);
END IF;
END LOOP;
WRITE (outline1, header2);
outline2 := outline1;
WRITELINE (LogFile, outline1);
IF (PrintDebugMsg = '1') THEN
WRITELINE (output, outline2);
END IF;
FOR i IN ScheduleDataArray'RANGE LOOP
WRITE (outline1, i );
WRITE (outline1, empty);
WRITE (outline1, ScheduleDataArray(i).ScheduleValue);
WRITE (outline1, empty);
WRITE (outline1, ScheduleDataArray(i).OutputData);
WRITE (outline1, empty);
WRITE (outline1, ScheduleDataArray(i).LastOutputValue );
WRITE (outline1, empty);
DelayValue(ScheduleDataArray(i).ScheduleTime, outline1);
outline2 := outline1;
WRITELINE (LogFile, outline1);
IF (PrintDebugMsg = '1') THEN
WRITELINE (output, outline2);
END IF;
END LOOP;
WRITE (outline1, empty);
WRITE (outline2, empty);
WRITELINE (LogFile, outline1);
IF (PrintDebugMsg = '1') THEN
WRITELINE (Output, outline2);
END IF;
END PrintScheduleDataArray;
-- ----------------------------------------------------------------------------
PROCEDURE PrintArcType (
ArcType : IN VitalMemoryArcType
) IS
VARIABLE outline1, outline2 : LINE;
CONSTANT empty : STRING := " ";
CONSTANT cross : STRING := "CrossArc";
CONSTANT para : STRING := "ParallelArc";
CONSTANT sub : STRING := "SubWordArc";
CONSTANT Header1 : STRING := "Path considered @ ";
CONSTANT Header2 : STRING := " is ";
BEGIN
WRITELINE (LogFile, outline1);
WRITE (outline1, header1);
WRITE (outline1, NOW);
WRITE (outline1, empty);
WRITE (outline1, header2);
WRITE (outline1, empty);
case ArcType is
WHEN CrossArc =>
WRITE (outline1, cross);
WHEN ParallelArc =>
WRITE (outline1, para);
WHEN SubwordArc =>
WRITE (outline1, sub);
END CASE;
outline2 := outline1 ;
-- Appears on STD OUT
IF (PrintDebugMsg = '1') THEN
WRITELINE (Output, outline1);
END IF;
WRITELINE (LogFile, outline2);
END PrintArcType;
-- ----------------------------------------------------------------------------
-- This returns the value picked from the delay array
-- ----------------------------------------------------------------------------
PROCEDURE PrintDelay (
outbitpos : IN INTEGER;
InputArrayLow : IN INTEGER;
InputArrayHigh : IN INTEGER;
debugprop : IN VitalTimeArrayT;
debugretain : IN VitalTimeArrayT
) IS
VARIABLE outline1 : LINE;
VARIABLE outline2 : LINE;
VARIABLE outline3 : LINE;
VARIABLE outline4 : LINE;
VARIABLE outline5 : LINE;
VARIABLE outline6 : LINE;
CONSTANT empty : STRING := " ";
CONSTANT empty5 : STRING := " ";
CONSTANT header1 : STRING := "Prop. delays : ";
CONSTANT header2 : STRING := "Retain delays : ";
CONSTANT header3 : STRING := "output bit : ";
BEGIN
WRITE(outline1, header3);
WRITE(outline1, outbitpos);
outline2 := outline1;
WRITELINE(LogFile, outline1);
IF (PrintDebugMsg = '1') THEN
WRITELINE(output, outline2);
END IF;
WRITE(outline1, header1);
WRITE (outline1, empty5);
FOR i IN InputArrayHigh DOWNTO InputArrayLow LOOP
DelayValue(debugprop(i), outline1);
WRITE(outline1, empty);
END LOOP;
outline2 := outline1;
WRITELINE(LogFile, outline1);
IF (PrintDebugMsg = '1') THEN
WRITELINE(output, outline2);
END IF;
WRITE(outline1, header2);
WRITE (outline1, empty5);
FOR i in InputArrayHigh DOWNTO InputArrayLow LOOP
DelayValue(debugretain(i), outline1);
WRITE(outline1, empty);
END LOOP;
outline2 := outline1;
WRITELINE(LogFile, outline1);
IF (PrintDebugMsg = '1') THEN
WRITELINE(output, outline2);
END IF;
END PrintDelay;
-- ----------------------------------------------------------------------------
PROCEDURE DebugMsg1 IS
CONSTANT header1:STRING:= "******************************************";
CONSTANT header2 :STRING:="Entering the process because of an i/p change";
variable outline1, outline2 : LINE;
BEGIN
WRITE(outline1, header1);
outline2 := outline1;
WRITELINE (Logfile, outline1);
IF (PrintDebugMsg = '1') THEN
WRITELINE (output, outline2);
END IF;
WRITE(outline1, header2);
outline2 := outline1;
WRITELINE (Logfile, outline1);
IF (PrintDebugMsg = '1') THEN
WRITELINE (output, outline2);
END IF;
WRITE(outline1, header1);
outline2 := outline1;
WRITELINE (Logfile, outline1);
IF (PrintDebugMsg = '1') THEN
WRITELINE (output, outline2);
END IF;
END DebugMsg1;
-- ----------------------------------------------------------------------------
PROCEDURE ScheduleDebugMsg IS
CONSTANT header1 : STRING := "******************************************";
CONSTANT header2 : STRING := "Finished executing all the procedures";
VARIABLE outline1 : LINE;
VARIABLE outline2 : LINE;
BEGIN
WRITE(outline1, header1);
outline2 := outline1;
IF (PrintDebugMsg = '1') THEN
WRITELINE (output, outline2);
END IF;
WRITELINE (Logfile, outline1);
WRITE(outline1, header2);
outline2 := outline1;
IF (PrintDebugMsg = '1') THEN
WRITELINE (output, outline2);
END IF;
WRITELINE (Logfile, outline1);
WRITE(outline1, header1);
outline2 := outline1;
IF (PrintDebugMsg = '1') THEN
WRITELINE (output, outline2);
END IF;
WRITELINE (Logfile, outline1);
END ScheduleDebugMsg;
-- ----------------------------------------------------------------------------
PROCEDURE PrintInputName(
InputSignalName : IN STRING
) IS
VARIABLE outline1 : LINE;
VARIABLE outline2 : LINE;
CONSTANT header1 : STRING := "***Changing input is ";
CONSTANT header2 : STRING := "(";
CONSTANT header3 : STRING := ")";
CONSTANT header4 : STRING := "****";
CONSTANT header5 : STRING := "******************************************";
CONSTANT header6 : STRING:="Entering the process because of an i/p change";
CONSTANT empty : STRING := " ";
BEGIN
WRITE(outline1, header5);
outline2 := outline1;
WRITELINE (output, outline1);
WRITELINE (Logfile, outline2);
WRITE(outline1, header6);
outline2 := outline1;
WRITELINE (output, outline1);
WRITELINE (Logfile, outline2);
WRITE(outline1, header5);
outline2 := outline1;
WRITELINE (output, outline1);
WRITELINE (Logfile, outline2);
WRITE(outline1, header1);
WRITE(outline1, InputSignalName);
WRITE(outline1, empty);
WRITE(outline1, now);
WRITE(outline1, empty);
WRITE(outline1, header4);
WRITELINE (output, outline1);
WRITELINE (Logfile, outline2);
END PrintInputName;
-- ----------------------------------------------------------------------------
PROCEDURE PrintInputChangeTime(
ChangeTimeArray : IN VitalTimeArrayT
) IS
VARIABLE outline1 : LINE;
VARIABLE outline2 : LINE;
CONSTANT header5 : STRING := "*************************************";
CONSTANT header6 : STRING:="ChangeTime Array : ";
CONSTANT empty : STRING := " ";
BEGIN
WRITE(outline1, header5);
outline2 := outline1;
IF (PrintDebugMsg = '1') THEN
WRITELINE (output, outline2);
END IF;
WRITELINE (Logfile, outline1);
WRITE(outline1, header6);
FOR i in ChangeTimeArray'range LOOP
WRITE(outline1, ChangeTimeArray(i));
WRITE(outline1, empty);
END LOOP;
outline2 := outline1;
IF (PrintDebugMsg = '1') THEN
WRITELINE (output, outline2);
END IF;
WRITELINE (Logfile, outline1);
WRITE(outline1, header5);
outline2 := outline1;
IF (PrintDebugMsg = '1') THEN
WRITELINE (output, outline2);
END IF;
WRITELINE (Logfile, outline1);
END PrintInputChangeTime;
-- ----------------------------------------------------------------------------
PROCEDURE PrintInputChangeTime(
ChangeTime : IN Time
) IS
VARIABLE ChangeTimeArray : VitalTimeArrayT(0 DOWNTO 0);
BEGIN
ChangeTimeArray(0) := ChangeTime;
PrintInputChangeTime(ChangeTimeArray);
END PrintInputChangeTime;
-- ----------------------------------------------------------------------------
-- for debug purpose
CONSTANT MaxNoInputBits : INTEGER := 1000;
TYPE VitalMemoryDelayType IS RECORD
PropDelay : TIME;
OutputRetainDelay : TIME;
END RECORD;
-- ----------------------------------------------------------------------------
-- PROCEDURE: IntToStr
--
-- PARAMETERS: InputInt - Integer to be converted to String.
-- ResultStr - String buffer for converted Integer
-- AppendPos - Position in buffer to place result
--
-- DESCRIPTION: This procedure is used to convert an input integer
-- into a string representation. The converted string
-- may be placed at a specific position in the result
-- buffer.
--
-- ----------------------------------------------------------------------------
PROCEDURE IntToStr (
InputInt : IN INTEGER ;
ResultStr : INOUT STRING ( 1 TO 256) ;
AppendPos : INOUT NATURAL
) IS
-- Look-up table. Given an int, we can get the character.
TYPE integer_table_type IS ARRAY (0 TO 9) OF CHARACTER ;
CONSTANT integer_table : integer_table_type :=
('0', '1', '2', '3', '4', '5', '6', '7', '8', '9') ;
-- Local variables used in this function.
VARIABLE inpVal : INTEGER := inputInt ;
VARIABLE divisor : INTEGER := 10 ;
VARIABLE tmpStrIndex : INTEGER := 1 ;
VARIABLE tmpStr : STRING ( 1 TO 256 ) ;
BEGIN
IF ( inpVal = 0 ) THEN
tmpStr(tmpStrIndex) := integer_table ( 0 ) ;
tmpStrIndex := tmpStrIndex + 1 ;
ELSE
WHILE ( inpVal > 0 ) LOOP
tmpStr(tmpStrIndex) := integer_table (inpVal mod divisor);
tmpStrIndex := tmpStrIndex + 1 ;
inpVal := inpVal / divisor ;
END LOOP ;
END IF ;
IF (appendPos /= 1 ) THEN
resultStr(appendPos) := ',' ;
appendPos := appendPos + 1 ;
END IF ;
FOR i IN tmpStrIndex-1 DOWNTO 1 LOOP
resultStr(appendPos) := tmpStr(i) ;
appendPos := appendPos + 1 ;
END LOOP ;
END IntToStr ;
-- ----------------------------------------------------------------------------
TYPE CheckType IS (
SetupCheck,
HoldCheck,
RecoveryCheck,
RemovalCheck,
PulseWidCheck,
PeriodCheck
);
TYPE CheckInfoType IS RECORD
Violation : BOOLEAN;
CheckKind : CheckType;
ObsTime : TIME;
ExpTime : TIME;
DetTime : TIME;
State : X01;
END RECORD;
TYPE LogicCvtTableType IS ARRAY (std_ulogic) OF CHARACTER;
TYPE HiLoStrType IS ARRAY (std_ulogic RANGE 'X' TO '1') OF STRING(1 TO 4);
CONSTANT LogicCvtTable : LogicCvtTableType
:= ( 'U', 'X', '0', '1', 'Z', 'W', 'L', 'H', '-');
CONSTANT HiLoStr : HiLoStrType := (" X ", " Low", "High" );
TYPE EdgeSymbolMatchType IS ARRAY (X01,X01,VitalEdgeSymbolType) OF BOOLEAN;
-- last value, present value, edge symbol
CONSTANT EdgeSymbolMatch : EdgeSymbolMatchType :=
(
'X' =>
( 'X'=>( OTHERS => FALSE),
'0'=>('N'|'F'|'v'|'E'|'D'|'*' => TRUE, OTHERS => FALSE ),
'1'=>('P'|'R'|'^'|'E'|'A'|'*' => TRUE, OTHERS => FALSE )
),
'0' =>
( 'X'=>( 'r'|'p'|'R'|'A'|'*' => TRUE, OTHERS => FALSE ),
'0'=>( OTHERS => FALSE ),
'1'=>( '/'|'P'|'p'|'R'|'*' => TRUE, OTHERS => FALSE )
),
'1' =>
( 'X'=>( 'f'|'n'|'F'|'D'|'*' => TRUE, OTHERS => FALSE ),
'0'=>( '\'|'N'|'n'|'F'|'*' => TRUE, OTHERS => FALSE ),
'1'=>( OTHERS => FALSE )
)
);
-- ----------------------------------------------------------------------------
FUNCTION Minimum (
CONSTANT t1, t2 : IN TIME
) RETURN TIME IS
BEGIN
IF (t1 < t2) THEN RETURN (t1); ELSE RETURN (t2); END IF;
END Minimum;
-- ----------------------------------------------------------------------------
FUNCTION Maximum (
CONSTANT t1, t2 : IN TIME
) RETURN TIME IS
BEGIN
IF (t1 < t2) THEN RETURN (t2); ELSE RETURN (t1); END IF;
END Maximum;
-- ----------------------------------------------------------------------------
-- FUNCTION: VitalMemoryCalcDelay
-- Description: Select Transition dependent Delay.
-- Used internally by VitalMemorySelectDelay.
-- ----------------------------------------------------------------------------
FUNCTION VitalMemoryCalcDelay (
CONSTANT NewVal : IN STD_ULOGIC := 'X';
CONSTANT OldVal : IN STD_ULOGIC := 'X';
CONSTANT Delay : IN VitalDelayType01ZX
) RETURN VitalMemoryDelayType IS
VARIABLE Result : VitalMemoryDelayType;
BEGIN
CASE Oldval IS
WHEN '0' | 'L' =>
CASE Newval IS
WHEN '0' | 'L' =>
Result.PropDelay := Delay(tr10);
WHEN '1' | 'H' =>
Result.PropDelay := Delay(tr01);
WHEN 'Z' =>
Result.PropDelay := Delay(tr0Z);
WHEN OTHERS =>
Result.PropDelay := Minimum(Delay(tr01), Delay(tr0Z));
END CASE;
Result.OutputRetainDelay := Delay(tr0X);
WHEN '1' | 'H' =>
CASE Newval IS
WHEN '0' | 'L' =>
Result.PropDelay := Delay(tr10);
WHEN '1' | 'H' =>
Result.PropDelay := Delay(tr01);
WHEN 'Z' =>
Result.PropDelay := Delay(tr1Z);
WHEN OTHERS =>
Result.PropDelay := Minimum(Delay(tr10), Delay(tr1Z));
END CASE;
Result.OutputRetainDelay := Delay(tr1X);
WHEN 'Z' =>
CASE Newval IS
WHEN '0' | 'L' =>
Result.PropDelay := Delay(trZ0);
WHEN '1' | 'H' =>
Result.PropDelay := Delay(trZ1);
WHEN 'Z' =>
Result.PropDelay := Maximum(Delay(tr1Z), Delay(tr0Z));
WHEN OTHERS =>
Result.PropDelay := Minimum(Delay(trZ1), Delay(trZ0));
END CASE;
Result.OutputRetainDelay := Delay(trZX);
WHEN OTHERS =>
CASE Newval IS
WHEN '0' | 'L' =>
Result.PropDelay := Maximum(Delay(tr10), Delay(trZ0));
WHEN '1' | 'H' =>
Result.PropDelay := Maximum(Delay(tr01), Delay(trZ1));
WHEN 'Z' =>
Result.PropDelay := Maximum(Delay(tr1Z), Delay(tr0Z));
WHEN OTHERS =>
Result.PropDelay := Maximum(Delay(tr10), Delay(tr01));
END CASE;
Result.OutputRetainDelay := Minimum(Delay(tr1X), Delay(tr0X));
END CASE;
RETURN Result;
END VitalMemoryCalcDelay;
-- ----------------------------------------------------------------------------
FUNCTION VitalMemoryCalcDelay (
CONSTANT NewVal : IN STD_ULOGIC := 'X';
CONSTANT OldVal : IN STD_ULOGIC := 'X';
CONSTANT Delay : IN VitalDelayType01Z
) RETURN VitalMemoryDelayType IS
VARIABLE Result : VitalMemoryDelayType;
BEGIN
CASE Oldval IS
WHEN '0' | 'L' =>
CASE Newval IS
WHEN '0' | 'L' => Result.PropDelay := Delay(tr10);
WHEN '1' | 'H' => Result.PropDelay := Delay(tr01);
WHEN OTHERS =>
Result.PropDelay := Minimum(Delay(tr01), Delay(tr10));
END CASE;
Result.OutputRetainDelay := Delay(tr0Z);
WHEN '1' | 'H' =>
CASE Newval IS
WHEN '0' | 'L' => Result.PropDelay := Delay(tr10);
WHEN '1' | 'H' => Result.PropDelay := Delay(tr01);
WHEN OTHERS =>
Result.PropDelay := Minimum(Delay(tr10), Delay(tr01));
END CASE;
Result.OutputRetainDelay := Delay(tr1Z);
WHEN OTHERS =>
Result.PropDelay := Maximum(Delay(tr10),Delay(tr01));
Result.OutputRetainDelay := Minimum(Delay(tr1Z),Delay(tr0Z));
END CASE;
RETURN Result;
END VitalMemoryCalcDelay;
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemoryUpdateInputChangeTime (
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
VARIABLE NumBitsPerSubword : INTEGER
) IS
VARIABLE LastInputValue : STD_LOGIC_VECTOR(InputSignal'LENGTH-1 downto 0);
VARIABLE InSignalNorm : STD_LOGIC_VECTOR(InputSignal'LENGTH-1 downto 0);
VARIABLE ChangeTimeNorm : VitalTimeArrayT(InputSignal'LENGTH-1 downto 0);
VARIABLE BitsPerWord : INTEGER;
BEGIN
LastInputValue := InputSignal'LAST_VALUE;
IF NumBitsPerSubword = DefaultNumBitsPerSubword THEN
BitsPerWord := InputSignal'LENGTH;
ELSE
BitsPerWord := NumBitsPerSubword;
END IF;
FOR i IN InSignalNorm'RANGE LOOP
IF (InSignalNorm(i) /= LastInputValue(i)) THEN
ChangeTimeNorm(i/BitsPerWord) := NOW - InputSignal'LAST_EVENT;
ELSE
ChangeTimeNorm(i/BitsPerWord) := InputChangeTimeArray(i);
END IF;
END LOOP;
FOR i IN ChangeTimeNorm'RANGE LOOP
ChangeTimeNorm(i) := ChangeTimeNorm(i/BitsPerword);
END LOOP;
InputChangeTimeArray := ChangeTimeNorm;
-- for debug purpose only
PrintInputChangeTime(InputChangeTimeArray);
END VitalMemoryUpdateInputChangeTime;
-- ----------------------------------------------------------------------------
-- Procedure: VitalMemoryUpdateInputChangeTime
-- Description: Time since previous event for each bit of the input
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemoryUpdateInputChangeTime (
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR
) IS
VARIABLE LastInputValue : STD_LOGIC_VECTOR(InputSignal'RANGE) ;
BEGIN
LastInputValue := InputSignal'LAST_VALUE;
FOR i IN InputSignal'RANGE LOOP
IF (InputSignal(i) /= LastInputValue(i)) THEN
InputChangeTimeArray(i) := NOW - InputSignal'LAST_EVENT;
END IF;
END LOOP;
-- for debug purpose only
PrintInputChangeTime(InputChangeTimeArray);
END VitalMemoryUpdateInputChangeTime;
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemoryUpdateInputChangeTime (
VARIABLE InputChangeTime : INOUT TIME;
SIGNAL InputSignal : IN STD_ULOGIC
) IS
BEGIN
InputChangeTime := NOW - InputSignal'LAST_EVENT;
-- for debug purpose only
PrintInputChangeTime(InputChangeTime);
END VitalMemoryUpdateInputChangeTime;
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemoryExpandPortFlag (
CONSTANT PortFlag : IN VitalPortFlagVectorType;
CONSTANT NumBitsPerSubword : IN INTEGER;
VARIABLE ExpandedPortFlag : OUT VitalPortFlagVectorType
) IS
VARIABLE PortFlagNorm : VitalPortFlagVectorType(
PortFlag'LENGTH-1 downto 0) := PortFlag;
VARIABLE ExpandedPortFlagNorm : VitalPortFlagVectorType(
ExpandedPortFlag'LENGTH-1 downto 0);
VARIABLE SubwordIndex : INTEGER;
BEGIN
FOR Index IN INTEGER RANGE 0 to ExpandedPortFlag'LENGTH-1 LOOP
IF NumBitsPerSubword = DefaultNumBitsPerSubword THEN
SubwordIndex := 0;
ELSE
SubwordIndex := Index / NumBitsPerSubword;
END IF;
ExpandedPortFlagNorm(Index) := PortFlagNorm(SubWordIndex);
END LOOP;
ExpandedPortFlag := ExpandedPortFlagNorm;
END VitalMemoryExpandPortFlag;
-- ----------------------------------------------------------------------------
-- Procedure: VitalMemorySelectDelay
-- Description : Select Propagation Delay. Used internally by
-- VitalMemoryAddPathDelay.
-- ----------------------------------------------------------------------------
-- ----------------------------------------------------------------------------
-- VitalDelayArrayType01ZX
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemorySelectDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
VARIABLE InputChangeTimeArray : IN VitalTimeArrayT;
CONSTANT OutputSignalName : IN STRING :="";
CONSTANT PathDelayArray : IN VitalDelayArrayType01ZX;
CONSTANT ArcType : IN VitalMemoryArcType;
CONSTANT PathConditionArray : IN VitalBoolArrayT;
CONSTANT OutputRetainFlag : IN BOOLEAN
) IS
VARIABLE InputArrayLow : INTEGER := 0;
VARIABLE InputArrayHigh : INTEGER := 0;
VARIABLE DelayArrayIndex : INTEGER := 0;
VARIABLE NumBitsPerSubWord : INTEGER := DefaultNumBitsPerSubword;
VARIABLE NewValue : STD_ULOGIC;
VARIABLE OldValue : STD_ULOGIC;
VARIABLE OutputLength : INTEGER := 0;
VARIABLE OutArrayIndex : INTEGER;
VARIABLE PropDelay : TIME;
VARIABLE RetainDelay : TIME;
VARIABLE CurPropDelay : TIME;
VARIABLE CurRetainDelay : TIME;
VARIABLE InputAge : TIME;
VARIABLE CurInputAge : TIME;
VARIABLE InputChangeTimeNorm : VitalTimeArrayT(
InputChangeTimeArray'LENGTH-1 downto 0):=InputChangeTimeArray;
VARIABLE DelayArrayNorm : VitalDelayArrayType01ZX(
PathDelayArray'LENGTH-1 downto 0):= PathDelayArray;
VARIABLE ScheduleDataArrayNorm : VitalMemoryScheduleDatavectorType
(ScheduleDataArray'LENGTH-1 downto 0):= ScheduleDataArray;
-- for debug purpose
VARIABLE debugprop : VitalTimeArrayT(MaxNoInputBits-1 downto 0);
VARIABLE debugretain : VitalTimeArrayT(MaxNoInputBits-1 downto 0);
BEGIN
-- for debug purpose
PrintArcType(ArcType);
OutputLength := ScheduleDataArray'LENGTH;
FOR OutBitPos IN 0 to (OutputLength -1) LOOP
NEXT WHEN PathConditionArray(OutBitPos) = FALSE;
NEXT WHEN ((ScheduleDataArrayNorm(OutBitPos).ScheduleValue
= ScheduleDataArrayNorm(OutBitPos).OutputData) AND
(ScheduleDataArrayNorm(OutBitPos).ScheduleTime <= NOW) AND
(OutputRetainFlag = FALSE ));
NewValue := ScheduleDataArrayNorm(OutBitPos).OutputData;
OldValue := ScheduleDataArrayNorm(OutBitPos).LastOutputValue;
PropDelay :=ScheduleDataArrayNorm(OutBitPos).PropDelay;
InputAge := ScheduleDataArrayNorm(OutBitPos).InputAge;
RetainDelay:=ScheduleDataArrayNorm(OutBitPos).OutputRetainDelay;
NumBitsPerSubWord:=ScheduleDataArrayNorm(OutBitPos).NumBitsPerSubWord;
CASE ArcType IS
WHEN ParallelArc =>
InputArrayLow := OutBitPos;
InputArrayHigh := OutBitPos;
DelayArrayIndex := OutBitPos;
WHEN CrossArc =>
InputArrayLow := 0;
InputArrayHigh := InputChangeTimeArray'LENGTH - 1 ;
DelayArrayIndex := OutBitPos;
WHEN SubwordArc =>
InputArrayLow := OutBitPos / NumBitsPerSubWord;
InputArrayHigh := OutBitPos / NumBitsPerSubWord;
DelayArrayIndex := OutBitPos +
(OutputLength * (OutBitPos / NumBitsPerSubWord));
END CASE;
FOR i IN InputArrayLow TO InputArrayHigh LOOP
(CurPropDelay,CurRetainDelay) :=
VitalMemoryCalcDelay (
NewValue, OldValue, DelayArrayNorm(DelayArrayIndex)
);
IF (OutputRetainFlag = FALSE) THEN
CurRetainDelay := TIME'HIGH;
END IF;
-- for debug purpose
debugprop(i) := CurPropDelay;
debugretain(i) := CurRetainDelay;
IF ArcType = CrossArc THEN
DelayArrayIndex := DelayArrayIndex + OutputLength;
END IF;
-- If there is one input change at a time, then choose the
-- delay from that input. If there is simultaneous input
-- change, then choose the minimum of propagation delays
IF (InputChangeTimeNorm(i) < 0 ns)THEN
CurInputAge := TIME'HIGH;
ELSE
CurInputAge := NOW - InputChangeTimeNorm(i);
END IF;
IF (CurInputAge < InputAge)THEN
PropDelay := CurPropDelay;
RetainDelay := CurRetainDelay;
InputAge := CurInputAge;
ELSIF (CurInputAge = InputAge)THEN
IF (CurPropDelay < PropDelay) THEN
PropDelay := CurPropDelay;
END IF;
IF (OutputRetainFlag = TRUE) THEN
IF (CurRetainDelay < RetainDelay) THEN
RetainDelay := CurRetainDelay;
END IF;
END IF;
END IF;
END LOOP;
-- Store it back to data strucutre
ScheduleDataArrayNorm(OutBitPos).PropDelay := PropDelay;
ScheduleDataArrayNorm(OutBitPos).OutputRetainDelay:= RetainDelay;
ScheduleDataArrayNorm(OutBitPos).InputAge := InputAge;
-- for debug purpose
PrintDelay(outbitPos,InputArrayLow, InputArrayHigh,
debugprop, debugretain);
END LOOP;
ScheduleDataArray := ScheduleDataArrayNorm;
END VitalMemorySelectDelay;
-- ----------------------------------------------------------------------------
-- VitalDelayArrayType01Z
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemorySelectDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
VARIABLE InputChangeTimeArray : IN VitalTimeArrayT;
CONSTANT OutputSignalName : IN STRING :="";
CONSTANT PathDelayArray : IN VitalDelayArrayType01Z;
CONSTANT ArcType : IN VitalMemoryArcType;
CONSTANT PathConditionArray : IN VitalBoolArrayT;
CONSTANT OutputRetainFlag : IN BOOLEAN
) IS
VARIABLE InputArrayLow : INTEGER := 0;
VARIABLE InputArrayHigh : INTEGER := 0;
VARIABLE DelayArrayIndex : INTEGER := 0;
VARIABLE NumBitsPerSubWord : INTEGER := DefaultNumBitsPerSubword;
VARIABLE NewValue : STD_ULOGIC;
VARIABLE OldValue : STD_ULOGIC;
VARIABLE OutputLength : INTEGER := 0;
VARIABLE OutArrayIndex : INTEGER;
VARIABLE PropDelay : TIME;
VARIABLE RetainDelay : TIME;
VARIABLE CurPropDelay : TIME;
VARIABLE CurRetainDelay : TIME;
VARIABLE InputAge : TIME;
VARIABLE CurInputAge : TIME;
VARIABLE InputChangeTimeNorm : VitalTimeArrayT(
InputChangeTimeArray'LENGTH-1 downto 0):=InputChangeTimeArray;
VARIABLE DelayArrayNorm : VitalDelayArrayType01Z(
PathDelayArray'LENGTH-1 downto 0):= PathDelayArray;
VARIABLE ScheduleDataArrayNorm : VitalMemoryScheduleDatavectorType
(ScheduleDataArray'LENGTH-1 downto 0):=ScheduleDataArray;
-- for debug purpose
VARIABLE debugprop : VitalTimeArrayT(MaxNoInputBits-1 downto 0);
VARIABLE debugretain : VitalTimeArrayT(MaxNoInputBits-1 downto 0);
BEGIN
-- for debug purpose
PrintArcType(ArcType);
OutputLength := ScheduleDataArray'LENGTH;
FOR OutBitPos IN 0 to (OutputLength -1) LOOP
NEXT WHEN PathConditionArray(OutBitPos) = FALSE;
NEXT WHEN ((ScheduleDataArrayNorm(OutBitPos).ScheduleValue
= ScheduleDataArrayNorm(OutBitPos).OutputData) AND
(ScheduleDataArrayNorm(OutBitPos).ScheduleTime <= NOW) AND
(OutputRetainFlag = FALSE));
NewValue := ScheduleDataArrayNorm(OutBitPos).OutputData;
OldValue := ScheduleDataArrayNorm(OutBitPos).LastOutputValue;
PropDelay :=ScheduleDataArrayNorm(OutBitPos).PropDelay;
InputAge := ScheduleDataArrayNorm(OutBitPos).InputAge;
RetainDelay:=ScheduleDataArrayNorm(OutBitPos).OutputRetainDelay;
NumBitsPerSubWord:=ScheduleDataArrayNorm(OutBitPos).NumBitsPerSubWord;
CASE ArcType IS
WHEN ParallelArc =>
InputArrayLow := OutBitPos;
InputArrayHigh := OutBitPos;
DelayArrayIndex := OutBitPos;
WHEN CrossArc =>
InputArrayLow := 0;
InputArrayHigh := InputChangeTimeArray'LENGTH-1;
DelayArrayIndex := OutBitPos;
WHEN SubwordArc =>
InputArrayLow := OutBitPos / NumBitsPerSubWord;
InputArrayHigh := OutBitPos / NumBitsPerSubWord;
DelayArrayIndex := OutBitPos +
(OutputLength * (OutBitPos / NumBitsPerSubWord));
END CASE;
FOR i IN InputArrayLow TO InputArrayHigh LOOP
(CurPropDelay, CurRetainDelay) :=
VitalMemoryCalcDelay (
NewValue, OldValue, DelayArrayNorm(DelayArrayIndex)
);
IF (OutputRetainFlag = FALSE) THEN
CurRetainDelay := TIME'HIGH;
END IF;
-- for debug purpose
debugprop(i) := CurPropDelay;
debugretain(i) := CurRetainDelay;
IF (ArcType = CrossArc) THEN
DelayArrayIndex := DelayArrayIndex + OutputLength;
END IF;
-- If there is one input change at a time, then choose the
-- delay from that input. If there is simultaneous input
-- change, then choose the minimum of propagation delays
IF (InputChangeTimeNorm(i) < 0 ns) THEN
CurInputAge := TIME'HIGH;
ELSE
CurInputAge := NOW - InputChangeTimeNorm(i);
END IF;
IF (CurInputAge < InputAge) THEN
PropDelay := CurPropDelay;
RetainDelay := CurRetainDelay;
InputAge := CurInputAge;
ELSIF (CurInputAge = InputAge) THEN
IF (CurPropDelay < PropDelay) THEN
PropDelay := CurPropDelay;
END IF;
IF (OutputRetainFlag = TRUE) THEN
IF (CurRetainDelay < RetainDelay) THEN
RetainDelay := CurRetainDelay;
END IF;
END IF;
END IF;
END LOOP;
-- Store it back to data strucutre
ScheduleDataArrayNorm(OutBitPos).PropDelay := PropDelay;
ScheduleDataArrayNorm(OutBitPos).OutputRetainDelay:= RetainDelay;
ScheduleDataArrayNorm(OutBitPos).InputAge := InputAge;
-- for debug purpose
PrintDelay(outbitPos, InputArrayLow, InputArrayHigh,
debugprop, debugretain);
END LOOP;
ScheduleDataArray := ScheduleDataArrayNorm;
END VitalMemorySelectDelay;
-- ----------------------------------------------------------------------------
-- VitalDelayArrayType01
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemorySelectDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
VARIABLE InputChangeTimeArray : IN VitalTimeArrayT;
CONSTANT OutputSignalName : IN STRING :="";
CONSTANT PathDelayArray : IN VitalDelayArrayType01;
CONSTANT ArcType : IN VitalMemoryArcType;
CONSTANT PathConditionArray : IN VitalBoolArrayT
) IS
VARIABLE CurPathDelay : VitalMemoryDelayType;
VARIABLE InputArrayLow : INTEGER := 0;
VARIABLE InputArrayHigh : INTEGER := 0;
VARIABLE DelayArrayIndex : INTEGER := 0;
VARIABLE NumBitsPerSubWord : INTEGER := DefaultNumBitsPerSubword;
VARIABLE NewValue : STD_ULOGIC;
VARIABLE OldValue : STD_ULOGIC;
VARIABLE OutputLength : INTEGER := 0;
VARIABLE OutArrayIndex : INTEGER;
VARIABLE PropDelay : TIME;
VARIABLE CurPropDelay : TIME;
VARIABLE InputAge : TIME;
VARIABLE CurInputAge : TIME;
VARIABLE InputChangeTimeNorm : VitalTimeArrayT(
InputChangeTimeArray'LENGTH-1 downto 0):= InputChangeTimeArray;
VARIABLE DelayArrayNorm : VitalDelayArrayType01(
PathDelayArray'LENGTH-1 downto 0):= PathDelayArray;
VARIABLE ScheduleDataArrayNorm : VitalMemoryScheduleDatavectorType
(ScheduleDataArray'LENGTH-1 downto 0):=ScheduleDataArray;
-- for debug purpose
VARIABLE debugprop : VitalTimeArrayT(MaxNoInputBits-1 downto 0);
VARIABLE debugretain : VitalTimeArrayT(MaxNoInputBits-1 downto 0);
BEGIN
-- for debug purpose
PrintArcType(ArcType);
OutputLength := ScheduleDataArray'LENGTH;
FOR OutBitPos IN 0 to (OutputLength -1) LOOP
NEXT WHEN PathConditionArray(OutBitPos) = FALSE;
NEXT WHEN ((ScheduleDataArrayNorm(OutBitPos).ScheduleValue
= ScheduleDataArrayNorm(OutBitPos).OutputData) AND
(ScheduleDataArrayNorm(OutBitPos).ScheduleTime <= NOW));
NewValue := ScheduleDataArrayNorm(OutBitPos).OutputData;
OldValue := ScheduleDataArrayNorm(OutBitPos).LastOutputValue;
PropDelay :=ScheduleDataArrayNorm(OutBitPos).PropDelay;
InputAge := ScheduleDataArrayNorm(OutBitPos).InputAge;
NumBitsPerSubWord:=ScheduleDataArrayNorm(OutBitPos).NumBitsPerSubWord;
CASE ArcType IS
WHEN ParallelArc =>
InputArrayLow := OutBitPos;
InputArrayHigh := OutBitPos;
DelayArrayIndex := OutBitPos;
WHEN CrossArc =>
InputArrayLow := 0;
InputArrayHigh := InputChangeTimeArray'LENGTH-1;
DelayArrayIndex := OutBitPos;
WHEN SubwordArc =>
InputArrayLow := OutBitPos / NumBitsPerSubWord;
InputArrayHigh := OutBitPos / NumBitsPerSubWord;
DelayArrayIndex := OutBitPos +
(OutputLength * (OutBitPos / NumBitsPerSubWord));
END CASE;
FOR i IN InputArrayLow TO InputArrayHigh LOOP
CurPropDelay:= VitalCalcDelay (NewValue,
OldValue, DelayArrayNorm(DelayArrayIndex));
-- for debug purpose
debugprop(i) := CurPropDelay;
debugretain(i) := TIME'HIGH;
IF (ArcType = CrossArc) THEN
DelayArrayIndex := DelayArrayIndex + OutputLength;
END IF;
-- If there is one input change at a time, then choose the
-- delay from that input. If there is simultaneous input
-- change, then choose the minimum of propagation delays
IF (InputChangeTimeNorm(i) < 0 ns) THEN
CurInputAge := TIME'HIGH;
ELSE
CurInputAge := NOW - InputChangeTimeNorm(i);
END IF;
IF (CurInputAge < InputAge) THEN
PropDelay := CurPropDelay;
InputAge := CurInputAge;
ELSIF (CurInputAge = InputAge) THEN
IF (CurPropDelay < PropDelay) THEN
PropDelay := CurPropDelay;
END IF;
END IF;
END LOOP;
-- Store it back to data strucutre
ScheduleDataArrayNorm(OutBitPos).PropDelay := PropDelay;
ScheduleDataArrayNorm(OutBitPos).InputAge := InputAge;
-- for debug purpose
PrintDelay(outbitPos, InputArrayLow, InputArrayHigh,
debugprop, debugretain);
END LOOP;
ScheduleDataArray := ScheduleDataArrayNorm;
END VitalMemorySelectDelay;
-- ----------------------------------------------------------------------------
-- VitalDelayArrayType
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemorySelectDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
VARIABLE InputChangeTimeArray : IN VitalTimeArrayT;
CONSTANT OutputSignalName : IN STRING :="";
CONSTANT PathDelayArray : IN VitalDelayArrayType;
CONSTANT ArcType : IN VitalMemoryArcType;
CONSTANT PathConditionArray : IN VitalBoolArrayT
) IS
VARIABLE InputArrayLow : INTEGER := 0;
VARIABLE InputArrayHigh : INTEGER := 0;
VARIABLE DelayArrayIndex : INTEGER := 0;
VARIABLE NumBitsPerSubWord : INTEGER := DefaultNumBitsPerSubword;
VARIABLE NewValue : STD_ULOGIC;
VARIABLE OldValue : STD_ULOGIC;
VARIABLE OutputLength : INTEGER := 0;
VARIABLE OutArrayIndex : INTEGER;
VARIABLE PropDelay : TIME;
VARIABLE CurPropDelay : TIME;
VARIABLE InputAge : TIME;
VARIABLE CurInputAge : TIME;
VARIABLE InputChangeTimeNorm : VitalTimeArrayT(
InputChangeTimeArray'LENGTH-1 downto 0) := InputChangeTimeArray;
VARIABLE DelayArrayNorm : VitalDelayArrayType(
PathDelayArray'LENGTH-1 downto 0) := PathDelayArray;
VARIABLE ScheduleDataArrayNorm : VitalMemoryScheduleDatavectorType
(ScheduleDataArray'LENGTH-1 downto 0) := ScheduleDataArray;
-- for debug purpose
VARIABLE debugprop : VitalTimeArrayT(MaxNoInputBits-1 downto 0);
VARIABLE debugretain : VitalTimeArrayT(MaxNoInputBits-1 downto 0);
BEGIN
-- for debug purpose
PrintArcType(ArcType);
OutputLength := ScheduleDataArray'LENGTH;
FOR OutBitPos IN 0 to (OutputLength -1) LOOP
NEXT WHEN PathConditionArray(OutBitPos) = FALSE;
NEXT WHEN ((ScheduleDataArrayNorm(OutBitPos).ScheduleValue
= ScheduleDataArrayNorm(OutBitPos).OutputData) AND
(ScheduleDataArrayNorm(OutBitPos).ScheduleTime <= NOW));
NewValue := ScheduleDataArrayNorm(OutBitPos).OutputData;
OldValue := ScheduleDataArrayNorm(OutBitPos).LastOutputValue;
PropDelay :=ScheduleDataArrayNorm(OutBitPos).PropDelay;
InputAge := ScheduleDataArrayNorm(OutBitPos).InputAge;
NumBitsPerSubWord:=ScheduleDataArrayNorm(OutBitPos).NumBitsPerSubWord;
CASE ArcType IS
WHEN ParallelArc =>
InputArrayLow := OutBitPos;
InputArrayHigh := OutBitPos;
DelayArrayIndex := OutBitPos;
WHEN CrossArc =>
InputArrayLow := 0;
InputArrayHigh := InputChangeTimeArray'LENGTH-1;
DelayArrayIndex := OutBitPos;
WHEN SubwordArc =>
InputArrayLow := OutBitPos / NumBitsPerSubWord;
InputArrayHigh := OutBitPos / NumBitsPerSubWord;
DelayArrayIndex := OutBitPos +
(OutputLength * (OutBitPos / NumBitsPerSubWord));
END CASE;
FOR i IN InputArrayLow TO InputArrayHigh LOOP
CurPropDelay := VitalCalcDelay (NewValue,
OldValue, DelayArrayNorm(DelayArrayIndex));
-- for debug purpose
debugprop(i) := CurPropDelay;
debugretain(i) := TIME'HIGH;
IF (ArcType = CrossArc) THEN
DelayArrayIndex := DelayArrayIndex + OutputLength;
END IF;
-- If there is one input change at a time, then choose the
-- delay from that input. If there is simultaneous input
-- change, then choose the minimum of propagation delays
IF (InputChangeTimeNorm(i) < 0 ns) THEN
CurInputAge := TIME'HIGH;
ELSE
CurInputAge := NOW - InputChangeTimeNorm(i);
END IF;
IF (CurInputAge < InputAge) THEN
PropDelay := CurPropDelay;
InputAge := CurInputAge;
ELSIF (CurInputAge = InputAge) THEN
IF (CurPropDelay < PropDelay) THEN
PropDelay := CurPropDelay;
END IF;
END IF;
END LOOP;
-- Store it back to data strucutre
ScheduleDataArrayNorm(OutBitPos).PropDelay := PropDelay;
ScheduleDataArrayNorm(OutBitPos).InputAge := InputAge;
-- for debug purpose
PrintDelay(outbitPos, InputArrayLow, InputArrayHigh,
debugprop, debugretain);
END LOOP;
ScheduleDataArray := ScheduleDataArrayNorm;
END VitalMemorySelectDelay;
-- ----------------------------------------------------------------------------
-- Procedure: VitalMemoryInitPathDelay
-- Description: To initialize Schedule Data structure for an
-- output.
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemoryInitPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
VARIABLE OutputDataArray : IN STD_LOGIC_VECTOR;
CONSTANT NumBitsPerSubWord : IN INTEGER := DefaultNumBitsPerSubword
) IS
BEGIN
-- Initialize the ScheduleData Structure.
FOR i IN OutputDataArray'RANGE LOOP
ScheduleDataArray(i).OutputData := OutputDataArray(i);
ScheduleDataArray(i).PropDelay := TIME'HIGH;
ScheduleDataArray(i).OutputRetainDelay := TIME'HIGH;
ScheduleDataArray(i).InputAge := TIME'HIGH;
ScheduleDataArray(i).NumBitsPerSubWord := NumBitsPerSubWord;
-- Update LastOutputValue of Output if the Output has
-- already been scheduled.
IF ((ScheduleDataArray(i).ScheduleValue /= OutputDataArray(i)) AND
(ScheduleDataArray(i).ScheduleTime <= NOW)) THEN
ScheduleDataArray(i).LastOutputValue
:= ScheduleDataArray(i).ScheduleValue;
END IF;
END LOOP;
-- for debug purpose
DebugMsg1;
PrintScheduleDataArray(ScheduleDataArray);
END VitalMemoryInitPathDelay;
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemoryInitPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
VARIABLE OutputData : IN STD_ULOGIC
) IS
VARIABLE ScheduledataArray: VitalMemoryScheduleDataVectorType
(0 downto 0);
VARIABLE OutputDataArray : STD_LOGIC_VECTOR(0 downto 0);
BEGIN
ScheduledataArray(0) := ScheduleData;
OutputDataArray(0) := OutputData;
VitalMemoryInitPathDelay (
ScheduleDataArray => ScheduleDataArray,
OutputDataArray => OutputDataArray,
NumBitsPerSubWord => DefaultNumBitsPerSubword
);
-- for debug purpose
DebugMsg1;
PrintScheduleDataArray( ScheduleDataArray);
END VitalMemoryInitPathDelay;
-- ----------------------------------------------------------------------------
-- Procedure: VitalMemoryAddPathDelay
-- Description: Declare a path for one scalar/vector input to
-- the output for which Schedule Data has been
-- initialized previously.
-- ----------------------------------------------------------------------------
-- ----------------------------------------------------------------------------
-- #1
-- DelayType - VitalMemoryDelayType
-- Input - Scalar
-- Output - Scalar
-- Delay - Scalar
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING :="";
VARIABLE InputChangeTime : INOUT TIME;
CONSTANT PathDelay : IN VitalDelayType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
) IS
VARIABLE ScheduleDataArray :
VitalMemoryScheduleDataVectorType(0 downto 0);
VARIABLE PathDelayArray : VitalDelayArrayType(0 downto 0);
VARIABLE InputChangeTimeArray : VitalTimeArrayT(0 downto 0);
VARIABLE PathConditionArray : VitalBoolArrayT(0 downto 0);
BEGIN
PathConditionArray(0) := PathCondition;
ScheduleDataArray(0) := ScheduleData;
PathDelayArray(0) := PathDelay;
VitalMemoryUpdateInputChangeTime(InputChangeTime, InputSignal);
InputChangeTimeArray(0) := InputChangeTime;
VitalMemorySelectDelay(
ScheduleDataArray, InputChangeTimeArray,
OutputSignalName, PathDelayArray,
ArcType, PathConditionArray);
END VitalMemoryAddPathDelay;
-- ----------------------------------------------------------------------------
-- #2
-- DelayType - VitalMemoryDelayType
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING :="";
VARIABLE InputChangeTime : INOUT TIME;
CONSTANT PathDelayArray : IN VitalDelayArrayType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
) IS
VARIABLE InputChangeTimeArray : VitalTimeArrayT(0 downto 0);
VARIABLE PathConditionArray :
VitalBoolArrayT(ScheduleDataArray'LENGTH-1 downto 0);
BEGIN
FOR i IN PathConditionArray'RANGE LOOP
PathConditionArray(i) := PathCondition;
END LOOP;
VitalMemoryUpdateInputChangeTime(InputChangeTime, InputSignal);
InputChangeTimeArray(0) := InputChangeTime;
VitalMemorySelectDelay(
ScheduleDataArray, InputChangeTimeArray,
OutputSignalName, PathDelayArray,
ArcType, PathConditionArray
);
END VitalMemoryAddPathDelay;
-- ----------------------------------------------------------------------------
-- #3
-- DelayType - VitalMemoryDelayType
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING :="";
VARIABLE InputChangeTime : INOUT TIME;
CONSTANT PathDelayArray : IN VitalDelayArrayType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray : IN VitalBoolArrayT
) IS
VARIABLE InputChangeTimeArray : VitalTimeArrayT(0 downto 0);
VARIABLE NumBitsPerSubword : INTEGER;
VARIABLE PathConditionArrayNorm :
VitalBoolArrayT(PathConditionArray'LENGTH-1 downto 0) := PathConditionArray; -- IR Mem400
VARIABLE PathConditionArrayExp :
VitalBoolArrayT(ScheduleDataArray'LENGTH-1 downto 0);
BEGIN
NumBitsPerSubword :=
ScheduleDataArray(ScheduleDataArray'RIGHT).NumBitsPerSubword;
FOR i IN PathConditionArrayExp'RANGE LOOP
PathConditionArrayExp(i) := PathConditionArrayNorm(i/NumBitsPerSubword);
END LOOP;
VitalMemoryUpdateInputChangeTime(InputChangeTime, InputSignal);
InputChangeTimeArray(0) := InputChangeTime;
VitalMemorySelectDelay(
ScheduleDataArray, InputChangeTimeArray,
OutputSignalName, PathDelayArray,
ArcType, PathConditionArrayExp);
END VitalMemoryAddPathDelay;
-- ----------------------------------------------------------------------------
-- #4
-- DelayType - VitalMemoryDelayType
-- Input - Vector
-- Output - Scalar
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING :="";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
) IS
VARIABLE ScheduleDataArray : VitalMemoryScheduleDataVectorType(0 downto 0);
VARIABLE PathConditionArray : VitalBoolArrayT(0 downto 0);
BEGIN
PathConditionArray(0) := PathCondition;
ScheduleDataArray(0) := ScheduleData;
VitalMemoryUpdateInputChangeTime(InputChangeTimeArray, InputSignal);
VitalMemorySelectDelay(
ScheduleDataArray, InputChangeTimeArray,
OutputSignalName, PathDelayArray,
ArcType, PathConditionArray);
END VitalMemoryAddPathDelay;
-- ----------------------------------------------------------------------------
-- #5
-- DelayType - VitalMemoryDelayType
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING :="";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
) IS
VARIABLE PathConditionArray :
VitalBoolArrayT(ScheduleDataArray'LENGTH-1 downto 0);
BEGIN
FOR i IN PathConditionArray'RANGE LOOP
PathConditionArray(i) := PathCondition;
END LOOP;
VitalMemoryUpdateInputChangeTime(InputChangeTimeArray, InputSignal);
VitalMemorySelectDelay(
ScheduleDataArray, InputChangeTimeArray,
OutputSignalName, PathDelayArray,
ArcType, PathConditionArray);
END VitalMemoryAddPathDelay;
-- ----------------------------------------------------------------------------
-- #6
-- DelayType - VitalMemoryDelayType
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING :="";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray : IN VitalBoolArrayT
) IS
VARIABLE NumBitsPerSubword : INTEGER;
VARIABLE PathConditionArrayNorm :
VitalBoolArrayT(PathConditionArray'LENGTH-1 downto 0) := PathConditionArray; -- IR MEM400;
VARIABLE PathConditionArrayExp :
VitalBoolArrayT(ScheduleDataArray'LENGTH-1 downto 0);
BEGIN
NumBitsPerSubword :=
ScheduleDataArray(ScheduleDataArray'RIGHT).NumBitsPerSubword;
FOR i IN PathConditionArrayExp'RANGE LOOP
PathConditionArrayExp(i) := PathConditionArrayNorm(i/NumBitsPerSubword);
END LOOP;
VitalMemoryUpdateInputChangeTime(InputChangeTimeArray, InputSignal);
VitalMemorySelectDelay(
ScheduleDataArray, InputChangeTimeArray,
OutputSignalName, PathDelayArray,
ArcType, PathConditionArrayExp);
END VitalMemoryAddPathDelay;
-- ----------------------------------------------------------------------------
-- #7
-- DelayType - VitalMemoryDelayType01
-- Input - Scalar
-- Output - Scalar
-- Delay - Scalar
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING :="";
VARIABLE InputChangeTime : INOUT TIME;
CONSTANT PathDelay : IN VitalDelayType01;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
) IS
VARIABLE ScheduleDataArray :
VitalMemoryScheduleDataVectorType(0 downto 0);
VARIABLE PathDelayArray : VitalDelayArrayType01(0 downto 0);
VARIABLE InputChangeTimeArray : VitalTimeArrayT(0 downto 0);
VARIABLE PathConditionArray : VitalBoolArrayT(0 downto 0);
BEGIN
PathConditionArray(0) := PathCondition;
ScheduleDataArray(0) := ScheduleData;
PathDelayArray(0) := PathDelay;
VitalMemoryUpdateInputChangeTime(InputChangeTime, InputSignal);
InputChangeTimeArray(0) := InputChangeTime;
VitalMemorySelectDelay(
ScheduleDataArray, InputChangeTimeArray,
OutputSignalName, PathDelayArray,
ArcType, PathConditionArray);
END VitalMemoryAddPathDelay;
-- ----------------------------------------------------------------------------
-- #8
-- DelayType - VitalMemoryDelayType01
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING :="";
VARIABLE InputChangeTime : INOUT TIME;
CONSTANT PathDelayArray : IN VitalDelayArrayType01;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
) IS
VARIABLE InputChangeTimeArray : VitalTimeArrayT(0 downto 0);
VARIABLE PathConditionArray :
VitalBoolArrayT(ScheduleDataArray'LENGTH-1 downto 0);
BEGIN
FOR i IN PathConditionArray'RANGE LOOP
PathConditionArray(i) := PathCondition;
END LOOP;
VitalMemoryUpdateInputChangeTime(InputChangeTime, InputSignal);
InputChangeTimeArray(0) := InputChangeTime;
VitalMemorySelectDelay(
ScheduleDataArray, InputChangeTimeArray,
OutputSignalName, PathDelayArray,
ArcType, PathConditionArray);
END VitalMemoryAddPathDelay;
-- ----------------------------------------------------------------------------
-- #9
-- DelayType - VitalMemoryDelayType01
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING :="";
VARIABLE InputChangeTime : INOUT TIME;
CONSTANT PathDelayArray : IN VitalDelayArrayType01;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray: IN VitalBoolArrayT
) IS
VARIABLE InputChangeTimeArray : VitalTimeArrayT(0 downto 0);
VARIABLE NumBitsPerSubword : INTEGER;
VARIABLE PathConditionArrayNorm :
VitalBoolArrayT(PathConditionArray'LENGTH-1 downto 0) := PathConditionArray; -- IR MEM400;
VARIABLE PathConditionArrayExp :
VitalBoolArrayT(ScheduleDataArray'LENGTH-1 downto 0);
BEGIN
NumBitsPerSubword :=
ScheduleDataArray(ScheduleDataArray'RIGHT).NumBitsPerSubword;
FOR i IN PathConditionArrayExp'RANGE LOOP
PathConditionArrayExp(i) := PathConditionArrayNorm(i/NumBitsPerSubword);
END LOOP;
VitalMemoryUpdateInputChangeTime(InputChangeTime, InputSignal);
InputChangeTimeArray(0) := InputChangeTime;
VitalMemorySelectDelay(
ScheduleDataArray, InputChangeTimeArray,
OutputSignalName, PathDelayArray,
ArcType, PathConditionArrayExp);
END VitalMemoryAddPathDelay;
-- ----------------------------------------------------------------------------
-- #10
-- DelayType - VitalMemoryDelayType01
-- Input - Vector
-- Output - Scalar
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING :="";
VARIABLE InputChangeTimeArray: INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
)IS
VARIABLE ScheduleDataArray :
VitalMemoryScheduleDataVectorType(0 downto 0);
VARIABLE PathConditionArray : VitalBoolArrayT(0 downto 0);
BEGIN
PathConditionArray(0) := PathCondition;
ScheduleDataArray(0) := ScheduleData;
VitalMemoryUpdateInputChangeTime(InputChangeTimeArray, InputSignal);
VitalMemorySelectDelay(
ScheduleDataArray, InputChangeTimeArray,
OutputSignalName, PathDelayArray,
ArcType, PathConditionArray);
END VitalMemoryAddPathDelay;
-- ----------------------------------------------------------------------------
-- #11
-- DelayType - VitalMemoryDelayType01
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING :="";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
) IS
VARIABLE PathConditionArray :
VitalBoolArrayT(ScheduleDataArray'LENGTH-1 downto 0);
BEGIN
FOR i IN PathConditionArray'RANGE LOOP
PathConditionArray(i) := PathCondition;
END LOOP;
VitalMemoryUpdateInputChangeTime(InputChangeTimeArray, InputSignal);
VitalMemorySelectDelay(
ScheduleDataArray, InputChangeTimeArray,
OutputSignalName, PathDelayArray,
ArcType, PathConditionArray);
END VitalMemoryAddPathDelay;
-- ----------------------------------------------------------------------------
-- #12
-- DelayType - VitalMemoryDelayType01
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING :="";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray : IN VitalBoolArrayT
) IS
VARIABLE NumBitsPerSubword : INTEGER;
VARIABLE PathConditionArrayNorm :
VitalBoolArrayT(PathConditionArray'LENGTH-1 downto 0) := PathConditionArray; -- IR MEM400;
VARIABLE PathConditionArrayExp :
VitalBoolArrayT(ScheduleDataArray'LENGTH-1 downto 0);
BEGIN
NumBitsPerSubword :=
ScheduleDataArray(ScheduleDataArray'RIGHT).NumBitsPerSubword;
FOR i IN PathConditionArrayExp'RANGE LOOP
PathConditionArrayExp(i) := PathConditionArrayNorm(i/NumBitsPerSubword);
END LOOP;
VitalMemoryUpdateInputChangeTime(InputChangeTimeArray, InputSignal);
VitalMemorySelectDelay(
ScheduleDataArray, InputChangeTimeArray,
OutputSignalName, PathDelayArray,
ArcType, PathConditionArrayExp);
END VitalMemoryAddPathDelay;
-- ----------------------------------------------------------------------------
-- #13
-- DelayType - VitalMemoryDelayType01Z
-- Input - Scalar
-- Output - Scalar
-- Delay - Scalar
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING :="";
VARIABLE InputChangeTime : INOUT TIME;
CONSTANT PathDelay : IN VitalDelayType01Z;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE
) IS
VARIABLE ScheduleDataArray :
VitalMemoryScheduleDataVectorType(0 downto 0);
VARIABLE PathDelayArray : VitalDelayArrayType01Z(0 downto 0);
VARIABLE InputChangeTimeArray : VitalTimeArrayT(0 downto 0);
VARIABLE PathConditionArray : VitalBoolArrayT(0 downto 0);
BEGIN
PathConditionArray(0) := PathCondition;
ScheduleDataArray(0) := ScheduleData;
PathDelayArray(0) := PathDelay;
VitalMemoryUpdateInputChangeTime(InputChangeTime, InputSignal);
InputChangeTimeArray(0) := InputChangeTime;
VitalMemorySelectDelay(
ScheduleDataArray, InputChangeTimeArray,
OutputSignalName, PathDelayArray,
ArcType, PathConditionArray, OutputRetainFlag);
END VitalMemoryAddPathDelay;
-- ----------------------------------------------------------------------------
-- #14
-- DelayType - VitalMemoryDelayType01Z
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING :="";
VARIABLE InputChangeTime : INOUT TIME;
CONSTANT PathDelayArray : IN VitalDelayArrayType01Z;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE
) IS
VARIABLE InputChangeTimeArray : VitalTimeArrayT(0 downto 0);
VARIABLE PathConditionArray :
VitalBoolArrayT(ScheduleDataArray'LENGTH-1 downto 0);
BEGIN
FOR i IN PathConditionArray'RANGE LOOP
PathConditionArray(i) := PathCondition;
END LOOP;
VitalMemoryUpdateInputChangeTime(InputChangeTime, InputSignal);
InputChangeTimeArray(0) := InputChangeTime;
VitalMemorySelectDelay(
ScheduleDataArray, InputChangeTimeArray,
OutputSignalName, PathDelayArray,
ArcType, PathConditionArray, OutputRetainFlag);
END VitalMemoryAddPathDelay;
-- ----------------------------------------------------------------------------
-- #15
-- DelayType - VitalMemoryDelayType01Z
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING :="";
VARIABLE InputChangeTime : INOUT TIME;
CONSTANT PathDelayArray : IN VitalDelayArrayType01Z;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray: IN VitalBoolArrayT;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE
) IS
VARIABLE InputChangeTimeArray : VitalTimeArrayT(0 downto 0);
VARIABLE NumBitsPerSubword : INTEGER;
VARIABLE PathConditionArrayNorm : VitalBoolArrayT(PathConditionArray'LENGTH-1 downto 0);
VARIABLE PathConditionArrayExp : VitalBoolArrayT(ScheduleDataArray'LENGTH-1 downto 0);
BEGIN
NumBitsPerSubword := ScheduleDataArray(ScheduleDataArray'RIGHT).NumBitsPerSubword;
FOR i IN PathConditionArrayExp'RANGE LOOP
PathConditionArrayExp(i) := PathConditionArrayNorm(i/NumBitsPerSubword);
END LOOP;
VitalMemoryUpdateInputChangeTime(InputChangeTime, InputSignal);
InputChangeTimeArray(0) := InputChangeTime;
VitalMemorySelectDelay(
ScheduleDataArray, InputChangeTimeArray,
OutputSignalName, PathDelayArray,
ArcType, PathConditionArrayExp, OutputRetainFlag);
END VitalMemoryAddPathDelay;
-- ----------------------------------------------------------------------------
-- #16
-- DelayType - VitalMemoryDelayType01Z
-- Input - Vector
-- Output - Scalar
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING :="";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01Z;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE;
CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt
) IS
VARIABLE ScheduleDataArray :
VitalMemoryScheduleDataVectorType(0 downto 0);
VARIABLE NumBitsPerSubword : INTEGER;
VARIABLE PathConditionArray : VitalBoolArrayT(0 downto 0);
BEGIN
PathConditionArray(0) := PathCondition;
ScheduleDataArray(0) := ScheduleData;
NumBitsPerSubword := ScheduleDataArray(0).NumBitsPerSubword;
IF (OutputRetainBehavior = WordCorrupt AND
ArcType = ParallelArc AND
OutputRetainFlag = TRUE) THEN
VitalMemoryUpdateInputChangeTime(
InputChangeTimeArray,
InputSignal,
NumBitsPerSubword
);
ELSE
VitalMemoryUpdateInputChangeTime(InputChangeTimeArray, InputSignal);
END IF;
VitalMemorySelectDelay(
ScheduleDataArray, InputChangeTimeArray,
OutputSignalName, PathDelayArray,
ArcType, PathConditionArray, OutputRetainFlag);
END VitalMemoryAddPathDelay;
-- ----------------------------------------------------------------------------
-- #17
-- DelayType - VitalMemoryDelayType01Z
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING :="";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01Z;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE;
CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt
) IS
VARIABLE NumBitsPerSubword : INTEGER;
VARIABLE PathConditionArray :
VitalBoolArrayT(ScheduleDataArray'LENGTH-1 downto 0);
BEGIN
FOR i IN PathConditionArray'RANGE LOOP
PathConditionArray(i) := PathCondition;
END LOOP;
NumBitsPerSubword :=
ScheduleDataArray(ScheduleDataArray'LEFT).NumBitsPerSubword;
IF (OutputRetainBehavior = WordCorrupt AND
ArcType = ParallelArc AND
OutputRetainFlag = TRUE) THEN
VitalMemoryUpdateInputChangeTime(
InputChangeTimeArray,
InputSignal,
NumBitsPerSubword
);
ELSE
VitalMemoryUpdateInputChangeTime(InputChangeTimeArray, InputSignal);
END IF;
VitalMemorySelectDelay(
ScheduleDataArray, InputChangeTimeArray,
OutputSignalName, PathDelayArray,
ArcType, PathConditionArray, OutputRetainFlag);
END VitalMemoryAddPathDelay;
-- ----------------------------------------------------------------------------
-- #18
-- DelayType - VitalMemoryDelayType01Z
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING :="";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01Z;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray : IN VitalBoolArrayT;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE;
CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt
) IS
VARIABLE NumBitsPerSubword : INTEGER;
VARIABLE PathConditionArrayNorm :
VitalBoolArrayT(PathConditionArray'LENGTH-1 downto 0);
VARIABLE PathConditionArrayExp :
VitalBoolArrayT(ScheduleDataArray'LENGTH-1 downto 0);
BEGIN
NumBitsPerSubword := ScheduleDataArray(ScheduleDataArray'RIGHT).NumBitsPerSubword;
FOR i IN PathConditionArrayExp'RANGE LOOP
PathConditionArrayExp(i) := PathConditionArrayNorm(i/NumBitsPerSubword);
END LOOP;
IF (OutputRetainBehavior = WordCorrupt AND
ArcType = ParallelArc AND
OutputRetainFlag = TRUE) THEN
VitalMemoryUpdateInputChangeTime(
InputChangeTimeArray, InputSignal,
NumBitsPerSubword);
ELSE
VitalMemoryUpdateInputChangeTime(InputChangeTimeArray, InputSignal);
END IF;
VitalMemorySelectDelay(
ScheduleDataArray, InputChangeTimeArray,
OutputSignalName, PathDelayArray,
ArcType, PathConditionArrayExp, OutputRetainFlag);
END VitalMemoryAddPathDelay;
-- ----------------------------------------------------------------------------
-- #19
-- DelayType - VitalMemoryDelayType01XZ
-- Input - Scalar
-- Output - Scalar
-- Delay - Scalar
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING :="";
VARIABLE InputChangeTime : INOUT TIME;
CONSTANT PathDelay : IN VitalDelayType01ZX;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE
) IS
VARIABLE ScheduleDataArray :
VitalMemoryScheduleDataVectorType(0 downto 0);
VARIABLE PathDelayArray : VitalDelayArrayType01ZX(0 downto 0);
VARIABLE InputChangeTimeArray : VitalTimeArrayT(0 downto 0);
VARIABLE PathConditionArray : VitalBoolArrayT(0 downto 0);
BEGIN
PathConditionArray(0) := PathCondition;
ScheduleDataArray(0) := ScheduleData;
PathDelayArray(0) := PathDelay;
VitalMemoryUpdateInputChangeTime(InputChangeTime, InputSignal);
InputChangeTimeArray(0) := InputChangeTime;
VitalMemorySelectDelay(
ScheduleDataArray, InputChangeTimeArray,
OutputSignalName, PathDelayArray,
ArcType, PathConditionArray, OutputRetainFlag);
END VitalMemoryAddPathDelay;
-- ----------------------------------------------------------------------------
-- #20
-- DelayType - VitalMemoryDelayType01XZ
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray :INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING :="";
VARIABLE InputChangeTime : INOUT TIME;
CONSTANT PathDelayArray : IN VitalDelayArrayType01ZX;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE
) IS
VARIABLE InputChangeTimeArray : VitalTimeArrayT(0 downto 0);
VARIABLE PathConditionArray :
VitalBoolArrayT(ScheduleDataArray'LENGTH-1 downto 0);
BEGIN
FOR i IN PathConditionArray'RANGE LOOP
PathConditionArray(i) := PathCondition;
END LOOP;
VitalMemoryUpdateInputChangeTime(InputChangeTime, InputSignal);
InputChangeTimeArray(0) := InputChangeTime;
VitalMemorySelectDelay(
ScheduleDataArray, InputChangeTimeArray,
OutputSignalName, PathDelayArray,
ArcType, PathConditionArray, OutputRetainFlag);
END VitalMemoryAddPathDelay;
-- ----------------------------------------------------------------------------
-- #21
-- DelayType - VitalMemoryDelayType01XZ
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray :INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING :="";
VARIABLE InputChangeTime : INOUT TIME;
CONSTANT PathDelayArray : IN VitalDelayArrayType01ZX;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray: IN VitalBoolArrayT;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE
) IS
VARIABLE InputChangeTimeArray : VitalTimeArrayT(0 downto 0);
VARIABLE NumBitsPerSubword : INTEGER;
VARIABLE PathConditionArrayNorm :
VitalBoolArrayT(PathConditionArray'LENGTH-1 downto 0) := PathConditionArray; -- IR MEM400;
VARIABLE PathConditionArrayExp :
VitalBoolArrayT(ScheduleDataArray'LENGTH-1 downto 0);
BEGIN
NumBitsPerSubword :=
ScheduleDataArray(ScheduleDataArray'RIGHT).NumBitsPerSubword;
FOR i IN PathConditionArrayExp'RANGE LOOP
PathConditionArrayExp(i) := PathConditionArrayNorm(i/NumBitsPerSubword);
END LOOP;
VitalMemoryUpdateInputChangeTime(InputChangeTime, InputSignal);
InputChangeTimeArray(0) := InputChangeTime;
VitalMemorySelectDelay(
ScheduleDataArray, InputChangeTimeArray,
OutputSignalName, PathDelayArray,
ArcType, PathConditionArrayExp, OutputRetainFlag);
END VitalMemoryAddPathDelay;
-- ----------------------------------------------------------------------------
-- #22
-- DelayType - VitalMemoryDelayType01XZ
-- Input - Vector
-- Output - Scalar
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING :="";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01ZX;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE;
CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt
) IS
VARIABLE ScheduleDataArray :
VitalMemoryScheduleDataVectorType(0 downto 0);
VARIABLE NumBitsPerSubword : INTEGER;
VARIABLE PathConditionArray : VitalBoolArrayT(0 downto 0);
BEGIN
PathConditionArray(0) := PathCondition;
ScheduleDataArray(0) := ScheduleData;
NumBitsPerSubword :=
ScheduleDataArray(ScheduleDataArray'LEFT).NumBitsPerSubword;
IF (OutputRetainBehavior = WordCorrupt AND
ArcType = ParallelArc AND
OutputRetainFlag = TRUE) THEN
VitalMemoryUpdateInputChangeTime(
InputChangeTimeArray, InputSignal,
NumBitsPerSubword);
ELSE
VitalMemoryUpdateInputChangeTime(InputChangeTimeArray, InputSignal);
END IF;
VitalMemorySelectDelay(
ScheduleDataArray, InputChangeTimeArray,
OutputSignalName, PathDelayArray,
ArcType, PathConditionArray, OutputRetainFlag);
END VitalMemoryAddPathDelay;
-- ----------------------------------------------------------------------------
-- #23
-- DelayType - VitalMemoryDelayType01XZ
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING :="";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01ZX;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE;
CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt
) IS
VARIABLE NumBitsPerSubword : INTEGER;
VARIABLE PathConditionArray :
VitalBoolArrayT(ScheduleDataArray'LENGTH-1 downto 0);
BEGIN
FOR i IN PathConditionArray'RANGE LOOP
PathConditionArray(i) := PathCondition;
END LOOP;
NumBitsPerSubword :=
ScheduleDataArray(ScheduleDataArray'LEFT).NumBitsPerSubword;
IF (OutputRetainBehavior = WordCorrupt AND
ArcType = ParallelArc AND
OutputRetainFlag = TRUE) THEN
VitalMemoryUpdateInputChangeTime(
InputChangeTimeArray, InputSignal,
NumBitsPerSubword);
ELSE
VitalMemoryUpdateInputChangeTime(InputChangeTimeArray, InputSignal);
END IF;
VitalMemorySelectDelay(
ScheduleDataArray, InputChangeTimeArray,
OutputSignalName, PathDelayArray,
ArcType, PathConditionArray, OutputRetainFlag);
END VitalMemoryAddPathDelay;
-- ----------------------------------------------------------------------------
-- #24
-- DelayType - VitalMemoryDelayType01XZ
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING :="";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01ZX;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray : IN VitalBoolArrayT;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE;
CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt
) IS
VARIABLE NumBitsPerSubword : INTEGER;
VARIABLE PathConditionArrayNorm :
VitalBoolArrayT(PathConditionArray'LENGTH-1 downto 0) := PathConditionArray; -- IR MEM400;
VARIABLE PathConditionArrayExp :
VitalBoolArrayT(ScheduleDataArray'LENGTH-1 downto 0);
BEGIN
NumBitsPerSubword :=
ScheduleDataArray(ScheduleDataArray'RIGHT).NumBitsPerSubword;
FOR i IN PathConditionArrayExp'RANGE LOOP
PathConditionArrayExp(i) := PathConditionArrayNorm(i/NumBitsPerSubword);
END LOOP;
IF (OutputRetainBehavior = WordCorrupt AND
ArcType = ParallelArc AND
OutputRetainFlag = TRUE) THEN
VitalMemoryUpdateInputChangeTime(
InputChangeTimeArray, InputSignal,
NumBitsPerSubword);
ELSE
VitalMemoryUpdateInputChangeTime(InputChangeTimeArray, InputSignal);
END IF;
VitalMemorySelectDelay(
ScheduleDataArray, InputChangeTimeArray,
OutputSignalName, PathDelayArray,
ArcType, PathConditionArrayExp, OutputRetainFlag);
END VitalMemoryAddPathDelay;
-- ----------------------------------------------------------------------------
-- Procedure: VitalMemorySchedulePathDelay
-- Description: Schedule Output after Propagation Delay selected
-- by checking all the paths added thru'
-- VitalMemoryAddPathDelay.
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemorySchedulePathDelay (
SIGNAL OutSignal : OUT STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING :="";
CONSTANT PortFlag : IN VitalPortFlagType := VitalDefaultPortFlag;
CONSTANT OutputMap : IN VitalOutputMapType:= VitalDefaultOutputMap;
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType
) IS
VARIABLE Age : TIME;
VARIABLE PropDelay : TIME;
VARIABLE RetainDelay : TIME;
VARIABLE Data : STD_ULOGIC;
BEGIN
IF (PortFlag.OutputDisable /= TRUE) THEN
FOR i IN ScheduleDataArray'RANGE LOOP
PropDelay := ScheduleDataArray(i).PropDelay;
RetainDelay := ScheduleDataArray(i).OutputRetainDelay;
NEXT WHEN PropDelay = TIME'HIGH;
Age := ScheduleDataArray(i).InputAge;
Data := ScheduleDataArray(i).OutputData;
IF (Age < RetainDelay and RetainDelay < PropDelay) THEN
OutSignal(i) <= TRANSPORT 'X' AFTER (RetainDelay - Age);
END IF;
IF (Age <= PropDelay) THEN
OutSignal(i)<= TRANSPORT OutputMap(Data)AFTER (PropDelay-Age);
ScheduleDataArray(i).ScheduleValue := Data;
ScheduleDataArray(i).ScheduleTime := NOW + PropDelay - Age;
END IF;
END LOOP;
END IF;
-- for debug purpose
PrintScheduleDataArray(ScheduleDataArray);
-- for debug purpose
ScheduleDebugMsg;
END VitalMemorySchedulePathDelay;
-- ----------------------------------------------------------------------------
-- Procedure: VitalMemorySchedulePathDelay
-- Description: Schedule Output after Propagation Delay selected
-- by checking all the paths added thru'
-- VitalMemoryAddPathDelay.
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemorySchedulePathDelay (
SIGNAL OutSignal : OUT STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING :="";
CONSTANT PortFlag : IN VitalPortFlagVectorType;
CONSTANT OutputMap : IN VitalOutputMapType:= VitalDefaultOutputMap;
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType
) IS
VARIABLE Age : TIME;
VARIABLE PropDelay : TIME;
VARIABLE RetainDelay : TIME;
VARIABLE Data : STD_ULOGIC;
VARIABLE ExpandedPortFlag :
VitalPortFlagVectorType(ScheduleDataArray'RANGE);
VARIABLE NumBitsPerSubword : INTEGER;
BEGIN
NumBitsPerSubword :=
ScheduleDataArray(ScheduleDataArray'LEFT).NumBitsPerSubword;
VitalMemoryExpandPortFlag( PortFlag, NumBitsPerSubword, ExpandedPortFlag );
FOR i IN ScheduleDataArray'RANGE LOOP
NEXT WHEN ExpandedPortFlag(i).OutputDisable = TRUE;
PropDelay := ScheduleDataArray(i).PropDelay;
RetainDelay := ScheduleDataArray(i).OutputRetainDelay;
NEXT WHEN PropDelay = TIME'HIGH;
Age := ScheduleDataArray(i).InputAge;
Data := ScheduleDataArray(i).OutputData;
IF (Age < RetainDelay and RetainDelay < PropDelay) THEN
OutSignal(i) <= TRANSPORT 'X' AFTER (RetainDelay - Age);
END IF;
IF (Age <= PropDelay) THEN
OutSignal(i)<= TRANSPORT OutputMap(Data)AFTER (PropDelay-Age);
ScheduleDataArray(i).ScheduleValue := Data;
ScheduleDataArray(i).ScheduleTime := NOW + PropDelay - Age;
END IF;
END LOOP;
-- for debug purpose
PrintScheduleDataArray(ScheduleDataArray);
-- for debug purpose
ScheduleDebugMsg;
END VitalMemorySchedulePathDelay;
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemorySchedulePathDelay (
SIGNAL OutSignal : OUT STD_ULOGIC;
CONSTANT OutputSignalName: IN STRING :="";
CONSTANT PortFlag : IN VitalPortFlagType := VitalDefaultPortFlag;
CONSTANT OutputMap : IN VitalOutputMapType := VitalDefaultOutputMap;
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType
) IS
VARIABLE Age : TIME;
VARIABLE PropDelay : TIME;
VARIABLE RetainDelay : TIME;
VARIABLE Data : STD_ULOGIC;
VARIABLE ScheduleDataArray : VitalMemoryScheduleDataVectorType (0 downto 0);
BEGIN
IF (PortFlag.OutputDisable /= TRUE) THEN
ScheduledataArray(0) := ScheduleData;
PropDelay := ScheduleDataArray(0).PropDelay;
RetainDelay := ScheduleDataArray(0).OutputRetainDelay;
Age := ScheduleDataArray(0).InputAge;
Data := ScheduleDataArray(0).OutputData;
IF (Age < RetainDelay and RetainDelay < PropDelay) THEN
OutSignal <= TRANSPORT 'X' AFTER (RetainDelay - Age);
END IF;
IF (Age <= PropDelay and PropDelay /= TIME'HIGH) THEN
OutSignal <= TRANSPORT OutputMap(Data) AFTER (PropDelay - Age);
ScheduleDataArray(0).ScheduleValue := Data;
ScheduleDataArray(0).ScheduleTime := NOW + PropDelay - Age;
END IF;
END IF;
-- for debug purpose
PrintScheduleDataArray(ScheduleDataArray);
-- for debug purpose
ScheduleDebugMsg;
END VitalMemorySchedulePathDelay;
-- ----------------------------------------------------------------------------
-- Procedure : InternalTimingCheck
-- ----------------------------------------------------------------------------
PROCEDURE InternalTimingCheck (
CONSTANT TestSignal : IN std_ulogic;
CONSTANT RefSignal : IN std_ulogic;
CONSTANT TestDelay : IN TIME := 0 ns;
CONSTANT RefDelay : IN TIME := 0 ns;
CONSTANT SetupHigh : IN TIME := 0 ns;
CONSTANT SetupLow : IN TIME := 0 ns;
CONSTANT HoldHigh : IN TIME := 0 ns;
CONSTANT HoldLow : IN TIME := 0 ns;
VARIABLE RefTime : IN TIME;
VARIABLE RefEdge : IN BOOLEAN;
VARIABLE TestTime : IN TIME;
VARIABLE TestEvent : IN BOOLEAN;
VARIABLE SetupEn : INOUT BOOLEAN;
VARIABLE HoldEn : INOUT BOOLEAN;
VARIABLE CheckInfo : INOUT CheckInfoType;
CONSTANT MsgOn : IN BOOLEAN
) IS
VARIABLE bias : TIME;
VARIABLE actualObsTime : TIME;
VARIABLE BC : TIME;
VARIABLE Message :LINE;
BEGIN
-- Check SETUP constraint
IF (RefEdge) THEN
IF (SetupEn) THEN
CheckInfo.ObsTime := RefTime - TestTime;
CheckInfo.State := To_X01(TestSignal);
CASE CheckInfo.State IS
WHEN '0' =>
CheckInfo.ExpTime := SetupLow;
-- start of new code IR245-246
BC := HoldHigh;
-- end of new code IR245-246
WHEN '1' =>
CheckInfo.ExpTime := SetupHigh;
-- start of new code IR245-246
BC := HoldLow;
-- end of new code IR245-246
WHEN 'X' =>
CheckInfo.ExpTime := Maximum(SetupHigh,SetupLow);
-- start of new code IR245-246
BC := Maximum(HoldHigh,HoldLow);
-- end of new code IR245-246
END CASE;
-- added the second condition for IR 245-246
CheckInfo.Violation :=
((CheckInfo.ObsTime < CheckInfo.ExpTime)
AND ( NOT ((CheckInfo.ObsTime = BC) and (BC = 0 ns))));
-- start of new code IR245-246
IF (CheckInfo.ExpTime = 0 ns) THEN
CheckInfo.CheckKind := HoldCheck;
ELSE
CheckInfo.CheckKind := SetupCheck;
END IF;
-- end of new code IR245-246
SetupEn := FALSE;
ELSE
CheckInfo.Violation := FALSE;
END IF;
-- Check HOLD constraint
ELSIF (TestEvent) THEN
IF HoldEn THEN
CheckInfo.ObsTime := TestTime - RefTime;
CheckInfo.State := To_X01(TestSignal);
CASE CheckInfo.State IS
WHEN '0' =>
CheckInfo.ExpTime := HoldHigh;
-- new code for unnamed IR
CheckInfo.State := '1';
-- start of new code IR245-246
BC := SetupLow;
-- end of new code IR245-246
WHEN '1' =>
CheckInfo.ExpTime := HoldLow;
-- new code for unnamed IR
CheckInfo.State := '0';
-- start of new code IR245-246
BC := SetupHigh;
-- end of new code IR245-246
WHEN 'X' =>
CheckInfo.ExpTime := Maximum(HoldHigh,HoldLow);
-- start of new code IR245-246
BC := Maximum(SetupHigh,SetupLow);
-- end of new code IR245-246
END CASE;
-- added the second condition for IR 245-246
CheckInfo.Violation :=
((CheckInfo.ObsTime < CheckInfo.ExpTime)
AND ( NOT ((CheckInfo.ObsTime = BC) and (BC = 0 ns))));
-- start of new code IR245-246
IF (CheckInfo.ExpTime = 0 ns) THEN
CheckInfo.CheckKind := SetupCheck;
ELSE
CheckInfo.CheckKind := HoldCheck;
END IF;
-- end of new code IR245-246
HoldEn := NOT CheckInfo.Violation;
ELSE
CheckInfo.Violation := FALSE;
END IF;
ELSE
CheckInfo.Violation := FALSE;
END IF;
-- Adjust report values to account for internal model delays
-- Note: TestDelay, RefDelay, TestTime, RefTime are non-negative
-- Note: bias may be negative or positive
IF MsgOn AND CheckInfo.Violation THEN
-- modified the code for correct reporting of violation in case of
-- order of signals being reversed because of internal delays
-- new variable
actualObsTime := (TestTime-TestDelay)-(RefTime-RefDelay);
bias := TestDelay - RefDelay;
IF (actualObsTime < 0 ns) THEN -- It should be a setup check
IF ( CheckInfo.CheckKind = HoldCheck) THEN
CheckInfo.CheckKind := SetupCheck;
CASE CheckInfo.State IS
WHEN '0' => CheckInfo.ExpTime := SetupLow;
WHEN '1' => CheckInfo.ExpTime := SetupHigh;
WHEN 'X' => CheckInfo.ExpTime := Maximum(SetupHigh,SetupLow);
END CASE;
END IF;
CheckInfo.ObsTime := -actualObsTime;
CheckInfo.ExpTime := CheckInfo.ExpTime + bias;
CheckInfo.DetTime := RefTime - RefDelay;
ELSE -- It should be a hold check
IF (CheckInfo.CheckKind = SetupCheck) THEN
CheckInfo.CheckKind := HoldCheck;
CASE CheckInfo.State IS
WHEN '0' =>
CheckInfo.ExpTime := HoldHigh;
CheckInfo.State := '1';
WHEN '1' =>
CheckInfo.ExpTime := HoldLow;
CheckInfo.State := '0';
WHEN 'X' =>
CheckInfo.ExpTime := Maximum(HoldHigh,HoldLow);
END CASE;
END IF;
CheckInfo.ObsTime := actualObsTime;
CheckInfo.ExpTime := CheckInfo.ExpTime - bias;
CheckInfo.DetTime := TestTime - TestDelay;
END IF;
END IF;
END InternalTimingCheck;
-- ----------------------------------------------------------------------------
-- Setup and Hold Time Check Routine
-- ----------------------------------------------------------------------------
PROCEDURE TimingArrayIndex (
SIGNAL InputSignal : IN Std_logic_vector;
CONSTANT ArrayIndexNorm : IN INTEGER;
VARIABLE Index : OUT INTEGER
) IS
BEGIN
IF (InputSignal'LEFT > InputSignal'RIGHT) THEN
Index := ArrayIndexNorm + InputSignal'RIGHT;
ELSE
Index := InputSignal'RIGHT - ArrayIndexNorm;
END IF;
END TimingArrayIndex;
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemoryReportViolation (
CONSTANT TestSignalName : IN STRING := "";
CONSTANT RefSignalName : IN STRING := "";
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT CheckInfo : IN CheckInfoType;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING
) IS
VARIABLE Message : LINE;
BEGIN
IF (NOT CheckInfo.Violation) THEN
RETURN;
END IF;
Write ( Message, HeaderMsg );
CASE CheckInfo.CheckKind IS
WHEN SetupCheck => Write ( Message, STRING'(" SETUP ") );
WHEN HoldCheck => Write ( Message, STRING'(" HOLD ") );
WHEN RecoveryCheck => Write ( Message, STRING'(" RECOVERY ") );
WHEN RemovalCheck => Write ( Message, STRING'(" REMOVAL ") );
WHEN PulseWidCheck => Write ( Message, STRING'(" PULSE WIDTH "));
WHEN PeriodCheck => Write ( Message, STRING'(" PERIOD ") );
END CASE;
Write ( Message, HiLoStr(CheckInfo.State) );
Write ( Message, STRING'(" VIOLATION ON ") );
Write ( Message, TestSignalName );
IF (RefSignalName'LENGTH > 0) THEN
Write ( Message, STRING'(" WITH RESPECT TO ") );
Write ( Message, RefSignalName );
END IF;
Write ( Message, ';' & LF );
Write ( Message, STRING'(" Expected := ") );
Write ( Message, CheckInfo.ExpTime);
Write ( Message, STRING'("; Observed := ") );
Write ( Message, CheckInfo.ObsTime);
Write ( Message, STRING'("; At : ") );
Write ( Message, CheckInfo.DetTime);
ASSERT FALSE REPORT Message.ALL SEVERITY MsgSeverity;
DEALLOCATE (Message);
END VitalMemoryReportViolation;
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemoryReportViolation (
CONSTANT TestSignalName : IN STRING := "";
CONSTANT RefSignalName : IN STRING := "";
CONSTANT TestArrayIndex : IN INTEGER;
CONSTANT RefArrayIndex : IN INTEGER;
SIGNAL TestSignal : IN std_logic_vector;
SIGNAL RefSignal : IN std_logic_vector;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT CheckInfo : IN CheckInfoType;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING
) IS
VARIABLE Message : LINE;
VARIABLE i, j : INTEGER;
BEGIN
IF (NOT CheckInfo.Violation) THEN
RETURN;
END IF;
Write ( Message, HeaderMsg );
CASE CheckInfo.CheckKind IS
WHEN SetupCheck => Write ( Message, STRING'(" SETUP ") );
WHEN HoldCheck => Write ( Message, STRING'(" HOLD ") );
WHEN PulseWidCheck => Write ( Message, STRING'(" PULSE WIDTH "));
WHEN PeriodCheck => Write ( Message, STRING'(" PERIOD ") );
WHEN OTHERS => Write ( Message, STRING'(" UNKNOWN ") );
END CASE;
Write ( Message, HiLoStr(CheckInfo.State) );
Write ( Message, STRING'(" VIOLATION ON ") );
Write ( Message, TestSignalName );
TimingArrayIndex(TestSignal, TestArrayIndex, i);
CASE MsgFormat IS
WHEN Scalar =>
NULL;
WHEN VectorEnum =>
Write ( Message, '_');
Write ( Message, i);
WHEN Vector =>
Write ( Message, '(');
Write ( Message, i);
Write ( Message, ')');
END CASE;
IF (RefSignalName'LENGTH > 0) THEN
Write ( Message, STRING'(" WITH RESPECT TO ") );
Write ( Message, RefSignalName );
END IF;
IF(RefSignal'LENGTH > 0) THEN
TimingArrayIndex(RefSignal, RefArrayIndex, j);
CASE MsgFormat IS
WHEN Scalar =>
NULL;
WHEN VectorEnum =>
Write ( Message, '_');
Write ( Message, j);
WHEN Vector =>
Write ( Message, '(');
Write ( Message, j);
Write ( Message, ')');
END CASE;
END IF;
Write ( Message, ';' & LF );
Write ( Message, STRING'(" Expected := ") );
Write ( Message, CheckInfo.ExpTime);
Write ( Message, STRING'("; Observed := ") );
Write ( Message, CheckInfo.ObsTime);
Write ( Message, STRING'("; At : ") );
Write ( Message, CheckInfo.DetTime);
ASSERT FALSE REPORT Message.ALL SEVERITY MsgSeverity;
DEALLOCATE (Message);
END VitalMemoryReportViolation;
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemoryReportViolation (
CONSTANT TestSignalName : IN STRING := "";
CONSTANT RefSignalName : IN STRING := "";
CONSTANT TestArrayIndex : IN INTEGER;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT CheckInfo : IN CheckInfoType;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING
) IS
VARIABLE Message : LINE;
BEGIN
IF (NOT CheckInfo.Violation) THEN
RETURN;
END IF;
Write ( Message, HeaderMsg );
CASE CheckInfo.CheckKind IS
WHEN SetupCheck => Write ( Message, STRING'(" SETUP ") );
WHEN HoldCheck => Write ( Message, STRING'(" HOLD ") );
WHEN PulseWidCheck => Write ( Message, STRING'(" PULSE WIDTH "));
WHEN PeriodCheck => Write ( Message, STRING'(" PERIOD ") );
WHEN OTHERS => Write ( Message, STRING'(" UNKNOWN ") );
END CASE;
Write ( Message, HiLoStr(CheckInfo.State) );
Write ( Message, STRING'(" VIOLATION ON ") );
Write ( Message, TestSignalName );
CASE MsgFormat IS
WHEN Scalar =>
NULL;
WHEN VectorEnum =>
Write ( Message, '_');
Write ( Message, TestArrayIndex);
WHEN Vector =>
Write ( Message, '(');
Write ( Message, TestArrayIndex);
Write ( Message, ')');
END CASE;
IF (RefSignalName'LENGTH > 0) THEN
Write ( Message, STRING'(" WITH RESPECT TO ") );
Write ( Message, RefSignalName );
END IF;
Write ( Message, ';' & LF );
Write ( Message, STRING'(" Expected := ") );
Write ( Message, CheckInfo.ExpTime);
Write ( Message, STRING'("; Observed := ") );
Write ( Message, CheckInfo.ObsTime);
Write ( Message, STRING'("; At : ") );
Write ( Message, CheckInfo.DetTime);
ASSERT FALSE REPORT Message.ALL SEVERITY MsgSeverity;
DEALLOCATE (Message);
END VitalMemoryReportViolation;
-- ----------------------------------------------------------------------------
FUNCTION VitalMemoryTimingDataInit
RETURN VitalMemoryTimingDataType IS
BEGIN
RETURN (FALSE, 'X', 0 ns, FALSE, 'X', 0 ns, FALSE,
NULL, NULL, NULL, NULL, NULL, NULL);
END;
-- ----------------------------------------------------------------------------
-- Procedure: VitalSetupHoldCheck
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01ArrayT;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_ulogic;
CONSTANT TestSignalName: IN STRING := "";
CONSTANT TestDelay : IN TIME := 0 ns;
SIGNAL RefSignal : IN std_ulogic;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN TIME := 0 ns;
CONSTANT SetupHigh : IN VitalDelayType;
CONSTANT SetupLow : IN VitalDelayType;
CONSTANT HoldHigh : IN VitalDelayType;
CONSTANT HoldLow : IN VitalDelayType;
CONSTANT CheckEnabled : IN VitalBoolArrayT;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
--IR252 3/23/98
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE
) IS
VARIABLE CheckInfo : CheckInfoType;
VARIABLE CheckEnScalar : BOOLEAN := FALSE;
VARIABLE ViolationInt : X01ArrayT(CheckEnabled'RANGE);
VARIABLE RefEdge : BOOLEAN;
VARIABLE TestEvent : BOOLEAN;
VARIABLE TestDly : TIME := Maximum(0 ns, TestDelay);
VARIABLE RefDly : TIME := Maximum(0 ns, RefDelay);
VARIABLE bias : TIME;
BEGIN
-- Initialization of working area.
IF (TimingData.NotFirstFlag = FALSE) THEN
TimingData.TestLast := To_X01(TestSignal);
TimingData.RefLast := To_X01(RefSignal);
TimingData.NotFirstFlag := TRUE;
END IF;
-- Detect reference edges and record the time of the last edge
RefEdge := EdgeSymbolMatch(TimingData.RefLast, To_X01(RefSignal),
RefTransition);
TimingData.RefLast := To_X01(RefSignal);
IF (RefEdge) THEN
TimingData.RefTime := NOW;
--TimingData.HoldEnA.all := (TestSignal'RANGE=>TRUE);
--IR252 3/23/98
TimingData.SetupEn := TimingData.SetupEn AND EnableSetupOnRef;
TimingData.HoldEn := EnableHoldOnRef;
END IF;
-- Detect test (data) changes and record the time of the last change
TestEvent := TimingData.TestLast /= To_X01Z(TestSignal);
TimingData.TestLast := To_X01Z(TestSignal);
IF TestEvent THEN
TimingData.SetupEn := EnableSetupOnTest ; --IR252 3/23/98
TimingData.HoldEn := TimingData.HoldEn AND EnableHoldOnTest ;
--IR252 3/23/98
TimingData.TestTime := NOW;
END IF;
FOR i IN CheckEnabled'RANGE LOOP
IF CheckEnabled(i) = TRUE THEN
CheckEnScalar := TRUE;
END IF;
ViolationInt(i) := '0';
END LOOP;
IF (CheckEnScalar) THEN
InternalTimingCheck (
TestSignal => TestSignal,
RefSignal => RefSignal,
TestDelay => TestDly,
RefDelay => RefDly,
SetupHigh => SetupHigh,
SetupLow => SetupLow,
HoldHigh => HoldHigh,
HoldLow => HoldLow,
RefTime => TimingData.RefTime,
RefEdge => RefEdge,
TestTime => TimingData.TestTime,
TestEvent => TestEvent,
SetupEn => TimingData.SetupEn,
HoldEn => TimingData.HoldEn,
CheckInfo => CheckInfo,
MsgOn => MsgOn
);
-- Report any detected violations and set return violation flag
IF CheckInfo.Violation THEN
IF (MsgOn) THEN
VitalMemoryReportViolation (TestSignalName, RefSignalName,
HeaderMsg, CheckInfo, MsgSeverity );
END IF;
IF (XOn) THEN
FOR i IN CheckEnabled'RANGE LOOP
IF CheckEnabled(i) = TRUE THEN
ViolationInt(i) := 'X';
END IF;
END LOOP;
END IF;
END IF;
END IF;
Violation := ViolationInt;
END VitalMemorySetupHoldCheck;
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01ArrayT;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName: IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArraytype;
SIGNAL RefSignal : IN std_ulogic;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN TIME := 0 ns;
CONSTANT SetupHigh : IN VitalDelayArraytype;
CONSTANT SetupLow : IN VitalDelayArraytype;
CONSTANT HoldHigh : IN VitalDelayArraytype;
CONSTANT HoldLow : IN VitalDelayArraytype;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType;
--IR252 3/23/98
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE
) IS
VARIABLE CheckInfo : CheckInfoType;
VARIABLE RefEdge : BOOLEAN;
VARIABLE TestEvent : VitalBoolArrayT(TestSignal'RANGE);
VARIABLE TestDly : TIME;
VARIABLE RefDly : TIME := Maximum(0 ns, RefDelay);
VARIABLE bias : TIME;
BEGIN
-- Initialization of working area.
IF (TimingData.NotFirstFlag = FALSE) THEN
TimingData.TestLastA := NEW std_logic_vector(TestSignal'RANGE);
TimingData.TestTimeA := NEW VitalTimeArrayT(TestSignal'RANGE);
TimingData.HoldEnA := NEW VitalBoolArrayT(TestSignal'RANGE);
TimingData.SetupEnA := NEW VitalBoolArrayT(TestSignal'RANGE);
FOR i IN TestSignal'RANGE LOOP
TimingData.TestLastA(i) := To_X01(TestSignal(i));
END LOOP;
TimingData.RefLast := To_X01(RefSignal);
TimingData.NotFirstFlag := TRUE;
END IF;
-- Detect reference edges and record the time of the last edge
RefEdge := EdgeSymbolMatch(TimingData.RefLast, To_X01(RefSignal),
RefTransition);
TimingData.RefLast := To_X01(RefSignal);
IF (RefEdge) THEN
TimingData.RefTime := NOW;
--TimingData.HoldEnA.all := (TestSignal'RANGE=>TRUE);
--IR252 3/23/98
FOR i IN TestSignal'RANGE LOOP
TimingData.SetupEnA(i)
:= TimingData.SetupEnA(i) AND EnableSetupOnRef;
TimingData.HoldEnA(i) := EnableHoldOnRef;
END LOOP;
END IF;
-- Detect test (data) changes and record the time of the last change
FOR i IN TestSignal'RANGE LOOP
TestEvent(i) := TimingData.TestLastA(i) /= To_X01Z(TestSignal(i));
TimingData.TestLastA(i) := To_X01Z(TestSignal(i));
IF TestEvent(i) THEN
TimingData.SetupEnA(i) := EnableSetupOnTest ; --IR252 3/23/98
TimingData.HoldEnA(i) := TimingData.HoldEnA(i) AND EnableHoldOnTest ;
--IR252 3/23/98
TimingData.TestTimeA(i) := NOW;
--TimingData.SetupEnA(i) := TRUE;
TimingData.TestTime := NOW;
END IF;
END LOOP;
FOR i IN TestSignal'RANGE LOOP
Violation(i) := '0';
IF (CheckEnabled) THEN
TestDly := Maximum(0 ns, TestDelay(i));
InternalTimingCheck (
TestSignal => TestSignal(i),
RefSignal => RefSignal,
TestDelay => TestDly,
RefDelay => RefDly,
SetupHigh => SetupHigh(i),
SetupLow => SetupLow(i),
HoldHigh => HoldHigh(i),
HoldLow => HoldLow(i),
RefTime => TimingData.RefTime,
RefEdge => RefEdge,
TestTime => TimingData.TestTimeA(i),
TestEvent => TestEvent(i),
SetupEn => TimingData.SetupEnA(i),
HoldEn => TimingData.HoldEnA(i),
CheckInfo => CheckInfo,
MsgOn => MsgOn
);
-- Report any detected violations and set return violation flag
IF CheckInfo.Violation THEN
IF (MsgOn) THEN
VitalMemoryReportViolation (TestSignalName, RefSignalName, i ,
HeaderMsg, CheckInfo, MsgFormat, MsgSeverity );
END IF;
IF (XOn) THEN
Violation(i) := 'X';
END IF;
END IF;
END IF;
END LOOP;
END VitalMemorySetupHoldCheck;
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01ArrayT;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName: IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArraytype;
SIGNAL RefSignal : IN std_ulogic;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN TIME := 0 ns;
CONSTANT SetupHigh : IN VitalDelayArraytype;
CONSTANT SetupLow : IN VitalDelayArraytype;
CONSTANT HoldHigh : IN VitalDelayArraytype;
CONSTANT HoldLow : IN VitalDelayArraytype;
CONSTANT CheckEnabled : IN VitalBoolArrayT;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT NumBitsPerSubWord : IN INTEGER := 1;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType;
--IR252 3/23/98
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE
) IS
VARIABLE CheckInfo : CheckInfoType;
VARIABLE ViolationInt : X01ArrayT(TestSignal'RANGE);
VARIABLE ViolationIntNorm: X01ArrayT(TestSignal'LENGTH-1 downto 0);
VARIABLE ViolationNorm : X01ArrayT(Violation'LENGTH-1 downto 0);
VARIABLE CheckEnInt : VitalBoolArrayT(TestSignal'RANGE);
VARIABLE CheckEnIntNorm : VitalBoolArrayT(TestSignal'LENGTH-1 downto 0);
VARIABLE CheckEnScalar : BOOLEAN := FALSE; --Mem IR 401
VARIABLE CheckEnabledNorm: VitalBoolArrayT(CheckEnabled'LENGTH-1 downto 0);
VARIABLE RefEdge : BOOLEAN;
VARIABLE TestEvent : VitalBoolArrayT(TestSignal'RANGE);
VARIABLE TestDly : TIME;
VARIABLE RefDly : TIME := Maximum(0 ns, RefDelay);
VARIABLE bias : TIME;
BEGIN
-- Initialization of working area.
IF (TimingData.NotFirstFlag = FALSE) THEN
TimingData.TestLastA := NEW std_logic_vector(TestSignal'RANGE);
TimingData.TestTimeA := NEW VitalTimeArrayT(TestSignal'RANGE);
TimingData.HoldEnA := NEW VitalBoolArrayT(TestSignal'RANGE);
TimingData.SetupEnA := NEW VitalBoolArrayT(TestSignal'RANGE);
FOR i IN TestSignal'RANGE LOOP
TimingData.TestLastA(i) := To_X01(TestSignal(i));
END LOOP;
TimingData.RefLast := To_X01(RefSignal);
TimingData.NotFirstFlag := TRUE;
END IF;
-- Detect reference edges and record the time of the last edge
RefEdge := EdgeSymbolMatch(TimingData.RefLast, To_X01(RefSignal),
RefTransition);
TimingData.RefLast := To_X01(RefSignal);
IF RefEdge THEN
TimingData.RefTime := NOW;
--TimingData.HoldEnA.all := (TestSignal'RANGE=>TRUE);
--IR252 3/23/98
FOR i IN TestSignal'RANGE LOOP
TimingData.SetupEnA(i)
:= TimingData.SetupEnA(i) AND EnableSetupOnRef;
TimingData.HoldEnA(i) := EnableHoldOnRef;
END LOOP;
END IF;
-- Detect test (data) changes and record the time of the last change
FOR i IN TestSignal'RANGE LOOP
TestEvent(i) := TimingData.TestLastA(i) /= To_X01Z(TestSignal(i));
TimingData.TestLastA(i) := To_X01Z(TestSignal(i));
IF TestEvent(i) THEN
TimingData.SetupEnA(i) := EnableSetupOnTest ; --IR252 3/23/98
TimingData.HoldEnA(i) := TimingData.HoldEnA(i) AND EnableHoldOnTest ;
--IR252 3/23/98
TimingData.TestTimeA(i) := NOW;
--TimingData.SetupEnA(i) := TRUE;
TimingData.TestTime := NOW;
END IF;
END LOOP;
IF ArcType = CrossArc THEN
CheckEnScalar := FALSE;
FOR i IN CheckEnabled'RANGE LOOP
IF CheckEnabled(i) = TRUE THEN
CheckEnScalar := TRUE;
END IF;
END LOOP;
FOR i IN CheckEnInt'RANGE LOOP
CheckEnInt(i) := CheckEnScalar;
END LOOP;
ELSE
FOR i IN CheckEnIntNorm'RANGE LOOP
CheckEnIntNorm(i) := CheckEnabledNorm(i / NumBitsPerSubWord );
END LOOP;
CheckEnInt := CheckEnIntNorm;
END IF;
FOR i IN TestSignal'RANGE LOOP
ViolationInt(i) := '0';
IF (CheckEnInt(i)) THEN
TestDly := Maximum(0 ns, TestDelay(i));
InternalTimingCheck (
TestSignal => TestSignal(i),
RefSignal => RefSignal,
TestDelay => TestDly,
RefDelay => RefDly,
SetupHigh => SetupHigh(i),
SetupLow => SetupLow(i),
HoldHigh => HoldHigh(i),
HoldLow => HoldLow(i),
RefTime => TimingData.RefTime,
RefEdge => RefEdge,
TestTime => TimingData.TestTimeA(i),
TestEvent => TestEvent(i),
SetupEn => TimingData.SetupEnA(i),
HoldEn => TimingData.HoldEnA(i),
CheckInfo => CheckInfo,
MsgOn => MsgOn
);
-- Report any detected violations and set return violation flag
IF CheckInfo.Violation THEN
IF (MsgOn) THEN
VitalMemoryReportViolation (TestSignalName, RefSignalName, i ,
HeaderMsg, CheckInfo, MsgFormat, MsgSeverity );
END IF;
IF (XOn) THEN
ViolationInt(i) := 'X';
END IF;
END IF;
END IF;
END LOOP;
IF (ViolationInt'LENGTH = Violation'LENGTH) THEN
Violation := ViolationInt;
ELSE
ViolationIntNorm := ViolationInt;
FOR i IN ViolationNorm'RANGE LOOP
ViolationNorm(i) := '0';
END LOOP;
FOR i IN ViolationIntNorm'RANGE LOOP
IF (ViolationIntNorm(i) = 'X') THEN
ViolationNorm(i / NumBitsPerSubWord) := 'X';
END IF;
END LOOP;
Violation := ViolationNorm;
END IF;
END VitalMemorySetupHoldCheck;
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01ArrayT;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName: IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArraytype;
SIGNAL RefSignal : IN std_logic_vector;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN VitalDelayArraytype;
CONSTANT SetupHigh : IN VitalDelayArraytype;
CONSTANT SetupLow : IN VitalDelayArraytype;
CONSTANT HoldHigh : IN VitalDelayArraytype;
CONSTANT HoldLow : IN VitalDelayArraytype;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT NumBitsPerSubWord : IN INTEGER := 1;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType;
--IR252 3/23/98
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE
) IS
VARIABLE CheckInfo : CheckInfoType;
VARIABLE RefEdge : VitalBoolArrayT(RefSignal'LENGTH-1 downto 0);
VARIABLE TestEvent : VitalBoolArrayT(TestSignal'LENGTH-1 downto 0);
VARIABLE TestDly : TIME;
VARIABLE RefDly : TIME;
VARIABLE bias : TIME;
VARIABLE NumTestBits : NATURAL := TestSignal'LENGTH;
VARIABLE NumRefBits : NATURAL := RefSignal'LENGTH;
VARIABLE NumChecks : NATURAL;
VARIABLE ViolationTest : X01ArrayT(NumTestBits-1 downto 0);
VARIABLE ViolationRef : X01ArrayT(NumRefBits-1 downto 0);
VARIABLE TestSignalNorm : std_logic_vector(NumTestBits-1 downto 0)
:= TestSignal;
VARIABLE TestDelayNorm : VitalDelayArraytype(NumTestBits-1 downto 0)
:= TestDelay;
VARIABLE RefSignalNorm : std_logic_vector(NumRefBits-1 downto 0)
:= RefSignal;
VARIABLE RefDelayNorm : VitalDelayArraytype(NumRefBits-1 downto 0)
:= RefDelay;
VARIABLE SetupHighNorm : VitalDelayArraytype(SetupHigh'LENGTH-1 downto 0)
:= SetupHigh;
VARIABLE SetupLowNorm : VitalDelayArraytype(SetupLow'LENGTH-1 downto 0)
:= SetupLow;
VARIABLE HoldHighNorm : VitalDelayArraytype(HoldHigh'LENGTH-1 downto 0)
:= HoldHigh;
VARIABLE HoldLowNorm : VitalDelayArraytype(HoldLow'LENGTH-1 downto 0)
:= HoldLow;
VARIABLE RefBitLow : NATURAL;
VARIABLE RefBitHigh : NATURAL;
VARIABLE EnArrayIndex : NATURAL;
VARIABLE TimingArrayIndex: NATURAL;
BEGIN
-- Initialization of working area.
IF (TimingData.NotFirstFlag = FALSE) THEN
TimingData.TestLastA := NEW std_logic_vector(NumTestBits-1 downto 0);
TimingData.TestTimeA := NEW VitalTimeArrayT(NumTestBits-1 downto 0);
TimingData.RefTimeA := NEW VitalTimeArrayT(NumRefBits-1 downto 0);
TimingData.RefLastA := NEW X01ArrayT(NumRefBits-1 downto 0);
IF (ArcType = CrossArc) THEN
NumChecks := RefSignal'LENGTH * TestSignal'LENGTH;
ELSE
NumChecks := TestSignal'LENGTH;
END IF;
TimingData.HoldEnA := NEW VitalBoolArrayT(NumChecks-1 downto 0);
TimingData.SetupEnA := NEW VitalBoolArrayT(NumChecks-1 downto 0);
FOR i IN TestSignalNorm'RANGE LOOP
TimingData.TestLastA(i) := To_X01(TestSignalNorm(i));
END LOOP;
FOR i IN RefSignalNorm'RANGE LOOP
TimingData.RefLastA(i) := To_X01(RefSignalNorm(i));
END LOOP;
TimingData.NotFirstFlag := TRUE;
END IF;
-- Detect reference edges and record the time of the last edge
FOR i IN RefSignalNorm'RANGE LOOP
RefEdge(i) := EdgeSymbolMatch(TimingData.RefLastA(i),
To_X01(RefSignalNorm(i)), RefTransition);
TimingData.RefLastA(i) := To_X01(RefSignalNorm(i));
IF (RefEdge(i)) THEN
TimingData.RefTimeA(i) := NOW;
END IF;
END LOOP;
-- Detect test (data) changes and record the time of the last change
FOR i IN TestSignalNorm'RANGE LOOP
TestEvent(i) := TimingData.TestLastA(i) /= To_X01Z(TestSignalNorm(i));
TimingData.TestLastA(i) := To_X01Z(TestSignalNorm(i));
IF (TestEvent(i)) THEN
TimingData.TestTimeA(i) := NOW;
END IF;
END LOOP;
FOR i IN ViolationTest'RANGE LOOP
ViolationTest(i) := '0';
END LOOP;
FOR i IN ViolationRef'RANGE LOOP
ViolationRef(i) := '0';
END LOOP;
FOR i IN TestSignalNorm'RANGE LOOP
IF (ArcType = CrossArc) THEN
FOR j IN RefSignalNorm'RANGE LOOP
IF (TestEvent(i)) THEN
--TimingData.SetupEnA(i*NumRefBits+j) := TRUE;
--IR252
TimingData.SetupEnA(i*NumRefBits+j) := EnableSetupOnTest;
TimingData.HoldEnA(i*NumRefBits+j)
:= TimingData.HoldEnA(i*NumRefBits+j) AND EnableHoldOnTest;
END IF;
IF (RefEdge(j)) THEN
--TimingData.HoldEnA(i*NumRefBits+j) := TRUE;
--IR252
TimingData.HoldEnA(i*NumRefBits+j) := EnableHoldOnRef;
TimingData.SetupEnA(i*NumRefBits+j)
:= TimingData.SetupEnA(i*NumRefBits+j) AND EnableSetupOnRef;
END IF;
END LOOP;
RefBitLow := 0;
RefBitHigh := NumRefBits-1;
TimingArrayIndex := i;
ELSE
IF ArcType = SubwordArc THEN
RefBitLow := i / NumBitsPerSubWord;
TimingArrayIndex := i + NumTestBits * RefBitLow;
ELSE
RefBitLow := i;
TimingArrayIndex := i;
END IF;
RefBitHigh := RefBitLow;
IF TestEvent(i) THEN
--TimingData.SetupEnA(i) := TRUE;
--IR252
TimingData.SetupEnA(i) := EnableSetupOnTest;
TimingData.HoldEnA(i) := TimingData.HoldEnA(i) AND EnableHoldOnTest;
END IF;
IF RefEdge(RefBitLow) THEN
--TimingData.HoldEnA(i) := TRUE;
--IR252
TimingData.HoldEnA(i) := EnableHoldOnRef;
TimingData.SetupEnA(i) := TimingData.SetupEnA(i) AND EnableSetupOnRef;
END IF;
END IF;
EnArrayIndex := i;
FOR j IN RefBitLow to RefBitHigh LOOP
IF (CheckEnabled) THEN
TestDly := Maximum(0 ns, TestDelayNorm(i));
RefDly := Maximum(0 ns, RefDelayNorm(j));
InternalTimingCheck (
TestSignal => TestSignalNorm(i),
RefSignal => RefSignalNorm(j),
TestDelay => TestDly,
RefDelay => RefDly,
SetupHigh => SetupHighNorm(TimingArrayIndex),
SetupLow => SetupLowNorm(TimingArrayIndex),
HoldHigh => HoldHighNorm(TimingArrayIndex),
HoldLow => HoldLowNorm(TimingArrayIndex),
RefTime => TimingData.RefTimeA(j),
RefEdge => RefEdge(j),
TestTime => TimingData.TestTimeA(i),
TestEvent => TestEvent(i),
SetupEn => TimingData.SetupEnA(EnArrayIndex),
HoldEn => TimingData.HoldEnA(EnArrayIndex),
CheckInfo => CheckInfo,
MsgOn => MsgOn
);
-- Report any detected violations and set return violation flag
IF (CheckInfo.Violation) THEN
IF (MsgOn) THEN
VitalMemoryReportViolation (TestSignalName, RefSignalName, i, j,
TestSignal, RefSignal, HeaderMsg, CheckInfo,
MsgFormat, MsgSeverity );
END IF;
IF (XOn) THEN
ViolationTest(i) := 'X';
ViolationRef(j) := 'X';
END IF;
END IF;
END IF;
TimingArrayIndex := TimingArrayIndex + NumRefBits;
EnArrayIndex := EnArrayIndex + NumRefBits;
END LOOP;
END LOOP;
IF (ArcType = CrossArc) THEN
Violation := ViolationRef;
ELSE
IF (Violation'LENGTH = ViolationRef'LENGTH) THEN
Violation := ViolationRef;
ELSE
Violation := ViolationTest;
END IF;
END IF;
END VitalMemorySetupHoldCheck;
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01ArrayT;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName: IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArraytype;
SIGNAL RefSignal : IN std_logic_vector;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN VitalDelayArraytype;
CONSTANT SetupHigh : IN VitalDelayArraytype;
CONSTANT SetupLow : IN VitalDelayArraytype;
CONSTANT HoldHigh : IN VitalDelayArraytype;
CONSTANT HoldLow : IN VitalDelayArraytype;
CONSTANT CheckEnabled : IN VitalBoolArrayT;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT NumBitsPerSubWord : IN INTEGER := 1;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType;
--IR252 3/23/98
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE
) IS
VARIABLE CheckInfo : CheckInfoType;
VARIABLE RefEdge : VitalBoolArrayT(RefSignal'LENGTH-1 downto 0);
VARIABLE TestEvent : VitalBoolArrayT(TestSignal'LENGTH-1 downto 0);
VARIABLE TestDly : TIME;
VARIABLE RefDly : TIME;
VARIABLE bias : TIME;
VARIABLE NumTestBits : NATURAL := TestSignal'LENGTH;
VARIABLE NumRefBits : NATURAL := RefSignal'LENGTH;
VARIABLE NumChecks : NATURAL;
VARIABLE ViolationTest : X01ArrayT(NumTestBits-1 downto 0);
VARIABLE ViolationRef : X01ArrayT(NumRefBits-1 downto 0);
VARIABLE TestSignalNorm : std_logic_vector(NumTestBits-1 downto 0)
:= TestSignal;
VARIABLE TestDelayNorm : VitalDelayArraytype(NumTestBits-1 downto 0)
:= TestDelay;
VARIABLE RefSignalNorm : std_logic_vector(NumRefBits-1 downto 0)
:= RefSignal;
VARIABLE RefDelayNorm : VitalDelayArraytype(NumRefBits-1 downto 0)
:= RefDelay;
VARIABLE CheckEnNorm : VitalBoolArrayT(NumRefBits-1 downto 0)
:= CheckEnabled;
VARIABLE SetupHighNorm : VitalDelayArraytype(SetupHigh'LENGTH-1 downto 0)
:= SetupHigh;
VARIABLE SetupLowNorm : VitalDelayArraytype(SetupLow'LENGTH-1 downto 0)
:= SetupLow;
VARIABLE HoldHighNorm : VitalDelayArraytype(HoldHigh'LENGTH-1 downto 0)
:= HoldHigh;
VARIABLE HoldLowNorm : VitalDelayArraytype(HoldLow'LENGTH-1 downto 0)
:= HoldLow;
VARIABLE RefBitLow : NATURAL;
VARIABLE RefBitHigh : NATURAL;
VARIABLE EnArrayIndex : NATURAL;
VARIABLE TimingArrayIndex: NATURAL;
BEGIN
-- Initialization of working area.
IF (TimingData.NotFirstFlag = FALSE) THEN
TimingData.TestLastA := NEW std_logic_vector(NumTestBits-1 downto 0);
TimingData.TestTimeA := NEW VitalTimeArrayT(NumTestBits-1 downto 0);
TimingData.RefTimeA := NEW VitalTimeArrayT(NumRefBits-1 downto 0);
TimingData.RefLastA := NEW X01ArrayT(NumRefBits-1 downto 0);
IF ArcType = CrossArc THEN
NumChecks := RefSignal'LENGTH * TestSignal'LENGTH;
ELSE
NumChecks := TestSignal'LENGTH;
END IF;
TimingData.HoldEnA := NEW VitalBoolArrayT(NumChecks-1 downto 0);
TimingData.SetupEnA := NEW VitalBoolArrayT(NumChecks-1 downto 0);
FOR i IN TestSignalNorm'RANGE LOOP
TimingData.TestLastA(i) := To_X01(TestSignalNorm(i));
END LOOP;
FOR i IN RefSignalNorm'RANGE LOOP
TimingData.RefLastA(i) := To_X01(RefSignalNorm(i));
END LOOP;
TimingData.NotFirstFlag := TRUE;
END IF;
-- Detect reference edges and record the time of the last edge
FOR i IN RefSignalNorm'RANGE LOOP
RefEdge(i) := EdgeSymbolMatch(TimingData.RefLastA(i),
To_X01(RefSignalNorm(i)), RefTransition);
TimingData.RefLastA(i) := To_X01(RefSignalNorm(i));
IF RefEdge(i) THEN
TimingData.RefTimeA(i) := NOW;
END IF;
END LOOP;
-- Detect test (data) changes and record the time of the last change
FOR i IN TestSignalNorm'RANGE LOOP
TestEvent(i) := TimingData.TestLastA(i) /= To_X01Z(TestSignalNorm(i));
TimingData.TestLastA(i) := To_X01Z(TestSignalNorm(i));
IF TestEvent(i) THEN
TimingData.TestTimeA(i) := NOW;
END IF;
END LOOP;
FOR i IN ViolationTest'RANGE LOOP
ViolationTest(i) := '0';
END LOOP;
FOR i IN ViolationRef'RANGE LOOP
ViolationRef(i) := '0';
END LOOP;
FOR i IN TestSignalNorm'RANGE LOOP
IF (ArcType = CrossArc) THEN
FOR j IN RefSignalNorm'RANGE LOOP
IF (TestEvent(i)) THEN
--TimingData.SetupEnA(i*NumRefBits+j) := TRUE;
--IR252
TimingData.SetupEnA(i*NumRefBits+j) := EnableSetupOnTest;
TimingData.HoldEnA(i*NumRefBits+j)
:= TimingData.HoldEnA(i*NumRefBits+j) AND EnableHoldOnTest;
END IF;
IF (RefEdge(j)) THEN
--TimingData.HoldEnA(i*NumRefBits+j) := TRUE;
--IR252
TimingData.HoldEnA(i*NumRefBits+j) := EnableHoldOnRef;
TimingData.SetupEnA(i*NumRefBits+j)
:= TimingData.SetupEnA(i*NumRefBits+j) AND EnableSetupOnRef;
END IF;
END LOOP;
RefBitLow := 0;
RefBitHigh := NumRefBits-1;
TimingArrayIndex := i;
ELSE
IF (ArcType = SubwordArc) THEN
RefBitLow := i / NumBitsPerSubWord;
TimingArrayIndex := i + NumTestBits * RefBitLow;
ELSE
RefBitLow := i;
TimingArrayIndex := i;
END IF;
RefBitHigh := RefBitLow;
IF (TestEvent(i)) THEN
--TimingData.SetupEnA(i) := TRUE;
--IR252
TimingData.SetupEnA(i) := EnableSetupOnTest;
TimingData.HoldEnA(i) := TimingData.HoldEnA(i) AND EnableHoldOnTest;
END IF;
IF (RefEdge(RefBitLow)) THEN
--TimingData.HoldEnA(i) := TRUE;
--IR252
TimingData.HoldEnA(i) := EnableHoldOnRef;
TimingData.SetupEnA(i) := TimingData.SetupEnA(i) AND EnableSetupOnRef;
END IF;
END IF;
EnArrayIndex := i;
FOR j IN RefBitLow to RefBitHigh LOOP
IF (CheckEnNorm(j)) THEN
TestDly := Maximum(0 ns, TestDelayNorm(i));
RefDly := Maximum(0 ns, RefDelayNorm(j));
InternalTimingCheck (
TestSignal => TestSignalNorm(i),
RefSignal => RefSignalNorm(j),
TestDelay => TestDly,
RefDelay => RefDly,
SetupHigh => SetupHighNorm(TimingArrayIndex),
SetupLow => SetupLowNorm(TimingArrayIndex),
HoldHigh => HoldHighNorm(TimingArrayIndex),
HoldLow => HoldLowNorm(TimingArrayIndex),
RefTime => TimingData.RefTimeA(j),
RefEdge => RefEdge(j),
TestTime => TimingData.TestTimeA(i),
TestEvent => TestEvent(i),
SetupEn => TimingData.SetupEnA(EnArrayIndex),
HoldEn => TimingData.HoldEnA(EnArrayIndex),
CheckInfo => CheckInfo,
MsgOn => MsgOn
);
-- Report any detected violations and set return violation flag
IF (CheckInfo.Violation) THEN
IF (MsgOn) THEN
VitalMemoryReportViolation (TestSignalName, RefSignalName, i, j,
TestSignal, RefSignal, HeaderMsg, CheckInfo,
MsgFormat, MsgSeverity );
END IF;
IF (XOn) THEN
ViolationTest(i) := 'X';
ViolationRef(j) := 'X';
END IF;
END IF;
END IF;
TimingArrayIndex := TimingArrayIndex + NumRefBits;
EnArrayIndex := EnArrayIndex + NumRefBits;
END LOOP;
END LOOP;
IF (ArcType = CrossArc) THEN
Violation := ViolationRef;
ELSE
IF (Violation'LENGTH = ViolationRef'LENGTH) THEN
Violation := ViolationRef;
ELSE
Violation := ViolationTest;
END IF;
END IF;
END VitalMemorySetupHoldCheck;
-- ----------------------------------------------------------------------------
-- scalar violations not needed
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName: IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArraytype;
SIGNAL RefSignal : IN std_ulogic;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN TIME := 0 ns;
CONSTANT SetupHigh : IN VitalDelayArraytype;
CONSTANT SetupLow : IN VitalDelayArraytype;
CONSTANT HoldHigh : IN VitalDelayArraytype;
CONSTANT HoldLow : IN VitalDelayArraytype;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType;
--IR252 3/23/98
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE
) IS
VARIABLE CheckInfo : CheckInfoType;
VARIABLE RefEdge : BOOLEAN;
VARIABLE TestEvent : VitalBoolArrayT(TestSignal'RANGE);
VARIABLE TestDly : TIME;
VARIABLE RefDly : TIME := Maximum(0 ns, RefDelay);
VARIABLE bias : TIME;
BEGIN
-- Initialization of working area.
IF (TimingData.NotFirstFlag = FALSE) THEN
TimingData.TestLastA := NEW std_logic_vector(TestSignal'RANGE);
TimingData.TestTimeA := NEW VitalTimeArrayT(TestSignal'RANGE);
TimingData.HoldEnA := NEW VitalBoolArrayT(TestSignal'RANGE);
TimingData.SetupEnA := NEW VitalBoolArrayT(TestSignal'RANGE);
FOR i IN TestSignal'RANGE LOOP
TimingData.TestLastA(i) := To_X01(TestSignal(i));
END LOOP;
TimingData.RefLast := To_X01(RefSignal);
TimingData.NotFirstFlag := TRUE;
END IF;
-- Detect reference edges and record the time of the last edge
RefEdge := EdgeSymbolMatch(TimingData.RefLast, To_X01(RefSignal),
RefTransition);
TimingData.RefLast := To_X01(RefSignal);
IF (RefEdge) THEN
TimingData.RefTime := NOW;
--TimingData.HoldEnA.all := (TestSignal'RANGE=>TRUE);
--IR252 3/23/98
FOR i IN TestSignal'RANGE LOOP
TimingData.SetupEnA(i)
:= TimingData.SetupEnA(i) AND EnableSetupOnRef;
TimingData.HoldEnA(i) := EnableHoldOnRef;
END LOOP;
END IF;
-- Detect test (data) changes and record the time of the last change
FOR i IN TestSignal'RANGE LOOP
TestEvent(i) := TimingData.TestLastA(i) /= To_X01Z(TestSignal(i));
TimingData.TestLastA(i) := To_X01Z(TestSignal(i));
IF TestEvent(i) THEN
TimingData.SetupEnA(i) := EnableSetupOnTest ; --IR252 3/23/98
TimingData.HoldEnA(i) := TimingData.HoldEnA(i) AND EnableHoldOnTest ;
--IR252 3/23/98
TimingData.TestTimeA(i) := NOW;
--TimingData.SetupEnA(i) := TRUE;
TimingData.TestTime := NOW;
END IF;
END LOOP;
Violation := '0';
FOR i IN TestSignal'RANGE LOOP
IF (CheckEnabled) THEN
TestDly := Maximum(0 ns, TestDelay(i));
InternalTimingCheck (
TestSignal => TestSignal(i),
RefSignal => RefSignal,
TestDelay => TestDly,
RefDelay => RefDly,
SetupHigh => SetupHigh(i),
SetupLow => SetupLow(i),
HoldHigh => HoldHigh(i),
HoldLow => HoldLow(i),
RefTime => TimingData.RefTime,
RefEdge => RefEdge,
TestTime => TimingData.TestTimeA(i),
TestEvent => TestEvent(i),
SetupEn => TimingData.SetupEnA(i),
HoldEn => TimingData.HoldEnA(i),
CheckInfo => CheckInfo,
MsgOn => MsgOn
);
-- Report any detected violations and set return violation flag
IF CheckInfo.Violation THEN
IF (MsgOn) THEN
VitalMemoryReportViolation (TestSignalName, RefSignalName, i ,
HeaderMsg, CheckInfo, MsgFormat, MsgSeverity );
END IF;
IF (XOn) THEN
Violation := 'X';
END IF;
END IF;
END IF;
END LOOP;
END VitalMemorySetupHoldCheck;
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName: IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArraytype;
SIGNAL RefSignal : IN std_logic_vector;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN VitalDelayArraytype;
CONSTANT SetupHigh : IN VitalDelayArraytype;
CONSTANT SetupLow : IN VitalDelayArraytype;
CONSTANT HoldHigh : IN VitalDelayArraytype;
CONSTANT HoldLow : IN VitalDelayArraytype;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT NumBitsPerSubWord : IN INTEGER := 1;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType;
--IR252 3/23/98
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE
) IS
VARIABLE CheckInfo : CheckInfoType;
VARIABLE RefEdge : VitalBoolArrayT(RefSignal'LENGTH-1 downto 0);
VARIABLE TestEvent : VitalBoolArrayT(TestSignal'LENGTH-1 downto 0);
VARIABLE TestDly : TIME;
VARIABLE RefDly : TIME;
VARIABLE bias : TIME;
VARIABLE NumTestBits : NATURAL := TestSignal'LENGTH;
VARIABLE NumRefBits : NATURAL := RefSignal'LENGTH;
VARIABLE NumChecks : NATURAL;
VARIABLE TestSignalNorm : std_logic_vector(NumTestBits-1 downto 0)
:= TestSignal;
VARIABLE TestDelayNorm : VitalDelayArraytype(NumTestBits-1 downto 0)
:= TestDelay;
VARIABLE RefSignalNorm : std_logic_vector(NumRefBits-1 downto 0)
:= RefSignal;
VARIABLE RefDelayNorm : VitalDelayArraytype(NumRefBits-1 downto 0)
:= RefDelay;
VARIABLE SetupHighNorm : VitalDelayArraytype(SetupHigh'LENGTH-1 downto 0)
:= SetupHigh;
VARIABLE SetupLowNorm : VitalDelayArraytype(SetupLow'LENGTH-1 downto 0)
:= SetupLow;
VARIABLE HoldHighNorm : VitalDelayArraytype(HoldHigh'LENGTH-1 downto 0)
:= HoldHigh;
VARIABLE HoldLowNorm : VitalDelayArraytype(HoldLow'LENGTH-1 downto 0)
:= HoldLow;
VARIABLE RefBitLow : NATURAL;
VARIABLE RefBitHigh : NATURAL;
VARIABLE EnArrayIndex : NATURAL;
VARIABLE TimingArrayIndex: NATURAL;
BEGIN
-- Initialization of working area.
IF (TimingData.NotFirstFlag = FALSE) THEN
TimingData.TestLastA := NEW std_logic_vector(NumTestBits-1 downto 0);
TimingData.TestTimeA := NEW VitalTimeArrayT(NumTestBits-1 downto 0);
TimingData.RefTimeA := NEW VitalTimeArrayT(NumRefBits-1 downto 0);
TimingData.RefLastA := NEW X01ArrayT(NumRefBits-1 downto 0);
IF (ArcType = CrossArc) THEN
NumChecks := RefSignal'LENGTH * TestSignal'LENGTH;
ELSE
NumChecks := TestSignal'LENGTH;
END IF;
TimingData.HoldEnA := NEW VitalBoolArrayT(NumChecks-1 downto 0);
TimingData.SetupEnA := NEW VitalBoolArrayT(NumChecks-1 downto 0);
FOR i IN TestSignalNorm'RANGE LOOP
TimingData.TestLastA(i) := To_X01(TestSignalNorm(i));
END LOOP;
FOR i IN RefSignalNorm'RANGE LOOP
TimingData.RefLastA(i) := To_X01(RefSignalNorm(i));
END LOOP;
TimingData.NotFirstFlag := TRUE;
END IF;
-- Detect reference edges and record the time of the last edge
FOR i IN RefSignalNorm'RANGE LOOP
RefEdge(i) := EdgeSymbolMatch(TimingData.RefLastA(i),
To_X01(RefSignalNorm(i)), RefTransition);
TimingData.RefLastA(i) := To_X01(RefSignalNorm(i));
IF (RefEdge(i)) THEN
TimingData.RefTimeA(i) := NOW;
END IF;
END LOOP;
-- Detect test (data) changes and record the time of the last change
FOR i IN TestSignalNorm'RANGE LOOP
TestEvent(i) := TimingData.TestLastA(i) /= To_X01Z(TestSignalNorm(i));
TimingData.TestLastA(i) := To_X01Z(TestSignalNorm(i));
IF (TestEvent(i)) THEN
TimingData.TestTimeA(i) := NOW;
END IF;
END LOOP;
FOR i IN TestSignalNorm'RANGE LOOP
IF (ArcType = CrossArc) THEN
FOR j IN RefSignalNorm'RANGE LOOP
IF (TestEvent(i)) THEN
--TimingData.SetupEnA(i*NumRefBits+j) := TRUE;
--IR252
TimingData.SetupEnA(i*NumRefBits+j) := EnableSetupOnTest;
TimingData.HoldEnA(i*NumRefBits+j)
:= TimingData.HoldEnA(i*NumRefBits+j) AND EnableHoldOnTest;
END IF;
IF (RefEdge(j)) THEN
--TimingData.HoldEnA(i*NumRefBits+j) := TRUE;
--IR252
TimingData.HoldEnA(i*NumRefBits+j) := EnableHoldOnRef;
TimingData.SetupEnA(i*NumRefBits+j)
:= TimingData.SetupEnA(i*NumRefBits+j) AND EnableSetupOnRef;
END IF;
END LOOP;
RefBitLow := 0;
RefBitHigh := NumRefBits-1;
TimingArrayIndex := i;
ELSE
IF (ArcType = SubwordArc) THEN
RefBitLow := i / NumBitsPerSubWord;
TimingArrayIndex := i + NumTestBits * RefBitLow;
ELSE
RefBitLow := i;
TimingArrayIndex := i;
END IF;
RefBitHigh := RefBitLow;
IF (TestEvent(i)) THEN
--TimingData.SetupEnA(i) := TRUE;
--IR252
TimingData.SetupEnA(i) := EnableSetupOnTest;
TimingData.HoldEnA(i) := TimingData.HoldEnA(i) AND EnableHoldOnTest;
END IF;
IF (RefEdge(RefBitLow)) THEN
--TimingData.HoldEnA(i) := TRUE;
--IR252
TimingData.HoldEnA(i) := EnableHoldOnRef;
TimingData.SetupEnA(i) := TimingData.SetupEnA(i) AND EnableSetupOnRef;
END IF;
END IF;
EnArrayIndex := i;
Violation := '0';
FOR j IN RefBitLow to RefBitHigh LOOP
IF (CheckEnabled) THEN
TestDly := Maximum(0 ns, TestDelayNorm(i));
RefDly := Maximum(0 ns, RefDelayNorm(j));
InternalTimingCheck (
TestSignal => TestSignalNorm(i),
RefSignal => RefSignalNorm(j),
TestDelay => TestDly,
RefDelay => RefDly,
SetupHigh => SetupHighNorm(TimingArrayIndex),
SetupLow => SetupLowNorm(TimingArrayIndex),
HoldHigh => HoldHighNorm(TimingArrayIndex),
HoldLow => HoldLowNorm(TimingArrayIndex),
RefTime => TimingData.RefTimeA(j),
RefEdge => RefEdge(j),
TestTime => TimingData.TestTimeA(i),
TestEvent => TestEvent(i),
SetupEn => TimingData.SetupEnA(EnArrayIndex),
HoldEn => TimingData.HoldEnA(EnArrayIndex),
CheckInfo => CheckInfo,
MsgOn => MsgOn
);
-- Report any detected violations and set return violation flag
IF (CheckInfo.Violation) THEN
IF (MsgOn) THEN
VitalMemoryReportViolation (TestSignalName, RefSignalName, i, j,
TestSignal, RefSignal, HeaderMsg, CheckInfo,
MsgFormat, MsgSeverity );
END IF;
IF (XOn) THEN
Violation := 'X';
END IF;
END IF;
END IF;
TimingArrayIndex := TimingArrayIndex + NumRefBits;
EnArrayIndex := EnArrayIndex + NumRefBits;
END LOOP;
END LOOP;
END VitalMemorySetupHoldCheck;
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemoryPeriodPulseCheck (
VARIABLE Violation : OUT X01;
VARIABLE PeriodData : INOUT VitalPeriodDataArrayType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArraytype;
CONSTANT Period : IN VitalDelayArraytype;
CONSTANT PulseWidthHigh : IN VitalDelayArraytype;
CONSTANT PulseWidthLow : IN VitalDelayArraytype;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType
) IS
VARIABLE TestDly : VitalDelayType;
VARIABLE CheckInfo : CheckInfoType;
VARIABLE PeriodObs : VitalDelayType;
VARIABLE PulseTest : BOOLEAN;
VARIABLE PeriodTest: BOOLEAN;
VARIABLE TestValue : X01;
BEGIN
-- Initialize for no violation
Violation := '0'; --MEM IR 402
FOR i IN TestSignal'RANGE LOOP
TestDly := Maximum(0 ns, TestDelay(i));
TestValue := To_X01(TestSignal(i));
IF (PeriodData(i).NotFirstFlag = FALSE) THEN
PeriodData(i).Rise := -Maximum(Period(i),
Maximum(PulseWidthHigh(i),PulseWidthLow(i)));
PeriodData(i).Fall := -Maximum(Period(i),
Maximum(PulseWidthHigh(i),PulseWidthLow(i)));
PeriodData(i).Last := TestValue;
PeriodData(i).NotFirstFlag := TRUE;
END IF;
-- Initialize for no violation
-- Violation := '0'; --Mem IR 402
-- No violation possible if no test signal change
NEXT WHEN (PeriodData(i).Last = TestValue);
-- record starting pulse times
IF (EdgeSymbolMatch(PeriodData(i).Last, TestValue, 'P')) THEN
-- Compute period times, then record the High Rise Time
PeriodObs := NOW - PeriodData(i).Rise;
PeriodData(i).Rise := NOW;
PeriodTest := TRUE;
ELSIF (EdgeSymbolMatch(PeriodData(i).Last, TestValue, 'N')) THEN
-- Compute period times, then record the Low Fall Time
PeriodObs := NOW - PeriodData(i).Fall;
PeriodData(i).Fall := NOW;
PeriodTest := TRUE;
ELSE
PeriodTest := FALSE;
END IF;
-- do checks on pulse ends
IF (EdgeSymbolMatch(PeriodData(i).Last, TestValue, 'p')) THEN
-- Compute pulse times
CheckInfo.ObsTime := NOW - PeriodData(i).Fall;
CheckInfo.ExpTime := PulseWidthLow(i);
PulseTest := TRUE;
ELSIF (EdgeSymbolMatch(PeriodData(i).Last, TestValue, 'n')) THEN
-- Compute pulse times
CheckInfo.ObsTime := NOW - PeriodData(i).Rise;
CheckInfo.ExpTime := PulseWidthHigh(i);
PulseTest := TRUE;
ELSE
PulseTest := FALSE;
END IF;
IF (PulseTest AND CheckEnabled) THEN
-- Verify Pulse Width [ignore 1st edge]
IF (CheckInfo.ObsTime < CheckInfo.ExpTime) THEN
IF (XOn) THEN
Violation := 'X';
END IF;
IF (MsgOn) THEN
CheckInfo.Violation := TRUE;
CheckInfo.CheckKind := PulseWidCheck;
CheckInfo.DetTime := NOW - TestDly;
CheckInfo.State := PeriodData(i).Last;
VitalMemoryReportViolation (TestSignalName, "", i,
HeaderMsg, CheckInfo, MsgFormat, MsgSeverity );
END IF; -- MsgOn
END IF;
END IF;
IF (PeriodTest AND CheckEnabled) THEN
-- Verify the Period [ignore 1st edge]
CheckInfo.ObsTime := PeriodObs;
CheckInfo.ExpTime := Period(i);
IF ( CheckInfo.ObsTime < CheckInfo.ExpTime ) THEN
IF (XOn) THEN
Violation := 'X';
END IF;
IF (MsgOn) THEN
CheckInfo.Violation := TRUE;
CheckInfo.CheckKind := PeriodCheck;
CheckInfo.DetTime := NOW - TestDly;
CheckInfo.State := TestValue;
VitalMemoryReportViolation (TestSignalName, "", i,
HeaderMsg, CheckInfo, MsgFormat, MsgSeverity );
END IF; -- MsgOn
END IF;
END IF;
PeriodData(i).Last := TestValue;
END LOOP;
END VitalMemoryPeriodPulseCheck;
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemoryPeriodPulseCheck (
VARIABLE Violation : OUT X01ArrayT;
VARIABLE PeriodData : INOUT VitalPeriodDataArrayType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArraytype;
CONSTANT Period : IN VitalDelayArraytype;
CONSTANT PulseWidthHigh : IN VitalDelayArraytype;
CONSTANT PulseWidthLow : IN VitalDelayArraytype;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType
)IS
VARIABLE TestDly : VitalDelayType;
VARIABLE CheckInfo : CheckInfoType;
VARIABLE PeriodObs : VitalDelayType;
VARIABLE PulseTest : BOOLEAN;
VARIABLE PeriodTest: BOOLEAN;
VARIABLE TestValue : X01;
BEGIN
FOR i IN TestSignal'RANGE LOOP
TestDly := Maximum(0 ns, TestDelay(i));
TestValue := To_X01(TestSignal(i));
IF (PeriodData(i).NotFirstFlag = FALSE) THEN
PeriodData(i).Rise := -Maximum(Period(i),
Maximum(PulseWidthHigh(i),PulseWidthLow(i)));
PeriodData(i).Fall := -Maximum(Period(i),
Maximum(PulseWidthHigh(i),PulseWidthLow(i)));
PeriodData(i).Last := TestValue;
PeriodData(i).NotFirstFlag := TRUE;
END IF;
-- Initialize for no violation
Violation(i) := '0';
-- No violation possible if no test signal change
NEXT WHEN (PeriodData(i).Last = TestValue);
-- record starting pulse times
IF (EdgeSymbolMatch(PeriodData(i).Last, TestValue, 'P')) THEN
-- Compute period times, then record the High Rise Time
PeriodObs := NOW - PeriodData(i).Rise;
PeriodData(i).Rise := NOW;
PeriodTest := TRUE;
ELSIF (EdgeSymbolMatch(PeriodData(i).Last, TestValue, 'N')) THEN
-- Compute period times, then record the Low Fall Time
PeriodObs := NOW - PeriodData(i).Fall;
PeriodData(i).Fall := NOW;
PeriodTest := TRUE;
ELSE
PeriodTest := FALSE;
END IF;
-- do checks on pulse ends
IF (EdgeSymbolMatch(PeriodData(i).Last, TestValue, 'p')) THEN
-- Compute pulse times
CheckInfo.ObsTime := NOW - PeriodData(i).Fall;
CheckInfo.ExpTime := PulseWidthLow(i);
PulseTest := TRUE;
ELSIF (EdgeSymbolMatch(PeriodData(i).Last, TestValue, 'n')) THEN
-- Compute pulse times
CheckInfo.ObsTime := NOW - PeriodData(i).Rise;
CheckInfo.ExpTime := PulseWidthHigh(i);
PulseTest := TRUE;
ELSE
PulseTest := FALSE;
END IF;
IF (PulseTest AND CheckEnabled) THEN
-- Verify Pulse Width [ignore 1st edge]
IF (CheckInfo.ObsTime < CheckInfo.ExpTime) THEN
IF (XOn) THEN
Violation(i) := 'X';
END IF;
IF (MsgOn) THEN
CheckInfo.Violation := TRUE;
CheckInfo.CheckKind := PulseWidCheck;
CheckInfo.DetTime := NOW - TestDly;
CheckInfo.State := PeriodData(i).Last;
VitalMemoryReportViolation (TestSignalName, "", i,
HeaderMsg, CheckInfo, MsgFormat, MsgSeverity );
END IF; -- MsgOn
END IF;
END IF;
IF (PeriodTest AND CheckEnabled) THEN
-- Verify the Period [ignore 1st edge]
CheckInfo.ObsTime := PeriodObs;
CheckInfo.ExpTime := Period(i);
IF ( CheckInfo.ObsTime < CheckInfo.ExpTime ) THEN
IF (XOn) THEN
Violation(i) := 'X';
END IF;
IF (MsgOn) THEN
CheckInfo.Violation := TRUE;
CheckInfo.CheckKind := PeriodCheck;
CheckInfo.DetTime := NOW - TestDly;
CheckInfo.State := TestValue;
VitalMemoryReportViolation (TestSignalName, "", i,
HeaderMsg, CheckInfo, MsgFOrmat, MsgSeverity );
END IF; -- MsgOn
END IF;
END IF;
PeriodData(i).Last := TestValue;
END LOOP;
END VitalMemoryPeriodPulseCheck;
-- ----------------------------------------------------------------------------
-- Functionality Section
-- ----------------------------------------------------------------------------
-- Look-up table. Given an int, we can get the 4-bit bit_vector.
TYPE HexToBitvTableType IS ARRAY (NATURAL RANGE <>) OF
std_logic_vector(3 DOWNTO 0) ;
CONSTANT HexToBitvTable : HexToBitvTableType (0 TO 15) :=
(
"0000", "0001", "0010", "0011",
"0100", "0101", "0110", "0111",
"1000", "1001", "1010", "1011",
"1100", "1101", "1110", "1111"
) ;
-- ----------------------------------------------------------------------------
-- Misc Utilities Local Utilities
-- ----------------------------------------------------------------------------
-- ----------------------------------------------------------------------------
-- Procedure: IsSpace
-- Parameters: ch -- input character
-- Description: Returns TRUE or FALSE depending on the input character
-- being white space or not.
-- ----------------------------------------------------------------------------
FUNCTION IsSpace (ch : character)
RETURN boolean IS
BEGIN
RETURN ((ch = ' ') OR (ch = CR) OR (ch = HT) OR (ch = NUL));
END IsSpace;
-- ----------------------------------------------------------------------------
-- Procedure: LenOfString
-- Parameters: Str -- input string
-- Description: Returns the NATURAL length of the input string.
-- as terminated by the first NUL character.
-- ----------------------------------------------------------------------------
FUNCTION LenOfString (Str : STRING)
RETURN NATURAL IS
VARIABLE StrRight : NATURAL;
BEGIN
StrRight := Str'RIGHT;
FOR i IN Str'RANGE LOOP
IF (Str(i) = NUL) THEN
StrRight := i - 1;
EXIT;
END IF;
END LOOP;
RETURN (StrRight);
END LenOfString;
-- ----------------------------------------------------------------------------
-- Procedure: HexToInt
-- Parameters: Hex -- input character or string
-- Description: Converts input character or string interpreted as a
-- hexadecimal representation to integer value.
-- ----------------------------------------------------------------------------
FUNCTION HexToInt(Hex : CHARACTER) RETURN INTEGER IS
CONSTANT HexChars : STRING := "0123456789ABCDEFabcdef";
CONSTANT XHiChar : CHARACTER := 'X';
CONSTANT XLoChar : CHARACTER := 'x';
BEGIN
IF (Hex = XLoChar OR Hex = XHiChar) THEN
RETURN (23);
END IF;
FOR i IN 1 TO 16 LOOP
IF(Hex = HexChars(i)) THEN
RETURN (i-1);
END IF;
END LOOP;
FOR i IN 17 TO 22 LOOP
IF (Hex = HexChars(i)) THEN
RETURN (i-7);
END IF;
END LOOP;
ASSERT FALSE REPORT
"Invalid character received by HexToInt function"
SEVERITY WARNING;
RETURN (0);
END HexToInt;
-- ----------------------------------------------------------------------------
FUNCTION HexToInt (Hex : STRING) RETURN INTEGER IS
VARIABLE Value : INTEGER := 0;
VARIABLE Length : INTEGER;
BEGIN
Length := LenOfString(hex);
IF (Length > 8) THEN
ASSERT FALSE REPORT
"Invalid string length received by HexToInt function"
SEVERITY WARNING;
ELSE
FOR i IN 1 TO Length LOOP
Value := Value + HexToInt(Hex(i)) * 16 ** (Length - i);
END LOOP;
END IF;
RETURN (Value);
END HexToInt;
-- ----------------------------------------------------------------------------
-- Procedure: HexToBitv
-- Parameters: Hex -- Input hex string
-- Description: Converts input hex string to a std_logic_vector
-- ----------------------------------------------------------------------------
FUNCTION HexToBitv(
Hex : STRING
) RETURN std_logic_vector is
VARIABLE Index : INTEGER := 0 ;
VARIABLE ValHexToInt : INTEGER ;
VARIABLE BitsPerHex : INTEGER := 4 ; -- Denotes no. of bits per hex char.
VARIABLE HexLen : NATURAL := (BitsPerHex * LenOfString(Hex)) ;
VARIABLE TableVal : std_logic_vector(3 DOWNTO 0) ;
VARIABLE Result : std_logic_vector(HexLen-1 DOWNTO 0) ;
BEGIN
-- Assign 4-bit wide bit vector to result directly from a look-up table.
Index := 0 ;
WHILE ( Index < HexLen ) LOOP
ValHexToInt := HexToInt( Hex((HexLen - Index)/BitsPerHex ) );
IF ( ValHexToInt = 23 ) THEN
TableVal := "XXXX";
ELSE
-- Look up from the table.
TableVal := HexToBitvTable( ValHexToInt ) ;
END IF;
-- Assign now.
Result(Index+3 DOWNTO Index) := TableVal ;
-- Get ready for next block of 4-bits.
Index := Index + 4 ;
END LOOP ;
RETURN Result ;
END HexToBitv ;
-- ----------------------------------------------------------------------------
-- Procedure: BinToBitv
-- Parameters: Bin -- Input bin string
-- Description: Converts input bin string to a std_logic_vector
-- ----------------------------------------------------------------------------
FUNCTION BinToBitv(
Bin : STRING
) RETURN std_logic_vector is
VARIABLE Index : INTEGER := 0 ;
VARIABLE Length : NATURAL := LenOfString(Bin);
VARIABLE BitVal : std_ulogic;
VARIABLE Result : std_logic_vector(Length-1 DOWNTO 0) ;
BEGIN
Index := 0 ;
WHILE ( Index < Length ) LOOP
IF (Bin(Length-Index) = '0') THEN
BitVal := '0';
ELSIF (Bin(Length-Index) = '1') THEN
BitVal := '1';
ELSE
BitVal := 'X';
END IF ;
-- Assign now.
Result(Index) := BitVal ;
Index := Index + 1 ;
END LOOP ;
RETURN Result ;
END BinToBitv ;
-- ----------------------------------------------------------------------------
-- For Memory Table Modeling
-- ----------------------------------------------------------------------------
TYPE To_MemoryCharType IS ARRAY (VitalMemorySymbolType) OF CHARACTER;
CONSTANT To_MemoryChar : To_MemoryCharType :=
( '/', '\', 'P', 'N', 'r', 'f', 'p', 'n', 'R', 'F', '^', 'v',
'E', 'A', 'D', '*', 'X', '0', '1', '-', 'B', 'Z', 'S',
'g', 'u', 'i', 'G', 'U', 'I',
'w', 's',
'c', 'l', 'd', 'e', 'C', 'L',
'M', 'm', 't' );
TYPE ValidMemoryTableInputType IS ARRAY (VitalMemorySymbolType) OF BOOLEAN;
CONSTANT ValidMemoryTableInput : ValidMemoryTableInputType :=
-- '/', '\', 'P', 'N', 'r', 'f',
( TRUE, TRUE, TRUE, TRUE, TRUE, TRUE,
-- 'p', 'n', 'R', 'F', '^', 'v',
TRUE, TRUE, TRUE, TRUE, TRUE, TRUE,
-- 'E', 'A', 'D', '*',
TRUE, TRUE, TRUE, TRUE,
-- 'X', '0', '1', '-', 'B', 'Z',
TRUE, TRUE, TRUE, TRUE, TRUE, FALSE,
-- 'S',
TRUE,
-- 'g', 'u', 'i', 'G', 'U', 'I',
FALSE, FALSE, FALSE, FALSE, FALSE, FALSE,
-- 'w', 's',
FALSE, FALSE,
-- 'c', 'l', 'd', 'e', 'C', 'L',
FALSE, FALSE, FALSE, FALSE, FALSE, FALSE,
-- 'M', 'm', 't'
FALSE, FALSE, FALSE);
TYPE MemoryTableMatchType IS ARRAY (X01,X01,VitalMemorySymbolType) OF BOOLEAN;
-- last value, present value, table symbol
CONSTANT MemoryTableMatch : MemoryTableMatchType := (
( -- X (lastvalue)
-- / \ P N r f
-- p n R F ^ v
-- E A D *
-- X 0 1 - B Z S
-- g u i G U I
-- w s
-- c l d e, C L
-- m t
( FALSE,FALSE,FALSE,FALSE,FALSE,FALSE,
FALSE,FALSE,FALSE,FALSE,FALSE,FALSE,
FALSE,FALSE,FALSE,FALSE,
TRUE, FALSE,FALSE,TRUE, FALSE,FALSE,FALSE,
FALSE,FALSE,FALSE,FALSE,FALSE,FALSE,
FALSE,FALSE,
FALSE,FALSE,FALSE,FALSE,FALSE,FALSE,
FALSE,FALSE,FALSE),
( FALSE,FALSE,FALSE,TRUE, FALSE,FALSE,
FALSE,FALSE,FALSE,TRUE, FALSE,TRUE,
TRUE, FALSE,TRUE, TRUE,
FALSE,TRUE, FALSE,TRUE, TRUE, FALSE,FALSE,
FALSE,FALSE,FALSE,FALSE,FALSE,FALSE,
FALSE,FALSE,
FALSE,FALSE,FALSE,FALSE,FALSE,FALSE,
FALSE,FALSE,FALSE),
( FALSE,FALSE,TRUE, FALSE,FALSE,FALSE,
FALSE,FALSE,TRUE, FALSE,TRUE, FALSE,
TRUE, TRUE, FALSE,TRUE,
FALSE,FALSE,TRUE, TRUE, TRUE, FALSE,FALSE,
FALSE,FALSE,FALSE,FALSE,FALSE,FALSE,
FALSE,FALSE,
FALSE,FALSE,FALSE,FALSE,FALSE,FALSE,
FALSE,FALSE,FALSE)
),
(-- 0 (lastvalue)
-- / \ P N r f
-- p n R F ^ v
-- E A D *
-- X 0 1 - B Z S
-- g u i G U I
-- w s
-- c l d e, C L
-- m t
( FALSE,FALSE,FALSE,FALSE,TRUE, FALSE,
TRUE, FALSE,TRUE, FALSE,FALSE,FALSE,
FALSE,TRUE, FALSE,TRUE,
TRUE, FALSE,FALSE,TRUE, FALSE,FALSE,FALSE,
FALSE,FALSE,FALSE,FALSE,FALSE,FALSE,
FALSE,FALSE,
FALSE,FALSE,FALSE,FALSE,FALSE,FALSE,
FALSE,FALSE,FALSE),
( FALSE,FALSE,FALSE,FALSE,FALSE,FALSE,
FALSE,FALSE,FALSE,FALSE,FALSE,FALSE,
FALSE,FALSE,FALSE,FALSE,
FALSE,TRUE, FALSE,TRUE, TRUE, FALSE,TRUE,
FALSE,FALSE,FALSE,FALSE,FALSE,FALSE,
FALSE,FALSE,
FALSE,FALSE,FALSE,FALSE,FALSE,FALSE,
FALSE,FALSE,FALSE),
( TRUE, FALSE,TRUE, FALSE,FALSE,FALSE,
TRUE, FALSE,TRUE, FALSE,FALSE,FALSE,
FALSE,FALSE,FALSE,TRUE,
FALSE,FALSE,TRUE, TRUE, TRUE, FALSE,FALSE,
FALSE,FALSE,FALSE,FALSE,FALSE,FALSE,
FALSE,FALSE,
FALSE,FALSE,FALSE,FALSE,FALSE,FALSE,
FALSE,FALSE,FALSE)
),
(-- 1 (lastvalue)
-- / \ P N r f
-- p n R F ^ v
-- E A D *
-- X 0 1 - B Z S
-- g u i G U I
-- w s
-- c l d e, C L
-- m t
( FALSE,FALSE,FALSE,FALSE,FALSE,TRUE ,
FALSE,TRUE, FALSE,TRUE, FALSE,FALSE,
FALSE,FALSE,TRUE, TRUE,
TRUE, FALSE,FALSE,TRUE, FALSE,FALSE,FALSE,
FALSE,FALSE,FALSE,FALSE,FALSE,FALSE,
FALSE,FALSE,
FALSE,FALSE,FALSE,FALSE,FALSE,FALSE,
FALSE,FALSE,FALSE),
( FALSE,TRUE, FALSE,TRUE, FALSE,FALSE,
FALSE,TRUE, FALSE,TRUE, FALSE,FALSE,
FALSE,FALSE,FALSE,TRUE,
FALSE,TRUE, FALSE,TRUE, TRUE, FALSE,FALSE,
FALSE,FALSE,FALSE,FALSE,FALSE,FALSE,
FALSE,FALSE,
FALSE,FALSE,FALSE,FALSE,FALSE,FALSE,
FALSE,FALSE,FALSE),
( FALSE,FALSE,FALSE,FALSE,FALSE,FALSE,
FALSE,FALSE,FALSE,FALSE,FALSE,FALSE,
FALSE,FALSE,FALSE,FALSE,
FALSE,FALSE,TRUE, TRUE, TRUE, FALSE,TRUE,
FALSE,FALSE,FALSE,FALSE,FALSE,FALSE,
FALSE,FALSE,
FALSE,FALSE,FALSE,FALSE,FALSE,FALSE,
FALSE,FALSE,FALSE)
)
);
-- ----------------------------------------------------------------------------
-- Error Message Types and Tables
-- ----------------------------------------------------------------------------
TYPE VitalMemoryErrorType IS (
ErrGoodAddr, -- 'g' Good address (no transition)
ErrUnknAddr, -- 'u' 'X' levels in address (no transition)
ErrInvaAddr, -- 'i' Invalid address (no transition)
ErrGoodTrAddr, -- 'G' Good address (with transition)
ErrUnknTrAddr, -- 'U' 'X' levels in address (with transition)
ErrInvaTrAddr, -- 'I' Invalid address (with transition)
ErrWrDatMem, -- 'w' Writing data to memory
ErrNoChgMem, -- 's' Retaining previous memory contents
ErrCrAllMem, -- 'c' Corrupting entire memory with 'X'
ErrCrWrdMem, -- 'l' Corrupting a word in memory with 'X'
ErrCrBitMem, -- 'd' Corrupting a single bit in memory with 'X'
ErrCrDatMem, -- 'e' Corrupting a word with 'X' based on data in
ErrCrAllSubMem,-- 'C' Corrupting a sub-word entire memory with 'X'
ErrCrWrdSubMem,-- 'L' Corrupting a sub-word in memory with 'X'
ErrCrBitSubMem,-- 'D' Corrupting a single bit of a memory sub-word with 'X'
ErrCrDatSubMem,-- 'E' Corrupting a sub-word with 'X' based on data in
ErrCrWrdOut, -- 'l' Corrupting data out with 'X'
ErrCrBitOut, -- 'd' Corrupting a single bit of data out with 'X'
ErrCrDatOut, -- 'e' Corrupting data out with 'X' based on data in
ErrCrWrdSubOut,-- 'L' Corrupting data out sub-word with 'X'
ErrCrBitSubOut,-- 'D' Corrupting a single bit of data out sub-word with 'X'
ErrCrDatSubOut,-- 'E' Corrupting data out sub-word with 'X' based on data in
ErrImplOut, -- 'M' Implicit read from memory to data out
ErrReadOut, -- 'm' Reading data from memory to data out
ErrAssgOut, -- 't' Transferring from data in to data out
ErrAsgXOut, -- 'X' Assigning unknown level to data out
ErrAsg0Out, -- '0' Assigning low level to data out
ErrAsg1Out, -- '1' Assigning high level to data out
ErrAsgZOut, -- 'Z' Assigning high impedence to data out
ErrAsgSOut, -- 'S' Keeping data out at steady value
ErrAsgXMem, -- 'X' Assigning unknown level to memory location
ErrAsg0Mem, -- '0' Assigning low level to memory location
ErrAsg1Mem, -- '1' Assigning high level to memory location
ErrAsgZMem, -- 'Z' Assigning high impedence to memory location
ErrDefMemAct, -- No memory table match, using default action
ErrInitMem, -- Initialize memory contents
ErrMcpWrCont, -- Memory cross port to same port write contention
ErrMcpCpCont, -- Memory cross port read/write data/memory contention
ErrMcpCpRead, -- Memory cross port read to same port
ErrMcpRdWrCo, -- Memory cross port read/write data only contention
ErrMcpCpWrCont,-- Memory cross port to cross port write contention
ErrUnknMemDo, -- Unknown memory action
ErrUnknDatDo, -- Unknown data action
ErrUnknSymbol, -- Illegal memory symbol
ErrLdIlgArg,
ErrLdAddrRng,
ErrLdMemInfo,
ErrLdFileEmpty,
ErrPrintString
);
TYPE VitalMemoryErrorSeverityType IS
ARRAY (VitalMemoryErrorType) OF SEVERITY_LEVEL;
CONSTANT VitalMemoryErrorSeverity :
VitalMemoryErrorSeverityType := (
ErrGoodAddr => NOTE,
ErrUnknAddr => WARNING,
ErrInvaAddr => WARNING,
ErrGoodTrAddr => NOTE,
ErrUnknTrAddr => WARNING,
ErrInvaTrAddr => WARNING,
ErrWrDatMem => NOTE,
ErrNoChgMem => NOTE,
ErrCrAllMem => WARNING,
ErrCrWrdMem => WARNING,
ErrCrBitMem => WARNING,
ErrCrDatMem => WARNING,
ErrCrAllSubMem => WARNING,
ErrCrWrdSubMem => WARNING,
ErrCrBitSubMem => WARNING,
ErrCrDatSubMem => WARNING,
ErrCrWrdOut => WARNING,
ErrCrBitOut => WARNING,
ErrCrDatOut => WARNING,
ErrCrWrdSubOut => WARNING,
ErrCrBitSubOut => WARNING,
ErrCrDatSubOut => WARNING,
ErrImplOut => NOTE,
ErrReadOut => NOTE,
ErrAssgOut => NOTE,
ErrAsgXOut => NOTE,
ErrAsg0Out => NOTE,
ErrAsg1Out => NOTE,
ErrAsgZOut => NOTE,
ErrAsgSOut => NOTE,
ErrAsgXMem => NOTE,
ErrAsg0Mem => NOTE,
ErrAsg1Mem => NOTE,
ErrAsgZMem => NOTE,
ErrDefMemAct => NOTE,
ErrInitMem => NOTE,
ErrMcpWrCont => WARNING,
ErrMcpCpCont => WARNING,
ErrMcpCpRead => WARNING,
ErrMcpRdWrCo => WARNING,
ErrMcpCpWrCont => WARNING,
ErrUnknMemDo => ERROR,
ErrUnknDatDo => ERROR,
ErrUnknSymbol => ERROR,
ErrLdIlgArg => ERROR,
ErrLdAddrRng => WARNING,
ErrLdMemInfo => NOTE,
ErrLdFileEmpty => ERROR,
ErrPrintString => WARNING
);
-- ----------------------------------------------------------------------------
CONSTANT MsgGoodAddr : STRING
:= "Good address (no transition)";
CONSTANT MsgUnknAddr : STRING
:= "Unknown address (no transition)";
CONSTANT MsgInvaAddr : STRING
:= "Invalid address (no transition)";
CONSTANT MsgGoodTrAddr : STRING
:= "Good address (with transition)";
CONSTANT MsgUnknTrAddr : STRING
:= "Unknown address (with transition)";
CONSTANT MsgInvaTrAddr : STRING
:= "Invalid address (with transition)";
CONSTANT MsgNoChgMem : STRING
:= "Retaining previous memory contents";
CONSTANT MsgWrDatMem : STRING
:= "Writing data to memory";
CONSTANT MsgCrAllMem : STRING
:= "Corrupting entire memory with 'X'";
CONSTANT MsgCrWrdMem : STRING
:= "Corrupting a word in memory with 'X'";
CONSTANT MsgCrBitMem : STRING
:= "Corrupting a single bit in memory with 'X'";
CONSTANT MsgCrDatMem : STRING
:= "Corrupting a word with 'X' based on data in";
CONSTANT MsgCrAllSubMem : STRING
:= "Corrupting a sub-word entire memory with 'X'";
CONSTANT MsgCrWrdSubMem : STRING
:= "Corrupting a sub-word in memory with 'X'";
CONSTANT MsgCrBitSubMem : STRING
:= "Corrupting a single bit of a sub-word with 'X'";
CONSTANT MsgCrDatSubMem : STRING
:= "Corrupting a sub-word with 'X' based on data in";
CONSTANT MsgCrWrdOut : STRING
:= "Corrupting data out with 'X'";
CONSTANT MsgCrBitOut : STRING
:= "Corrupting a single bit of data out with 'X'";
CONSTANT MsgCrDatOut : STRING
:= "Corrupting data out with 'X' based on data in";
CONSTANT MsgCrWrdSubOut : STRING
:= "Corrupting data out sub-word with 'X'";
CONSTANT MsgCrBitSubOut : STRING
:= "Corrupting a single bit of data out sub-word with 'X'";
CONSTANT MsgCrDatSubOut : STRING
:= "Corrupting data out sub-word with 'X' based on data in";
CONSTANT MsgImplOut : STRING
:= "Implicit read from memory to data out";
CONSTANT MsgReadOut : STRING
:= "Reading data from memory to data out";
CONSTANT MsgAssgOut : STRING
:= "Transferring from data in to data out";
CONSTANT MsgAsgXOut : STRING
:= "Assigning unknown level to data out";
CONSTANT MsgAsg0Out : STRING
:= "Assigning low level to data out";
CONSTANT MsgAsg1Out : STRING
:= "Assigning high level to data out";
CONSTANT MsgAsgZOut : STRING
:= "Assigning high impedance to data out";
CONSTANT MsgAsgSOut : STRING
:= "Keeping data out at steady value";
CONSTANT MsgAsgXMem : STRING
:= "Assigning unknown level to memory location";
CONSTANT MsgAsg0Mem : STRING
:= "Assigning low level to memory location";
CONSTANT MsgAsg1Mem : STRING
:= "Assigning high level to memory location";
CONSTANT MsgAsgZMem : STRING
:= "Assigning high impedance to memory location";
CONSTANT MsgDefMemAct : STRING
:= "No memory table match, using default action";
CONSTANT MsgInitMem : STRING
:= "Initializing memory contents";
CONSTANT MsgMcpWrCont : STRING
:= "Same port write contention";
CONSTANT MsgMcpCpCont : STRING
:= "Cross port read/write data/memory contention";
CONSTANT MsgMcpCpRead : STRING
:= "Cross port read to same port";
CONSTANT MsgMcpRdWrCo : STRING
:= "Cross port read/write data only contention";
CONSTANT MsgMcpCpWrCont : STRING
:= "Cross port write contention";
CONSTANT MsgUnknMemDo : STRING
:= "Unknown memory action";
CONSTANT MsgUnknDatDo : STRING
:= "Unknown data action";
CONSTANT MsgUnknSymbol : STRING
:= "Illegal memory symbol";
CONSTANT MsgLdIlgArg : STRING
:= "Illegal bit arguments while loading memory.";
CONSTANT MsgLdMemInfo : STRING
:= "Loading data from the file into memory.";
CONSTANT MsgLdAddrRng : STRING
:= "Address out of range while loading memory.";
CONSTANT MsgLdFileEmpty : STRING
:= "Memory load file is empty.";
CONSTANT MsgPrintString : STRING
:= "";
CONSTANT MsgUnknown : STRING
:= "Unknown error message.";
CONSTANT MsgVMT : STRING
:= "VitalMemoryTable";
CONSTANT MsgVMV : STRING
:= "VitalMemoryViolation";
CONSTANT MsgVDM : STRING
:= "VitalDeclareMemory";
CONSTANT MsgVMCP : STRING
:= "VitalMemoryCrossPorts";
-- ----------------------------------------------------------------------------
-- LOCAL Utilities
-- ----------------------------------------------------------------------------
-- ----------------------------------------------------------------------------
-- Procedure: MemoryMessage
-- Parameters: ErrorId -- Input error code
-- Description: This function looks up the input error code and returns
-- the string value of the associated message.
-- ----------------------------------------------------------------------------
FUNCTION MemoryMessage (
CONSTANT ErrorId : IN VitalMemoryErrorType
) RETURN STRING IS
BEGIN
CASE ErrorId IS
WHEN ErrGoodAddr => RETURN MsgGoodAddr ;
WHEN ErrUnknAddr => RETURN MsgUnknAddr ;
WHEN ErrInvaAddr => RETURN MsgInvaAddr ;
WHEN ErrGoodTrAddr => RETURN MsgGoodTrAddr ;
WHEN ErrUnknTrAddr => RETURN MsgUnknTrAddr ;
WHEN ErrInvaTrAddr => RETURN MsgInvaTrAddr ;
WHEN ErrWrDatMem => RETURN MsgWrDatMem ;
WHEN ErrNoChgMem => RETURN MsgNoChgMem ;
WHEN ErrCrAllMem => RETURN MsgCrAllMem ;
WHEN ErrCrWrdMem => RETURN MsgCrWrdMem ;
WHEN ErrCrBitMem => RETURN MsgCrBitMem ;
WHEN ErrCrDatMem => RETURN MsgCrDatMem ;
WHEN ErrCrAllSubMem => RETURN MsgCrAllSubMem;
WHEN ErrCrWrdSubMem => RETURN MsgCrWrdSubMem;
WHEN ErrCrBitSubMem => RETURN MsgCrBitSubMem;
WHEN ErrCrDatSubMem => RETURN MsgCrDatSubMem;
WHEN ErrCrWrdOut => RETURN MsgCrWrdOut ;
WHEN ErrCrBitOut => RETURN MsgCrBitOut ;
WHEN ErrCrDatOut => RETURN MsgCrDatOut ;
WHEN ErrCrWrdSubOut => RETURN MsgCrWrdSubOut;
WHEN ErrCrBitSubOut => RETURN MsgCrBitSubOut;
WHEN ErrCrDatSubOut => RETURN MsgCrDatSubOut;
WHEN ErrImplOut => RETURN MsgImplOut ;
WHEN ErrReadOut => RETURN MsgReadOut ;
WHEN ErrAssgOut => RETURN MsgAssgOut ;
WHEN ErrAsgXOut => RETURN MsgAsgXOut ;
WHEN ErrAsg0Out => RETURN MsgAsg0Out ;
WHEN ErrAsg1Out => RETURN MsgAsg1Out ;
WHEN ErrAsgZOut => RETURN MsgAsgZOut ;
WHEN ErrAsgSOut => RETURN MsgAsgSOut ;
WHEN ErrAsgXMem => RETURN MsgAsgXMem ;
WHEN ErrAsg0Mem => RETURN MsgAsg0Mem ;
WHEN ErrAsg1Mem => RETURN MsgAsg1Mem ;
WHEN ErrAsgZMem => RETURN MsgAsgZMem ;
WHEN ErrDefMemAct => RETURN MsgDefMemAct ;
WHEN ErrInitMem => RETURN MsgInitMem ;
WHEN ErrMcpWrCont => RETURN MsgMcpWrCont ;
WHEN ErrMcpCpCont => RETURN MsgMcpCpCont ;
WHEN ErrMcpCpRead => RETURN MsgMcpCpRead ;
WHEN ErrMcpRdWrCo => RETURN MsgMcpRdWrCo ;
WHEN ErrMcpCpWrCont => RETURN MsgMcpCpWrCont;
WHEN ErrUnknMemDo => RETURN MsgUnknMemDo ;
WHEN ErrUnknDatDo => RETURN MsgUnknDatDo ;
WHEN ErrUnknSymbol => RETURN MsgUnknSymbol ;
WHEN ErrLdIlgArg => RETURN MsgLdIlgArg ;
WHEN ErrLdAddrRng => RETURN MsgLdAddrRng ;
WHEN ErrLdMemInfo => RETURN MsgLdMemInfo ;
WHEN ErrLdFileEmpty => RETURN MsgLdFileEmpty;
WHEN ErrPrintString => RETURN MsgPrintString;
WHEN OTHERS => RETURN MsgUnknown ;
END CASE;
END;
-- ----------------------------------------------------------------------------
-- Procedure: PrintMemoryMessage
-- Parameters: Routine -- String identifying the calling routine
-- ErrorId -- Input error code for message lookup
-- Info -- Output string or character
-- InfoStr -- Additional output string
-- Info1 -- Additional output integer
-- Info2 -- Additional output integer
-- Info3 -- Additional output integer
-- Description: This procedure prints out a memory status message
-- given the input error id and other status information.
-- ----------------------------------------------------------------------------
PROCEDURE PrintMemoryMessage (
CONSTANT Routine : IN STRING;
CONSTANT ErrorId : IN VitalMemoryErrorType
) IS
BEGIN
ASSERT FALSE
REPORT Routine & ": " & MemoryMessage(ErrorId)
SEVERITY VitalMemoryErrorSeverity(ErrorId);
END;
-- ----------------------------------------------------------------------------
PROCEDURE PrintMemoryMessage (
CONSTANT Routine : IN STRING;
CONSTANT ErrorId : IN VitalMemoryErrorType;
CONSTANT Info : IN STRING
) IS
BEGIN
ASSERT FALSE
REPORT Routine & ": " & MemoryMessage(ErrorId) & " " & Info
SEVERITY VitalMemoryErrorSeverity(ErrorId);
END;
-- ----------------------------------------------------------------------------
PROCEDURE PrintMemoryMessage (
CONSTANT Routine : IN STRING;
CONSTANT ErrorId : IN VitalMemoryErrorType;
CONSTANT Info1 : IN STRING;
CONSTANT Info2 : IN STRING
) IS
BEGIN
ASSERT FALSE
REPORT Routine & ": " & MemoryMessage(ErrorId) & " " & Info1 & " " & Info2
SEVERITY VitalMemoryErrorSeverity(ErrorId);
END;
-- ----------------------------------------------------------------------------
PROCEDURE PrintMemoryMessage (
CONSTANT Routine : IN STRING;
CONSTANT ErrorId : IN VitalMemoryErrorType;
CONSTANT Info : IN CHARACTER
) IS
BEGIN
ASSERT FALSE
REPORT Routine & ": " & MemoryMessage(ErrorId) & " " & Info
SEVERITY VitalMemoryErrorSeverity(ErrorId);
END;
-- ----------------------------------------------------------------------------
PROCEDURE PrintMemoryMessage (
CONSTANT Routine : IN STRING;
CONSTANT ErrorId : IN VitalMemoryErrorType;
CONSTANT InfoStr : IN STRING;
CONSTANT Info1 : IN NATURAL
) IS
VARIABLE TmpStr : STRING ( 1 TO 256 ) ;
VARIABLE TmpInt : INTEGER := 1;
BEGIN
IntToStr(Info1,TmpStr,TmpInt);
ASSERT FALSE
REPORT Routine & ": " & MemoryMessage(ErrorId) & " " & InfoStr & " " & TmpStr
SEVERITY VitalMemoryErrorSeverity(ErrorId);
END;
-- ----------------------------------------------------------------------------
PROCEDURE PrintMemoryMessage (
CONSTANT Routine : IN STRING;
CONSTANT ErrorId : IN VitalMemoryErrorType;
CONSTANT InfoStr : IN STRING;
CONSTANT Info1 : IN NATURAL;
CONSTANT Info2 : IN NATURAL
) IS
VARIABLE TmpStr : STRING ( 1 TO 256 ) ;
VARIABLE TmpInt : INTEGER := 1;
BEGIN
IntToStr(Info1,TmpStr,TmpInt);
IntToStr(Info2,TmpStr,TmpInt);
ASSERT FALSE
REPORT Routine & ": " & MemoryMessage(ErrorId) & " " & InfoStr & " " & TmpStr
SEVERITY VitalMemoryErrorSeverity(ErrorId);
END;
-- ----------------------------------------------------------------------------
PROCEDURE PrintMemoryMessage (
CONSTANT Routine : IN STRING;
CONSTANT ErrorId : IN VitalMemoryErrorType;
CONSTANT InfoStr : IN STRING;
CONSTANT Info1 : IN NATURAL;
CONSTANT Info2 : IN NATURAL;
CONSTANT Info3 : IN NATURAL
) IS
VARIABLE TmpStr : STRING ( 1 TO 256 ) ;
VARIABLE TmpInt : INTEGER := 1;
BEGIN
IntToStr(Info1,TmpStr,TmpInt);
IntToStr(Info2,TmpStr,TmpInt);
IntToStr(Info3,TmpStr,TmpInt);
ASSERT FALSE
REPORT Routine & ": " & MemoryMessage(ErrorId) & " " & InfoStr & " " & TmpStr
SEVERITY VitalMemoryErrorSeverity(ErrorId);
END;
-- ----------------------------------------------------------------------------
PROCEDURE PrintMemoryMessage (
CONSTANT Routine : IN STRING;
CONSTANT Table : IN VitalMemoryTableType;
CONSTANT Index : IN INTEGER;
CONSTANT InfoStr : IN STRING
) IS
CONSTANT TableEntries : INTEGER := Table'LENGTH(1);
CONSTANT TableWidth : INTEGER := Table'LENGTH(2);
VARIABLE TmpStr : STRING ( 1 TO 256 ) ;
VARIABLE TmpInt : INTEGER := 1;
BEGIN
IF (Index < 0 AND Index > TableEntries-1) THEN
ASSERT FALSE
REPORT Routine & ": Memory table search failure"
SEVERITY ERROR;
END IF;
ColLoop:
FOR i IN 0 TO TableWidth-1 LOOP
IF (i >= 64) THEN
TmpStr(TmpInt) := '.';
TmpInt := TmpInt + 1;
TmpStr(TmpInt) := '.';
TmpInt := TmpInt + 1;
TmpStr(TmpInt) := '.';
TmpInt := TmpInt + 1;
EXIT ColLoop;
END IF;
TmpStr(TmpInt) := ''';
TmpInt := TmpInt + 1;
TmpStr(TmpInt) := To_MemoryChar(Table(Index,i));
TmpInt := TmpInt + 1;
TmpStr(TmpInt) := ''';
TmpInt := TmpInt + 1;
IF (i < TableWidth-1) THEN
TmpStr(TmpInt) := ',';
TmpInt := TmpInt + 1;
END IF;
END LOOP;
ASSERT FALSE
REPORT Routine & ": Port=" & InfoStr & " TableRow=" & TmpStr
SEVERITY NOTE;
END;
-- ----------------------------------------------------------------------------
-- Procedure: DecodeAddress
-- Parameters: Address - Converted address.
-- AddrFlag - Flag to indicte address match
-- MemoryData - Information about memory characteristics
-- PrevAddressBus - Previous input address value
-- AddressBus - Input address value.
-- Description: This procedure is used for transforming a valid
-- address value to an integer in order to access memory.
-- It performs address bound checking as well.
-- Sets Address to -1 for unknowns
-- Sets Address to -2 for out of range
-- ----------------------------------------------------------------------------
PROCEDURE DecodeAddress (
VARIABLE Address : INOUT INTEGER;
VARIABLE AddrFlag : INOUT VitalMemorySymbolType;
VARIABLE MemoryData : IN VitalMemoryDataType;
CONSTANT PrevAddressBus : IN std_logic_vector;
CONSTANT AddressBus : IN std_logic_vector
) IS
VARIABLE Power : NATURAL;
VARIABLE AddrUnkn : BOOLEAN;
BEGIN
Power := 0;
AddrUnkn := FALSE;
-- It is assumed that always Address'LEFT represents the Most significant bit.
FOR i IN AddressBus'RANGE LOOP
Power := Power * 2;
IF (AddressBus(i) /= '1' AND AddressBus(i) /= '0') THEN
AddrUnkn := TRUE;
Power := 0;
EXIT;
ELSIF (AddressBus(i) = '1') THEN
Power := Power + 1;
END IF;
END LOOP;
Address := Power;
AddrFlag := 'g';
IF (AddrUnkn) THEN
AddrFlag := 'u'; -- unknown addr
Address := -1;
END IF;
IF ( Power > (MemoryData.NoOfWords - 1)) THEN
AddrFlag := 'i'; -- invalid addr
Address := -2;
END IF;
IF (PrevAddressBus /= AddressBus) THEN
CASE AddrFlag IS
WHEN 'g' => AddrFlag := 'G';
WHEN 'u' => AddrFlag := 'U';
WHEN 'i' => AddrFlag := 'I';
WHEN OTHERS =>
ASSERT FALSE REPORT
"DecodeAddress: Internal error. [AddrFlag]="
& To_MemoryChar(AddrFlag)
SEVERITY ERROR;
END CASE;
END IF;
END DecodeAddress;
-- ----------------------------------------------------------------------------
-- Procedure: DecodeData
-- Parameters: DataFlag - Flag to indicte data match
-- PrevDataInBus - Previous input data value
-- DataInBus - Input data value.
-- HighBit - High bit offset value.
-- LowBit - Low bit offset value.
-- Description: This procedure is used for interpreting the input data
-- as a data flag for subsequent table matching.
-- ----------------------------------------------------------------------------
PROCEDURE DecodeData (
VARIABLE DataFlag : INOUT VitalMemorySymbolType;
CONSTANT PrevDataInBus : IN std_logic_vector;
CONSTANT DataInBus : IN std_logic_vector;
CONSTANT HighBit : IN NATURAL;
CONSTANT LowBit : IN NATURAL
) IS
VARIABLE DataUnkn : BOOLEAN := FALSE;
BEGIN
FOR i IN LowBit TO HighBit LOOP
IF DataInBus(i) /= '1' AND DataInBus(i) /= '0' THEN
DataUnkn := TRUE;
EXIT;
END IF;
END LOOP;
DataFlag := 'g';
IF (DataUnkn) THEN
DataFlag := 'u'; -- unknown addr
END IF;
IF (PrevDataInBus(HighBit DOWNTO LowBit) /=
DataInBus(HighBit DOWNTO LowBit)) THEN
CASE DataFlag IS
WHEN 'g' => DataFlag := 'G';
WHEN 'u' => DataFlag := 'U';
WHEN OTHERS =>
ASSERT FALSE REPORT
"DecodeData: Internal error. [DataFlag]="
& To_MemoryChar(DataFlag)
SEVERITY ERROR;
END CASE;
END IF;
END DecodeData;
-- ----------------------------------------------------------------------------
-- Procedure: WriteMemory
-- Parameters: MemoryPtr - Pointer to the memory array.
-- DataInBus - Input Data to be written.
-- Address - Address of the memory location.
-- BitPosition - Position of bit in memory location.
-- HighBit - High bit offset value.
-- LowBit - Low bit offset value.
-- Description: This procedure is used to write to a memory location
-- on a bit/byte/word basis.
-- The high bit and low bit offset are used for byte write
-- operations.These parameters specify the data byte for write.
-- In the case of word write the complete memory word is used.
-- This procedure is overloaded for bit,byte and word write
-- memory operations.The number of parameters may vary.
-- ----------------------------------------------------------------------------
PROCEDURE WriteMemory (
VARIABLE MemoryPtr : INOUT VitalMemoryDataType;
CONSTANT DataInBus : IN std_logic_vector;
CONSTANT Address : IN INTEGER;
CONSTANT HighBit : IN NATURAL;
CONSTANT LowBit : IN NATURAL
) IS
VARIABLE TmpData : std_logic_vector(DataInBus'LENGTH - 1 DOWNTO 0);
BEGIN
-- Address bound checking.
IF ( Address < 0 OR Address > (MemoryPtr.NoOfWords - 1)) THEN
PrintMemoryMessage ( "WriteMemory", ErrPrintString,
"Aborting write operation as address is out of range.") ;
RETURN;
END IF;
TmpData := To_UX01(DataInBus);
FOR i in LowBit to HighBit LOOP
MemoryPtr.MemoryArrayPtr(Address).all(i) := TmpData(i);
END LOOP;
END WriteMemory;
-- ----------------------------------------------------------------------------
PROCEDURE WriteMemory (
VARIABLE MemoryPtr : INOUT VitalMemoryDataType;
CONSTANT DataInBus : IN std_logic_vector;
CONSTANT Address : IN INTEGER;
CONSTANT BitPosition : IN NATURAL
) IS
VARIABLE HighBit : NATURAL;
VARIABLE LowBit : NATURAL;
BEGIN
HighBit := BitPosition;
LowBit := BitPosition;
WriteMemory (MemoryPtr, DataInBus, Address, HighBit, LowBit);
END WriteMemory;
-- ----------------------------------------------------------------------------
PROCEDURE WriteMemory (
VARIABLE MemoryPtr : INOUT VitalMemoryDataType;
CONSTANT DataInBus : IN std_logic_vector;
CONSTANT Address : IN INTEGER
) IS
VARIABLE HighBit : NATURAL;
VARIABLE LowBit : NATURAL;
BEGIN
HighBit := MemoryPtr.NoOfBitsPerWord - 1;
LowBit := 0;
WriteMemory (MemoryPtr, DataInBus, Address, HighBit, LowBit);
END WriteMemory;
-- ----------------------------------------------------------------------------
-- Procedure: ReadMemory
-- Parameters: MemoryPtr - Pointer to the memory array.
-- DataOut - Output Data to be read in this.
-- Address - Address of the memory location.
-- BitPosition - Position of bit in memory location.
-- HighBit - High bit offset value.
-- LowBit - Low bit offset value.
-- Description: This procedure is used to read from a memory location
-- on a bit/byte/word basis.
-- The high bit and low bit offset are used for byte write
-- operations.These parameters specify the data byte for
-- read.In the case of word write the complete memory word
-- is used.This procedure is overloaded for bit,byte and
-- word write memory operations.The number of parameters
-- may vary.
-- ----------------------------------------------------------------------------
PROCEDURE ReadMemory (
VARIABLE MemoryPtr : INOUT VitalMemoryDataType;
VARIABLE DataOut : OUT std_logic_vector;
CONSTANT Address : IN INTEGER;
CONSTANT HighBit : IN NATURAL;
CONSTANT LowBit : IN NATURAL
) IS
VARIABLE DataOutTmp : std_logic_vector(MemoryPtr.NoOfBitsPerWord-1 DOWNTO 0);
VARIABLE length : NATURAL := (HighBit - LowBit + 1);
BEGIN
-- Address bound checking.
IF ( Address > (MemoryPtr.NoOfWords - 1)) THEN
PrintMemoryMessage (
"ReadMemory",ErrInvaAddr,
"[Address,NoOfWords]=",Address,MemoryPtr.NoOfWords
);
FOR i in LowBit to HighBit LOOP
DataOutTmp(i) := 'X';
END LOOP;
ELSE
FOR i in LowBit to HighBit LOOP
DataOutTmp(i) := MemoryPtr.MemoryArrayPtr (Address).all(i);
END LOOP;
END IF;
DataOut := DataOutTmp;
END ReadMemory;
-- ----------------------------------------------------------------------------
PROCEDURE ReadMemory (
VARIABLE MemoryPtr : INOUT VitalMemoryDataType;
VARIABLE DataOut : OUT std_logic_vector;
CONSTANT Address : IN INTEGER;
CONSTANT BitPosition : IN NATURAL
) IS
VARIABLE HighBit : NATURAL;
VARIABLE LowBit : NATURAL;
BEGIN
HighBit := BitPosition;
LowBit := BitPosition;
ReadMemory (MemoryPtr, DataOut, Address, HighBit, LowBit);
END ReadMemory;
-- ----------------------------------------------------------------------------
PROCEDURE ReadMemory (
VARIABLE MemoryPtr : INOUT VitalMemoryDataType;
VARIABLE DataOut : OUT std_logic_vector;
CONSTANT Address : IN INTEGER
) IS
VARIABLE HighBit : NATURAL;
VARIABLE LowBit : NATURAL;
BEGIN
HighBit := MemoryPtr.NoOfBitsPerWord - 1;
LowBit := 0;
ReadMemory (MemoryPtr, DataOut, Address, HighBit, LowBit);
END ReadMemory;
-- ----------------------------------------------------------------------------
-- Procedure: LoadMemory
-- Parameters: MemoryPtr - Pointer to the memory array.
-- FileName - Name of the output file.
-- HighBit - High bit offset value.
-- LowBit - Low bit offset value.
-- Description: This procedure is used to load the contents of the memory
-- from a specified input file.
-- The high bit and low bit offset are used so that same task
-- can be used for all bit/byte/word write operations.
-- In the case of a bit write RAM the HighBit and LowBit have
-- the same value.
-- This procedure is overloaded for word write operations.
-- ----------------------------------------------------------------------------
PROCEDURE LoadMemory (
VARIABLE MemoryPtr : INOUT VitalMemoryDataType;
CONSTANT FileName : IN STRING;
CONSTANT BinaryFile : IN BOOLEAN := FALSE
) IS
FILE Fptr : TEXT OPEN read_mode IS FileName;
VARIABLE OneLine : LINE;
VARIABLE Ignore : CHARACTER;
VARIABLE Index : NATURAL := 1;
VARIABLE LineNo : NATURAL := 0;
VARIABLE Address : INTEGER := 0;
VARIABLE DataInBus : std_logic_vector(MemoryPtr.NoOfBitsPerWord-1 DOWNTO 0);
VARIABLE AddrStr : STRING(1 TO 80) ;
VARIABLE DataInStr : STRING(1 TO 255) ;
BEGIN
IF (ENDFILE(fptr)) THEN
PrintMemoryMessage (MsgVDM, ErrLdFileEmpty,
"[FileName]="&FileName);
RETURN;
END IF ;
PrintMemoryMessage (
MsgVDM,ErrLdMemInfo, "[FileName]="&FileName
);
WHILE (NOT ENDFILE(fptr)) LOOP
ReadLine(Fptr, OneLine);
LineNo := LineNo + 1 ;
-- First ignoring leading spaces.
WHILE (OneLine'LENGTH /= 0 and IsSpace(OneLine(1))) LOOP
READ (OneLine, Ignore) ; -- Ignoring the space character.
END LOOP ;
-- Note that, by now oneline has been "stripped" of its leading spaces.
IF ( OneLine(1) = '@' ) THEN
READ (OneLine, Ignore); -- Ignore the '@' character and read the string.
-- Now strip off spaces, if any, between '@' and Address string.
WHILE (OneLine'LENGTH /= 0 and IsSpace(OneLine(1))) LOOP
READ (OneLine, Ignore) ; -- Ignoring the space character.
END LOOP ;
-- Now get the string which represents the address into string variable.
Index := 1;
WHILE (OneLine'LENGTH /= 0 AND (NOT(IsSpace(OneLine(1))))) LOOP
READ(OneLine, AddrStr(Index));
Index := Index + 1;
END LOOP ;
AddrStr(Index) := NUL;
-- Now convert the hex string into a hex integer
Address := HexToInt(AddrStr) ;
ELSE
IF ( LineNo /= 1 ) THEN
Address := Address + 1;
END IF;
END IF ;
IF ( Address > (MemoryPtr.NoOfWords - 1) ) THEN
PrintMemoryMessage (MsgVDM, ErrLdAddrRng,
"[Address,lineno]=", Address, LineNo) ;
EXIT ;
END IF;
-- Now strip off spaces, between Address string and DataInBus string.
WHILE (OneLine'LENGTH /= 0 AND IsSpace(OneLine(1))) LOOP
READ (OneLine, Ignore) ; -- Ignoring the space character.
END LOOP ;
Index := 1;
WHILE (OneLine'LENGTH /= 0 AND (NOT(IsSpace(OneLine(1))))) LOOP
READ(OneLine, DataInStr(Index));
Index := Index + 1;
END LOOP ;
DataInStr(Index) := NUL;
IF (BinaryFile) THEN
DataInBus := BinToBitv (DataInStr);
ELSE
DataInBus := HexToBitv (DataInStr);
END IF ;
WriteMemory (MemoryPtr, DataInBus, Address);
END LOOP ;
END LoadMemory;
-- ----------------------------------------------------------------------------
-- Procedure: MemoryMatch
-- Parameters: Symbol - Symbol from memory table
-- TestFlag - Interpreted data or address symbol
-- In2 - input from VitalMemoryTable procedure
-- to memory table
-- In2LastValue - Previous value of input
-- Err - TRUE if symbol is not a valid input symbol
-- ReturnValue - TRUE if match occurred
-- Description: This procedure sets ReturnValue to true if in2 matches
-- symbol (from the memory table). If symbol is an edge
-- value edge is set to true and in2 and in2LastValue are
-- checked against symbol. Err is set to true if symbol
-- is an invalid value for the input portion of the memory
-- table.
-- ----------------------------------------------------------------------------
PROCEDURE MemoryMatch (
CONSTANT Symbol : IN VitalMemorySymbolType;
CONSTANT In2 : IN std_ulogic;
CONSTANT In2LastValue : IN std_ulogic;
VARIABLE Err : OUT BOOLEAN;
VARIABLE ReturnValue : OUT BOOLEAN
) IS
BEGIN
IF (NOT ValidMemoryTableInput(Symbol) ) THEN
PrintMemoryMessage(MsgVMT,ErrUnknSymbol,To_MemoryChar(Symbol));
Err := TRUE;
ReturnValue := FALSE;
ELSE
ReturnValue := MemoryTableMatch(To_X01(In2LastValue), To_X01(In2), Symbol);
Err := FALSE;
END IF;
END;
-- ----------------------------------------------------------------------------
PROCEDURE MemoryMatch (
CONSTANT Symbol : IN VitalMemorySymbolType;
CONSTANT TestFlag : IN VitalMemorySymbolType;
VARIABLE Err : OUT BOOLEAN;
VARIABLE ReturnValue : OUT BOOLEAN
) IS
BEGIN
Err := FALSE;
ReturnValue := FALSE;
CASE Symbol IS
WHEN 'g'|'u'|'i'|'G'|'U'|'I'|'-'|'*'|'S' =>
IF (Symbol = TestFlag) THEN
ReturnValue := TRUE;
ELSE
CASE Symbol IS
WHEN '-' =>
ReturnValue := TRUE;
Err := FALSE;
WHEN '*' =>
IF (TestFlag = 'G' OR
TestFlag = 'U' OR
TestFlag = 'I') THEN
ReturnValue := TRUE;
Err := FALSE;
END IF;
WHEN 'S' =>
IF (TestFlag = 'g' OR
TestFlag = 'u' OR
TestFlag = 'i') THEN
ReturnValue := TRUE;
Err := FALSE;
END IF;
WHEN OTHERS =>
ReturnValue := FALSE;
END CASE;
END IF;
WHEN OTHERS =>
Err := TRUE;
RETURN;
END CASE;
END;
-- ----------------------------------------------------------------------------
-- Procedure: MemoryTableCorruptMask
-- Description: Compute memory and data corruption masks for memory table
-- ----------------------------------------------------------------------------
PROCEDURE MemoryTableCorruptMask (
VARIABLE CorruptMask : OUT std_logic_vector;
CONSTANT Action : IN VitalMemorySymbolType;
CONSTANT EnableIndex : IN INTEGER;
CONSTANT BitsPerWord : IN INTEGER;
CONSTANT BitsPerSubWord : IN INTEGER;
CONSTANT BitsPerEnable : IN INTEGER
) IS
VARIABLE CorruptMaskTmp : std_logic_vector (CorruptMask'RANGE)
:= (OTHERS => '0');
VARIABLE ViolFlAryPosn : INTEGER;
VARIABLE HighBit : INTEGER;
VARIABLE LowBit : INTEGER;
BEGIN
CASE (Action) IS
WHEN 'c'|'l'|'e' =>
-- Corrupt whole word
CorruptMaskTmp := (OTHERS => 'X');
CorruptMask := CorruptMaskTmp;
RETURN;
WHEN 'd'|'C'|'L'|'D'|'E' =>
-- Process corruption below
WHEN OTHERS =>
-- No data or memory corruption
CorruptMaskTmp := (OTHERS => '0');
CorruptMask := CorruptMaskTmp;
RETURN;
END CASE;
IF (Action = 'd') THEN
CorruptMaskTmp := (OTHERS => 'X');
CorruptMask := CorruptMaskTmp;
RETURN;
END IF;
-- Remaining are subword cases 'C', 'L', 'D', 'E'
CorruptMaskTmp := (OTHERS => '0');
LowBit := 0;
HighBit := BitsPerSubWord-1;
SubWordLoop:
FOR i IN 0 TO BitsPerEnable-1 LOOP
IF (i = EnableIndex) THEN
FOR j IN HighBit TO LowBit LOOP
CorruptMaskTmp(j) := 'X';
END LOOP;
END IF;
-- Calculate HighBit and LowBit
LowBit := LowBit + BitsPerSubWord;
IF (LowBit > BitsPerWord) THEN
LowBit := BitsPerWord;
END IF;
HighBit := LowBit + BitsPerSubWord;
IF (HighBit > BitsPerWord) THEN
HighBit := BitsPerWord;
ELSE
HighBit := HighBit - 1;
END IF;
END LOOP;
CorruptMask := CorruptMaskTmp;
RETURN;
END;
-- ----------------------------------------------------------------------------
PROCEDURE MemoryTableCorruptMask (
VARIABLE CorruptMask : OUT std_logic_vector;
CONSTANT Action : IN VitalMemorySymbolType
) IS
VARIABLE CorruptMaskTmp : std_logic_vector (0 TO CorruptMask'LENGTH-1)
:= (OTHERS => '0');
VARIABLE ViolFlAryPosn : INTEGER;
VARIABLE HighBit : INTEGER;
VARIABLE LowBit : INTEGER;
BEGIN
CASE (Action) IS
WHEN 'c'|'l'|'d'|'e'|'C'|'L'|'D'|'E' =>
-- Corrupt whole word
CorruptMaskTmp := (OTHERS => 'X');
CorruptMask := CorruptMaskTmp;
RETURN;
WHEN OTHERS =>
-- No data or memory corruption
CorruptMaskTmp := (OTHERS => '0');
CorruptMask := CorruptMaskTmp;
RETURN;
END CASE;
RETURN;
END;
-- ----------------------------------------------------------------------------
-- Procedure: MemoryTableCorruptMask
-- Description: Compute memory and data corruption masks for violation table
-- ----------------------------------------------------------------------------
PROCEDURE ViolationTableCorruptMask (
VARIABLE CorruptMask : OUT std_logic_vector;
CONSTANT Action : IN VitalMemorySymbolType;
CONSTANT ViolationFlags : IN std_logic_vector;
CONSTANT ViolationFlagsArray : IN std_logic_vector;
CONSTANT ViolationSizesArray : IN VitalMemoryViolFlagSizeType;
CONSTANT ViolationTable : IN VitalMemoryTableType;
CONSTANT TableIndex : IN INTEGER;
CONSTANT BitsPerWord : IN INTEGER;
CONSTANT BitsPerSubWord : IN INTEGER;
CONSTANT BitsPerEnable : IN INTEGER
) IS
VARIABLE CorruptMaskTmp : std_logic_vector (CorruptMask'RANGE)
:= (OTHERS => '0');
VARIABLE ViolMaskTmp : std_logic_vector (CorruptMask'RANGE)
:= (OTHERS => '0');
VARIABLE ViolFlAryPosn : INTEGER;
VARIABLE HighBit : INTEGER;
VARIABLE LowBit : INTEGER;
CONSTANT ViolFlagsSize : INTEGER := ViolationFlags'LENGTH;
CONSTANT ViolFlArySize : INTEGER := ViolationFlagsArray'LENGTH;
CONSTANT TableEntries : INTEGER := ViolationTable'LENGTH(1);
CONSTANT TableWidth : INTEGER := ViolationTable'LENGTH(2);
CONSTANT DatActionNdx : INTEGER := TableWidth - 1;
CONSTANT MemActionNdx : INTEGER := TableWidth - 2;
BEGIN
CASE (Action) IS
WHEN 'c'|'l'|'e' =>
-- Corrupt whole word
CorruptMaskTmp := (OTHERS => 'X');
CorruptMask := CorruptMaskTmp;
RETURN;
WHEN 'd'|'C'|'L'|'D'|'E' =>
-- Process corruption below
WHEN OTHERS =>
-- No data or memory corruption
CorruptMaskTmp := (OTHERS => '0');
CorruptMask := CorruptMaskTmp;
RETURN;
END CASE;
RowLoop: -- Check each element of the ViolationFlags
FOR j IN 0 TO ViolFlagsSize LOOP
IF (j = ViolFlagsSize) THEN
ViolFlAryPosn := 0;
RowLoop2: -- Check relevant elements of the ViolationFlagsArray
FOR k IN 0 TO MemActionNdx - ViolFlagsSize - 1 LOOP
IF (ViolationTable(TableIndex, k + ViolFlagsSize) = 'X') THEN
MaskLoop: -- Set the 'X' bits in the violation mask
FOR m IN INTEGER RANGE 0 TO CorruptMask'LENGTH-1 LOOP
IF (m <= ViolationSizesArray(k)-1) THEN
ViolMaskTmp(m) := ViolMaskTmp(m) XOR
ViolationFlagsArray(ViolFlAryPosn+m);
ELSE
EXIT MaskLoop;
END IF;
END LOOP;
END IF;
ViolFlAryPosn := ViolFlAryPosn + ViolationSizesArray(k);
END LOOP;
ELSE
IF (ViolationTable(TableIndex, j) = 'X') THEN
ViolMaskTmp(0) := ViolMaskTmp(0) XOR ViolationFlags(j);
END IF;
END IF;
END LOOP;
IF (Action = 'd') THEN
CorruptMask := ViolMaskTmp;
RETURN;
END IF;
-- Remaining are subword cases 'C', 'L', 'D', 'E'
CorruptMaskTmp := (OTHERS => '0');
LowBit := 0;
HighBit := BitsPerSubWord-1;
SubWordLoop:
FOR i IN 0 TO BitsPerEnable-1 LOOP
IF (ViolMaskTmp(i) = 'X') THEN
FOR j IN HighBit TO LowBit LOOP
CorruptMaskTmp(j) := 'X';
END LOOP;
END IF;
-- Calculate HighBit and LowBit
LowBit := LowBit + BitsPerSubWord;
IF (LowBit > BitsPerWord) THEN
LowBit := BitsPerWord;
END IF;
HighBit := LowBit + BitsPerSubWord;
IF (HighBit > BitsPerWord) THEN
HighBit := BitsPerWord;
ELSE
HighBit := HighBit - 1;
END IF;
END LOOP;
CorruptMask := CorruptMaskTmp;
RETURN;
END;
-- ----------------------------------------------------------------------------
-- Procedure: MemoryTableLookUp
-- Parameters: MemoryAction - Output memory action to be performed
-- DataAction - Output data action to be performed
-- PrevControls - Previous data in for edge detection
-- PrevEnableBus - Previous enables for edge detection
-- Controls - Agregate of scalar control lines
-- EnableBus - Concatenation of vector control lines
-- EnableIndex - Current slice of vector control lines
-- AddrFlag - Matching symbol from address decoding
-- DataFlag - Matching symbol from data decoding
-- MemoryTable - Input memory action table
-- PortName - Port name string for messages
-- HeaderMsg - Header string for messages
-- MsgOn - Control message output
--
-- Description: This function is used to find the output of the
-- MemoryTable corresponding to a given set of inputs.
--
-- ----------------------------------------------------------------------------
PROCEDURE MemoryTableLookUp (
VARIABLE MemoryAction : OUT VitalMemorySymbolType;
VARIABLE DataAction : OUT VitalMemorySymbolType;
VARIABLE MemoryCorruptMask : OUT std_logic_vector;
VARIABLE DataCorruptMask : OUT std_logic_vector;
CONSTANT PrevControls : IN std_logic_vector;
CONSTANT Controls : IN std_logic_vector;
CONSTANT AddrFlag : IN VitalMemorySymbolType;
CONSTANT DataFlag : IN VitalMemorySymbolType;
CONSTANT MemoryTable : IN VitalMemoryTableType;
CONSTANT PortName : IN STRING := "";
CONSTANT HeaderMsg : IN STRING := "";
CONSTANT MsgOn : IN BOOLEAN := TRUE
) IS
CONSTANT ControlsSize : INTEGER := Controls'LENGTH;
CONSTANT TableEntries : INTEGER := MemoryTable'LENGTH(1);
CONSTANT TableWidth : INTEGER := MemoryTable'LENGTH(2);
CONSTANT DatActionNdx : INTEGER := TableWidth - 1;
CONSTANT MemActionNdx : INTEGER := TableWidth - 2;
CONSTANT DataInBusNdx : INTEGER := TableWidth - 3;
CONSTANT AddressBusNdx : INTEGER := TableWidth - 4;
VARIABLE AddrFlagTable : VitalMemorySymbolType;
VARIABLE Match : BOOLEAN;
VARIABLE Err : BOOLEAN := FALSE;
VARIABLE TableAlias : VitalMemoryTableType(
0 TO TableEntries - 1,
0 TO TableWidth - 1)
:= MemoryTable;
BEGIN
ColLoop: -- Compare each entry in the table
FOR i IN TableAlias'RANGE(1) LOOP
RowLoop: -- Check each element of the Controls
FOR j IN 0 TO ControlsSize LOOP
IF (j = ControlsSize) THEN
-- a match occurred, now check AddrFlag, DataFlag
MemoryMatch(TableAlias(i,AddressBusNdx),AddrFlag,Err,Match);
IF (Match) THEN
MemoryMatch(TableAlias(i,DataInBusNdx),DataFlag,Err,Match);
IF (Match) THEN
MemoryTableCorruptMask (
CorruptMask => MemoryCorruptMask ,
Action => TableAlias(i, MemActionNdx)
);
MemoryTableCorruptMask (
CorruptMask => DataCorruptMask ,
Action => TableAlias(i, DatActionNdx)
);
-- get the return memory and data actions
MemoryAction := TableAlias(i, MemActionNdx);
DataAction := TableAlias(i, DatActionNdx);
-- DEBUG: The lines below report table search
IF (MsgOn) THEN
PrintMemoryMessage(MsgVMT,TableAlias,i,PortName);
END IF;
-- DEBUG: The lines above report table search
RETURN;
END IF;
END IF;
ELSE
-- Match memory table inputs
MemoryMatch ( TableAlias(i,j),
Controls(j), PrevControls(j),
Err, Match);
END IF;
EXIT RowLoop WHEN NOT(Match);
EXIT ColLoop WHEN Err;
END LOOP RowLoop;
END LOOP ColLoop;
-- no match found, return default action
MemoryAction := 's'; -- no change to memory
DataAction := 'S'; -- no change to dataout
IF (MsgOn) THEN
PrintMemoryMessage(MsgVMT,ErrDefMemAct,HeaderMsg,PortName);
END IF;
RETURN;
END;
-- ----------------------------------------------------------------------------
PROCEDURE MemoryTableLookUp (
VARIABLE MemoryAction : OUT VitalMemorySymbolType;
VARIABLE DataAction : OUT VitalMemorySymbolType;
VARIABLE MemoryCorruptMask : OUT std_logic_vector;
VARIABLE DataCorruptMask : OUT std_logic_vector;
CONSTANT PrevControls : IN std_logic_vector;
CONSTANT PrevEnableBus : IN std_logic_vector;
CONSTANT Controls : IN std_logic_vector;
CONSTANT EnableBus : IN std_logic_vector;
CONSTANT EnableIndex : IN INTEGER;
CONSTANT BitsPerWord : IN INTEGER;
CONSTANT BitsPerSubWord : IN INTEGER;
CONSTANT BitsPerEnable : IN INTEGER;
CONSTANT AddrFlag : IN VitalMemorySymbolType;
CONSTANT DataFlag : IN VitalMemorySymbolType;
CONSTANT MemoryTable : IN VitalMemoryTableType;
CONSTANT PortName : IN STRING := "";
CONSTANT HeaderMsg : IN STRING := "";
CONSTANT MsgOn : IN BOOLEAN := TRUE
) IS
CONSTANT ControlsSize : INTEGER := Controls'LENGTH;
CONSTANT TableEntries : INTEGER := MemoryTable'LENGTH(1);
CONSTANT TableWidth : INTEGER := MemoryTable'LENGTH(2);
CONSTANT DatActionNdx : INTEGER := TableWidth - 1;
CONSTANT MemActionNdx : INTEGER := TableWidth - 2;
CONSTANT DataInBusNdx : INTEGER := TableWidth - 3;
CONSTANT AddressBusNdx : INTEGER := TableWidth - 4;
VARIABLE AddrFlagTable : VitalMemorySymbolType;
VARIABLE Match : BOOLEAN;
VARIABLE Err : BOOLEAN := FALSE;
VARIABLE TableAlias : VitalMemoryTableType(
0 TO TableEntries - 1,
0 TO TableWidth - 1)
:= MemoryTable;
BEGIN
ColLoop: -- Compare each entry in the table
FOR i IN TableAlias'RANGE(1) LOOP
RowLoop: -- Check each element of the Controls
FOR j IN 0 TO ControlsSize LOOP
IF (j = ControlsSize) THEN
-- a match occurred, now check EnableBus, AddrFlag, DataFlag
IF (EnableIndex >= 0) THEN
RowLoop2: -- Check relevant elements of the EnableBus
FOR k IN 0 TO AddressBusNdx - ControlsSize - 1 LOOP
MemoryMatch ( TableAlias(i,k + ControlsSize),
EnableBus(k * BitsPerEnable + EnableIndex),
PrevEnableBus(k * BitsPerEnable + EnableIndex),
Err, Match);
EXIT RowLoop2 WHEN NOT(Match);
END LOOP;
END IF;
IF (Match) THEN
MemoryMatch(TableAlias(i,AddressBusNdx),AddrFlag,Err,Match);
IF (Match) THEN
MemoryMatch(TableAlias(i,DataInBusNdx),DataFlag,Err,Match);
IF (Match) THEN
MemoryTableCorruptMask (
CorruptMask => MemoryCorruptMask ,
Action => TableAlias(i, MemActionNdx),
EnableIndex => EnableIndex ,
BitsPerWord => BitsPerWord ,
BitsPerSubWord => BitsPerSubWord ,
BitsPerEnable => BitsPerEnable
);
MemoryTableCorruptMask (
CorruptMask => DataCorruptMask ,
Action => TableAlias(i, DatActionNdx),
EnableIndex => EnableIndex ,
BitsPerWord => BitsPerWord ,
BitsPerSubWord => BitsPerSubWord ,
BitsPerEnable => BitsPerEnable
);
-- get the return memory and data actions
MemoryAction := TableAlias(i, MemActionNdx);
DataAction := TableAlias(i, DatActionNdx);
-- DEBUG: The lines below report table search
IF (MsgOn) THEN
PrintMemoryMessage(MsgVMT,TableAlias,i,PortName);
END IF;
-- DEBUG: The lines above report table search
RETURN;
END IF;
END IF;
END IF;
ELSE
-- Match memory table inputs
MemoryMatch ( TableAlias(i,j),
Controls(j), PrevControls(j),
Err, Match);
END IF;
EXIT RowLoop WHEN NOT(Match);
EXIT ColLoop WHEN Err;
END LOOP RowLoop;
END LOOP ColLoop;
-- no match found, return default action
MemoryAction := 's'; -- no change to memory
DataAction := 'S'; -- no change to dataout
IF (MsgOn) THEN
PrintMemoryMessage(MsgVMT,ErrDefMemAct,HeaderMsg,PortName);
END IF;
RETURN;
END;
-- ----------------------------------------------------------------------------
-- Procedure: ViolationTableLookUp
-- Parameters: MemoryAction - Output memory action to be performed
-- DataAction - Output data action to be performed
-- TimingDataArray - This is currently not used (comment out)
-- ViolationArray - Aggregation of violation variables
-- ViolationTable - Input memory violation table
-- PortName - Port name string for messages
-- HeaderMsg - Header string for messages
-- MsgOn - Control message output
-- Description: This function is used to find the output of the
-- ViolationTable corresponding to a given set of inputs.
-- ----------------------------------------------------------------------------
PROCEDURE ViolationTableLookUp (
VARIABLE MemoryAction : OUT VitalMemorySymbolType;
VARIABLE DataAction : OUT VitalMemorySymbolType;
VARIABLE MemoryCorruptMask : OUT std_logic_vector;
VARIABLE DataCorruptMask : OUT std_logic_vector;
CONSTANT ViolationFlags : IN std_logic_vector;
CONSTANT ViolationFlagsArray : IN std_logic_vector;
CONSTANT ViolationSizesArray : IN VitalMemoryViolFlagSizeType;
CONSTANT ViolationTable : IN VitalMemoryTableType;
CONSTANT BitsPerWord : IN INTEGER;
CONSTANT BitsPerSubWord : IN INTEGER;
CONSTANT BitsPerEnable : IN INTEGER;
CONSTANT PortName : IN STRING := "";
CONSTANT HeaderMsg : IN STRING := "";
CONSTANT MsgOn : IN BOOLEAN := TRUE
) IS
CONSTANT ViolFlagsSize : INTEGER := ViolationFlags'LENGTH;
CONSTANT ViolFlArySize : INTEGER := ViolationFlagsArray'LENGTH;
VARIABLE ViolFlAryPosn : INTEGER;
VARIABLE ViolFlAryItem : std_ulogic;
CONSTANT ViolSzArySize : INTEGER := ViolationSizesArray'LENGTH;
CONSTANT TableEntries : INTEGER := ViolationTable'LENGTH(1);
CONSTANT TableWidth : INTEGER := ViolationTable'LENGTH(2);
CONSTANT DatActionNdx : INTEGER := TableWidth - 1;
CONSTANT MemActionNdx : INTEGER := TableWidth - 2;
VARIABLE HighBit : NATURAL := 0;
VARIABLE LowBit : NATURAL := 0;
VARIABLE Match : BOOLEAN;
VARIABLE Err : BOOLEAN := FALSE;
VARIABLE TableAlias : VitalMemoryTableType(
0 TO TableEntries - 1,
0 TO TableWidth - 1)
:= ViolationTable;
BEGIN
ColLoop: -- Compare each entry in the table
FOR i IN TableAlias'RANGE(1) LOOP
RowLoop: -- Check each element of the ViolationFlags
FOR j IN 0 TO ViolFlagsSize LOOP
IF (j = ViolFlagsSize) THEN
ViolFlAryPosn := 0;
RowLoop2: -- Check relevant elements of the ViolationFlagsArray
FOR k IN 0 TO MemActionNdx - ViolFlagsSize - 1 LOOP
ViolFlAryItem := '0';
SubwordLoop: -- Check for 'X' in ViolationFlagsArray chunk
FOR s IN ViolFlAryPosn TO ViolFlAryPosn+ViolationSizesArray(k)-1 LOOP
IF (ViolationFlagsArray(s) = 'X') THEN
ViolFlAryItem := 'X';
EXIT SubwordLoop;
END IF;
END LOOP;
MemoryMatch ( TableAlias(i,k + ViolFlagsSize),
ViolFlAryItem,ViolFlAryItem,
Err, Match);
ViolFlAryPosn := ViolFlAryPosn + ViolationSizesArray(k);
EXIT RowLoop2 WHEN NOT(Match);
END LOOP;
IF (Match) THEN
-- Compute memory and data corruption masks
ViolationTableCorruptMask(
CorruptMask => MemoryCorruptMask ,
Action => TableAlias(i, MemActionNdx),
ViolationFlags => ViolationFlags ,
ViolationFlagsArray => ViolationFlagsArray ,
ViolationSizesArray => ViolationSizesArray ,
ViolationTable => ViolationTable ,
TableIndex => i ,
BitsPerWord => BitsPerWord ,
BitsPerSubWord => BitsPerSubWord ,
BitsPerEnable => BitsPerEnable
);
ViolationTableCorruptMask(
CorruptMask => DataCorruptMask ,
Action => TableAlias(i, DatActionNdx),
ViolationFlags => ViolationFlags ,
ViolationFlagsArray => ViolationFlagsArray ,
ViolationSizesArray => ViolationSizesArray ,
ViolationTable => ViolationTable ,
TableIndex => i ,
BitsPerWord => BitsPerWord ,
BitsPerSubWord => BitsPerSubWord ,
BitsPerEnable => BitsPerEnable
);
-- get the return memory and data actions
MemoryAction := TableAlias(i, MemActionNdx);
DataAction := TableAlias(i, DatActionNdx);
-- DEBUG: The lines below report table search
IF (MsgOn) THEN
PrintMemoryMessage(MsgVMV,TableAlias,i,PortName);
END IF;
-- DEBUG: The lines above report table search
RETURN;
END IF;
ELSE
-- Match violation table inputs
Err := FALSE;
Match := FALSE;
IF (TableAlias(i,j) /= 'X' AND
TableAlias(i,j) /= '0' AND
TableAlias(i,j) /= '-') THEN
Err := TRUE;
ELSIF (TableAlias(i,j) = '-' OR
(TableAlias(i,j) = 'X' AND ViolationFlags(j) = 'X') OR
(TableAlias(i,j) = '0' AND ViolationFlags(j) = '0')) THEN
Match := TRUE;
END IF;
END IF;
EXIT RowLoop WHEN NOT(Match);
EXIT ColLoop WHEN Err;
END LOOP RowLoop;
END LOOP ColLoop;
-- no match found, return default action
MemoryAction := 's'; -- no change to memory
DataAction := 'S'; -- no change to dataout
IF (MsgOn) THEN
PrintMemoryMessage(MsgVMV,ErrDefMemAct,HeaderMsg,PortName);
END IF;
RETURN;
END;
-- ----------------------------------------------------------------------------
-- Procedure: HandleMemoryAction
-- Parameters: MemoryData - Pointer to memory data structure
-- PortFlag - Indicates read/write mode of port
-- CorruptMask - XOR'ed with DataInBus when corrupting
-- DataInBus - Current data bus in
-- Address - Current address integer
-- HighBit - Current address high bit
-- LowBit - Current address low bit
-- MemoryTable - Input memory action table
-- MemoryAction - Memory action to be performed
-- PortName - Port name string for messages
-- HeaderMsg - Header string for messages
-- MsgOn - Control message output
-- Description: This procedure performs the specified memory action on
-- the input memory data structure.
-- ----------------------------------------------------------------------------
PROCEDURE HandleMemoryAction (
VARIABLE MemoryData : INOUT VitalMemoryDataType;
VARIABLE PortFlag : INOUT VitalPortFlagType;
CONSTANT CorruptMask : IN std_logic_vector;
CONSTANT DataInBus : IN std_logic_vector;
CONSTANT Address : IN INTEGER;
CONSTANT HighBit : IN NATURAL;
CONSTANT LowBit : IN NATURAL;
CONSTANT MemoryTable : IN VitalMemoryTableType;
CONSTANT MemoryAction : IN VitalMemorySymbolType;
CONSTANT CallerName : IN STRING;
CONSTANT PortName : IN STRING := "";
CONSTANT HeaderMsg : IN STRING := "";
CONSTANT MsgOn : IN BOOLEAN := TRUE
) IS
VARIABLE DataInTmp : std_logic_vector(DataInBus'RANGE)
:= DataInBus;
BEGIN
-- Handle the memory action
CASE MemoryAction IS
WHEN 'w' =>
-- Writing data to memory
IF (MsgOn) THEN
PrintMemoryMessage(CallerName,ErrWrDatMem,HeaderMsg,PortName);
END IF;
WriteMemory(MemoryData,DataInBus,Address,HighBit,LowBit);
PortFlag.MemoryCurrent := WRITE;
WHEN 's' =>
-- Retaining previous memory contents
IF (MsgOn) THEN
PrintMemoryMessage(CallerName,ErrNoChgMem,HeaderMsg,PortName);
END IF;
-- Set memory current to quiet state
PortFlag.MemoryCurrent := READ;
WHEN 'c' =>
-- Corrupting entire memory with 'X'
IF (MsgOn) THEN
PrintMemoryMessage(CallerName,ErrCrAllMem,HeaderMsg,PortName);
END IF;
DataInTmp := (OTHERS => 'X');
-- No need to CorruptMask
FOR i IN 0 TO MemoryData.NoOfWords-1 LOOP
WriteMemory(MemoryData,DataInTmp,i);
END LOOP;
PortFlag.MemoryCurrent := CORRUPT;
WHEN 'l' =>
-- Corrupting a word in memory with 'X'
IF (MsgOn) THEN
PrintMemoryMessage(CallerName,ErrCrWrdMem,HeaderMsg,PortName);
END IF;
DataInTmp := (OTHERS => 'X');
-- No need to CorruptMask
WriteMemory(MemoryData,DataInTmp,Address);
PortFlag.MemoryCurrent := CORRUPT;
WHEN 'd' =>
-- Corrupting a single bit in memory with 'X'
IF (MsgOn) THEN
PrintMemoryMessage(CallerName,ErrCrBitMem,HeaderMsg,PortName);
END IF;
ReadMemory(MemoryData,DataInTmp,Address);
DataInTmp := DataInTmp XOR CorruptMask;
WriteMemory(MemoryData,DataInTmp,Address,HighBit,LowBit);
PortFlag.MemoryCurrent := CORRUPT;
WHEN 'e' =>
-- Corrupting a word with 'X' based on data in
IF (MsgOn) THEN
PrintMemoryMessage(CallerName,ErrCrDatMem,HeaderMsg,PortName);
END IF;
ReadMemory(MemoryData,DataInTmp,Address);
IF (DataInTmp /= DataInBus) THEN
DataInTmp := (OTHERS => 'X');
-- No need to CorruptMask
WriteMemory(MemoryData,DataInTmp,Address);
END IF;
PortFlag.MemoryCurrent := CORRUPT;
WHEN 'C' =>
-- Corrupting a sub-word entire memory with 'X'
IF (MsgOn) THEN
PrintMemoryMessage(CallerName,ErrCrAllSubMem,HeaderMsg,PortName);
END IF;
FOR i IN 0 TO MemoryData.NoOfWords-1 LOOP
ReadMemory(MemoryData,DataInTmp,i);
DataInTmp := DataInTmp XOR CorruptMask;
WriteMemory(MemoryData,DataInTmp,i,HighBit,LowBit);
END LOOP;
PortFlag.MemoryCurrent := CORRUPT;
WHEN 'L' =>
-- Corrupting a sub-word in memory with 'X'
IF (MsgOn) THEN
PrintMemoryMessage(CallerName,ErrCrWrdSubMem,HeaderMsg,PortName);
END IF;
ReadMemory(MemoryData,DataInTmp,Address);
DataInTmp := DataInTmp XOR CorruptMask;
WriteMemory(MemoryData,DataInTmp,Address,HighBit,LowBit);
PortFlag.MemoryCurrent := CORRUPT;
WHEN 'D' =>
-- Corrupting a single bit of a memory sub-word with 'X'
IF (MsgOn) THEN
PrintMemoryMessage(CallerName,ErrCrBitSubMem,HeaderMsg,PortName);
END IF;
ReadMemory(MemoryData,DataInTmp,Address);
DataInTmp := DataInTmp XOR CorruptMask;
WriteMemory(MemoryData,DataInTmp,Address,HighBit,LowBit);
PortFlag.MemoryCurrent := CORRUPT;
WHEN 'E' =>
-- Corrupting a sub-word with 'X' based on data in
IF (MsgOn) THEN
PrintMemoryMessage(CallerName,ErrCrDatSubMem,HeaderMsg,PortName);
END IF;
ReadMemory(MemoryData,DataInTmp,Address);
IF (DataInBus(HighBit DOWNTO LowBit) /=
DataInTmp(HighBit DOWNTO LowBit)) THEN
DataInTmp(HighBit DOWNTO LowBit) := (OTHERS => 'X');
WriteMemory(MemoryData,DataInTmp,Address,HighBit,LowBit);
END IF;
--PortFlag := WRITE;
PortFlag.MemoryCurrent := CORRUPT;
WHEN '0' =>
-- Assigning low level to memory location
IF (MsgOn) THEN
PrintMemoryMessage(CallerName,ErrAsg0Mem,HeaderMsg,PortName);
END IF;
DataInTmp := (OTHERS => '0');
WriteMemory(MemoryData,DataInTmp,Address, HighBit, LowBit);
PortFlag.MemoryCurrent := WRITE;
WHEN '1' =>
-- Assigning high level to memory location
IF (MsgOn) THEN
PrintMemoryMessage(CallerName,ErrAsg1Mem,HeaderMsg,PortName);
END IF;
DataInTmp := (OTHERS => '1');
WriteMemory(MemoryData,DataInTmp,Address, HighBit, LowBit);
PortFlag.MemoryCurrent := WRITE;
WHEN 'Z' =>
-- Assigning high impedence to memory location
IF (MsgOn) THEN
PrintMemoryMessage(CallerName,ErrAsgZMem,HeaderMsg,PortName);
END IF;
DataInTmp := (OTHERS => 'Z');
WriteMemory(MemoryData,DataInTmp,Address, HighBit, LowBit);
PortFlag.MemoryCurrent := WRITE;
WHEN OTHERS =>
-- Unknown memory action
PortFlag.MemoryCurrent := UNDEF;
IF (MsgOn) THEN
PrintMemoryMessage(CallerName,ErrUnknMemDo,HeaderMsg,PortName);
END IF;
END CASE;
-- Note: HandleMemoryAction does not change the PortFlag.OutputDisable
END;
-- ----------------------------------------------------------------------------
-- Procedure: HandleDataAction
-- Parameters: DataOutBus - Output result of the data action
-- MemoryData - Input pointer to memory data structure
-- PortFlag - Indicates read/write mode of port
-- CorruptMask - XOR'ed with DataInBus when corrupting
-- DataInBus - Current data bus in
-- Address - Current address integer
-- HighBit - Current address high bit
-- LowBit - Current address low bit
-- MemoryTable - Input memory action table
-- DataAction - Data action to be performed
-- PortName - Port name string for messages
-- HeaderMsg - Header string for messages
-- MsgOn - Control message output
-- Description: This procedure performs the specified data action based
-- on the input memory data structure. Checks whether
-- the previous state is HighZ. If yes then portFlag
-- should be NOCHANGE for VMPD to ignore IORetain
-- corruption. The idea is that the first Z should be
-- propagated but later ones should be ignored.
-- ----------------------------------------------------------------------------
PROCEDURE HandleDataAction (
VARIABLE DataOutBus : INOUT std_logic_vector;
VARIABLE MemoryData : INOUT VitalMemoryDataType;
VARIABLE PortFlag : INOUT VitalPortFlagType;
CONSTANT CorruptMask : IN std_logic_vector;
CONSTANT DataInBus : IN std_logic_vector;
CONSTANT Address : IN INTEGER;
CONSTANT HighBit : IN NATURAL;
CONSTANT LowBit : IN NATURAL;
CONSTANT MemoryTable : IN VitalMemoryTableType;
CONSTANT DataAction : IN VitalMemorySymbolType;
CONSTANT CallerName : IN STRING;
CONSTANT PortName : IN STRING := "";
CONSTANT HeaderMsg : IN STRING := "";
CONSTANT MsgOn : IN BOOLEAN := TRUE
) IS
VARIABLE DataOutTmp : std_logic_vector(DataOutBus'RANGE)
:= DataOutBus;
BEGIN
-- Handle the data action
CASE DataAction IS
WHEN 'l' =>
-- Corrupting data out with 'X'
IF (MsgOn) THEN
PrintMemoryMessage(CallerName,ErrCrWrdOut,HeaderMsg,PortName);
END IF;
DataOutTmp := (OTHERS => 'X');
-- No need to CorruptMask
PortFlag.DataCurrent := CORRUPT;
WHEN 'd' =>
-- Corrupting a single bit of data out with 'X'
IF (MsgOn) THEN
PrintMemoryMessage(CallerName,ErrCrBitOut,HeaderMsg,PortName);
END IF;
DataOutTmp(HighBit DOWNTO LowBit) :=
DataOutTmp(HighBit DOWNTO LowBit) XOR
CorruptMask(HighBit DOWNTO LowBit);
PortFlag.DataCurrent := CORRUPT;
WHEN 'e' =>
-- Corrupting data out with 'X' based on data in
IF (MsgOn) THEN
PrintMemoryMessage(CallerName,ErrCrDatOut,HeaderMsg,PortName);
END IF;
ReadMemory(MemoryData,DataOutTmp,Address);
IF (DataOutTmp /= DataInBus) THEN
DataOutTmp := (OTHERS => 'X');
-- No need to CorruptMask
END IF;
PortFlag.DataCurrent := CORRUPT;
WHEN 'L' =>
-- Corrupting data out sub-word with 'X'
IF (MsgOn) THEN
PrintMemoryMessage(CallerName,ErrCrWrdSubOut,HeaderMsg,PortName);
END IF;
ReadMemory(MemoryData,DataOutTmp,Address);
DataOutTmp(HighBit DOWNTO LowBit) :=
DataOutTmp(HighBit DOWNTO LowBit) XOR
CorruptMask(HighBit DOWNTO LowBit);
PortFlag.DataCurrent := CORRUPT;
WHEN 'D' =>
-- Corrupting a single bit of data out sub-word with 'X'
IF (MsgOn) THEN
PrintMemoryMessage(CallerName,ErrCrBitSubOut,HeaderMsg,PortName);
END IF;
DataOutTmp(HighBit DOWNTO LowBit) :=
DataOutTmp(HighBit DOWNTO LowBit) XOR
CorruptMask(HighBit DOWNTO LowBit);
PortFlag.DataCurrent := CORRUPT;
WHEN 'E' =>
-- Corrupting data out sub-word with 'X' based on data in
IF (MsgOn) THEN
PrintMemoryMessage(CallerName,ErrCrDatSubOut,HeaderMsg,PortName);
END IF;
ReadMemory(MemoryData,DataOutTmp,Address);
IF (DataInBus(HighBit DOWNTO LowBit) /=
DataOutTmp(HighBit DOWNTO LowBit)) THEN
DataOutTmp(HighBit DOWNTO LowBit) := (OTHERS => 'X');
-- No need to CorruptMask
END IF;
PortFlag.DataCurrent := CORRUPT;
WHEN 'M' =>
-- Implicit read from memory to data out
IF (MsgOn) THEN
PrintMemoryMessage(CallerName,ErrImplOut,HeaderMsg,PortName);
END IF;
PortFlag.DataCurrent := READ;
WHEN 'm' =>
-- Reading data from memory to data out
IF (MsgOn) THEN
PrintMemoryMessage(CallerName,ErrReadOut,HeaderMsg,PortName);
END IF;
ReadMemory(MemoryData,DataOutTmp,Address);
PortFlag.DataCurrent := READ;
WHEN 't' =>
-- Transferring from data in to data out
IF (MsgOn) THEN
PrintMemoryMessage(CallerName,ErrAssgOut,HeaderMsg,PortName);
END IF;
DataOutTmp := DataInBus;
PortFlag.DataCurrent := READ;
WHEN '0' =>
-- Assigning low level to data out
IF (MsgOn) THEN
PrintMemoryMessage(CallerName,ErrAsg0Out,HeaderMsg,PortName);
END IF;
DataOutTmp := (OTHERS => '0');
PortFlag.DataCurrent := READ;
WHEN '1' =>
-- Assigning high level to data out
IF (MsgOn) THEN
PrintMemoryMessage(CallerName,ErrAsg1Out,HeaderMsg,PortName);
END IF;
DataOutTmp := (OTHERS => '1');
PortFlag.DataCurrent := READ;
WHEN 'Z' =>
-- Assigning high impedence to data out
IF (MsgOn) THEN
PrintMemoryMessage(CallerName,ErrAsgZOut,HeaderMsg,PortName);
END IF;
DataOutTmp := (OTHERS => 'Z');
PortFlag.DataCurrent := HIGHZ;
WHEN 'S' =>
-- Keeping data out at steady value
PortFlag.OutputDisable := TRUE;
IF (MsgOn) THEN
PrintMemoryMessage(CallerName,ErrAsgSOut,HeaderMsg,PortName);
END IF;
WHEN OTHERS =>
-- Unknown data action
PortFlag.DataCurrent := UNDEF;
IF (MsgOn) THEN
PrintMemoryMessage(CallerName,ErrUnknDatDo,HeaderMsg,PortName);
END IF;
END CASE;
DataOutBus(HighBit DOWNTO LowBit) := DataOutTmp(HighBit DOWNTO LowBit);
END;
-- ----------------------------------------------------------------------------
-- Memory Table Modeling Primitives
-- ----------------------------------------------------------------------------
-- ----------------------------------------------------------------------------
-- Procedure: VitalDeclareMemory
-- Parameters: NoOfWords - Number of words in the memory
-- NoOfBitsPerWord - Number of bits per word in memory
-- NoOfBitsPerSubWord - Number of bits per sub word
-- MemoryLoadFile - Name of data file to load
-- Description: This function is intended to be used to initialize
-- memory data declarations, i.e. to be executed duing
-- simulation elaboration time. Handles the allocation
-- and initialization of memory for the memory data.
-- Default NoOfBitsPerSubWord is NoOfBitsPerWord.
-- ----------------------------------------------------------------------------
IMPURE FUNCTION VitalDeclareMemory (
CONSTANT NoOfWords : IN POSITIVE;
CONSTANT NoOfBitsPerWord : IN POSITIVE;
CONSTANT MemoryLoadFile : IN string := "";
CONSTANT BinaryLoadFile : IN BOOLEAN := FALSE
) RETURN VitalMemoryDataType IS
VARIABLE MemoryPtr : VitalMemoryDataType;
BEGIN
MemoryPtr := VitalDeclareMemory(
NoOfWords => NoOfWords,
NoOfBitsPerWord => NoOfBitsPerWord,
NoOfBitsPerSubWord => NoOfBitsPerWord,
MemoryLoadFile => MemoryLoadFile,
BinaryLoadFile => BinaryLoadFile
);
RETURN MemoryPtr;
END;
-- ----------------------------------------------------------------------------
IMPURE FUNCTION VitalDeclareMemory (
CONSTANT NoOfWords : IN POSITIVE;
CONSTANT NoOfBitsPerWord : IN POSITIVE;
CONSTANT NoOfBitsPerSubWord : IN POSITIVE;
CONSTANT MemoryLoadFile : IN string := "";
CONSTANT BinaryLoadFile : IN BOOLEAN := FALSE
) RETURN VitalMemoryDataType IS
VARIABLE MemoryPtr : VitalMemoryDataType;
VARIABLE BitsPerEnable : NATURAL
:= ((NoOfBitsPerWord-1)
/NoOfBitsPerSubWord)+1;
BEGIN
PrintMemoryMessage(MsgVDM,ErrInitMem);
MemoryPtr := new VitalMemoryArrayRecType '(
NoOfWords => NoOfWords,
NoOfBitsPerWord => NoOfBitsPerWord,
NoOfBitsPerSubWord => NoOfBitsPerSubWord,
NoOfBitsPerEnable => BitsPerEnable,
MemoryArrayPtr => NULL
);
MemoryPtr.MemoryArrayPtr
:= new MemoryArrayType (0 to MemoryPtr.NoOfWords - 1);
FOR i IN 0 TO MemoryPtr.NoOfWords - 1 LOOP
MemoryPtr.MemoryArrayPtr(i)
:= new MemoryWordType (MemoryPtr.NoOfBitsPerWord - 1 DOWNTO 0);
END LOOP;
IF (MemoryLoadFile /= "") THEN
LoadMemory (MemoryPtr, MemoryLoadFile, BinaryLoadFile);
END IF;
RETURN MemoryPtr;
END;
-- ----------------------------------------------------------------------------
-- Procedure: VitalMemoryTable
-- Parameters: DataOutBus - Output candidate zero delay data bus out
-- MemoryData - Pointer to memory data structure
-- PrevControls - Previous data in for edge detection
-- PrevEnableBus - Previous enables for edge detection
-- PrevDataInBus - Previous data bus for edge detection
-- PrevAddressBus - Previous address bus for edge detection
-- PortFlag - Indicates port operating mode
-- PortFlagArray - Vector form of PortFlag for sub-word
-- Controls - Agregate of scalar control lines
-- EnableBus - Concatenation of vector control lines
-- DataInBus - Input value of data bus in
-- AddressBus - Input value of address bus in
-- AddressValue - Decoded value of the AddressBus
-- MemoryTable - Input memory action table
-- PortType - The type of port (currently not used)
-- PortName - Port name string for messages
-- HeaderMsg - Header string for messages
-- MsgOn - Control the generation of messages
-- MsgSeverity - Control level of message generation
-- Description: This procedure implements the majority of the memory
-- modeling functionality via lookup of the memory action
-- tables and performing the specified actions if matches
-- are found, or the default actions otherwise. The
-- overloadings are provided for the word and sub-word
-- (using the EnableBus and PortFlagArray arguments) addressing
-- cases.
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemoryTable (
VARIABLE DataOutBus : INOUT std_logic_vector;
VARIABLE MemoryData : INOUT VitalMemoryDataType;
VARIABLE PrevControls : INOUT std_logic_vector;
VARIABLE PrevDataInBus : INOUT std_logic_vector;
VARIABLE PrevAddressBus : INOUT std_logic_vector;
VARIABLE PortFlag : INOUT VitalPortFlagVectorType;
CONSTANT Controls : IN std_logic_vector;
CONSTANT DataInBus : IN std_logic_vector;
CONSTANT AddressBus : IN std_logic_vector;
VARIABLE AddressValue : INOUT VitalAddressValueType;
CONSTANT MemoryTable : IN VitalMemoryTableType;
CONSTANT PortType : IN VitalPortType := UNDEF;
CONSTANT PortName : IN STRING := "";
CONSTANT HeaderMsg : IN STRING := "";
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING
) IS
VARIABLE DataOutTmp : std_logic_vector(DataOutBus'RANGE)
:= DataOutBus;
VARIABLE MemoryAction : VitalMemorySymbolType;
VARIABLE DataAction : VitalMemorySymbolType;
VARIABLE HighBit : NATURAL := MemoryData.NoOfBitsPerWord-1;
VARIABLE LowBit : NATURAL := 0;
VARIABLE Address : INTEGER := 0;
VARIABLE PortFlagTmp : VitalPortFlagType;
VARIABLE AddrFlag : VitalMemorySymbolType := 'g'; -- good addr
VARIABLE DataFlag : VitalMemorySymbolType := 'g'; -- good data
VARIABLE MemCorruptMask : std_logic_vector (DataOutBus'RANGE);
VARIABLE DatCorruptMask : std_logic_vector (DataOutBus'RANGE);
BEGIN
-- Optimize for case when all current inputs are same as previous
IF (PrevDataInBus = DataInBus
AND PrevAddressBus = AddressBus
AND PrevControls = Controls
AND PortFlag(0).MemoryCurrent = PortFlag(0).MemoryPrevious
AND PortFlag(0).DataCurrent = PortFlag(0).DataPrevious) THEN
PortFlag(0).OutputDisable := TRUE;
RETURN;
END IF;
PortFlag(0).DataPrevious := PortFlag(0).DataCurrent;
PortFlag(0).MemoryPrevious := PortFlag(0).MemoryCurrent;
PortFlag(0).OutputDisable := FALSE;
PortFlagTmp := PortFlag(0);
-- Convert address bus to integer value and table lookup flag
DecodeAddress(
Address => Address ,
AddrFlag => AddrFlag ,
MemoryData => MemoryData ,
PrevAddressBus => PrevAddressBus ,
AddressBus => AddressBus
);
-- Interpret data bus as a table lookup flag
DecodeData (
DataFlag => DataFlag ,
PrevDataInBus => PrevDataInBus ,
DataInBus => DataInBus ,
HighBit => HighBit ,
LowBit => LowBit
);
-- Lookup memory and data actions
MemoryTableLookUp(
MemoryAction => MemoryAction ,
DataAction => DataAction ,
MemoryCorruptMask => MemCorruptMask ,
DataCorruptMask => DatCorruptMask ,
PrevControls => PrevControls ,
Controls => Controls ,
AddrFlag => AddrFlag ,
DataFlag => DataFlag ,
MemoryTable => MemoryTable ,
PortName => PortName ,
HeaderMsg => HeaderMsg ,
MsgOn => MsgOn
);
-- Handle data action before memory action
-- This allows reading previous memory contents
HandleDataAction(
DataOutBus => DataOutTmp ,
MemoryData => MemoryData ,
PortFlag => PortFlagTmp ,
CorruptMask => DatCorruptMask ,
DataInBus => DataInBus ,
Address => Address ,
HighBit => HighBit ,
LowBit => LowBit ,
MemoryTable => MemoryTable ,
DataAction => DataAction ,
CallerName => MsgVMT ,
PortName => PortName ,
HeaderMsg => HeaderMsg ,
MsgOn => MsgOn
);
HandleMemoryAction(
MemoryData => MemoryData ,
PortFlag => PortFlagTmp ,
CorruptMask => MemCorruptMask ,
DataInBus => DataInBus ,
Address => Address ,
HighBit => HighBit ,
LowBit => LowBit ,
MemoryTable => MemoryTable ,
MemoryAction => MemoryAction ,
CallerName => MsgVMT ,
PortName => PortName ,
HeaderMsg => HeaderMsg ,
MsgOn => MsgOn
);
-- Set the output PortFlag(0) value
IF (DataAction = 'S') THEN
PortFlagTmp.OutputDisable := TRUE;
END IF;
IF (PortFlagTmp.DataCurrent = PortFlagTmp.DataPrevious
AND PortFlagTmp.DataCurrent = HIGHZ) THEN
PortFlagTmp.OutputDisable := TRUE;
END IF;
PortFlag(0) := PortFlagTmp;
-- Set previous values for subsequent edge detection
PrevControls := Controls;
PrevDataInBus := DataInBus;
PrevAddressBus := AddressBus;
-- Set the candidate zero delay return value
DataOutBus := DataOutTmp;
-- Set the output AddressValue for VitalMemoryCrossPorts
AddressValue := Address;
END VitalMemoryTable;
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemoryTable (
VARIABLE DataOutBus : INOUT std_logic_vector;
VARIABLE MemoryData : INOUT VitalMemoryDataType;
VARIABLE PrevControls : INOUT std_logic_vector;
VARIABLE PrevEnableBus : INOUT std_logic_vector;
VARIABLE PrevDataInBus : INOUT std_logic_vector;
VARIABLE PrevAddressBus : INOUT std_logic_vector;
VARIABLE PortFlagArray : INOUT VitalPortFlagVectorType;
CONSTANT Controls : IN std_logic_vector;
CONSTANT EnableBus : IN std_logic_vector;
CONSTANT DataInBus : IN std_logic_vector;
CONSTANT AddressBus : IN std_logic_vector;
VARIABLE AddressValue : INOUT VitalAddressValueType;
CONSTANT MemoryTable : IN VitalMemoryTableType;
CONSTANT PortType : IN VitalPortType := UNDEF;
CONSTANT PortName : IN STRING := "";
CONSTANT HeaderMsg : IN STRING := "";
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING
) IS
VARIABLE BitsPerWord : NATURAL := MemoryData.NoOfBitsPerWord;
VARIABLE BitsPerSubWord : NATURAL := MemoryData.NoOfBitsPerSubWord;
VARIABLE BitsPerEnable : NATURAL := MemoryData.NoOfBitsPerEnable;
VARIABLE DataOutTmp : std_logic_vector(DataOutBus'RANGE)
:= DataOutBus;
VARIABLE MemoryAction : VitalMemorySymbolType;
VARIABLE DataAction : VitalMemorySymbolType;
VARIABLE HighBit : NATURAL := BitsPerSubWord-1;
VARIABLE LowBit : NATURAL := 0;
VARIABLE Address : INTEGER := 0;
VARIABLE PortFlagTmp : VitalPortFlagType;
VARIABLE AddrFlag : VitalMemorySymbolType := 'g'; -- good addr
VARIABLE DataFlag : VitalMemorySymbolType := 'g'; -- good data
VARIABLE MemCorruptMask : std_logic_vector (DataOutBus'RANGE);
VARIABLE DatCorruptMask : std_logic_vector (DataOutBus'RANGE);
BEGIN
-- Optimize for case when all current inputs are same as previous
IF (PrevDataInBus = DataInBus
AND PrevAddressBus = AddressBus
AND PrevControls = Controls) THEN
CheckFlags:
FOR i IN 0 TO BitsPerEnable-1 LOOP
IF (PortFlagArray(i).MemoryCurrent /= PortFlagArray(i).MemoryPrevious
OR PortFlagArray(i).DataCurrent /= PortFlagArray(i).DataPrevious) THEN
EXIT CheckFlags;
END IF;
IF (i = BitsPerEnable-1) THEN
FOR j IN 0 TO BitsPerEnable-1 LOOP
PortFlagArray(j).OutputDisable := TRUE;
END LOOP;
RETURN;
END IF;
END LOOP;
END IF;
-- Convert address bus to integer value and table lookup flag
DecodeAddress(
Address => Address,
AddrFlag => AddrFlag,
MemoryData => MemoryData,
PrevAddressBus => PrevAddressBus,
AddressBus => AddressBus
);
-- Perform independent operations for each sub-word
FOR i IN 0 TO BitsPerEnable-1 LOOP
-- Set the output PortFlag(i) value
PortFlagArray(i).DataPrevious := PortFlagArray(i).DataCurrent;
PortFlagArray(i).MemoryPrevious := PortFlagArray(i).MemoryCurrent;
PortFlagArray(i).OutputDisable := FALSE;
PortFlagTmp := PortFlagArray(i);
-- Interpret data bus as a table lookup flag
DecodeData (
DataFlag => DataFlag ,
PrevDataInBus => PrevDataInBus ,
DataInBus => DataInBus ,
HighBit => HighBit ,
LowBit => LowBit
);
-- Lookup memory and data actions
MemoryTableLookUp(
MemoryAction => MemoryAction ,
DataAction => DataAction ,
MemoryCorruptMask => MemCorruptMask ,
DataCorruptMask => DatCorruptMask ,
PrevControls => PrevControls ,
PrevEnableBus => PrevEnableBus ,
Controls => Controls ,
EnableBus => EnableBus ,
EnableIndex => i ,
BitsPerWord => BitsPerWord ,
BitsPerSubWord => BitsPerSubWord ,
BitsPerEnable => BitsPerEnable ,
AddrFlag => AddrFlag ,
DataFlag => DataFlag ,
MemoryTable => MemoryTable ,
PortName => PortName ,
HeaderMsg => HeaderMsg ,
MsgOn => MsgOn
);
-- Handle data action before memory action
-- This allows reading previous memory contents
HandleDataAction(
DataOutBus => DataOutTmp ,
MemoryData => MemoryData ,
PortFlag => PortFlagTmp ,
CorruptMask => DatCorruptMask ,
DataInBus => DataInBus ,
Address => Address ,
HighBit => HighBit ,
LowBit => LowBit ,
MemoryTable => MemoryTable ,
DataAction => DataAction ,
CallerName => MsgVMT ,
PortName => PortName ,
HeaderMsg => HeaderMsg ,
MsgOn => MsgOn
);
HandleMemoryAction(
MemoryData => MemoryData ,
PortFlag => PortFlagTmp ,
CorruptMask => MemCorruptMask ,
DataInBus => DataInBus ,
Address => Address ,
HighBit => HighBit ,
LowBit => LowBit ,
MemoryTable => MemoryTable ,
MemoryAction => MemoryAction ,
CallerName => MsgVMT ,
PortName => PortName ,
HeaderMsg => HeaderMsg ,
MsgOn => MsgOn
);
-- Set the output PortFlag(i) value
IF (DataAction = 'S') THEN
PortFlagTmp.OutputDisable := TRUE;
END IF;
IF (PortFlagTmp.DataCurrent = PortFlagTmp.DataPrevious
AND PortFlagTmp.DataCurrent = HIGHZ) THEN
PortFlagTmp.OutputDisable := TRUE;
END IF;
PortFlagArray(i) := PortFlagTmp;
IF (i < BitsPerEnable-1) THEN
-- Calculate HighBit and LowBit
LowBit := LowBit + BitsPerSubWord;
IF (LowBit > BitsPerWord) THEN
LowBit := BitsPerWord;
END IF;
HighBit := LowBit + BitsPerSubWord;
IF (HighBit > BitsPerWord) THEN
HighBit := BitsPerWord;
ELSE
HighBit := HighBit - 1;
END IF;
END IF;
END LOOP;
-- Set previous values for subsequent edge detection
PrevControls := Controls;
PrevEnableBus := EnableBus;
PrevDataInBus := DataInBus;
PrevAddressBus := AddressBus;
-- Set the candidate zero delay return value
DataOutBus := DataOutTmp;
-- Set the output AddressValue for VitalMemoryCrossPorts
AddressValue := Address;
END VitalMemoryTable;
-- ----------------------------------------------------------------------------
-- Procedure: VitalMemoryCrossPorts
-- Parameters: DataOutBus - Output candidate zero delay data bus out
-- MemoryData - Pointer to memory data structure
-- SamePortFlag - Operating mode for same port
-- SamePortAddressValue - Operating modes for cross ports
-- CrossPortAddressArray - Decoded AddressBus for cross ports
-- CrossPortMode - Write contention and crossport read control
-- PortName - Port name string for messages
-- HeaderMsg - Header string for messages
-- MsgOn - Control the generation of messages
-- Description: These procedures control the effect of memory operations
-- on a given port due to operations on other ports in a
-- multi-port memory.
-- This includes data write through when reading and writing
-- to the same address, as well as write contention when
-- there are multiple write to the same address.
-- If addresses do not match then data bus is unchanged.
-- The DataOutBus can be diabled with 'Z' value.
-- If the WritePortFlag is 'CORRUPT', that would mean
-- that the whole memory is corrupted. So, for corrupting
-- the Read port, the Addresses need not be compared.
--
-- CrossPortMode Enum Description
-- 1. CpRead Allows Cross Port Read Only
-- No contention checking.
-- 2. WriteContention Allows for write contention checks
-- only between multiple write ports
-- 3. ReadWriteContention Allows contention between read and
-- write ports. The action is to corrupt
-- the memory and the output bus.
-- 4. CpReadAndWriteContention Is a combination of 1 & 2
-- 5. CpReadAndReadContention Allows contention between read and
-- write ports. The action is to corrupt
-- the dataout bus only. The cp read is
-- performed if not contending.
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemoryCrossPorts (
VARIABLE DataOutBus : INOUT std_logic_vector;
VARIABLE MemoryData : INOUT VitalMemoryDataType;
VARIABLE SamePortFlag : INOUT VitalPortFlagVectorType;
CONSTANT SamePortAddressValue : IN VitalAddressValueType;
CONSTANT CrossPortFlagArray : IN VitalPortFlagVectorType;
CONSTANT CrossPortAddressArray : IN VitalAddressValueVectorType;
CONSTANT CrossPortMode : IN VitalCrossPortModeType
:= CpReadAndWriteContention;
CONSTANT PortName : IN STRING := "";
CONSTANT HeaderMsg : IN STRING := "";
CONSTANT MsgOn : IN BOOLEAN := TRUE
) IS
VARIABLE BitsPerWord : NATURAL := MemoryData.NoOfBitsPerWord;
VARIABLE BitsPerSubWord : NATURAL := MemoryData.NoOfBitsPerSubWord;
VARIABLE BitsPerEnable : NATURAL := MemoryData.NoOfBitsPerEnable;
VARIABLE DataOutTmp : std_logic_vector(DataOutBus'RANGE) := (OTHERS => 'Z');
VARIABLE MemoryTmp : std_logic_vector(DataOutBus'RANGE);
VARIABLE CrossPorts : NATURAL := CrossPortAddressArray'LENGTH;
VARIABLE LowBit : NATURAL := 0;
VARIABLE HighBit : NATURAL := BitsPerSubWord-1;
VARIABLE Address : VitalAddressValueType := SamePortAddressValue;
VARIABLE AddressJ : VitalAddressValueType;
VARIABLE AddressK : VitalAddressValueType;
VARIABLE PortFlagI : VitalPortFlagType;
VARIABLE PortFlagIJ : VitalPortFlagType;
VARIABLE PortFlagIK : VitalPortFlagType;
VARIABLE DoCpRead : BOOLEAN := FALSE;
VARIABLE DoWrCont : BOOLEAN := FALSE;
VARIABLE DoCpCont : BOOLEAN := FALSE;
VARIABLE DoRdWrCont : BOOLEAN := FALSE;
VARIABLE CpWrCont : BOOLEAN := FALSE;
VARIABLE ModeWrCont : BOOLEAN :=
(CrossPortMode=WriteContention) OR
(CrossPortMode=CpReadAndWriteContention);
VARIABLE ModeCpRead : BOOLEAN :=
(CrossPortMode=CpRead) OR
(CrossPortMode=CpReadAndWriteContention);
VARIABLE ModeCpCont : BOOLEAN := (CrossPortMode=ReadWriteContention);
VARIABLE ModeRdWrCont : BOOLEAN := (CrossPortMode=CpReadAndReadContention);
BEGIN
-- Check for disabled port (i.e. OTHERS => 'Z')
IF (DataOutBus = DataOutTmp) THEN
RETURN;
ELSE
DataOutTmp := DataOutBus;
END IF;
-- Check for error in address
IF (Address < 0) THEN
RETURN;
END IF;
ReadMemory(MemoryData,MemoryTmp,Address);
SubWordLoop: -- For each slice of the sub-word I
FOR i IN 0 TO BitsPerEnable-1 LOOP
PortFlagI := SamePortFlag(i);
-- For each cross port J: check with same port address
FOR j IN 0 TO CrossPorts-1 LOOP
PortFlagIJ := CrossPortFlagArray(i+j*BitsPerEnable);
AddressJ := CrossPortAddressArray(j);
IF (AddressJ < 0) THEN
NEXT;
END IF;
DoWrCont := (Address = AddressJ) AND
(ModeWrCont = TRUE) AND
((PortFlagI.MemoryCurrent = WRITE) OR
(PortFlagI.MemoryCurrent = CORRUPT)) AND
((PortFlagIJ.MemoryCurrent = WRITE) OR
(PortFlagIJ.MemoryCurrent = CORRUPT)) ;
DoCpRead := (Address = AddressJ) AND
(ModeCpRead = TRUE) AND
((PortFlagI.MemoryCurrent = READ) OR
(PortFlagI.OutputDisable = TRUE)) AND
((PortFlagIJ.MemoryCurrent = WRITE) OR
(PortFlagIJ.MemoryCurrent = CORRUPT)) ;
DoCpCont := (Address = AddressJ) AND
(ModeCpCont = TRUE) AND
((PortFlagI.MemoryCurrent = READ) OR
(PortFlagI.OutputDisable = TRUE)) AND
((PortFlagIJ.MemoryCurrent = WRITE) OR
(PortFlagIJ.MemoryCurrent = CORRUPT)) ;
DoRdWrCont:= (Address = AddressJ) AND
(ModeRdWrCont = TRUE) AND
((PortFlagI.MemoryCurrent = READ) OR
(PortFlagI.OutputDisable = TRUE)) AND
((PortFlagIJ.MemoryCurrent = WRITE) OR
(PortFlagIJ.MemoryCurrent = CORRUPT)) ;
IF (DoWrCont OR DoCpCont) THEN
-- Corrupt dataout and memory
MemoryTmp(HighBit DOWNTO LowBit) := (OTHERS => 'X');
DataOutTmp(HighBit DOWNTO LowBit) := (OTHERS => 'X');
SamePortFlag(i).MemoryCurrent := CORRUPT;
SamePortFlag(i).DataCurrent := CORRUPT;
SamePortFlag(i).OutputDisable := FALSE;
EXIT;
END IF;
IF (DoCpRead) THEN
-- Update dataout with memory
DataOutTmp(HighBit DOWNTO LowBit) :=
MemoryTmp(HighBit DOWNTO LowBit);
SamePortFlag(i).MemoryCurrent := READ;
SamePortFlag(i).DataCurrent := READ;
SamePortFlag(i).OutputDisable := FALSE;
EXIT;
END IF;
IF (DoRdWrCont) THEN
-- Corrupt dataout only
DataOutTmp(HighBit DOWNTO LowBit) := (OTHERS => 'X');
SamePortFlag(i).DataCurrent := CORRUPT;
SamePortFlag(i).OutputDisable := FALSE;
EXIT;
END IF;
END LOOP;
IF (i < BitsPerEnable-1) THEN
-- Calculate HighBit and LowBit
LowBit := LowBit + BitsPerSubWord;
IF (LowBit > BitsPerWord) THEN
LowBit := BitsPerWord;
END IF;
HighBit := LowBit + BitsPerSubWord;
IF (HighBit > BitsPerWord) THEN
HighBit := BitsPerWord;
ELSE
HighBit := HighBit - 1;
END IF;
END IF;
END LOOP; -- SubWordLoop
DataOutBus := DataOutTmp;
IF (DoWrCont) THEN
IF (MsgOn) THEN
PrintMemoryMessage(MsgVMCP,ErrMcpWrCont,HeaderMsg,PortName);
END IF;
WriteMemory(MemoryData,MemoryTmp,Address);
END IF;
IF (DoCpCont) THEN
IF (MsgOn) THEN
PrintMemoryMessage(MsgVMCP,ErrMcpCpCont,HeaderMsg,PortName);
END IF;
WriteMemory(MemoryData,MemoryTmp,Address);
END IF;
IF (DoCpRead) THEN
IF (MsgOn) THEN
PrintMemoryMessage(MsgVMCP,ErrMcpCpRead,HeaderMsg,PortName);
END IF;
END IF;
IF (DoRdWrCont) THEN
IF (MsgOn) THEN
PrintMemoryMessage(MsgVMCP,ErrMcpRdWrCo,HeaderMsg,PortName);
END IF;
END IF;
END VitalMemoryCrossPorts;
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemoryCrossPorts (
VARIABLE MemoryData : INOUT VitalMemoryDataType;
CONSTANT CrossPortFlagArray : IN VitalPortFlagVectorType;
CONSTANT CrossPortAddressArray : IN VitalAddressValueVectorType;
CONSTANT HeaderMsg : IN STRING := "";
CONSTANT MsgOn : IN BOOLEAN := TRUE
) IS
VARIABLE BitsPerWord : NATURAL := MemoryData.NoOfBitsPerWord;
VARIABLE BitsPerSubWord : NATURAL := MemoryData.NoOfBitsPerSubWord;
VARIABLE BitsPerEnable : NATURAL := MemoryData.NoOfBitsPerEnable;
VARIABLE MemoryTmp : std_logic_vector(BitsPerWord-1 DOWNTO 0);
VARIABLE CrossPorts : NATURAL := CrossPortAddressArray'LENGTH;
VARIABLE LowBit : NATURAL := 0;
VARIABLE HighBit : NATURAL := BitsPerSubWord-1;
VARIABLE AddressJ : VitalAddressValueType;
VARIABLE AddressK : VitalAddressValueType;
VARIABLE PortFlagIJ : VitalPortFlagType;
VARIABLE PortFlagIK : VitalPortFlagType;
VARIABLE CpWrCont : BOOLEAN := FALSE;
BEGIN
SubWordLoop: -- For each slice of the sub-word I
FOR i IN 0 TO BitsPerEnable-1 LOOP
-- For each cross port J: check with each cross port K
FOR j IN 0 TO CrossPorts-1 LOOP
PortFlagIJ := CrossPortFlagArray(i+j*BitsPerEnable);
AddressJ := CrossPortAddressArray(j);
-- Check for error in address
IF (AddressJ < 0) THEN
NEXT;
END IF;
ReadMemory(MemoryData,MemoryTmp,AddressJ);
-- For each cross port K
FOR k IN 0 TO CrossPorts-1 LOOP
IF (k <= j) THEN
NEXT;
END IF;
PortFlagIK := CrossPortFlagArray(i+k*BitsPerEnable);
AddressK := CrossPortAddressArray(k);
-- Check for error in address
IF (AddressK < 0) THEN
NEXT;
END IF;
CpWrCont := ( (AddressJ = AddressK) AND
(PortFlagIJ.MemoryCurrent = WRITE) AND
(PortFlagIK.MemoryCurrent = WRITE) ) OR
( (PortFlagIJ.MemoryCurrent = WRITE) AND
(PortFlagIK.MemoryCurrent = CORRUPT) ) OR
( (PortFlagIJ.MemoryCurrent = CORRUPT) AND
(PortFlagIK.MemoryCurrent = WRITE) ) OR
( (PortFlagIJ.MemoryCurrent = CORRUPT) AND
(PortFlagIK.MemoryCurrent = CORRUPT) ) ;
IF (CpWrCont) THEN
-- Corrupt memory only
MemoryTmp(HighBit DOWNTO LowBit) := (OTHERS => 'X');
EXIT;
END IF;
END LOOP; -- FOR k IN 0 TO CrossPorts-1 LOOP
IF (CpWrCont = TRUE) THEN
IF (MsgOn) THEN
PrintMemoryMessage(MsgVMCP,ErrMcpCpWrCont,HeaderMsg);
END IF;
WriteMemory(MemoryData,MemoryTmp,AddressJ);
END IF;
END LOOP; -- FOR j IN 0 TO CrossPorts-1 LOOP
IF (i < BitsPerEnable-1) THEN
-- Calculate HighBit and LowBit
LowBit := LowBit + BitsPerSubWord;
IF (LowBit > BitsPerWord) THEN
LowBit := BitsPerWord;
END IF;
HighBit := LowBit + BitsPerSubWord;
IF (HighBit > BitsPerWord) THEN
HighBit := BitsPerWord;
ELSE
HighBit := HighBit - 1;
END IF;
END IF;
END LOOP; -- SubWordLoop
END VitalMemoryCrossPorts;
-- ----------------------------------------------------------------------------
-- Procedure: VitalMemoryViolation
-- Parameters: DataOutBus - Output zero delay data bus out
-- MemoryData - Pointer to memory data structure
-- PortFlag - Indicates port operating mode
-- TimingDataArray - This is currently not used (comment out)
-- ViolationArray - Aggregation of violation variables
-- DataInBus - Input value of data bus in
-- AddressBus - Input value of address bus in
-- AddressValue - Decoded value of the AddressBus
-- ViolationTable - Input memory violation table
-- PortName - Port name string for messages
-- HeaderMsg - Header string for messages
-- MsgOn - Control the generation of messages
-- MsgSeverity - Control level of message generation
-- Description: This procedure is intended to implement all actions on the
-- memory contents and data out bus as a result of timing viols.
-- It uses the memory action table to perform various corruption
-- policies specified by the user.
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemoryViolation (
VARIABLE DataOutBus : INOUT std_logic_vector;
VARIABLE MemoryData : INOUT VitalMemoryDataType;
VARIABLE PortFlag : INOUT VitalPortFlagVectorType;
CONSTANT DataInBus : IN std_logic_vector;
CONSTANT AddressValue : IN VitalAddressValueType;
CONSTANT ViolationFlags : IN std_logic_vector;
CONSTANT ViolationFlagsArray : IN X01ArrayT;
CONSTANT ViolationSizesArray : IN VitalMemoryViolFlagSizeType;
CONSTANT ViolationTable : IN VitalMemoryTableType;
CONSTANT PortType : IN VitalPortType;
CONSTANT PortName : IN STRING := "";
CONSTANT HeaderMsg : IN STRING := "";
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING
) IS
VARIABLE BitsPerWord : NATURAL := MemoryData.NoOfBitsPerWord;
VARIABLE BitsPerSubWord : NATURAL := MemoryData.NoOfBitsPerSubWord;
VARIABLE BitsPerEnable : NATURAL := MemoryData.NoOfBitsPerEnable;
VARIABLE DataOutTmp : std_logic_vector(DataOutBus'RANGE)
:= DataOutBus;
VARIABLE MemoryAction : VitalMemorySymbolType;
VARIABLE DataAction : VitalMemorySymbolType;
-- VMT relies on the corrupt masks so HighBit/LowBit are full word
VARIABLE HighBit : NATURAL := BitsPerWord-1;
VARIABLE LowBit : NATURAL := 0;
VARIABLE PortFlagTmp : VitalPortFlagType;
VARIABLE VFlagArrayTmp : std_logic_vector
(0 TO ViolationFlagsArray'LENGTH-1);
VARIABLE MemCorruptMask : std_logic_vector (DataOutBus'RANGE);
VARIABLE DatCorruptMask : std_logic_vector (DataOutBus'RANGE);
BEGIN
-- Don't do anything if given an error address
IF (AddressValue < 0) THEN
RETURN;
END IF;
FOR i IN ViolationFlagsArray'RANGE LOOP
VFlagArrayTmp(i) := ViolationFlagsArray(i);
END LOOP;
-- Lookup memory and data actions
ViolationTableLookUp(
MemoryAction => MemoryAction ,
DataAction => DataAction ,
MemoryCorruptMask => MemCorruptMask ,
DataCorruptMask => DatCorruptMask ,
ViolationFlags => ViolationFlags ,
ViolationFlagsArray => VFlagArrayTmp ,
ViolationSizesArray => ViolationSizesArray ,
ViolationTable => ViolationTable ,
BitsPerWord => BitsPerWord ,
BitsPerSubWord => BitsPerSubWord ,
BitsPerEnable => BitsPerEnable ,
PortName => PortName ,
HeaderMsg => HeaderMsg ,
MsgOn => MsgOn
);
-- Need to read incoming PF value (was not before)
PortFlagTmp := PortFlag(0);
IF (PortType = READ OR PortType = RDNWR) THEN
-- Handle data action before memory action
-- This allows reading previous memory contents
HandleDataAction(
DataOutBus => DataOutTmp ,
MemoryData => MemoryData ,
PortFlag => PortFlagTmp ,
CorruptMask => DatCorruptMask ,
DataInBus => DataInBus ,
Address => AddressValue ,
HighBit => HighBit ,
LowBit => LowBit ,
MemoryTable => ViolationTable ,
DataAction => DataAction ,
CallerName => MsgVMV ,
PortName => PortName ,
HeaderMsg => HeaderMsg ,
MsgOn => MsgOn
);
END IF;
IF (PortType = WRITE OR PortType = RDNWR) THEN
HandleMemoryAction(
MemoryData => MemoryData ,
PortFlag => PortFlagTmp ,
CorruptMask => MemCorruptMask ,
DataInBus => DataInBus ,
Address => AddressValue ,
HighBit => HighBit ,
LowBit => LowBit ,
MemoryTable => ViolationTable ,
MemoryAction => MemoryAction ,
CallerName => MsgVMV ,
PortName => PortName ,
HeaderMsg => HeaderMsg ,
MsgOn => MsgOn
);
END IF;
-- Check if we need to turn off PF.OutputDisable
IF (DataAction /= 'S') THEN
PortFlagTmp.OutputDisable := FALSE;
-- Set the output PortFlag(0) value
-- Note that all bits of PortFlag get PortFlagTmp
FOR i IN PortFlag'RANGE LOOP
PortFlag(i) := PortFlagTmp;
END LOOP;
END IF;
-- Set the candidate zero delay return value
DataOutBus := DataOutTmp;
END;
PROCEDURE VitalMemoryViolation (
VARIABLE DataOutBus : INOUT std_logic_vector;
VARIABLE MemoryData : INOUT VitalMemoryDataType;
VARIABLE PortFlag : INOUT VitalPortFlagVectorType;
CONSTANT DataInBus : IN std_logic_vector;
CONSTANT AddressValue : IN VitalAddressValueType;
CONSTANT ViolationFlags : IN std_logic_vector;
CONSTANT ViolationTable : IN VitalMemoryTableType;
CONSTANT PortType : IN VitalPortType;
CONSTANT PortName : IN STRING := "";
CONSTANT HeaderMsg : IN STRING := "";
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING
) IS
VARIABLE VFlagArrayTmp : X01ArrayT (0 TO 0);
BEGIN
VitalMemoryViolation (
DataOutBus => DataOutBus ,
MemoryData => MemoryData ,
PortFlag => PortFlag ,
DataInBus => DataInBus ,
AddressValue => AddressValue ,
ViolationFlags => ViolationFlags ,
ViolationFlagsArray => VFlagArrayTmp ,
ViolationSizesArray => ( 0 => 0 ) ,
ViolationTable => ViolationTable ,
PortType => PortType ,
PortName => PortName ,
HeaderMsg => HeaderMsg ,
MsgOn => MsgOn ,
MsgSeverity => MsgSeverity
);
END;
END Vital_Memory ;
| gpl-2.0 | b47929b4e8c979905af77700197b17d0 | 0.60382 | 5.059877 | false | true | false | false |
tgingold/ghdl | testsuite/synth/oper01/cmp01.vhdl | 1 | 721 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity cmp01 is
port (l : std_logic_vector(3 downto 0);
r : std_logic_vector(3 downto 0);
eq : out std_logic;
ne : out std_logic;
lt : out std_logic;
le : out std_logic;
ge : out std_logic;
gt : out std_logic);
end cmp01;
architecture behav of cmp01 is
begin
eq <= '1' when unsigned(l) = unsigned(r) else '0';
ne <= '1' when unsigned(l) /= unsigned(r) else '0';
lt <= '1' when unsigned(l) < unsigned(r) else '0';
le <= '1' when unsigned(l) <= unsigned(r) else '0';
gt <= '1' when unsigned(l) > unsigned(r) else '0';
ge <= '1' when unsigned(l) >= unsigned(r) else '0';
end behav;
| gpl-2.0 | 16685aec29dbddfb20e520e742274a0a | 0.583911 | 2.907258 | false | false | false | false |
tgingold/ghdl | testsuite/synth/iassoc01/tb_iassoc01.vhdl | 1 | 472 | entity tb_iassoc01 is
end tb_iassoc01;
library ieee;
use ieee.std_logic_1164.all;
architecture behav of tb_iassoc01 is
signal a : natural;
signal b : natural;
signal res : natural;
begin
dut: entity work.iassoc01
port map (a, b, res);
process
begin
a <= 1;
b <= 5;
wait for 1 ns;
assert res = 6 severity failure;
a <= 197;
b <= 203;
wait for 1 ns;
assert res = 400 severity failure;
wait;
end process;
end behav;
| gpl-2.0 | 90806ad277edb8f0e6b3af3f6d4410d6 | 0.625 | 3.323944 | false | false | false | false |
tgingold/ghdl | testsuite/synth/issue1298/generics.vhdl | 1 | 1,247 | library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity Params is
generic (
BOO : boolean:=FALSE;
INT : integer:=0;
LOG : std_logic:='0';
VEC : std_logic_vector(7 downto 0):="00000000";
STR : string:="ABCD";
REA : real:=0.0
);
port (
boo_o : out std_logic;
int_o : out std_logic_vector(7 downto 0);
log_o : out std_logic;
vec_o : out std_logic_vector(7 downto 0);
str_o : out std_logic;
rea_o : out std_logic
);
end entity Params;
architecture RTL of Params is
begin
assert BOO=True report "The boolean is not True" severity note;
assert INT=255 report "The integer is not 255" severity note;
assert LOG='1' report "The std_logic is not '1'" severity note;
assert VEC="11111111" report "The std_logic_vector is not 11111111" severity note;
assert STR="WXYZ" report "The string is not WXYZ" severity note;
-- assert REA=1.1 report "The real is not 1.1" severity note;
boo_o <= '1' when BOO else '0';
int_o <= std_logic_vector(to_unsigned(INT, 8));
log_o <= LOG;
vec_o <= VEC;
str_o <= '1' when STR="WXYZ" else '0';
rea_o <= '1' when REA=1.1 else '0';
end architecture RTL;
| gpl-2.0 | 6d47b69945298d86cbd450c04a8d36d7 | 0.605453 | 3.222222 | false | false | false | false |
tgingold/ghdl | testsuite/synth/synth34/tb_repro_uns.vhdl | 1 | 645 | entity tb_repro_uns is
end tb_repro_uns;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
architecture behav of tb_repro_uns is
signal clk : std_logic;
signal a : unsigned(7 downto 0);
signal b : unsigned(7 downto 0);
begin
dut: entity work.repro_uns
port map (
clk => clk, a => a, b => b);
process
procedure pulse is
begin
clk <= '0';
wait for 1 ns;
clk <= '1';
wait for 1 ns;
end pulse;
begin
a <= x"ab";
pulse;
assert b = x"ab" severity failure;
a <= x"12";
pulse;
assert b = x"12" severity failure;
wait;
end process;
end behav;
| gpl-2.0 | 67928fb5c4d83c45309960bf2483e603 | 0.589147 | 3.208955 | false | false | false | false |
tgingold/ghdl | testsuite/vests/vhdl-ams/ashenden/compliant/subprograms/inline_07.vhd | 4 | 2,084 |
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
entity inline_07 is
end entity inline_07;
----------------------------------------------------------------
library ieee; use ieee.numeric_bit.all;
architecture test of inline_07 is
begin
process_5_a : process is
-- code from book:
procedure increment ( a : inout integer; n : in integer := 1 ) is -- . . .
-- not in book
begin
a := a + n;
end procedure increment;
-- end not in book;
procedure increment ( a : inout bit_vector; n : in bit_vector := B"1" ) is -- . . .
-- not in book
begin
a := bit_vector(signed(a) + signed(n));
end procedure increment;
-- end not in book;
procedure increment ( a : inout bit_vector; n : in integer := 1 ) is -- . . .
-- not in book
begin
a := bit_vector(signed(a) + to_signed(n, a'length));
end procedure increment;
-- end not in book;
variable count_int : integer := 2;
variable count_bv : bit_vector (15 downto 0) := X"0002";
-- end of code from book
begin
-- code from book:
increment ( count_int, 2 );
increment ( count_int );
increment ( count_bv, X"0002");
increment ( count_bv, 1 );
-- increment ( count_bv );
-- end of code from book
wait;
end process process_5_a;
end architecture test;
| gpl-2.0 | b7a510690226f72b808cddf376349d88 | 0.62476 | 3.902622 | false | false | false | false |
nickg/nvc | test/regress/wave3.vhd | 1 | 2,101 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity sub is
port (
x : in std_logic_vector(7 downto 0);
y : out std_logic_vector(7 downto 0) );
end entity;
architecture test of sub is
signal ctr : unsigned(3 to 18) := (others => '0');
signal ctr2 : unsigned(15 downto 0) := (others => '0');
begin
y <= x after 5 ns;
ctr <= ctr + 1 after 20 ns;
ctr2 <= ctr2 + 1 after 20 ns;
end architecture;
-------------------------------------------------------------------------------
package p is
signal s : bit;
end package;
-------------------------------------------------------------------------------
entity wave3 is
end entity;
library ieee;
use ieee.std_logic_1164.all;
use work.p.all;
architecture test of wave3 is
signal x : std_logic_vector(7 downto 0) := X"AA";
signal y : std_logic_vector(7 downto 0);
signal z : std_logic := 'U';
signal o : std_logic := '0';
signal b : boolean;
signal m : string(1 to 3);
signal p : bit_vector(1 to 3);
signal q : bit_vector(3 downto 1);
signal t : delay_length;
type state is (INIT, ONE, TWO);
signal s : state;
subtype state_sub is state range ONE to TWO;
signal s2 : state_sub;
begin
x <= not x after 50 ns;
z <= 'X' after 100 ns, -- Appears same as 'U'
'H' after 200 ns,
'Z' after 300 ns,
'L' after 400 ns,
'-' after 500 ns,
'1' after 600 ns;
a_block: block is
signal i : natural; -- No integer type in FST?
signal c : character;
begin
i <= i + 1 after 20 ns;
c <= m((i rem 3) + 1);
end block;
m <= "abc";
work.p.s <= '1';
b <= true;
s <= ONE after 60 ns, TWO after 150 ns;
sub_i: entity work.sub
port map ( x, y );
gen: for i in 1 to 3 generate
signal g : integer;
begin
end generate;
p(1) <= '1';
p(2) <= '1';
p(3) <= '0';
q(1) <= '1';
q(2) <= '1';
q(3) <= '0';
t <= 20 us after 1 ps;
s2 <= TWO after 4 ns;
end architecture;
| gpl-3.0 | 2d1e732d185a621e14f874a0e533cdc2 | 0.495002 | 3.345541 | false | false | false | false |
Darkin47/Zynq-TX-UTT | Vivado_HLS/image_contrast_adj/solution1/sim/vhdl/ip/xil_defaultlib/doHistStretch_ap_fdiv_14_no_dsp_32.vhd | 2 | 10,801 | -- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:floating_point:7.1
-- IP Revision: 2
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY floating_point_v7_1_2;
USE floating_point_v7_1_2.floating_point_v7_1_2;
ENTITY doHistStretch_ap_fdiv_14_no_dsp_32 IS
PORT (
aclk : IN STD_LOGIC;
aclken : IN STD_LOGIC;
s_axis_a_tvalid : IN STD_LOGIC;
s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_b_tvalid : IN STD_LOGIC;
s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axis_result_tvalid : OUT STD_LOGIC;
m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
);
END doHistStretch_ap_fdiv_14_no_dsp_32;
ARCHITECTURE doHistStretch_ap_fdiv_14_no_dsp_32_arch OF doHistStretch_ap_fdiv_14_no_dsp_32 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF doHistStretch_ap_fdiv_14_no_dsp_32_arch: ARCHITECTURE IS "yes";
COMPONENT floating_point_v7_1_2 IS
GENERIC (
C_XDEVICEFAMILY : STRING;
C_HAS_ADD : INTEGER;
C_HAS_SUBTRACT : INTEGER;
C_HAS_MULTIPLY : INTEGER;
C_HAS_DIVIDE : INTEGER;
C_HAS_SQRT : INTEGER;
C_HAS_COMPARE : INTEGER;
C_HAS_FIX_TO_FLT : INTEGER;
C_HAS_FLT_TO_FIX : INTEGER;
C_HAS_FLT_TO_FLT : INTEGER;
C_HAS_RECIP : INTEGER;
C_HAS_RECIP_SQRT : INTEGER;
C_HAS_ABSOLUTE : INTEGER;
C_HAS_LOGARITHM : INTEGER;
C_HAS_EXPONENTIAL : INTEGER;
C_HAS_FMA : INTEGER;
C_HAS_FMS : INTEGER;
C_HAS_ACCUMULATOR_A : INTEGER;
C_HAS_ACCUMULATOR_S : INTEGER;
C_A_WIDTH : INTEGER;
C_A_FRACTION_WIDTH : INTEGER;
C_B_WIDTH : INTEGER;
C_B_FRACTION_WIDTH : INTEGER;
C_C_WIDTH : INTEGER;
C_C_FRACTION_WIDTH : INTEGER;
C_RESULT_WIDTH : INTEGER;
C_RESULT_FRACTION_WIDTH : INTEGER;
C_COMPARE_OPERATION : INTEGER;
C_LATENCY : INTEGER;
C_OPTIMIZATION : INTEGER;
C_MULT_USAGE : INTEGER;
C_BRAM_USAGE : INTEGER;
C_RATE : INTEGER;
C_ACCUM_INPUT_MSB : INTEGER;
C_ACCUM_MSB : INTEGER;
C_ACCUM_LSB : INTEGER;
C_HAS_UNDERFLOW : INTEGER;
C_HAS_OVERFLOW : INTEGER;
C_HAS_INVALID_OP : INTEGER;
C_HAS_DIVIDE_BY_ZERO : INTEGER;
C_HAS_ACCUM_OVERFLOW : INTEGER;
C_HAS_ACCUM_INPUT_OVERFLOW : INTEGER;
C_HAS_ACLKEN : INTEGER;
C_HAS_ARESETN : INTEGER;
C_THROTTLE_SCHEME : INTEGER;
C_HAS_A_TUSER : INTEGER;
C_HAS_A_TLAST : INTEGER;
C_HAS_B : INTEGER;
C_HAS_B_TUSER : INTEGER;
C_HAS_B_TLAST : INTEGER;
C_HAS_C : INTEGER;
C_HAS_C_TUSER : INTEGER;
C_HAS_C_TLAST : INTEGER;
C_HAS_OPERATION : INTEGER;
C_HAS_OPERATION_TUSER : INTEGER;
C_HAS_OPERATION_TLAST : INTEGER;
C_HAS_RESULT_TUSER : INTEGER;
C_HAS_RESULT_TLAST : INTEGER;
C_TLAST_RESOLUTION : INTEGER;
C_A_TDATA_WIDTH : INTEGER;
C_A_TUSER_WIDTH : INTEGER;
C_B_TDATA_WIDTH : INTEGER;
C_B_TUSER_WIDTH : INTEGER;
C_C_TDATA_WIDTH : INTEGER;
C_C_TUSER_WIDTH : INTEGER;
C_OPERATION_TDATA_WIDTH : INTEGER;
C_OPERATION_TUSER_WIDTH : INTEGER;
C_RESULT_TDATA_WIDTH : INTEGER;
C_RESULT_TUSER_WIDTH : INTEGER;
C_FIXED_DATA_UNSIGNED : INTEGER
);
PORT (
aclk : IN STD_LOGIC;
aclken : IN STD_LOGIC;
aresetn : IN STD_LOGIC;
s_axis_a_tvalid : IN STD_LOGIC;
s_axis_a_tready : OUT STD_LOGIC;
s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_a_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_a_tlast : IN STD_LOGIC;
s_axis_b_tvalid : IN STD_LOGIC;
s_axis_b_tready : OUT STD_LOGIC;
s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_b_tlast : IN STD_LOGIC;
s_axis_c_tvalid : IN STD_LOGIC;
s_axis_c_tready : OUT STD_LOGIC;
s_axis_c_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_c_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_c_tlast : IN STD_LOGIC;
s_axis_operation_tvalid : IN STD_LOGIC;
s_axis_operation_tready : OUT STD_LOGIC;
s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_operation_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_operation_tlast : IN STD_LOGIC;
m_axis_result_tvalid : OUT STD_LOGIC;
m_axis_result_tready : IN STD_LOGIC;
m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axis_result_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_result_tlast : OUT STD_LOGIC
);
END COMPONENT floating_point_v7_1_2;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK";
ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TDATA";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TDATA";
BEGIN
U0 : floating_point_v7_1_2
GENERIC MAP (
C_XDEVICEFAMILY => "zynq",
C_HAS_ADD => 0,
C_HAS_SUBTRACT => 0,
C_HAS_MULTIPLY => 0,
C_HAS_DIVIDE => 1,
C_HAS_SQRT => 0,
C_HAS_COMPARE => 0,
C_HAS_FIX_TO_FLT => 0,
C_HAS_FLT_TO_FIX => 0,
C_HAS_FLT_TO_FLT => 0,
C_HAS_RECIP => 0,
C_HAS_RECIP_SQRT => 0,
C_HAS_ABSOLUTE => 0,
C_HAS_LOGARITHM => 0,
C_HAS_EXPONENTIAL => 0,
C_HAS_FMA => 0,
C_HAS_FMS => 0,
C_HAS_ACCUMULATOR_A => 0,
C_HAS_ACCUMULATOR_S => 0,
C_A_WIDTH => 32,
C_A_FRACTION_WIDTH => 24,
C_B_WIDTH => 32,
C_B_FRACTION_WIDTH => 24,
C_C_WIDTH => 32,
C_C_FRACTION_WIDTH => 24,
C_RESULT_WIDTH => 32,
C_RESULT_FRACTION_WIDTH => 24,
C_COMPARE_OPERATION => 8,
C_LATENCY => 14,
C_OPTIMIZATION => 1,
C_MULT_USAGE => 0,
C_BRAM_USAGE => 0,
C_RATE => 1,
C_ACCUM_INPUT_MSB => 32,
C_ACCUM_MSB => 32,
C_ACCUM_LSB => -31,
C_HAS_UNDERFLOW => 0,
C_HAS_OVERFLOW => 0,
C_HAS_INVALID_OP => 0,
C_HAS_DIVIDE_BY_ZERO => 0,
C_HAS_ACCUM_OVERFLOW => 0,
C_HAS_ACCUM_INPUT_OVERFLOW => 0,
C_HAS_ACLKEN => 1,
C_HAS_ARESETN => 0,
C_THROTTLE_SCHEME => 3,
C_HAS_A_TUSER => 0,
C_HAS_A_TLAST => 0,
C_HAS_B => 1,
C_HAS_B_TUSER => 0,
C_HAS_B_TLAST => 0,
C_HAS_C => 0,
C_HAS_C_TUSER => 0,
C_HAS_C_TLAST => 0,
C_HAS_OPERATION => 0,
C_HAS_OPERATION_TUSER => 0,
C_HAS_OPERATION_TLAST => 0,
C_HAS_RESULT_TUSER => 0,
C_HAS_RESULT_TLAST => 0,
C_TLAST_RESOLUTION => 0,
C_A_TDATA_WIDTH => 32,
C_A_TUSER_WIDTH => 1,
C_B_TDATA_WIDTH => 32,
C_B_TUSER_WIDTH => 1,
C_C_TDATA_WIDTH => 32,
C_C_TUSER_WIDTH => 1,
C_OPERATION_TDATA_WIDTH => 8,
C_OPERATION_TUSER_WIDTH => 1,
C_RESULT_TDATA_WIDTH => 32,
C_RESULT_TUSER_WIDTH => 1,
C_FIXED_DATA_UNSIGNED => 0
)
PORT MAP (
aclk => aclk,
aclken => aclken,
aresetn => '1',
s_axis_a_tvalid => s_axis_a_tvalid,
s_axis_a_tdata => s_axis_a_tdata,
s_axis_a_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_a_tlast => '0',
s_axis_b_tvalid => s_axis_b_tvalid,
s_axis_b_tdata => s_axis_b_tdata,
s_axis_b_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_b_tlast => '0',
s_axis_c_tvalid => '0',
s_axis_c_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axis_c_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_c_tlast => '0',
s_axis_operation_tvalid => '0',
s_axis_operation_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_operation_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_operation_tlast => '0',
m_axis_result_tvalid => m_axis_result_tvalid,
m_axis_result_tready => '0',
m_axis_result_tdata => m_axis_result_tdata
);
END doHistStretch_ap_fdiv_14_no_dsp_32_arch;
| gpl-3.0 | 7ab5741c981c5e465e32485c9ac2c390 | 0.632719 | 3.219374 | false | false | false | false |
lfmunoz/vhdl | ip_blocks/sip_spi/tb_spi.vhd | 1 | 10,904 | -------------------------------------------------------------------------------------
-- FILE NAME : tb_spi.vhd
-- AUTHOR : Luis
-- COMPANY :
-- UNITS : Entity -
-- Architecture - Behavioral
-- LANGUAGE : VHDL
-- DATE : May 21, 2010
-------------------------------------------------------------------------------------
--
-------------------------------------------------------------------------------------
-- DESCRIPTION
-- ===========
--
--
-------------------------------------------------------------------------------------
-------------------------------------------------------------------------------------
-- LIBRARIES
-------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_misc.all;
library unisim;
use unisim.vcomponents.all;
-------------------------------------------------------------------------------------
-- ENTITY
-------------------------------------------------------------------------------------
entity tb_spi is
end tb_spi;
-------------------------------------------------------------------------------------
-- ARCHITECTURE
-------------------------------------------------------------------------------------
architecture Behavioral of tb_spi is
-------------------------------------------------------------------------------------
-- Component Declaration
-------------------------------------------------------------------------------------
component generic_host_emu is
generic (
global_start_addr_gen : std_logic_vector(27 downto 0);
global_stop_addr_gen : std_logic_vector(27 downto 0);
private_start_addr_gen : std_logic_vector(27 downto 0);
private_stop_addr_gen : std_logic_vector(27 downto 0)
);
port (
--Wormhole 'cmdclk_out' of type 'cmdclk_out':
cmdclk_out_cmdclk : out std_logic;
--Wormhole 'cmd_in' of type 'cmd_in':
cmd_in_cmdin : in std_logic_vector(63 downto 0);
cmd_in_cmdin_val : in std_logic;
--Wormhole 'cmd_out' of type 'cmd_out':
cmd_out_cmdout : out std_logic_vector(63 downto 0);
cmd_out_cmdout_val : out std_logic;
--Wormhole 'ifpga_rst_out' of type 'ifpga_rst_out':
ifpga_rst_out_ifpga_rst : out std_logic;
--Wormhole 'clk' of type 'clkin':
clk_clkin : in std_logic_vector(31 downto 0);
--Wormhole 'rst' of type 'rst_in':
rst_rstin : in std_logic_vector(31 downto 0);
--Wormhole 'ext_vp680_host_if' of type 'ext_vp680_host_if':
sys_clk : in std_logic;
sys_reset_n : in std_logic;
--Wormhole 'in_data' of type 'wh_in':
in_data_in_stop : out std_logic;
in_data_in_dval : in std_logic;
in_data_in_data : in std_logic_vector(63 downto 0);
--Wormhole 'out_data' of type 'wh_out':
out_data_out_stop : in std_logic;
out_data_out_dval : out std_logic;
out_data_out_data : out std_logic_vector(63 downto 0)
);
end component generic_host_emu;
-------------------------------------------------------------------------------------
-- CONSTANTS
-------------------------------------------------------------------------------------
constant CLK_200_MHZ : time := 5 ns;
constant CLK_125_MHZ : time := 8 ns;
constant CLK_100_MHZ : time := 10 ns;
constant CLK_300_MHZ : time := 3.3333 ns;
constant CLK_25_MHZ : time := 40 ns;
constant CLK_167_MHZ : time := 6 ns;
constant DATA_WIDTH : natural := 8;
constant ADDR_WIDTH : natural := 8;
constant WR_BIT : std_logic := '0'; -- 0 means write
constant RD_BIT : std_logic := '1'; -- 1 means read
constant START_ADDR_AMC7823_CTRL0 : std_logic_vector(27 downto 0) := x"0000100";
constant STOP_ADDR_AMC7823_CTRL0 : std_logic_vector(27 downto 0) := x"00001FF";
-----------------------------------------------------------------------------------
-- SIGNALS
-----------------------------------------------------------------------------------
signal sysclk_p : std_logic := '1';
signal sysclk_n : std_logic := '0';
signal clk : std_logic := '1';
signal rst : std_logic := '1';
signal rstn : std_logic := '0';
signal clk_cmd : std_logic;
signal in_cmd_val : std_logic;
signal in_cmd : std_logic_vector(63 downto 0);
signal out_cmd_val : std_logic;
signal out_cmd : std_logic_vector(63 downto 0);
signal out_cmd_val4 : std_logic;
signal out_cmd4 : std_logic_vector(63 downto 0);
signal sclk_prebuf : std_logic;
signal serial_clk : std_logic;
signal sclk_ext : std_logic;
signal spi_sclk_phy : std_logic;
signal spi_sdo_phy : std_logic;
signal spi_sdi_phy : std_logic;
signal spi_csn_phy : std_logic;
signal spi_reset_phy : std_logic;
signal spi_sclk : std_logic;
signal spi_counter : std_logic_vector(7 downto 0);
signal spi0_capure : std_logic_vector(31 downto 0) := (others=>'0');
--***********************************************************************************
begin
--***********************************************************************************
-- Clock & reset generation
sysclk_p <= not sysclk_p after CLK_100_MHZ/2;
sysclk_n <= not sysclk_p;
clk <= not clk after CLK_125_MHZ / 2;
rst <= '0' after CLK_125_MHZ * 10;
rstn <= '1' after CLK_125_MHZ * 10;
----------------------------------------------------------------------------------------------------
-- Generic host interface
----------------------------------------------------------------------------------------------------
inst0_generic_host: generic_host_emu
generic map (
global_start_addr_gen => x"0000000",
global_stop_addr_gen => x"00000FF",
private_start_addr_gen => x"0000000",
private_stop_addr_gen => x"00000FF"
)
port map (
cmdclk_out_cmdclk => clk_cmd, -- out std_logic;
cmd_in_cmdin => out_cmd , -- in std_logic_vector(63 downto 0);
cmd_in_cmdin_val => out_cmd_val, -- in std_logic;
cmd_out_cmdout => in_cmd, -- out std_logic_vector(63 downto 0);
cmd_out_cmdout_val => in_cmd_val, -- out std_logic;
ifpga_rst_out_ifpga_rst => open, -- out std_logic;
clk_clkin => (others=>'0'), -- in std_logic_vector(31 downto 0);
rst_rstin => (others=>'0'), -- in std_logic_vector(31 downto 0);
sys_clk => clk, -- in std_logic;
sys_reset_n => rstn, -- in std_logic;
in_data_in_stop => open, -- out std_logic;
in_data_in_dval => '0', -- in std_logic;
in_data_in_data => (others=>'0'), -- in std_logic_vector(63 downto 0);
out_data_out_stop => '0', -- in std_logic;
out_data_out_dval => open, -- out std_logic;
out_data_out_data => open -- out std_logic_vector(63 downto 0)
);
----------------------------------------------------------------------------------------------------
-- Generate serial clocks for SPI (max 6.66MHz, due to ...)
----------------------------------------------------------------------------------------------------
process (clk_cmd)
-- Divide by 2^5 = 32, CLKmax = 32 x 6.66MHz
variable clk_div : std_logic_vector(4 downto 0) := (others => '0');
begin
if (rising_edge(clk_cmd)) then
clk_div := clk_div + '1';
-- The slave samples the data on the rising edge of SCLK.
-- therefore we make sure the external clock is slightly
-- after the internal clock.
sclk_ext <= clk_div(clk_div'length-1);
sclk_prebuf <= sclk_ext;
end if;
end process;
bufg_sclk : bufg
port map (
i => sclk_prebuf,
o => serial_clk
);
----------------------------------------------------------------------------------------------------
-- SPI interface controlling the FMC144 MONITOR
----------------------------------------------------------------------------------------------------
--spi_mon_ctrl0:
--entity work.amc7823_ctrl
--generic map (
-- START_ADDR => START_ADDR_AMC7823_CTRL0,
-- STOP_ADDR => STOP_ADDR_AMC7823_CTRL0
--)
--port map (
-- rst => rst,
-- clk => serial_clk,
-- -- Command Interface
-- clk_cmd => clk_cmd,
-- in_cmd_val => in_cmd_val,
-- in_cmd => in_cmd,
-- out_cmd_val => out_cmd_val4,
-- out_cmd => out_cmd4,
-- -- Serial Interface
-- trig_n_cs => spi_csn_phy,
-- trig_sclk => spi_sclk_phy,
-- trig_sdo => spi_sdo_phy,
-- trig_clksel0 => spi_sdi_phy
--);
--
spi_sclk <= spi_sclk_phy when spi_csn_phy = '0' else '0';
spi_adc1_ctrl0:
entity work.adc16dx370_ctrl
generic map (
START_ADDR => START_ADDR_AMC7823_CTRL0,
STOP_ADDR => STOP_ADDR_AMC7823_CTRL0
)
port map (
rst => rst,
clk => serial_clk,
-- Command Interface
clk_cmd => clk_cmd,
in_cmd_val => in_cmd_val,
in_cmd => in_cmd,
out_cmd_val => out_cmd_val4,
out_cmd => out_cmd4,
-- Serial Interface
trig_n_cs => spi_csn_phy,
trig_sclk => spi_sclk_phy,
trig_sdo => spi_sdo_phy,
trig_clksel0 => spi_sdi_phy
);
----------------------------------------------------------------------------------------------------
-- Command out merge & pipeline
----------------------------------------------------------------------------------------------------
process (rst, clk_cmd)
begin
if (rst = '1') then
out_cmd_val <= '0';
out_cmd <= (others => '0');
elsif (rising_edge(clk_cmd)) then
out_cmd_val <= out_cmd_val4;
out_cmd <= out_cmd4;
end if;
end process;
----------------------------------------------------------------------------------------------------
-- SPI device model
----------------------------------------------------------------------------------------------------
spi_checker_inst:
entity work.spi_checker
port map(
clk => serial_clk,
sclk => serial_clk,
sdo => spi_sdi_phy,
sdi => spi_sdo_phy,
cs_n => spi_csn_phy,
reg0 => spi0_capure
);
--***********************************************************************************
end architecture Behavioral;
--***********************************************************************************
| mit | 19fa843db91ee2e26862243d63d2c6a7 | 0.404989 | 4.120937 | false | false | false | false |
nickg/nvc | test/parse/vests1.vhd | 1 | 1,521 | entity something is
end entity;
architecture arch of something is
begin
end architecture;
configuration testbench of something is
for arch
end for;
end;
entity c01s03b01x00p12n01i00863ent is
end entity;
architecture c01s03b01x00p12n01i00863arch of c01s03b01x00p12n01i00863ent is
begin
K:block
component test
port(
sigin1 : in boolean := false;
sigout1 : out boolean ;
sigin2 : in bit := '0';
sigout2 : out bit ;
sigin4 : in severity_level := note ;
sigout4 : out severity_level ;
sigin5 : in integer := 0 ;
sigout5 : out integer ;
sigin6 : in real := 0.0;
sigout6 : out real ;
sigin7 : in time := 0 fs;
sigout7 : out time ;
sigin8 : in natural := 0 ;
sigout8 : out natural ;
sigin9 : in positive := 0 ;
sigout9 : out positive
);
end component;
BEGIN
T5: component test;
G: for i in 0 to 3 generate
T1: component test;
end generate;
end block;
end architecture;
configuration c01s03b01x00p12n01i00863cfg of c01s03b01x00p12n01i00863ent is
for c01s03b01x00p12n01i00863arch
for K
for T5:test use configuration work.testbench;
end for;
for G(3)
for T1:test
use configuration work.testbench;
end for;
end for;
for G(0 to 2)
for all:test
use configuration work.testbench;
end for;
end for;
end for;
end for;
end;
| gpl-3.0 | c9c49ea2bf5150bc0fc41b9811831631 | 0.602235 | 3.44898 | false | true | false | false |
tgingold/ghdl | testsuite/vests/vhdl-93/billowitch/compliant/tc2261.vhd | 4 | 3,132 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2261.vhd,v 1.2 2001-10-26 16:29:46 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s02b06x00p05n01i02261ent IS
END c07s02b06x00p05n01i02261ent;
ARCHITECTURE c07s02b06x00p05n01i02261arch OF c07s02b06x00p05n01i02261ent IS
constant s4p : real := 4.0;
constant s4n : real := (-4.0);
constant s5p : real := 5.0;
constant s5n : real := (-5.0);
BEGIN
TESTING: PROCESS
variable m1 : real := 4.0 * 5.0 ;
variable m2 : real := 4.0 * (-5.0);
variable m3 : real := (-4.0) * 5.0 ;
variable m4 : real := (-4.0) * (-5.0);
variable d1 : real := 4.0 / 5.0 ;
variable d2 : real := 4.0 / (-5.0);
variable d3 : real := (-4.0) / 5.0 ;
variable d4 : real := (-4.0) / (-5.0);
variable Em1 : real := s4p * s5p;
variable Em2 : real := s4p * s5n;
variable Em3 : real := s4n * s5p;
variable Em4 : real := s4n * s5n;
variable Ed1 : real := s4p / s5p;
variable Ed2 : real := s4p / s5n;
variable Ed3 : real := s4n / s5p;
variable Ed4 : real := s4n / s5n;
BEGIN
assert m1 = Em1;
assert m2 = Em2;
assert m3 = Em3;
assert m4 = Em4;
assert d1 = Ed1;
assert d2 = Ed2;
assert d3 = Ed3;
assert d4 = Ed4;
assert NOT((m1 = Em1) and
( m2 = Em2) and
( m3 = Em3) and
( m4 = Em4) and
( d1 = Ed1) and
( d2 = Ed2) and
( d3 = Ed3) and
( d4 = Ed4) )
report "***PASSED TEST: c07s02b06x00p05n01i02261"
severity NOTE;
assert (( m1 = Em1) and
( m2 = Em2) and
( m3 = Em3) and
( m4 = Em4) and
( d1 = Ed1) and
( d2 = Ed2) and
( d3 = Ed3) and
( d4 = Ed4) )
report "***FAILED TEST: c07s02b06x00p05n01i02261 - Constant real type multiplication and division test failed."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s02b06x00p05n01i02261arch;
| gpl-2.0 | daa2bbee9ea4c93325912da1278fd923 | 0.550128 | 3.11332 | false | true | false | false |
tgingold/ghdl | testsuite/vests/vhdl-ams/ashenden/compliant/analog-modeling/tb_comparator-1.vhd | 4 | 1,571 |
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
library IEEE;
use IEEE.std_logic_1164.all;
library IEEE_proposed;
use IEEE_proposed.electrical_systems.all;
entity tb_comparator is
end tb_comparator;
architecture TB_comparator of tb_comparator is
-- Component declarations
-- Signal declarations
terminal in_src : electrical;
signal cmp_out : std_logic;
begin
-- Signal assignments
-- Component instances
vio : entity work.v_sine(ideal)
generic map(
freq => 100.0,
amplitude => 5.0
)
port map(
pos => in_src,
neg => ELECTRICAL_REF
);
C1 : entity work.comparator(hysteresis)
port map(
plus_in => in_src,
minus_in => electrical_ref,
output => cmp_out
);
end TB_comparator;
| gpl-2.0 | 368976ac3afe5bafa2479c09c7b3b4ad | 0.671547 | 4.156085 | false | false | false | false |
tgingold/ghdl | testsuite/synth/dff02/tb_dff08b.vhdl | 1 | 1,199 | entity tb_dff08b is
end tb_dff08b;
library ieee;
use ieee.std_logic_1164.all;
architecture behav of tb_dff08b is
signal clk : std_logic;
signal rst : std_logic;
signal en : std_logic;
signal din : std_logic_vector (7 downto 0);
signal dout : std_logic_vector (7 downto 0);
begin
dut: entity work.dff08b
port map (
q => dout,
d => din,
en => en,
clk => clk,
rst => rst);
process
procedure pulse is
begin
clk <= '0';
wait for 1 ns;
clk <= '1';
wait for 1 ns;
end pulse;
begin
wait for 1 ns;
assert dout = x"aa" severity failure;
rst <= '1';
en <= '1';
pulse;
assert dout = x"00" severity failure;
rst <= '0';
din <= x"38";
pulse;
assert dout = x"38" severity failure;
din <= x"af";
pulse;
assert dout = x"af" severity failure;
en <= '0';
din <= x"b3";
pulse;
assert dout = x"af" severity failure;
en <= '0';
rst <= '1';
din <= x"b4";
pulse;
assert dout = x"af" severity failure;
en <= '1';
rst <= '1';
din <= x"b5";
pulse;
assert dout = x"00" severity failure;
wait;
end process;
end behav;
| gpl-2.0 | 99c6c12905e55ec3768176224affb1b6 | 0.53628 | 3.223118 | false | false | false | false |
tgingold/ghdl | testsuite/gna/bug088/assemble2.vhdl | 1 | 1,898 | library ieee;
use ieee.std_logic_1164.all;
entity assemble is
port (
A: in std_logic_vector(31 downto 0);
B: in std_logic_vector(31 downto 0);
C: in std_logic_vector(31 downto 0);
F: out std_logic_vector(31 downto 0)
);
end entity;
architecture fum of assemble is
type std_logic_2d is array
(integer range <>, integer range <>) of std_logic;
type column is array (31 downto 0) of std_logic;
type row is array (2 downto 0) of std_logic;
signal data: std_logic_2d (column'range, row'range);
function to_std_logic_2d (i0,i1,i2: std_logic_vector (column'range))
return std_logic_2d is
variable retdat: std_logic_2d (column'range, row'range);
begin
for i in column'range loop
retdat(i, 0) := i0(i);
retdat(i, 1) := i1(i);
retdat(i, 2) := i2(i);
end loop;
return retdat;
end function;
begin
data <= to_std_logic_2d(A, B, C);
F <= (others => data (15, 1)); -- B(15)
end architecture;
architecture fie of assemble is
type std_logic_2d is array
(integer range <>, integer range <>) of std_logic;
subtype column is std_logic_vector (31 downto 0);
subtype row is std_logic_vector (2 downto 0);
signal data: std_logic_2d (column'range, row'range);
function to_std_logic_2d (i0,i1,i2: std_logic_vector (column'range))
return std_logic_2d is
variable retdat: std_logic_2d (column'range, row'range);
begin
for i in retdat'range(1) loop
retdat(i, 0) := i0(i);
retdat(i, 1) := i1(i);
retdat(i, 2) := i2(i);
end loop;
return retdat;
end function;
begin
data <= to_std_logic_2d(A, B, C);
F <= (others => data (15, 1)); -- B(15)
end architecture;
| gpl-2.0 | 930449402ec5ca1016236432acfedd78 | 0.56059 | 3.272414 | false | false | false | false |
tgingold/ghdl | testsuite/vests/vhdl-93/ashenden/compliant/ch_18_ch_18_10.vhd | 4 | 2,043 |
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_18_ch_18_10.vhd,v 1.2 2001-10-26 16:29:36 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
entity ch_18_10 is
end entity ch_18_10;
----------------------------------------------------------------
architecture test of ch_18_10 is
begin
process is
use std.textio.all;
variable L : line;
-- code from book:
type speed_category is (stopped, slow, fast, maniacal);
variable speed : speed_category;
-- end of code from book
begin
speed := stopped;
-- code from book:
write ( L, speed_category'image(speed) );
-- end of code from book
writeline(output, L);
speed := slow;
write ( L, speed_category'image(speed) );
writeline(output, L);
speed := fast;
write ( L, speed_category'image(speed) );
writeline(output, L);
speed := maniacal;
write ( L, speed_category'image(speed) );
writeline(output, L);
-- code from book:
readline( input, L );
speed := speed_category'value(L.all);
-- end of code from book
wait;
end process;
end architecture test;
| gpl-2.0 | fa287293f35ae8b864dd1aae55135188 | 0.583945 | 4.118952 | false | false | false | false |
nickg/nvc | test/sem/ename.vhd | 1 | 995 | package ename_pack is
constant c : integer := << constant .top.foo : integer >>; -- Not supported
end package;
-------------------------------------------------------------------------------
entity ename is
end entity;
architecture test of ename is
alias e1 is <<signal .top.foo.bar : bit>>; -- OK
constant k1 : integer := <<constant foo.bar : integer>>; -- OK
signal s1 : bit_vector(1 to k1); -- OK
signal s2 : bit_vector(1 to <<signal foo.bar : integer>>); -- Error
constant k2 : integer := <<constant foo.baz : bit>>; -- Error
begin
p1: process is
begin
e1 <= '1'; -- OK
e1 := '1'; -- Error
<<variable foo.var : integer>> := 5; -- OK
<<signal ^.x.y : bit>> <= force '1'; -- OK
<<constant .x.y : bit>> <= release; -- Error
assert <<constant foo(<< signal a.b : integer >>).bar : integer>> = 1; -- Error
wait;
end process;
end architecture;
| gpl-3.0 | 20cc05c877690956db0962ceb8299c52 | 0.481407 | 3.856589 | false | false | false | false |
tgingold/ghdl | testsuite/gna/issue520/lrm.vhdl | 1 | 1,460 | entity TOP is
end entity TOP;
architecture ARCH of TOP is
signal S1, S2, S3: BIT;
alias DONE_SIG is <<signal .TOP.DUT.DONE: BIT>>; -- Legal
constant DATA_WIDTH: INTEGER:= <<signal .TOP.DUT.DATA: BIT_VECTOR>>'LENGTH;
-- Illegal, because .TOP.DUT.DATA has not yet been elaborated
-- when the expression is evaluated
begin
P1: process ( DONE_SIG ) is -- Legal
begin
if DONE_SIG then -- Legal ...;
end if;
end process P1;
MONITOR: entity WORK.MY_MONITOR port map (DONE_SIG);
-- Illegal, because .TOP.DUT.DONE has not yet been elaborated
-- when the association element is elaborated
DUT: entity WORK.MY_DESIGN port map (s1, S2, S3);
MONITOR2: entity WORK.MY_MONITOR port map (DONE_SIG);
-- Legal, because .TOP.DUT.DONE has now been elaborated
B1: block
constant DATA_WIDTH: INTEGER := <<signal .TOP.DUT.DATA: BIT_VECTOR>>'LENGTH
-- Legal, because .TOP.DUT.DATA has now been elaborated
begin
end block B1;
B2: block
constant C0: INTEGER := 6;
constant C1: INTEGER := <<constant .TOP.B3.C2: INTEGER>>;
-- Illegal, because .TOP.B3.C2 has not yet been elaborated
begin
end block B2;
B3: block
constant C2: INTEGER := <<constant .TOP.B2.C0: INTEGER>>; -- Legal
begin
end block B3;
-- Together, B2 and B3 are illegal, because they cannot be ordered
-- so that the objects are elaborated in the order .TOP.B2.C0,
-- then .TOP.B3.C2, and finally .TOP.B2.C1.
end architecture ARCH;
| gpl-2.0 | 6feedd69e7ee2a49d4d0d9da31c7ada3 | 0.678082 | 3.333333 | false | false | false | false |
tgingold/ghdl | testsuite/gna/bug040/huff_make_dhuff_tb_ac_huffsize.vhd | 2 | 1,519 | library ieee;
use ieee.std_logic_1164.all;
library ieee;
use ieee.numeric_std.all;
entity huff_make_dhuff_tb_ac_huffsize is
port (
wa0_data : in std_logic_vector(31 downto 0);
wa0_addr : in std_logic_vector(8 downto 0);
clk : in std_logic;
ra0_addr : in std_logic_vector(8 downto 0);
ra0_data : out std_logic_vector(31 downto 0);
wa0_en : in std_logic
);
end huff_make_dhuff_tb_ac_huffsize;
architecture augh of huff_make_dhuff_tb_ac_huffsize is
-- Embedded RAM
type ram_type is array (0 to 256) of std_logic_vector(31 downto 0);
signal ram : ram_type := (others => (others => '0'));
-- Little utility functions to make VHDL syntactically correct
-- with the syntax to_integer(unsigned(vector)) when 'vector' is a std_logic.
-- This happens when accessing arrays with <= 2 cells, for example.
function to_integer(B: std_logic) return integer is
variable V: std_logic_vector(0 to 0);
begin
V(0) := B;
return to_integer(unsigned(V));
end;
function to_integer(V: std_logic_vector) return integer is
begin
return to_integer(unsigned(V));
end;
begin
-- Sequential process
-- It handles the Writes
process (clk)
begin
if rising_edge(clk) then
-- Write to the RAM
-- Note: there should be only one port.
if wa0_en = '1' then
ram( to_integer(wa0_addr) ) <= wa0_data;
end if;
end if;
end process;
-- The Read side (the outputs)
ra0_data <= ram( to_integer(ra0_addr) ) when to_integer(ra0_addr) < 257 else (others => '-');
end architecture;
| gpl-2.0 | 1cbf9c3ea2301b1b1c2cc68970366abe | 0.676103 | 2.893333 | false | false | false | false |
nickg/nvc | test/simp/genmap.vhd | 1 | 690 | entity sub1 is
generic (
x : integer := 5;
y : bit_vector(1 to 3) );
end entity;
package pack is
type rec is record
x, y : integer;
end record;
end package;
use work.pack.all;
entity sub2 is
generic ( r : rec );
end entity;
entity genmap is
end entity;
use work.pack.all;
architecture test of genmap is
begin
u1: entity work.sub1
generic map ( y => ('1', '1', '0'), x => 2 );
u2: entity work.sub1
generic map ( y => "101" );
u3: entity work.sub1
generic map (
0, y(1) => '1', y(2) => '0', y(3) => '1' );
u4: entity work.sub2
generic map ( r.y => 3, r.x => 2 );
end architecture;
| gpl-3.0 | b69f26028a9e4509dbbd4336998acccc | 0.530435 | 3.0131 | false | false | false | false |
nickg/nvc | test/regress/vests12.vhd | 1 | 57,306 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc754.vhd,v 1.2 2001-10-26 16:30:00 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
package c01s01b01x01p05n02i00754pkg is
subtype hi_to_low_range is integer range 0 to 7;
type boolean_vector is array (natural range <>) of boolean;
type severity_level_vector is array (natural range <>) of severity_level;
type integer_vector is array (natural range <>) of integer;
type real_vector is array (natural range <>) of real;
type time_vector is array (natural range <>) of time;
type natural_vector is array (natural range <>) of natural;
type positive_vector is array (natural range <>) of positive;
subtype boolean_vector_st is boolean_vector(0 to 15);
subtype severity_level_vector_st is severity_level_vector(0 to 15);
subtype integer_vector_st is integer_vector(0 to 15);
subtype real_vector_st is real_vector(0 to 15);
subtype time_vector_st is time_vector(0 to 15);
subtype natural_vector_st is natural_vector(0 to 15);
subtype positive_vector_st is positive_vector(0 to 15);
type boolean_cons_vector is array (15 downto 0) of boolean;
type severity_level_cons_vector is array (15 downto 0) of severity_level;
type integer_cons_vector is array (15 downto 0) of integer;
type real_cons_vector is array (15 downto 0) of real;
type time_cons_vector is array (15 downto 0) of time;
type natural_cons_vector is array (15 downto 0) of natural;
type positive_cons_vector is array (15 downto 0) of positive;
type boolean_cons_vectorofvector is array (0 to 15) of boolean_cons_vector;
type severity_level_cons_vectorofvector is array (0 to 15) of severity_level_cons_vector;
type integer_cons_vectorofvector is array (0 to 15) of integer_cons_vector ;
type real_cons_vectorofvector is array (0 to 15) of real_cons_vector;
type time_cons_vectorofvector is array (0 to 15) of time_cons_vector;
type natural_cons_vectorofvector is array (0 to 15) of natural_cons_vector;
type positive_cons_vectorofvector is array (0 to 15) of positive_cons_vector;
type record_std_package is record
a: boolean;
b: bit;
c:character;
d:severity_level;
e:integer;
f:real;
g:time;
h:natural;
i:positive;
j:string(1 to 7);
k:bit_vector(0 to 3);
end record;
type record_array_st is record
a:boolean_vector_st;
b:severity_level_vector_st;
c:integer_vector_st;
d:real_vector_st;
e:time_vector_st;
f:natural_vector_st;
g:positive_vector_st;
end record;
type record_cons_array is record
a:boolean_cons_vector;
b:severity_level_cons_vector;
c:integer_cons_vector;
d:real_cons_vector;
e:time_cons_vector;
f:natural_cons_vector;
g:positive_cons_vector;
end record;
type record_cons_arrayofarray is record
a:boolean_cons_vectorofvector;
b:severity_level_cons_vectorofvector;
c:integer_cons_vectorofvector;
d:real_cons_vectorofvector;
e:time_cons_vectorofvector;
f:natural_cons_vectorofvector;
g:positive_cons_vectorofvector;
end record;
type record_array_new is record
a:boolean_vector(0 to 15);
b:severity_level_vector(0 to 15);
c:integer_vector(0 to 15);
d:real_vector(0 to 15);
e:time_vector(0 to 15);
f:natural_vector(0 to 15);
g:positive_vector(0 to 15);
end record;
type record_of_records is record
a: record_std_package;
c: record_cons_array;
g: record_cons_arrayofarray;
i: record_array_st;
j: record_array_new;
end record;
subtype boolean_vector_range is boolean_vector(hi_to_low_range);
subtype severity_level_vector_range is severity_level_vector(hi_to_low_range);
subtype integer_vector_range is integer_vector(hi_to_low_range);
subtype real_vector_range is real_vector(hi_to_low_range);
subtype time_vector_range is time_vector(hi_to_low_range);
subtype natural_vector_range is natural_vector(hi_to_low_range);
subtype positive_vector_range is positive_vector(hi_to_low_range);
type array_rec_std is array (integer range <>) of record_std_package;
type array_rec_cons is array (integer range <>) of record_cons_array;
type array_rec_rec is array (integer range <>) of record_of_records;
subtype array_rec_std_st is array_rec_std (hi_to_low_range);
subtype array_rec_cons_st is array_rec_cons (hi_to_low_range);
subtype array_rec_rec_st is array_rec_rec (hi_to_low_range);
type record_of_arr_of_record is record
a: array_rec_std(0 to 7);
b: array_rec_cons(0 to 7);
c: array_rec_rec(0 to 7);
end record;
type current is range -2147483647 to +2147483647
units
nA;
uA = 1000 nA;
mA = 1000 uA;
A = 1000 mA;
end units;
type current_vector is array (natural range <>) of current;
subtype current_vector_range is current_vector(hi_to_low_range);
type resistance is range -2147483647 to +2147483647
units
uOhm;
mOhm = 1000 uOhm;
Ohm = 1000 mOhm;
KOhm = 1000 Ohm;
end units;
type resistance_vector is array (natural range <>) of resistance;
subtype resistance_vector_range is resistance_vector(hi_to_low_range);
type byte is array(0 to 7) of bit;
subtype word is bit_vector(0 to 15); --constrained array
constant size :integer := 7;
type primary_memory is array(0 to size) of word; --array of an array
type primary_memory_module is --record with field
record --as an array
enable:bit;
memory_number:primary_memory;
end record;
type whole_memory is array(0 to size) of primary_memory_module; --array of a complex record
subtype delay is integer range 1 to 10;
constant C1 : boolean := true;
constant C2 : bit := '1';
constant C3 : character := 's';
constant C4 : severity_level := note;
constant C5 : integer := 3;
constant C6 : real := 3.0;
constant C7 : time := 3 ns;
constant C8 : natural := 1;
constant C9 : positive := 1;
constant C10 : string := "shishir";
constant C11 : bit_vector := B"0011";
constant C12 : boolean_vector := (C1,false);
constant C13 : severity_level_vector := (C4,error);
constant C14 : integer_vector := (1,2,3,4);
constant C15 : real_vector := (1.0,2.0,C6,4.0);
constant C16 : time_vector := (1 ns, 2 ns,C7, 4 ns);
constant C17 : natural_vector := (1,2,3,4);
constant C18 : positive_vector := (1,2,3,4);
constant C19 : boolean_cons_vector := (others => C1);
constant C20 : severity_level_cons_vector := (others => C4);
constant C21 : integer_cons_vector := (others => C5);
constant C22 : real_cons_vector := (others => C6);
constant C23 : time_cons_vector := (others => C7);
constant C24 : natural_cons_vector := (others => C8);
constant C25 : positive_cons_vector := (others => C9);
constant C26 : boolean_cons_vectorofvector := (others => (others => C1));
constant C27 : severity_level_cons_vectorofvector := (others => (others => C4));
constant C28 : integer_cons_vectorofvector := (others => (others => C5));
constant C29 : real_cons_vectorofvector := (others => (others => C6));
constant C30 : time_cons_vectorofvector := (others => (others => C7));
constant C31 : natural_cons_vectorofvector := (others => (others => C8));
constant C32 : positive_cons_vectorofvector := (others => (others => C9));
constant C50 : record_std_package := (C1,C2,C3,C4,C5,C6,C7,C8,C9,C10,C11);
constant C51 : record_cons_array := (C19,C20,C21,C22,C23,C24,C25);
constant C53 : record_cons_arrayofarray := (C26,C27,C28,C29,C30,C31,C32);
constant C70 : boolean_vector_st :=(others => C1);
constant C71 : severity_level_vector_st:= (others => C4);
constant C72 : integer_vector_st:=(others => C5);
constant C73 : real_vector_st:=(others => C6);
constant C74 : time_vector_st:=(others => C7);
constant C75 : natural_vector_st:=(others => C8);
constant C76 : positive_vector_st:=(others => C9);
constant C77 : record_array_st := (C70,C71,C72,C73,C74,C75,C76);
constant C54a : record_array_st := (C70,C71,C72,C73,C74,C75,C76);
constant C54b : record_array_new:= (C70,C71,C72,C73,C74,C75,C76);
constant C55 : record_of_records := (C50,C51,C53,C77,C54b);
constant C60 : byte := (others => '0');
constant C61 : word := (others =>'0' );
constant C64 : primary_memory := (others => C61);
constant C65 : primary_memory_module := ('1',C64);
constant C66 : whole_memory := (others => C65);
constant C67 : current := 1 A;
constant C68 : resistance := 1 Ohm;
constant C69 : delay := 2;
constant C78 : boolean_vector_range := (others => C1);
constant C79 : severity_level_vector_range := (others => C4) ;
constant C80 : integer_vector_range :=(others => C5) ;
constant C81 : real_vector_range :=(others => C6);
constant C82 : time_vector_range :=(others => C7);
constant C83 : natural_vector_range :=(others => C8);
constant C84 : positive_vector_range :=(others => C9);
constant C85 : array_rec_std(0 to 7) :=(others => C50) ;
constant C86 : array_rec_cons (0 to 7) :=(others => C51);
constant C88 : array_rec_rec(0 to 7) :=(others => C55);
constant C102 : record_of_arr_of_record:= (C85,C86,C88);
end c01s01b01x01p05n02i00754pkg;
use work.c01s01b01x01p05n02i00754pkg.all;
ENTITY vests12 IS
generic(
zero : integer := 0;
one : integer := 1;
two : integer := 2;
three: integer := 3;
four : integer := 4;
five : integer := 5;
six : integer := 6;
seven: integer := 7;
eight: integer := 8;
nine : integer := 9;
fifteen:integer:= 15;
C1 : boolean := true;
C2 : bit := '1';
C3 : character := 's';
C4 : severity_level := note;
C5 : integer := 3;
C6 : real := 3.0;
C7 : time := 3 ns;
C8 : natural := 1;
C9 : positive := 1;
C10 : string := "shishir";
C11 : bit_vector := B"0011"
);
port(
S1 : boolean_vector(zero to fifteen);
S2 : severity_level_vector(zero to fifteen);
S3 : integer_vector(zero to fifteen);
S4 : real_vector(zero to fifteen);
S5 : time_vector (zero to fifteen);
S6 : natural_vector(zero to fifteen);
S7 : positive_vector(zero to fifteen);
S8 : boolean_cons_vector;
S9 : severity_level_cons_vector ;
S10 : integer_cons_vector;
S11 : real_cons_vector;
S12 : time_cons_vector ;
S13 : natural_cons_vector ;
S14 : positive_cons_vector ;
S15 : boolean_cons_vectorofvector;
S16 : severity_level_cons_vectorofvector;
S17 : integer_cons_vectorofvector;
S18 : real_cons_vectorofvector;
S19 : time_cons_vectorofvector;
S20 : natural_cons_vectorofvector;
S21 : positive_cons_vectorofvector;
S22 : record_std_package;
S23 : record_cons_array;
S24 : record_cons_arrayofarray ;
S25 : boolean_vector_st;
S26 : severity_level_vector_st;
S27 : integer_vector_st;
S28 : real_vector_st;
S29 : time_vector_st;
S30 : natural_vector_st;
S31 : positive_vector_st;
S32 : record_array_st;
S33 : record_array_st;
S34 : record_array_new;
S35 : record_of_records;
S36 : byte;
S37 : word;
S38 : current_vector(zero to three);
S39 : resistance_vector(zero to three);
S40 : delay;
S41 : boolean_vector_range;
S42 : severity_level_vector_range ;
S43 : integer_vector_range ;
S44 : real_vector_range ;
S45 : time_vector_range ;
S46 : natural_vector_range ;
S47 : positive_vector_range ;
S48 : array_rec_std(zero to seven);
S49 : array_rec_cons(zero to seven);
S50 : array_rec_rec(zero to seven);
S51 : record_of_arr_of_record
);
END vests12;
ARCHITECTURE c01s01b01x01p05n02i00754arch OF vests12 IS
BEGIN
assert (S1'left = 0) report " boolean_vector(zero to fifteen) error in the left generic value" severity error;
assert (S2'left = 0) report " severity_level_vector(zero to fifteen) error in the left generic value" severity error;
assert (S3'left = 0) report " integer_vector(zero to fifteen) error in the left generic value" severity error;
assert (S4'left = 0) report " real_vector(zero to fifteen) error in the left generic value" severity error;
assert (S5'left = 0) report " time_vector (zero to fifteen) error in the left generic value" severity error;
assert (S6'left = 0) report " natural_vector(zero to fifteen) error in the left generic value" severity error;
assert (S7'left = 0) report " positive_vector(zero to fifteen) error in the left generic value" severity error;
assert (S8'left = 15) report " boolean_cons_vector error in the left generic value" severity error;
assert (S9'left = 15) report " severity_level_cons_vector error in the left generic value" severity error;
assert (S10'left = 15) report " integer_cons_vector error in the left generic value" severity error;
assert (S11'left = 15) report " real_cons_vector error in the left generic value" severity error;
assert (S12'left = 15) report " time_cons_vector error in the left generic value" severity error;
assert (S13'left = 15) report " natural_cons_vector error in the left generic value" severity error;
assert (S14'left = 15) report " positive_cons_vector error in the left generic value" severity error;
assert (S15'left = 0) report " boolean_cons_vectorofvector error in the left generic value" severity error;
assert (S16'left = 0) report " severity_level_cons_vectorofvector error in the left generic value" severity error;
assert (S17'left = 0) report " integer_cons_vectorofvector error in the left generic value" severity error;
assert (S18'left = 0) report " real_cons_vectorofvector error in the left generic value" severity error;
assert (S19'left = 0) report " time_cons_vectorofvector error in the left generic value" severity error;
assert (S20'left = 0) report " natural_cons_vectorofvector error in the left generic value" severity error;
assert (S21'left = 0) report " positive_cons_vectorofvector error in the left generic value" severity error;
assert (S22.j'left = 1) report " record_std_package error in the left generic value" severity error;
assert (S22.k'left = 0) report " record_std_package error in the left generic value" severity error;
assert (S23.a'left = 15) report " record_cons_array error in the left generic value" severity error;
assert (S23.b'left = 15) report " record_cons_array error in the left generic value" severity error;
assert (S23.c'left = 15) report " record_cons_array error in the left generic value" severity error;
assert (S23.d'left = 15) report " record_cons_array error in the left generic value" severity error;
assert (S23.e'left = 15) report " record_cons_array error in the left generic value" severity error;
assert (S23.f'left = 15) report " record_cons_array error in the left generic value" severity error;
assert (S23.g'left = 15) report " record_cons_array error in the left generic value" severity error;
assert (S24.a'left = 0) report " record_cons_arrayofarray error in the left generic value" severity error;
assert (S24.b'left = 0) report " record_cons_arrayofarray error in the left generic value" severity error;
assert (S24.c'left = 0) report " record_cons_arrayofarray error in the left generic value" severity error;
assert (S24.d'left = 0) report " record_cons_arrayofarray error in the left generic value" severity error;
assert (S24.e'left = 0) report " record_cons_arrayofarray error in the left generic value" severity error;
assert (S24.f'left = 0) report " record_cons_arrayofarray error in the left generic value" severity error;
assert (S24.g'left = 0) report " record_cons_arrayofarray error in the left generic value" severity error;
assert (S25'left = 0) report " boolean_vector_st error in the left generic value" severity error;
assert (S26'left = 0) report " severity_level_vector_st error in the left generic value" severity error;
assert (S27'left = 0) report " integer_vector_st error in the left generic value" severity error;
assert (S28'left = 0) report " real_vector_st error in the left generic value" severity error;
assert (S29'left = 0) report " time_vector_st error in the left generic value" severity error;
assert (S30'left = 0) report " natural_vector_st error in the left generic value" severity error;
assert (S31'left = 0) report " positive_vector_st error in the left generic value" severity error;
assert (S32.a'left = 0) report " record_array_st error in the left generic value" severity error;
assert (S32.b'left = 0) report " record_array_st error in the left generic value" severity error;
assert (S32.c'left = 0) report " record_array_st error in the left generic value" severity error;
assert (S32.d'left = 0) report " record_array_st error in the left generic value" severity error;
assert (S32.e'left = 0) report " record_array_st error in the left generic value" severity error;
assert (S32.f'left = 0) report " record_array_st error in the left generic value" severity error;
assert (S32.g'left = 0) report " record_array_st error in the left generic value" severity error;
assert (S34.a'left = 0) report " record_array_new error in the left generic value" severity error;
assert (S34.b'left = 0) report " record_array_new error in the left generic value" severity error;
assert (S34.c'left = 0) report " record_array_new error in the left generic value" severity error;
assert (S34.d'left = 0) report " record_array_new error in the left generic value" severity error;
assert (S34.e'left = 0) report " record_array_new error in the left generic value" severity error;
assert (S34.f'left = 0) report " record_array_new error in the left generic value" severity error;
assert (S34.g'left = 0) report " record_array_new error in the left generic value" severity error;
assert (S36'left = 0) report " byte error in the left generic value" severity error;
assert (S37'left = 0) report " word error in the left generic value" severity error;
assert (S38'left = 0) report " current_vector(zero to three) error in the left generic value" severity error;
assert (S39'left = 0) report " resistance_vector(zero to three) error in the left generic value" severity error;
--assert (S40'left = 1) report " delay error in the left generic value" severity error;
assert (S41'left = 0) report " boolean_vector_range error in the left generic value" severity error;
assert (S42'left = 0) report " severity_level_vector_range error in the left generic value" severity error;
assert (S43'left = 0) report " integer_vector_range error in the left generic value" severity error;
assert (S44'left = 0) report " real_vector_range error in the left generic value" severity error;
assert (S45'left = 0) report " time_vector_range error in the left generic value" severity error;
assert (S46'left = 0) report " natural_vector_range error in the left generic value" severity error;
assert (S47'left = 0) report " positive_vector_range error in the left generic value" severity error;
assert (S48'left = 0) report " array_rec_std(zero to seven) error in the left generic value" severity error;
assert (S49'left = 0) report " array_rec_cons(zero to seven) error in the left generic value" severity error;
assert (S50'left = 0) report " array_rec_rec(zero to seven) error in the left generic value" severity error;
assert (S51.a'left = 0) report " record_of_arr_of_record error in the left generic value" severity error;
assert (S51.b'left = 0) report " record_of_arr_of_record error in the left generic value" severity error;
assert (S51.c'left = 0) report " record_of_arr_of_record error in the left generic value" severity error;
assert (S1'right = 15) report " boolean_vector(zero to fifteen) error in the right generic value" severity error;
assert (S2'right = 15) report " severity_level_vector(zero to fifteen) error in the right generic value" severity error;
assert (S3'right = 15) report " integer_vector(zero to fifteen) error in the right generic value" severity error;
assert (S4'right = 15) report " real_vector(zero to fifteen) error in the right generic value" severity error;
assert (S5'right = 15) report " time_vector (zero to fifteen) error in the right generic value" severity error;
assert (S6'right = 15) report " natural_vector(zero to fifteen) error in the right generic value" severity error;
assert (S7'right = 15) report " positive_vector(zero to fifteen) error in the right generic value" severity error;
assert (S8'right = 0) report " boolean_cons_vector error in the right generic value" severity error;
assert (S9'right = 0) report " severity_level_cons_vector error in the right generic value" severity error;
assert (S10'right = 0) report " integer_cons_vector error in the right generic value" severity error;
assert (S11'right = 0) report " real_cons_vector error in the right generic value" severity error;
assert (S12'right = 0) report " time_cons_vector error in the right generic value" severity error;
assert (S13'right = 0) report " natural_cons_vector error in the right generic value" severity error;
assert (S14'right = 0) report " positive_cons_vector error in the right generic value" severity error;
assert (S15'right = 15) report " boolean_cons_vectorofvector error in the right generic value" severity error;
assert (S16'right = 15) report " severity_level_cons_vectorofvector error in the right generic value" severity error;
assert (S17'right = 15) report " integer_cons_vectorofvector error in the right generic value" severity error;
assert (S18'right = 15) report " real_cons_vectorofvector error in the right generic value" severity error;
assert (S19'right = 15) report " time_cons_vectorofvector error in the right generic value" severity error;
assert (S20'right = 15) report " natural_cons_vectorofvector error in the right generic value" severity error;
assert (S21'right = 15) report " positive_cons_vectorofvector error in the right generic value" severity error;
assert (S22.j'right = 7) report " record_std_package error in the right generic value" severity error;
assert (S22.k'right = 3) report " record_std_package error in the right generic value" severity error;
assert (S23.a'right = 0) report " record_cons_array error in the right generic value" severity error;
assert (S23.b'right = 0) report " record_cons_array error in the right generic value" severity error;
assert (S23.c'right = 0) report " record_cons_array error in the right generic value" severity error;
assert (S23.d'right = 0) report " record_cons_array error in the right generic value" severity error;
assert (S23.e'right = 0) report " record_cons_array error in the right generic value" severity error;
assert (S23.f'right = 0) report " record_cons_array error in the right generic value" severity error;
assert (S23.g'right = 0) report " record_cons_array error in the right generic value" severity error;
assert (S24.a'right = 15) report " record_cons_arrayofarray error in the right generic value" severity error;
assert (S24.b'right = 15) report " record_cons_arrayofarray error in the right generic value" severity error;
assert (S24.c'right = 15) report " record_cons_arrayofarray error in the right generic value" severity error;
assert (S24.d'right = 15) report " record_cons_arrayofarray error in the right generic value" severity error;
assert (S24.e'right = 15) report " record_cons_arrayofarray error in the right generic value" severity error;
assert (S24.f'right = 15) report " record_cons_arrayofarray error in the right generic value" severity error;
assert (S24.g'right = 15) report " record_cons_arrayofarray error in the right generic value" severity error;
assert (S25'right = 15) report " boolean_vector_st error in the right generic value" severity error;
assert (S26'right = 15) report " severity_level_vector_st error in the right generic value" severity error;
assert (S27'right = 15) report " integer_vector_st error in the right generic value" severity error;
assert (S28'right = 15) report " real_vector_st error in the right generic value" severity error;
assert (S29'right = 15) report " time_vector_st error in the right generic value" severity error;
assert (S30'right = 15) report " natural_vector_st error in the right generic value" severity error;
assert (S31'right = 15) report " positive_vector_st error in the right generic value" severity error;
assert (S32.a'right = 15) report " record_array_st error in the right generic value" severity error;
assert (S32.b'right = 15) report " record_array_st error in the right generic value" severity error;
assert (S32.c'right = 15) report " record_array_st error in the right generic value" severity error;
assert (S32.d'right = 15) report " record_array_st error in the right generic value" severity error;
assert (S32.e'right = 15) report " record_array_st error in the right generic value" severity error;
assert (S32.f'right = 15) report " record_array_st error in the right generic value" severity error;
assert (S32.g'right = 15) report " record_array_st error in the right generic value" severity error;
assert (S34.a'right = 15) report " record_array_new error in the right generic value" severity error;
assert (S34.b'right = 15) report " record_array_new error in the right generic value" severity error;
assert (S34.c'right = 15) report " record_array_new error in the right generic value" severity error;
assert (S34.d'right = 15) report " record_array_new error in the right generic value" severity error;
assert (S34.e'right = 15) report " record_array_new error in the right generic value" severity error;
assert (S34.f'right = 15) report " record_array_new error in the right generic value" severity error;
assert (S34.g'right = 15) report " record_array_new error in the right generic value" severity error;
assert (S36'right = 7) report " byte error in the right generic value" severity error;
assert (S37'right = 15) report " word error in the right generic value" severity error;
assert (S38'right = 3) report " current_vector(zero to three) error in the right generic value" severity error;
assert (S39'right = 3) report " resistance_vector(zero to three) error in the right generic value" severity error;
--assert (S40'right = 1) report " delay error in the right generic value" severity error;
assert (S41'right = 7) report " boolean_vector_range error in the right generic value" severity error;
assert (S42'right = 7) report " severity_level_vector_range error in the right generic value" severity error;
assert (S43'right = 7) report " integer_vector_range error in the right generic value" severity error;
assert (S44'right = 7) report " real_vector_range error in the right generic value" severity error;
assert (S45'right = 7) report " time_vector_range error in the right generic value" severity error;
assert (S46'right = 7) report " natural_vector_range error in the right generic value" severity error;
assert (S47'right = 7) report " positive_vector_range error in the right generic value" severity error;
assert (S48'right = 7) report " array_rec_std(zero to seven) error in the right generic value" severity error;
assert (S49'right = 7) report " array_rec_cons(zero to seven) error in the right generic value" severity error;
assert (S50'right = 7) report " array_rec_rec(zero to seven) error in the right generic value" severity error;
assert (S51.a'right = 7) report " record_of_arr_of_record error in the right generic value" severity error;
assert (S51.b'right = 7) report " record_of_arr_of_record error in the right generic value" severity error;
assert (S51.c'right = 7) report " record_of_arr_of_record error in the right generic value" severity error;
assert (S1'length = 16) report " boolean_vector(zero to fifteen) error in the length generic value" severity error;
assert (S2'length = 16) report " severity_level_vector(zero to fifteen) error in the length generic value" severity error;
assert (S3'length = 16) report " integer_vector(zero to fifteen) error in the length generic value" severity error;
assert (S4'length = 16) report " real_vector(zero to fifteen) error in the length generic value" severity error;
assert (S5'length = 16) report " time_vector (zero to fifteen) error in the length generic value" severity error;
assert (S6'length = 16) report " natural_vector(zero to fifteen) error in the length generic value" severity error;
assert (S7'length = 16) report " positive_vector(zero to fifteen) error in the length generic value" severity error;
assert (S8'length = 16) report " boolean_cons_vector error in the length generic value" severity error;
assert (S9'length = 16) report " severity_level_cons_vector error in the length generic value" severity error;
assert (S10'length = 16) report " integer_cons_vector error in the length generic value" severity error;
assert (S11'length = 16) report " real_cons_vector error in the length generic value" severity error;
assert (S12'length = 16) report " time_cons_vector error in the length generic value" severity error;
assert (S13'length = 16) report " natural_cons_vector error in the length generic value" severity error;
assert (S14'length = 16) report " positive_cons_vector error in the length generic value" severity error;
assert (S15'length = 16) report " boolean_cons_vectorofvector error in the length generic value" severity error;
assert (S16'length = 16) report " severity_level_cons_vectorofvector error in the length generic value" severity error;
assert (S17'length = 16) report " integer_cons_vectorofvector error in the length generic value" severity error;
assert (S18'length = 16) report " real_cons_vectorofvector error in the length generic value" severity error;
assert (S19'length = 16) report " time_cons_vectorofvector error in the length generic value" severity error;
assert (S20'length = 16) report " natural_cons_vectorofvector error in the length generic value" severity error;
assert (S21'length = 16) report " positive_cons_vectorofvector error in the length generic value" severity error;
assert (S22.j'length = 7) report " record_std_package error in the length generic value" severity error;
assert (S22.k'length = 4) report " record_std_package error in the length generic value" severity error;
assert (S23.a'length = 16) report " record_cons_array error in the length generic value" severity error;
assert (S23.b'length = 16) report " record_cons_array error in the length generic value" severity error;
assert (S23.c'length = 16) report " record_cons_array error in the length generic value" severity error;
assert (S23.d'length = 16) report " record_cons_array error in the length generic value" severity error;
assert (S23.e'length = 16) report " record_cons_array error in the length generic value" severity error;
assert (S23.f'length = 16) report " record_cons_array error in the length generic value" severity error;
assert (S23.g'length = 16) report " record_cons_array error in the length generic value" severity error;
assert (S24.a'length = 16) report " record_cons_arrayofarray error in the length generic value" severity error;
assert (S24.b'length = 16) report " record_cons_arrayofarray error in the length generic value" severity error;
assert (S24.c'length = 16) report " record_cons_arrayofarray error in the length generic value" severity error;
assert (S24.d'length = 16) report " record_cons_arrayofarray error in the length generic value" severity error;
assert (S24.e'length = 16) report " record_cons_arrayofarray error in the length generic value" severity error;
assert (S24.f'length = 16) report " record_cons_arrayofarray error in the length generic value" severity error;
assert (S24.g'length = 16) report " record_cons_arrayofarray error in the length generic value" severity error;
assert (S25'length = 16) report " boolean_vector_st error in the length generic value" severity error;
assert (S26'length = 16) report " severity_level_vector_st error in the length generic value" severity error;
assert (S27'length = 16) report " integer_vector_st error in the length generic value" severity error;
assert (S28'length = 16) report " real_vector_st error in the length generic value" severity error;
assert (S29'length = 16) report " time_vector_st error in the length generic value" severity error;
assert (S30'length = 16) report " natural_vector_st error in the length generic value" severity error;
assert (S31'length = 16) report " positive_vector_st error in the length generic value" severity error;
assert (S32.a'length = 16) report " record_array_st error in the length generic value" severity error;
assert (S32.b'length = 16) report " record_array_st error in the length generic value" severity error;
assert (S32.c'length = 16) report " record_array_st error in the length generic value" severity error;
assert (S32.d'length = 16) report " record_array_st error in the length generic value" severity error;
assert (S32.e'length = 16) report " record_array_st error in the length generic value" severity error;
assert (S32.f'length = 16) report " record_array_st error in the length generic value" severity error;
assert (S32.g'length = 16) report " record_array_st error in the length generic value" severity error;
assert (S34.a'length = 16) report " record_array_new error in the length generic value" severity error;
assert (S34.b'length = 16) report " record_array_new error in the length generic value" severity error;
assert (S34.c'length = 16) report " record_array_new error in the length generic value" severity error;
assert (S34.d'length = 16) report " record_array_new error in the length generic value" severity error;
assert (S34.e'length = 16) report " record_array_new error in the length generic value" severity error;
assert (S34.f'length = 16) report " record_array_new error in the length generic value" severity error;
assert (S34.g'length = 16) report " record_array_new error in the length generic value" severity error;
assert (S36'length = 8) report " byte error in the length generic value" severity error;
assert (S37'length = 16) report " word error in the length generic value" severity error;
assert (S38'length = 4) report " current_vector(zero to three) error in the length generic value" severity error;
assert (S39'length = 4) report " resistance_vector(zero to three) error in the length generic value" severity error;
--assert (S40'length = 1) report " delay error in the length generic value" severity error;
assert (S41'length = 8) report " boolean_vector_range error in the length generic value" severity error;
assert (S42'length = 8) report " severity_level_vector_range error in the length generic value" severity error;
assert (S43'length = 8) report " integer_vector_range error in the length generic value" severity error;
assert (S44'length = 8) report " real_vector_range error in the length generic value" severity error;
assert (S45'length = 8) report " time_vector_range error in the length generic value" severity error;
assert (S46'length = 8) report " natural_vector_range error in the length generic value" severity error;
assert (S48'length = 8) report " positive_vector_range error in the length generic value" severity error;
assert (S48'length = 8) report " array_rec_std(zero to seven) error in the length generic value" severity error;
assert (S49'length = 8) report " array_rec_cons(zero to seven) error in the length generic value" severity error;
assert (S50'length = 8) report " array_rec_rec(zero to seven) error in the length generic value" severity error;
assert (S51.a'length = 8) report " record_of_arr_of_record error in the length generic value" severity error;
assert (S51.b'length = 8) report " record_of_arr_of_record error in the length generic value" severity error;
assert (S51.c'length = 8) report " record_of_arr_of_record error in the length generic value" severity error;
TESTING: PROCESS
BEGIN
assert NOT( (S1'left = 0) and
(S2'left = 0) and
(S3'left = 0) and
(S4'left = 0) and
(S5'left = 0) and
(S6'left = 0) and
(S7'left = 0) and
(S8'left = 15) and
(S9'left = 15) and
(S10'left = 15) and
(S11'left = 15) and
(S12'left = 15) and
(S13'left = 15) and
(S14'left = 15) and
(S15'left = 0) and
(S16'left = 0) and
(S17'left = 0) and
(S18'left = 0) and
(S19'left = 0) and
(S20'left = 0) and
(S21'left = 0) and
(S22.j'left = 1) and
(S22.k'left = 0) and
(S23.a'left = 15) and
(S23.b'left = 15) and
(S23.c'left = 15) and
(S23.d'left = 15) and
(S23.e'left = 15) and
(S23.f'left = 15) and
(S23.g'left = 15) and
(S24.a'left = 0) and
(S24.b'left = 0) and
(S24.c'left = 0) and
(S24.d'left = 0) and
(S24.e'left = 0) and
(S24.f'left = 0) and
(S24.g'left = 0) and
(S25'left = 0) and
(S26'left = 0) and
(S27'left = 0) and
(S28'left = 0) and
(S29'left = 0) and
(S30'left = 0) and
(S31'left = 0) and
(S32.a'left = 0) and
(S32.b'left = 0) and
(S32.c'left = 0) and
(S32.d'left = 0) and
(S32.e'left = 0) and
(S32.f'left = 0) and
(S32.g'left = 0) and
(S34.a'left = 0) and
(S34.b'left = 0) and
(S34.c'left = 0) and
(S34.d'left = 0) and
(S34.e'left = 0) and
(S34.f'left = 0) and
(S34.g'left = 0) and
(S36'left = 0) and
(S37'left = 0) and
(S38'left = 0) and
(S39'left = 0) and
-- (S40'left = 1) and
(S42'left = 0) and
(S43'left = 0) and
(S44'left = 0) and
(S45'left = 0) and
(S46'left = 0) and
(S47'left = 0) and
(S48'left = 0) and
(S49'left = 0) and
(S50'left = 0) and
(S51.a'left = 0) and
(S51.b'left = 0) and
(S51.c'left = 0) and
(S1'right = 15) and
(S2'right = 15) and
(S3'right = 15) and
(S4'right = 15) and
(S5'right = 15) and
(S6'right = 15) and
(S7'right = 15) and
(S8'right = 0) and
(S9'right = 0) and
(S10'right = 0)and
(S11'right = 0) and
(S12'right = 0) and
(S13'right = 0) and
(S14'right = 0) and
(S15'right = 15) and
(S16'right = 15) and
(S17'right = 15) and
(S18'right = 15) and
(S19'right = 15) and
(S20'right = 15) and
(S21'right = 15) and
(S22.j'right = 7) and
(S22.k'right = 3) and
(S23.a'right = 0) and
(S23.b'right = 0) and
(S23.c'right = 0) and
(S23.d'right = 0) and
(S23.e'right = 0) and
(S23.f'right = 0) and
(S23.g'right = 0) and
(S24.a'right = 15) and
(S24.b'right = 15) and
(S24.c'right = 15) and
(S24.d'right = 15) and
(S24.e'right = 15) and
(S24.f'right = 15) and
(S24.g'right = 15) and
(S25'right = 15) and
(S26'right = 15) and
(S27'right = 15) and
(S28'right = 15) and
(S29'right = 15) and
(S30'right = 15) and
(S31'right = 15) and
(S32.a'right = 15) and
(S32.b'right = 15) and
(S32.c'right = 15) and
(S32.d'right = 15) and
(S32.e'right = 15) and
(S32.f'right = 15) and
(S32.g'right = 15) and
(S34.a'right = 15) and
(S34.b'right = 15) and
(S34.c'right = 15) and
(S34.d'right = 15) and
(S34.e'right = 15) and
(S34.f'right = 15) and
(S34.g'right = 15) and
(S36'right = 7) and
(S37'right = 15) and
(S38'right = 3) and
(S39'right = 3) and
-- (S40'right = 1) and
(S41'right = 7) and
(S42'right = 7) and
(S43'right = 7) and
(S44'right = 7) and
(S45'right = 7) and
(S46'right = 7) and
(S47'right = 7) and
(S48'right = 7) and
(S49'right = 7) and
(S50'right = 7) and
(S51.a'right = 7) and
(S51.b'right = 7) and
(S51.c'right = 7) and
(S1'length = 16) and
(S2'length = 16) and
(S3'length = 16) and
(S4'length = 16) and
(S5'length = 16) and
(S6'length = 16) and
(S7'length = 16) and
(S8'length = 16) and
(S9'length = 16) and
(S10'length = 16) and
(S11'length = 16) and
(S12'length = 16) and
(S13'length = 16) and
(S14'length = 16) and
(S15'length = 16) and
(S16'length = 16) and
(S17'length = 16) and
(S18'length = 16) and
(S19'length = 16) and
(S20'length = 16) and
(S21'length = 16) and
(S22.j'length = 7)and
(S22.k'length = 4) and
(S23.a'length = 16) and
(S23.b'length = 16) and
(S23.c'length = 16) and
(S23.d'length = 16) and
(S23.e'length = 16) and
(S23.f'length = 16) and
(S23.g'length = 16) and
(S24.a'length = 16) and
(S24.b'length = 16) and
(S24.c'length = 16) and
(S24.d'length = 16) and
(S24.e'length = 16) and
(S24.f'length = 16) and
(S24.g'length = 16) and
(S25'length = 16) and
(S26'length = 16) and
(S27'length = 16) and
(S28'length = 16) and
(S29'length = 16) and
(S30'length = 16) and
(S31'length = 16) and
(S32.a'length = 16) and
(S32.b'length = 16) and
(S32.c'length = 16) and
(S32.d'length = 16) and
(S32.e'length = 16) and
(S32.f'length = 16) and
(S32.g'length = 16) and
(S34.a'length = 16) and
(S34.b'length = 16) and
(S34.c'length = 16) and
(S34.d'length = 16) and
(S34.e'length = 16) and
(S34.f'length = 16) and
(S34.g'length = 16) and
(S36'length = 8) and
(S37'length = 16) and
(S38'length = 4) and
(S39'length = 4) and
-- (S40'length = 1) and
(S41'length = 8) and
(S42'length = 8) and
(S43'length = 8) and
(S44'length = 8) and
(S45'length = 8) and
(S46'length = 8) and
(S48'length = 8) and
(S48'length = 8) and
(S49'length = 8) and
(S50'length = 8) and
(S51.a'length = 8) and
(S51.b'length = 8) and
(S51.c'length = 8) )
report "***PASSED TEST: c01s01b01x01p05n02i00754"
severity NOTE;
assert ((S1'left = 0) and
(S2'left = 0) and
(S3'left = 0) and
(S4'left = 0) and
(S5'left = 0) and
(S6'left = 0) and
(S7'left = 0) and
(S8'left = 15) and
(S9'left = 15) and
(S10'left = 15) and
(S11'left = 15) and
(S12'left = 15) and
(S13'left = 15) and
(S14'left = 15) and
(S15'left = 0) and
(S16'left = 0) and
(S17'left = 0) and
(S18'left = 0) and
(S19'left = 0) and
(S20'left = 0) and
(S21'left = 0) and
(S22.j'left = 1) and
(S22.k'left = 0) and
(S23.a'left = 15) and
(S23.b'left = 15) and
(S23.c'left = 15) and
(S23.d'left = 15) and
(S23.e'left = 15) and
(S23.f'left = 15) and
(S23.g'left = 15) and
(S24.a'left = 0) and
(S24.b'left = 0) and
(S24.c'left = 0) and
(S24.d'left = 0) and
(S24.e'left = 0) and
(S24.f'left = 0) and
(S24.g'left = 0) and
(S25'left = 0) and
(S26'left = 0) and
(S27'left = 0) and
(S28'left = 0) and
(S29'left = 0) and
(S30'left = 0) and
(S31'left = 0) and
(S32.a'left = 0) and
(S32.b'left = 0) and
(S32.c'left = 0) and
(S32.d'left = 0) and
(S32.e'left = 0) and
(S32.f'left = 0) and
(S32.g'left = 0) and
(S34.a'left = 0) and
(S34.b'left = 0) and
(S34.c'left = 0) and
(S34.d'left = 0) and
(S34.e'left = 0) and
(S34.f'left = 0) and
(S34.g'left = 0) and
(S36'left = 0) and
(S37'left = 0) and
(S38'left = 0) and
(S39'left = 0) and
-- (S40'left = 1) and
(S42'left = 0) and
(S43'left = 0) and
(S44'left = 0) and
(S45'left = 0) and
(S46'left = 0) and
(S47'left = 0) and
(S48'left = 0) and
(S49'left = 0) and
(S50'left = 0) and
(S51.a'left = 0) and
(S51.b'left = 0) and
(S51.c'left = 0) and
(S1'right = 15) and
(S2'right = 15) and
(S3'right = 15) and
(S4'right = 15) and
(S5'right = 15) and
(S6'right = 15) and
(S7'right = 15) and
(S8'right = 0) and
(S9'right = 0) and
(S10'right = 0)and
(S11'right = 0) and
(S12'right = 0) and
(S13'right = 0) and
(S14'right = 0) and
(S15'right = 15) and
(S16'right = 15) and
(S17'right = 15) and
(S18'right = 15) and
(S19'right = 15) and
(S20'right = 15) and
(S21'right = 15) and
(S22.j'right = 7) and
(S22.k'right = 3) and
(S23.a'right = 0) and
(S23.b'right = 0) and
(S23.c'right = 0) and
(S23.d'right = 0) and
(S23.e'right = 0) and
(S23.f'right = 0) and
(S23.g'right = 0) and
(S24.a'right = 15) and
(S24.b'right = 15) and
(S24.c'right = 15) and
(S24.d'right = 15) and
(S24.e'right = 15) and
(S24.f'right = 15) and
(S24.g'right = 15) and
(S25'right = 15) and
(S26'right = 15) and
(S27'right = 15) and
(S28'right = 15) and
(S29'right = 15) and
(S30'right = 15) and
(S31'right = 15) and
(S32.a'right = 15) and
(S32.b'right = 15) and
(S32.c'right = 15) and
(S32.d'right = 15) and
(S32.e'right = 15) and
(S32.f'right = 15) and
(S32.g'right = 15) and
(S34.a'right = 15) and
(S34.b'right = 15) and
(S34.c'right = 15) and
(S34.d'right = 15) and
(S34.e'right = 15) and
(S34.f'right = 15) and
(S34.g'right = 15) and
(S36'right = 7) and
(S37'right = 15) and
(S38'right = 3) and
(S39'right = 3) and
-- (S40'right = 1) and
(S41'right = 7) and
(S42'right = 7) and
(S43'right = 7) and
(S44'right = 7) and
(S45'right = 7) and
(S46'right = 7) and
(S47'right = 7) and
(S48'right = 7) and
(S49'right = 7) and
(S50'right = 7) and
(S51.a'right = 7) and
(S51.b'right = 7) and
(S51.c'right = 7) and
(S1'length = 16) and
(S2'length = 16) and
(S3'length = 16) and
(S4'length = 16) and
(S5'length = 16) and
(S6'length = 16) and
(S7'length = 16) and
(S8'length = 16) and
(S9'length = 16) and
(S10'length = 16) and
(S11'length = 16) and
(S12'length = 16) and
(S13'length = 16) and
(S14'length = 16) and
(S15'length = 16) and
(S16'length = 16) and
(S17'length = 16) and
(S18'length = 16) and
(S19'length = 16) and
(S20'length = 16) and
(S21'length = 16) and
(S22.j'length = 7)and
(S22.k'length = 4) and
(S23.a'length = 16) and
(S23.b'length = 16) and
(S23.c'length = 16) and
(S23.d'length = 16) and
(S23.e'length = 16) and
(S23.f'length = 16) and
(S23.g'length = 16) and
(S24.a'length = 16) and
(S24.b'length = 16) and
(S24.c'length = 16) and
(S24.d'length = 16) and
(S24.e'length = 16) and
(S24.f'length = 16) and
(S24.g'length = 16) and
(S25'length = 16) and
(S26'length = 16) and
(S27'length = 16) and
(S28'length = 16) and
(S29'length = 16) and
(S30'length = 16) and
(S31'length = 16) and
(S32.a'length = 16) and
(S32.b'length = 16) and
(S32.c'length = 16) and
(S32.d'length = 16) and
(S32.e'length = 16) and
(S32.f'length = 16) and
(S32.g'length = 16) and
(S34.a'length = 16) and
(S34.b'length = 16) and
(S34.c'length = 16) and
(S34.d'length = 16) and
(S34.e'length = 16) and
(S34.f'length = 16) and
(S34.g'length = 16) and
(S36'length = 8) and
(S37'length = 16) and
(S38'length = 4) and
(S39'length = 4) and
-- (S40'length = 1) and
(S41'length = 8) and
(S42'length = 8) and
(S43'length = 8) and
(S44'length = 8) and
(S45'length = 8) and
(S46'length = 8) and
(S48'length = 8) and
(S48'length = 8) and
(S49'length = 8) and
(S50'length = 8) and
(S51.a'length = 8) and
(S51.b'length = 8) and
(S51.c'length = 8) )
report "***FAILED TEST: c01s01b01x01p05n02i00754 - Generic can be used to specify the size of ports."
severity ERROR;
wait;
END PROCESS TESTING;
END c01s01b01x01p05n02i00754arch;
| gpl-3.0 | 6209d4346f8c3800ef04eb6820f4f1c6 | 0.569591 | 3.607781 | false | false | false | false |
nickg/nvc | test/lower/directmap.vhd | 1 | 642 | entity bot is
port (
i : in integer;
o : out integer );
end entity;
architecture test of bot is
begin
process (i) is
begin
o <= i + 1;
end process;
end architecture;
-------------------------------------------------------------------------------
entity directmap is
end entity;
architecture test of directmap is
signal x, y : integer;
begin
uut: entity work.bot
port map ( x, y );
process is
begin
x <= 0;
wait for 1 ns;
assert y = 1;
x <= 2;
wait for 1 ns;
assert y = 3;
wait;
end process;
end architecture;
| gpl-3.0 | 19c9749587462c3e0b35b8745bf21395 | 0.465732 | 4.251656 | false | false | false | false |
tgingold/ghdl | testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1464.vhd | 4 | 1,714 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1464.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s08b00x00p02n01i01464ent IS
END c08s08b00x00p02n01i01464ent;
ARCHITECTURE c08s08b00x00p02n01i01464arch OF c08s08b00x00p02n01i01464ent IS
BEGIN
TESTING: PROCESS
variable x : integer := 1;
variable k : integer := 0;
BEGIN
case x
when 1 => k := 5;
when 2 => NULL;
when 3 => NULL;
when others => NULL;
end case;
assert FALSE
report "***FAILED TEST: c08s08b00x00p02n01i01464 - missing reserved word 'is'"
severity ERROR;
wait;
END PROCESS TESTING;
END c08s08b00x00p02n01i01464arch;
| gpl-2.0 | 711f383899ada9393a6a8b3c7d8a315f | 0.660443 | 3.693966 | false | true | false | false |
tgingold/ghdl | testsuite/gna/bug040/p_jinfo_dc_dhuff_tbl_valptr.vhd | 2 | 1,454 | library ieee;
use ieee.std_logic_1164.all;
library ieee;
use ieee.numeric_std.all;
entity p_jinfo_dc_dhuff_tbl_valptr is
port (
wa0_data : in std_logic_vector(8 downto 0);
wa0_addr : in std_logic_vector(6 downto 0);
clk : in std_logic;
ra0_addr : in std_logic_vector(6 downto 0);
ra0_data : out std_logic_vector(8 downto 0);
wa0_en : in std_logic
);
end p_jinfo_dc_dhuff_tbl_valptr;
architecture augh of p_jinfo_dc_dhuff_tbl_valptr is
-- Embedded RAM
type ram_type is array (0 to 127) of std_logic_vector(8 downto 0);
signal ram : ram_type := (others => (others => '0'));
-- Little utility functions to make VHDL syntactically correct
-- with the syntax to_integer(unsigned(vector)) when 'vector' is a std_logic.
-- This happens when accessing arrays with <= 2 cells, for example.
function to_integer(B: std_logic) return integer is
variable V: std_logic_vector(0 to 0);
begin
V(0) := B;
return to_integer(unsigned(V));
end;
function to_integer(V: std_logic_vector) return integer is
begin
return to_integer(unsigned(V));
end;
begin
-- Sequential process
-- It handles the Writes
process (clk)
begin
if rising_edge(clk) then
-- Write to the RAM
-- Note: there should be only one port.
if wa0_en = '1' then
ram( to_integer(wa0_addr) ) <= wa0_data;
end if;
end if;
end process;
-- The Read side (the outputs)
ra0_data <= ram( to_integer(ra0_addr) );
end architecture;
| gpl-2.0 | b82e8ab7561657547e2e1b3afb2829b3 | 0.675378 | 2.862205 | false | false | false | false |
tgingold/ghdl | testsuite/synth/issue1313/issue.vhdl | 1 | 544 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity issue is
end issue;
architecture beh of issue is
begin
assert "+"(unsigned'("0001"), unsigned'("0001")) = unsigned'("0010");
assert "-"(unsigned'("0001"), unsigned'("0001")) = unsigned'("0000");
assert "="(unsigned'("0001"), unsigned'("0001"));
assert "+"(signed'("0001"), signed'("0001")) = signed'("0010");
assert "-"(signed'("0001"), signed'("0001")) = signed'("0000");
assert "="(signed'("0001"), signed'("0001"));
end architecture beh;
| gpl-2.0 | ae680e6bcbf981c8186da5eaed7d6c4d | 0.612132 | 4.02963 | false | false | false | false |
tgingold/ghdl | testsuite/synth/oper01/tb_cmp01.vhdl | 1 | 1,416 | entity tb_cmp01 is
end tb_cmp01;
library ieee;
use ieee.std_logic_1164.all;
architecture behav of tb_cmp01 is
signal l : std_logic_vector(3 downto 0);
signal r : std_logic_vector(3 downto 0);
signal eq : std_logic;
signal ne : std_logic;
signal lt : std_logic;
signal le : std_logic;
signal ge : std_logic;
signal gt : std_logic;
begin
cmp01_1: entity work.cmp01
port map (
l => l,
r => r,
eq => eq,
ne => ne,
lt => lt,
le => le,
ge => ge,
gt => gt);
process
begin
l <= x"5";
r <= x"7";
wait for 1 ns;
assert eq = '0' severity failure;
assert ne = '1' severity failure;
assert lt = '1' severity failure;
assert le = '1' severity failure;
assert ge = '0' severity failure;
assert gt = '0' severity failure;
l <= x"a";
r <= x"7";
wait for 1 ns;
assert eq = '0' severity failure;
assert ne = '1' severity failure;
assert lt = '0' severity failure;
assert le = '0' severity failure;
assert ge = '1' severity failure;
assert gt = '1' severity failure;
l <= x"9";
r <= x"9";
wait for 1 ns;
assert eq = '1' severity failure;
assert ne = '0' severity failure;
assert lt = '0' severity failure;
assert le = '1' severity failure;
assert ge = '1' severity failure;
assert gt = '0' severity failure;
wait;
end process;
end behav;
| gpl-2.0 | ca481ce666429e980eb3c51f532f110b | 0.575565 | 3.270208 | false | false | false | false |
tgingold/ghdl | testsuite/gna/bug0103/repro.vhdl | 1 | 411 | entity repro is
end repro;
entity buf is
port (i : bit; o : out bit);
end buf;
architecture behav of buf is
begin
o <= i;
end behav;
architecture behav of repro is
signal a, b : bit;
signal r : bit;
begin
dut: entity work.buf port map (i => a xor b, o => r);
process
begin
a <= '0';
b <= '1';
wait for 1 ns;
assert r = '1' severity failure;
wait;
end process;
end behav;
| gpl-2.0 | f4e676ef3b57de26413c623fa133362c | 0.59854 | 3.090226 | false | false | false | false |
nickg/nvc | test/regress/issue121.vhd | 5 | 1,135 | package A is
procedure PROC_A(I:in integer; O:out integer; Z:out boolean);
procedure PROC_B(I:in integer; O:out integer; Z:out boolean);
procedure PROC_C(I:in integer; O:out integer; Z:out boolean);
end package;
package body A is
procedure PROC_A(I:in integer; O:out integer; Z:out boolean) is
begin
-- Used to abort calling forward-declared procedure
PROC_B(I,O,Z);
end procedure;
procedure PROC_B(I:in integer; O:out integer; Z:out boolean) is
begin
PROC_C(I,O,Z);
end procedure;
procedure PROC_C(I:in integer; O:out integer; Z:out boolean) is
begin
O := I;
Z := (I = 0);
end procedure;
end package body;
-------------------------------------------------------------------------------
entity issue121 is
end entity;
use work.A.all;
architecture test of issue121 is
begin
process is
variable o : integer;
variable z : boolean;
begin
proc_a(1, o, z);
assert o = 1;
assert not z;
proc_a(0, o, z);
assert o = 0;
assert z;
wait;
end process;
end architecture;
| gpl-3.0 | 09e4c72589afd928cb835fa3b7f35332 | 0.561233 | 3.61465 | false | false | false | false |
tgingold/ghdl | testsuite/gna/bug094/topb.vhdl | 1 | 498 | entity topb is
end topb;
architecture behav of topb is
signal clk : bit;
signal v : natural;
signal done : boolean := false;
begin
dut : entity work.entb
port map (clk => clk,
val => v);
process
begin
clk <= '0';
wait for 10 ns;
clk <= '1';
wait for 10 ns;
if done then
wait;
end if;
end process;
process
begin
v <= 2;
wait for 40 ns;
v <= 4;
wait for 80 ns;
done <= true;
wait;
end process;
end behav;
| gpl-2.0 | 71b747b8ab25ddbfd5e9f12717ab3ac8 | 0.542169 | 3.410959 | false | false | false | false |
tgingold/ghdl | testsuite/vests/vhdl-93/billowitch/compliant/tc2506.vhd | 4 | 1,912 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2506.vhd,v 1.2 2001-10-26 16:29:48 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s03b04x00p03n01i02506ent IS
END c07s03b04x00p03n01i02506ent;
ARCHITECTURE c07s03b04x00p03n01i02506arch OF c07s03b04x00p03n01i02506ent IS
type rec_type is
record
x : bit;
y : integer;
z : boolean;
end record;
BEGIN
TESTING: PROCESS
variable S1 :rec_type;
BEGIN
S1 := rec_type'(bit'('0'), 1, true) ;-- No_Failure_here
assert NOT(S1.x='0' and S1.y=1 and S1.z=true)
report "***PASSED TEST: c07s03b04x00p03n01i02506"
severity NOTE;
assert (S1.x='0' and S1.y=1 and S1.z=true)
report "***FAILED TEST: c07s03b04x00p03n01i02506 - Expression type does not match type mark."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s03b04x00p03n01i02506arch;
| gpl-2.0 | f1a44c81c55ac3b159b623133345ae5f | 0.655858 | 3.476364 | false | true | false | false |
tgingold/ghdl | testsuite/gna/issue635/fsm.vhdl | 1 | 31,984 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.float_pkg.all;
-- %3 = alloca [3 x [4 x i32]], align 16
entity Ram0 is
generic
(
addressWidth : in positive;
busWidth : in positive;
size : in positive
);
port
(
clk : in std_logic;
address : in unsigned(addressWidth - 1 downto 0);
writeEnable : in std_logic;
dataIn : in std_logic_vector(busWidth - 1 downto 0);
dataOut : out std_logic_vector(busWidth - 1 downto 0)
);
end Ram0;
architecture Behavioral of Ram0 is
constant alignment : positive := busWidth / 8;
constant ramSize : positive := size / alignment;
type RamType is array(natural range <>) of std_logic_vector(busWidth - 1 downto 0);
subtype RamRange is natural range 0 to ramSize;
signal ram : RamType(RamRange) := (
0 => "00000000000000000000000000000001",
1 => "00000000000000000000000000000010",
2 => "00000000000000000000000000000011",
3 => "00000000000000000000000000000100",
4 => "00000000000000000000000000000010",
5 => "00000000000000000000000000000011",
6 => "00000000000000000000000000000100",
7 => "00000000000000000000000000000101",
8 => "00000000000000000000000000000011",
9 => "00000000000000000000000000000100",
10 => "00000000000000000000000000000101",
11 => "00000000000000000000000000000110",
others => "00000000000000000000000000000000");
begin
process(clk)
variable index : RamRange;
begin
if (rising_edge(clk))
then
index := to_integer(address) / alignment;
if (writeEnable = '1')
then
ram(index) <= dataIn;
end if;
dataOut <= ram(index);
end if;
end process;
end Behavioral;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.float_pkg.all;
-- %4 = alloca [2 x [2 x [2 x i32]]], align 16
entity Ram1 is
generic
(
addressWidth : in positive;
busWidth : in positive;
size : in positive
);
port
(
clk : in std_logic;
address : in unsigned(addressWidth - 1 downto 0);
writeEnable : in std_logic;
dataIn : in std_logic_vector(busWidth - 1 downto 0);
dataOut : out std_logic_vector(busWidth - 1 downto 0)
);
end Ram1;
architecture Behavioral of Ram1 is
constant alignment : positive := busWidth / 8;
constant ramSize : positive := size / alignment;
type RamType is array(natural range <>) of std_logic_vector(busWidth - 1 downto 0);
subtype RamRange is natural range 0 to ramSize;
signal ram : RamType(RamRange) := (
0 => "00000000000000000000000000000010",
1 => "00000000000000000000000000000010",
2 => "00000000000000000000000000000011",
3 => "00000000000000000000000000000100",
4 => "00000000000000000000000000000101",
5 => "00000000000000000000000000000110",
6 => "00000000000000000000000000000111",
7 => "00000000000000000000000000001000",
others => "00000000000000000000000000000000");
begin
process(clk)
variable index : RamRange;
begin
if (rising_edge(clk))
then
index := to_integer(address) / alignment;
if (writeEnable = '1')
then
ram(index) <= dataIn;
end if;
dataOut <= ram(index);
end if;
end process;
end Behavioral;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.float_pkg.all;
-- %5 = alloca [2 x [4 x double]], align 16
entity Ram2 is
generic
(
addressWidth : in positive;
busWidth : in positive;
size : in positive
);
port
(
clk : in std_logic;
address : in unsigned(addressWidth - 1 downto 0);
writeEnable : in std_logic;
dataIn : in std_logic_vector(busWidth - 1 downto 0);
dataOut : out std_logic_vector(busWidth - 1 downto 0)
);
end Ram2;
architecture Behavioral of Ram2 is
constant alignment : positive := busWidth / 8;
constant ramSize : positive := size / alignment;
type RamType is array(natural range <>) of std_logic_vector(busWidth - 1 downto 0);
subtype RamRange is natural range 0 to ramSize;
signal ram : RamType(RamRange) := (
0 => "10011001100110011001100110011010",
1 => "00111111101110011001100110011001",
2 => "10011001100110011001100110011010",
3 => "00111111110010011001100110011001",
4 => "00110011001100110011001100110011",
5 => "00111111110100110011001100110011",
6 => "10011001100110011001100110011010",
7 => "00111111110110011001100110011001",
8 => "10011001100110011001100110011010",
9 => "00111111110010011001100110011001",
10 => "00110011001100110011001100110011",
11 => "00111111110100110011001100110011",
12 => "10011001100110011001100110011010",
13 => "00111111110110011001100110011001",
14 => "00000000000000000000000000000000",
15 => "00111111111000000000000000000000",
others => "00000000000000000000000000000000");
begin
process(clk)
variable index : RamRange;
begin
if (rising_edge(clk))
then
index := to_integer(address) / alignment;
if (writeEnable = '1')
then
ram(index) <= dataIn;
end if;
dataOut <= ram(index);
end if;
end process;
end Behavioral;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.float_pkg.all;
entity Function_Z3fooi is
port
(
clk : in std_logic;
reset : in std_logic;
input1 : in signed(31 downto 0);
output : out signed(31 downto 0);
ready : out std_logic
);
end Function_Z3fooi;
architecture behavioral of Function_Z3fooi is
signal stackPointer : unsigned(7 downto 0);
signal ramAddress : unsigned(7 downto 0);
signal ramWriteEnable : std_logic;
signal ramDataIn : std_logic_vector(31 downto 0);
signal ramDataOut : std_logic_vector(31 downto 0);
-- signals for Ram0
signal ramAddress0 : unsigned(7 downto 0);
signal ramWriteEnable0 : std_logic;
signal ramDataIn0 : std_logic_vector(31 downto 0);
signal ramDataOut0 : std_logic_vector(31 downto 0);
-- signals for Ram1
signal ramAddress1 : unsigned(7 downto 0);
signal ramWriteEnable1 : std_logic;
signal ramDataIn1 : std_logic_vector(31 downto 0);
signal ramDataOut1 : std_logic_vector(31 downto 0);
-- signals for Ram2
signal ramAddress2 : unsigned(7 downto 0);
signal ramWriteEnable2 : std_logic;
signal ramDataIn2 : std_logic_vector(31 downto 0);
signal ramDataOut2 : std_logic_vector(31 downto 0);
begin
ramInstance : entity work.Ram
generic map
(
addressWidth => 8,
busWidth => 32,
size => 256
)
port map
(
clk => clk,
address => ramAddress,
writeEnable => ramWriteEnable,
dataIn => ramDataIn,
dataOut => ramDataOut
);
ramInstance0 : entity work.Ram0
generic map
(
addressWidth => 8,
busWidth => 32,
size => 256
)
port map
(
clk => clk,
address => ramAddress0,
writeEnable => ramWriteEnable0,
dataIn => ramDataIn0,
dataOut => ramDataOut0
);
ramInstance1 : entity work.Ram1
generic map
(
addressWidth => 8,
busWidth => 32,
size => 256
)
port map
(
clk => clk,
address => ramAddress1,
writeEnable => ramWriteEnable1,
dataIn => ramDataIn1,
dataOut => ramDataOut1
);
ramInstance2 : entity work.Ram2
generic map
(
addressWidth => 8,
busWidth => 32,
size => 256
)
port map
(
clk => clk,
address => ramAddress2,
writeEnable => ramWriteEnable2,
dataIn => ramDataIn2,
dataOut => ramDataOut2
);
process(clk, reset)
type State is (block1_cycle1, block1_cycle2, block1_cycle3, block1_cycle4, block1_cycle5, block1_cycle6, block1_cycle7, block1_cycle8, block1_cycle9, block1_cycle10, block1_cycle11, block2_cycle1, block2_cycle2, block2_cycle3, block4_cycle1, block5_cycle1, block5_cycle2, block5_cycle3, block7_cycle1, block8_cycle1, block8_cycle2, block8_cycle3, block10_cycle1, block10_cycle2, block10_cycle3, block10_cycle4, block10_cycle5, block10_cycle6, block10_cycle7, block10_cycle8, block11_cycle1, block11_cycle2, block11_cycle3, block9_cycle1, block12_cycle1, block12_cycle2, block12_cycle3, block6_cycle1, block13_cycle1, block13_cycle2, block13_cycle3, block3_cycle1, block14_cycle1, block14_cycle2, block14_cycle3, block16_cycle1, block17_cycle1, block17_cycle2, block17_cycle3, block19_cycle1, block19_cycle2, block19_cycle3, block19_cycle4, block19_cycle5, block19_cycle6, block19_cycle7, block19_cycle8, block19_cycle9, block19_cycle10, block19_cycle11, block19_cycle12, block19_cycle13, block19_cycle14, block19_cycle15, block20_cycle1, block20_cycle2, block20_cycle3, block18_cycle1, block21_cycle1, block21_cycle2, block21_cycle3, block15_cycle1, block22_cycle1, block22_cycle2, block22_cycle3, block24_cycle1, block24_cycle2, block24_cycle3, block24_cycle4, block24_cycle5, block24_cycle6, block25_cycle1, block25_cycle2, block25_cycle3, block23_cycle1, block23_cycle2, block23_cycle3);
variable lastState, currentState, nextState : State;
variable retValWritten : std_logic := '0';
variable variable84 : signed(31 downto 0);
variable variable82 : signed(7 downto 0);
variable variable81 : signed(7 downto 0);
variable variable79 : signed(31 downto 0);
variable variable15 : signed(31 downto 0);
variable variable32 : signed(31 downto 0);
variable variable29 : signed(31 downto 0);
variable variable52 : signed(7 downto 0);
variable variable76 : signed(31 downto 0);
variable variable27 : signed(7 downto 0);
variable variable38 : signed(7 downto 0);
variable variable80 : signed(7 downto 0);
variable variable26 : signed(63 downto 0);
variable variable22 : signed(0 downto 0);
variable variable21 : signed(31 downto 0);
variable variable20 : signed(7 downto 0);
variable variable18 : signed(31 downto 0);
variable variable30 : signed(7 downto 0);
variable variable53 : signed(31 downto 0);
variable variable19 : signed(0 downto 0);
variable variable40 : signed(7 downto 0);
variable variable55 : signed(7 downto 0);
variable variable25 : signed(7 downto 0);
variable variable64 : signed(7 downto 0);
variable variable17 : signed(7 downto 0);
variable variable6 : signed(7 downto 0);
variable variable4 : unsigned(7 downto 0);
variable variable28 : signed(63 downto 0);
variable variable1 : unsigned(7 downto 0);
variable variable5 : signed(31 downto 0);
variable variable75 : signed(63 downto 0);
variable variable3 : unsigned(7 downto 0);
variable variable2 : unsigned(7 downto 0);
variable variable34 : signed(7 downto 0);
variable variable7 : unsigned(7 downto 0);
variable variable31 : signed(31 downto 0);
variable variable16 : signed(0 downto 0);
variable variable13 : unsigned(7 downto 0);
variable variable11 : unsigned(7 downto 0);
variable variable39 : signed(7 downto 0);
variable variable12 : unsigned(7 downto 0);
variable variable56 : signed(7 downto 0);
variable variable37 : signed(7 downto 0);
variable variable42 : signed(0 downto 0);
variable variable83 : signed(7 downto 0);
variable variable67 : signed(7 downto 0);
variable variable24 : signed(63 downto 0);
variable variable43 : signed(7 downto 0);
variable variable59 : signed(63 downto 0);
variable variable36 : signed(7 downto 0);
variable variable46 : signed(31 downto 0);
variable variable10 : unsigned(7 downto 0);
variable variable68 : signed(7 downto 0);
variable variable70 : signed(31 downto 0);
variable variable47 : signed(7 downto 0);
variable variable33 : signed(7 downto 0);
variable variable74 : signed(31 downto 0);
variable variable14 : signed(7 downto 0);
variable variable48 : signed(31 downto 0);
variable variable23 : signed(7 downto 0);
variable variable49 : signed(31 downto 0);
variable variable50 : signed(7 downto 0);
variable variable57 : signed(63 downto 0);
variable variable65 : signed(7 downto 0);
variable variable51 : signed(31 downto 0);
variable variable8 : unsigned(7 downto 0);
variable variable72 : signed(7 downto 0);
variable variable54 : signed(31 downto 0);
variable variable45 : signed(0 downto 0);
variable variable63 : signed(31 downto 0);
variable variable35 : signed(7 downto 0);
variable variable58 : signed(7 downto 0);
variable variable78 : signed(31 downto 0);
variable variable41 : signed(31 downto 0);
variable variable60 : signed(31 downto 0);
variable variable61 : signed(7 downto 0);
variable variable66 : signed(7 downto 0);
variable variable69 : signed(7 downto 0);
variable variable71 : signed(0 downto 0);
variable variable9 : unsigned(7 downto 0);
variable variable73 : signed(31 downto 0);
variable variable62 : signed(31 downto 0);
variable variable44 : signed(31 downto 0);
variable variable77 : signed(7 downto 0);
begin
if (reset = '1')
then
currentState := block1_cycle1;
stackPointer <= (others => '0');
ready <= '0';
elsif (rising_edge(clk))
then
-- default values
ready <= '0';
ramAddress <= (others => '0');
ramWriteEnable <= '0';
ramDataIn <= (others => '0');
ramAddress0 <= (others => '0');
ramWriteEnable0 <= '0';
ramDataIn0 <= (others => '0');
ramAddress1 <= (others => '0');
ramWriteEnable1 <= '0';
ramDataIn1 <= (others => '0');
ramAddress2 <= (others => '0');
ramWriteEnable2 <= '0';
ramDataIn2 <= (others => '0');
case currentState is
-- block1
when block1_cycle1 =>
-- alloca
variable1 := stackPointer;
stackPointer <= stackPointer + 4;
-- store cycle 0
ramAddress <= variable1;
ramDataIn <= std_logic_vector(input1);
ramWriteEnable <= '1';
nextState := block1_cycle2;
when block1_cycle2 =>
-- alloca
variable2 := stackPointer;
stackPointer <= stackPointer + 16;
-- load cycle 0
ramAddress <= variable1;
nextState := block1_cycle3;
when block1_cycle3 =>
-- alloca
variable3 := stackPointer;
stackPointer <= stackPointer + 16;
-- load cycle 1
nextState := block1_cycle4;
when block1_cycle4 =>
-- alloca
variable4 := stackPointer;
stackPointer <= stackPointer + 16;
-- load cycle 2
variable5 := signed(ramDataOut);
variable6 := resize(variable5, 8);
nextState := block1_cycle5;
when block1_cycle5 =>
-- alloca
variable7 := stackPointer;
stackPointer <= stackPointer + 4;
-- store cycle 0
ramAddress <= variable7;
ramDataIn(7 downto 0) <= std_logic_vector(variable6);
ramWriteEnable <= '1';
nextState := block1_cycle6;
when block1_cycle6 =>
-- alloca
variable8 := stackPointer;
stackPointer <= stackPointer + 4;
-- store cycle 0
ramAddress <= variable8;
ramDataIn(7 downto 0) <= std_logic_vector(to_signed(0, 8));
ramWriteEnable <= '1';
nextState := block1_cycle7;
when block1_cycle7 =>
-- alloca
variable9 := stackPointer;
stackPointer <= stackPointer + 4;
nextState := block1_cycle8;
when block1_cycle8 =>
-- alloca
variable10 := stackPointer;
stackPointer <= stackPointer + 4;
nextState := block1_cycle9;
when block1_cycle9 =>
-- alloca
variable11 := stackPointer;
stackPointer <= stackPointer + 4;
nextState := block1_cycle10;
when block1_cycle10 =>
-- alloca
variable12 := stackPointer;
stackPointer <= stackPointer + 4;
nextState := block1_cycle11;
when block1_cycle11 =>
-- alloca
variable13 := stackPointer;
stackPointer <= stackPointer + 4;
nextState := block2_cycle1;
-- block2
when block2_cycle1 =>
-- load cycle 0
ramAddress <= variable8;
nextState := block2_cycle2;
when block2_cycle2 =>
-- load cycle 1
nextState := block2_cycle3;
when block2_cycle3 =>
-- load cycle 2
variable14 := signed(ramDataOut(7 downto 0));
variable15 := signed(resize(unsigned(variable14), 32));
if (variable15 < to_signed(2, 32)) then
variable16 := "1";
else
variable16 := "0";
end if;
if(variable16(0) = '1')then
nextState := block4_cycle1;
else
nextState := block3_cycle1;
end if;
-- block4
when block4_cycle1 =>
-- store cycle 0
ramAddress <= variable9;
ramDataIn(7 downto 0) <= std_logic_vector(to_signed(0, 8));
ramWriteEnable <= '1';
nextState := block5_cycle1;
-- block5
when block5_cycle1 =>
-- load cycle 0
ramAddress <= variable9;
nextState := block5_cycle2;
when block5_cycle2 =>
-- load cycle 1
nextState := block5_cycle3;
when block5_cycle3 =>
-- load cycle 2
variable17 := signed(ramDataOut(7 downto 0));
variable18 := signed(resize(unsigned(variable17), 32));
if (variable18 < to_signed(2, 32)) then
variable19 := "1";
else
variable19 := "0";
end if;
if(variable19(0) = '1')then
nextState := block7_cycle1;
else
nextState := block6_cycle1;
end if;
-- block7
when block7_cycle1 =>
-- store cycle 0
ramAddress <= variable10;
ramDataIn(7 downto 0) <= std_logic_vector(to_signed(0, 8));
ramWriteEnable <= '1';
nextState := block8_cycle1;
-- block8
when block8_cycle1 =>
-- load cycle 0
ramAddress <= variable10;
nextState := block8_cycle2;
when block8_cycle2 =>
-- load cycle 1
nextState := block8_cycle3;
when block8_cycle3 =>
-- load cycle 2
variable20 := signed(ramDataOut(7 downto 0));
variable21 := signed(resize(unsigned(variable20), 32));
if (variable21 < to_signed(2, 32)) then
variable22 := "1";
else
variable22 := "0";
end if;
if(variable22(0) = '1')then
nextState := block10_cycle1;
else
nextState := block9_cycle1;
end if;
-- block10
when block10_cycle1 =>
-- load cycle 0
ramAddress <= variable8;
nextState := block10_cycle2;
when block10_cycle2 =>
-- load cycle 1
-- load cycle 0
ramAddress <= variable9;
nextState := block10_cycle3;
when block10_cycle3 =>
-- load cycle 2
variable23 := signed(ramDataOut(7 downto 0));
variable24 := signed(resize(unsigned(variable23), 64));
-- %35 = getelementptr inbounds [2 x [2 x [2 x i32]]], [2 x [2 x [2 x i32]]]* %4, i64 0, i64 %34
variable3 := unsigned(resize(unsigned(variable24 * 4), 8));
-- load cycle 1
-- load cycle 0
ramAddress <= variable10;
nextState := block10_cycle4;
when block10_cycle4 =>
-- load cycle 2
variable25 := signed(ramDataOut(7 downto 0));
variable26 := signed(resize(unsigned(variable25), 64));
-- %38 = getelementptr inbounds [2 x [2 x i32]], [2 x [2 x i32]]* %35, i64 0, i64 %37
variable3 := variable3 + unsigned(resize(unsigned(variable26 * 2), 8));
-- load cycle 1
nextState := block10_cycle5;
when block10_cycle5 =>
-- load cycle 2
variable27 := signed(ramDataOut(7 downto 0));
variable28 := signed(resize(unsigned(variable27), 64));
-- %41 = getelementptr inbounds [2 x i32], [2 x i32]* %38, i64 0, i64 %40
variable3 := variable3 + unsigned(resize(unsigned(variable28 * 1), 8));
variable3 := unsigned(resize(unsigned(variable3 * 4), 8));
-- load cycle 0
ramAddress1 <= variable3;
nextState := block10_cycle6;
when block10_cycle6 =>
-- load cycle 1
-- load cycle 0
ramAddress <= variable7;
nextState := block10_cycle7;
when block10_cycle7 =>
-- load cycle 2
variable29 := signed(ramDataOut1);
-- load cycle 1
nextState := block10_cycle8;
when block10_cycle8 =>
-- load cycle 2
variable30 := signed(ramDataOut(7 downto 0));
variable31 := signed(resize(unsigned(variable30), 32));
variable32 := variable31 + variable29;
variable33 := resize(variable32, 8);
-- store cycle 0
ramAddress <= variable7;
ramDataIn(7 downto 0) <= std_logic_vector(variable33);
ramWriteEnable <= '1';
nextState := block11_cycle1;
-- block11
when block11_cycle1 =>
-- load cycle 0
ramAddress <= variable10;
nextState := block11_cycle2;
when block11_cycle2 =>
-- load cycle 1
nextState := block11_cycle3;
when block11_cycle3 =>
-- load cycle 2
variable34 := signed(ramDataOut(7 downto 0));
variable35 := variable34 + to_signed(1, 8);
-- store cycle 0
ramAddress <= variable10;
ramDataIn(7 downto 0) <= std_logic_vector(variable35);
ramWriteEnable <= '1';
nextState := block8_cycle1;
-- block9
when block9_cycle1 =>
nextState := block12_cycle1;
-- block12
when block12_cycle1 =>
-- load cycle 0
ramAddress <= variable9;
nextState := block12_cycle2;
when block12_cycle2 =>
-- load cycle 1
nextState := block12_cycle3;
when block12_cycle3 =>
-- load cycle 2
variable36 := signed(ramDataOut(7 downto 0));
variable37 := variable36 + to_signed(1, 8);
-- store cycle 0
ramAddress <= variable9;
ramDataIn(7 downto 0) <= std_logic_vector(variable37);
ramWriteEnable <= '1';
nextState := block5_cycle1;
-- block6
when block6_cycle1 =>
nextState := block13_cycle1;
-- block13
when block13_cycle1 =>
-- load cycle 0
ramAddress <= variable8;
nextState := block13_cycle2;
when block13_cycle2 =>
-- load cycle 1
nextState := block13_cycle3;
when block13_cycle3 =>
-- load cycle 2
variable38 := signed(ramDataOut(7 downto 0));
variable39 := variable38 + to_signed(1, 8);
-- store cycle 0
ramAddress <= variable8;
ramDataIn(7 downto 0) <= std_logic_vector(variable39);
ramWriteEnable <= '1';
nextState := block2_cycle1;
-- block3
when block3_cycle1 =>
-- store cycle 0
ramAddress <= variable11;
ramDataIn(7 downto 0) <= std_logic_vector(to_signed(0, 8));
ramWriteEnable <= '1';
nextState := block14_cycle1;
-- block14
when block14_cycle1 =>
-- load cycle 0
ramAddress <= variable11;
nextState := block14_cycle2;
when block14_cycle2 =>
-- load cycle 1
nextState := block14_cycle3;
when block14_cycle3 =>
-- load cycle 2
variable40 := signed(ramDataOut(7 downto 0));
variable41 := resize(variable40, 32);
if (variable41 < to_signed(1, 32)) then
variable42 := "1";
else
variable42 := "0";
end if;
if(variable42(0) = '1')then
nextState := block16_cycle1;
else
nextState := block15_cycle1;
end if;
-- block16
when block16_cycle1 =>
-- store cycle 0
ramAddress <= variable12;
ramDataIn(7 downto 0) <= std_logic_vector(to_signed(0, 8));
ramWriteEnable <= '1';
nextState := block17_cycle1;
-- block17
when block17_cycle1 =>
-- load cycle 0
ramAddress <= variable12;
nextState := block17_cycle2;
when block17_cycle2 =>
-- load cycle 1
nextState := block17_cycle3;
when block17_cycle3 =>
-- load cycle 2
variable43 := signed(ramDataOut(7 downto 0));
variable44 := resize(variable43, 32);
if (variable44 < to_signed(2, 32)) then
variable45 := "1";
else
variable45 := "0";
end if;
if(variable45(0) = '1')then
nextState := block19_cycle1;
else
nextState := block18_cycle1;
end if;
-- block19
when block19_cycle1 =>
-- %69 = getelementptr inbounds [2 x [2 x [2 x i32]]], [2 x [2 x [2 x i32]]]* %4, i64 0, i64 1
variable3 := resize(unsigned(to_unsigned(4, 64)), 8);
-- %70 = getelementptr inbounds [2 x [2 x i32]], [2 x [2 x i32]]* %69, i64 0, i64 1
variable3 := variable3 + resize(unsigned(to_unsigned(2, 64)), 8);
-- %71 = getelementptr inbounds [2 x i32], [2 x i32]* %70, i64 0, i64 1
variable3 := variable3 + resize(unsigned(to_unsigned(1, 64)), 8);
variable3 := unsigned(resize(unsigned(variable3 * 4), 8));
-- load cycle 0
ramAddress1 <= variable3;
-- %77 = getelementptr inbounds [3 x [4 x i32]], [3 x [4 x i32]]* %3, i64 0, i64 2
variable2 := resize(unsigned(to_unsigned(6, 64)), 8);
-- %78 = getelementptr inbounds [4 x i32], [4 x i32]* %77, i64 0, i64 3
variable2 := variable2 + resize(unsigned(to_unsigned(3, 64)), 8);
variable2 := unsigned(resize(unsigned(variable2 * 4), 8));
nextState := block19_cycle2;
when block19_cycle2 =>
-- load cycle 1
-- load cycle 0
ramAddress <= variable7;
nextState := block19_cycle3;
when block19_cycle3 =>
-- load cycle 2
variable46 := signed(ramDataOut1);
-- load cycle 1
nextState := block19_cycle4;
when block19_cycle4 =>
-- load cycle 2
variable47 := signed(ramDataOut(7 downto 0));
variable48 := signed(resize(unsigned(variable47), 32));
variable49 := variable48 + variable46;
variable50 := resize(variable49, 8);
-- store cycle 0
ramAddress <= variable7;
ramDataIn(7 downto 0) <= std_logic_vector(variable50);
ramWriteEnable <= '1';
nextState := block19_cycle5;
when block19_cycle5 =>
-- load cycle 0
ramAddress0 <= variable2;
nextState := block19_cycle6;
when block19_cycle6 =>
-- load cycle 1
-- load cycle 0
ramAddress <= variable7;
nextState := block19_cycle7;
when block19_cycle7 =>
-- load cycle 2
variable51 := signed(ramDataOut0);
-- load cycle 1
nextState := block19_cycle8;
when block19_cycle8 =>
-- load cycle 2
variable52 := signed(ramDataOut(7 downto 0));
variable53 := signed(resize(unsigned(variable52), 32));
variable54 := variable53 + variable51;
variable55 := resize(variable54, 8);
-- store cycle 0
ramAddress <= variable7;
ramDataIn(7 downto 0) <= std_logic_vector(variable55);
ramWriteEnable <= '1';
nextState := block19_cycle9;
when block19_cycle9 =>
-- load cycle 0
ramAddress <= variable11;
nextState := block19_cycle10;
when block19_cycle10 =>
-- load cycle 1
-- load cycle 0
ramAddress <= variable12;
nextState := block19_cycle11;
when block19_cycle11 =>
-- load cycle 2
variable56 := signed(ramDataOut(7 downto 0));
variable57 := resize(variable56, 64);
-- %86 = getelementptr inbounds [3 x [4 x i32]], [3 x [4 x i32]]* %3, i64 0, i64 %85
variable2 := unsigned(resize(unsigned(variable57 * 3), 8));
-- load cycle 1
nextState := block19_cycle12;
when block19_cycle12 =>
-- load cycle 2
variable58 := signed(ramDataOut(7 downto 0));
variable59 := resize(variable58, 64);
-- %89 = getelementptr inbounds [4 x i32], [4 x i32]* %86, i64 0, i64 %88
variable2 := variable2 + unsigned(resize(unsigned(variable59 * 1), 8));
variable2 := unsigned(resize(unsigned(variable2 * 4), 8));
-- load cycle 0
ramAddress0 <= variable2;
nextState := block19_cycle13;
when block19_cycle13 =>
-- load cycle 1
-- load cycle 0
ramAddress <= variable7;
nextState := block19_cycle14;
when block19_cycle14 =>
-- load cycle 2
variable60 := signed(ramDataOut0);
-- load cycle 1
nextState := block19_cycle15;
when block19_cycle15 =>
-- load cycle 2
variable61 := signed(ramDataOut(7 downto 0));
variable62 := signed(resize(unsigned(variable61), 32));
variable63 := variable62 + variable60;
variable64 := resize(variable63, 8);
-- store cycle 0
ramAddress <= variable7;
ramDataIn(7 downto 0) <= std_logic_vector(variable64);
ramWriteEnable <= '1';
nextState := block20_cycle1;
-- block20
when block20_cycle1 =>
-- load cycle 0
ramAddress <= variable12;
nextState := block20_cycle2;
when block20_cycle2 =>
-- load cycle 1
nextState := block20_cycle3;
when block20_cycle3 =>
-- load cycle 2
variable65 := signed(ramDataOut(7 downto 0));
variable66 := variable65 + to_signed(1, 8);
-- store cycle 0
ramAddress <= variable12;
ramDataIn(7 downto 0) <= std_logic_vector(variable66);
ramWriteEnable <= '1';
nextState := block17_cycle1;
-- block18
when block18_cycle1 =>
nextState := block21_cycle1;
-- block21
when block21_cycle1 =>
-- load cycle 0
ramAddress <= variable11;
nextState := block21_cycle2;
when block21_cycle2 =>
-- load cycle 1
nextState := block21_cycle3;
when block21_cycle3 =>
-- load cycle 2
variable67 := signed(ramDataOut(7 downto 0));
variable68 := variable67 + to_signed(1, 8);
-- store cycle 0
ramAddress <= variable11;
ramDataIn(7 downto 0) <= std_logic_vector(variable68);
ramWriteEnable <= '1';
nextState := block14_cycle1;
-- block15
when block15_cycle1 =>
-- store cycle 0
ramAddress <= variable13;
ramDataIn(7 downto 0) <= std_logic_vector(to_signed(0, 8));
ramWriteEnable <= '1';
nextState := block22_cycle1;
-- block22
when block22_cycle1 =>
-- load cycle 0
ramAddress <= variable13;
nextState := block22_cycle2;
when block22_cycle2 =>
-- load cycle 1
nextState := block22_cycle3;
when block22_cycle3 =>
-- load cycle 2
variable69 := signed(ramDataOut(7 downto 0));
variable70 := resize(variable69, 32);
if (variable70 < to_signed(2, 32)) then
variable71 := "1";
else
variable71 := "0";
end if;
if(variable71(0) = '1')then
nextState := block24_cycle1;
else
nextState := block23_cycle1;
end if;
-- block24
when block24_cycle1 =>
-- %108 = getelementptr inbounds [3 x [4 x i32]], [3 x [4 x i32]]* %3, i64 0, i64 0
variable2 := resize(unsigned(to_unsigned(0, 64)), 8);
-- load cycle 0
ramAddress <= variable13;
nextState := block24_cycle2;
when block24_cycle2 =>
-- load cycle 1
nextState := block24_cycle3;
when block24_cycle3 =>
-- load cycle 2
variable72 := signed(ramDataOut(7 downto 0));
variable73 := resize(variable72, 32);
variable74 := variable73 + to_signed(2, 32);
variable75 := resize(variable74, 64);
-- %113 = getelementptr inbounds [4 x i32], [4 x i32]* %108, i64 0, i64 %112
variable2 := variable2 + unsigned(resize(unsigned(variable75 * 1), 8));
variable2 := unsigned(resize(unsigned(variable2 * 4), 8));
-- load cycle 0
ramAddress0 <= variable2;
nextState := block24_cycle4;
when block24_cycle4 =>
-- load cycle 1
-- load cycle 0
ramAddress <= variable7;
nextState := block24_cycle5;
when block24_cycle5 =>
-- load cycle 2
variable76 := signed(ramDataOut0);
-- load cycle 1
nextState := block24_cycle6;
when block24_cycle6 =>
-- load cycle 2
variable77 := signed(ramDataOut(7 downto 0));
variable78 := signed(resize(unsigned(variable77), 32));
variable79 := variable78 + variable76;
variable80 := resize(variable79, 8);
-- store cycle 0
ramAddress <= variable7;
ramDataIn(7 downto 0) <= std_logic_vector(variable80);
ramWriteEnable <= '1';
nextState := block25_cycle1;
-- block25
when block25_cycle1 =>
-- load cycle 0
ramAddress <= variable13;
nextState := block25_cycle2;
when block25_cycle2 =>
-- load cycle 1
nextState := block25_cycle3;
when block25_cycle3 =>
-- load cycle 2
variable81 := signed(ramDataOut(7 downto 0));
variable82 := variable81 + to_signed(1, 8);
-- store cycle 0
ramAddress <= variable13;
ramDataIn(7 downto 0) <= std_logic_vector(variable82);
ramWriteEnable <= '1';
nextState := block22_cycle1;
-- block23
when block23_cycle1 =>
-- load cycle 0
ramAddress <= variable7;
nextState := block23_cycle2;
when block23_cycle2 =>
-- load cycle 1
nextState := block23_cycle3;
when block23_cycle3 =>
-- load cycle 2
variable83 := signed(ramDataOut(7 downto 0));
variable84 := signed(resize(unsigned(variable83), 32));
ready <= '1';
if (retValWritten = '0') then
output <= variable84;
retValWritten := '1';
end if;
end case;
lastState := currentState;
currentState := nextState;
end if;
end process;
end behavioral;
| gpl-2.0 | b64b903dddd81290775d1846c99f4f80 | 0.648355 | 3.39065 | false | false | false | false |
tgingold/ghdl | testsuite/vests/vhdl-ams/ashenden/compliant/analog-modeling/tb_diode.vhd | 4 | 1,940 |
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
library IEEE;
use IEEE.std_logic_1164.all;
library IEEE_proposed;
use IEEE_proposed.electrical_systems.all;
use IEEE_proposed.thermal_systems.all;
entity tb_diode is
end tb_diode;
architecture TB_diode of tb_diode is
-- Component declarations
-- Signal declarations
terminal in_src : electrical;
terminal r1_d1 : electrical;
terminal temp_in : thermal;
begin
-- Signal assignments
-- Component instances
vio : entity work.v_sine(ideal)
generic map(
freq => 100.0,
amplitude => 5.0
)
port map(
pos => in_src,
neg => ELECTRICAL_REF
);
tmp : entity work.TempConstant(ideal)
generic map(
level => 100.0
)
port map(
th1 => temp_in,
th2 => thermal_REF
);
R1 : entity work.resistor(ideal)
generic map(
res => 100.0
)
port map(
p1 => in_src,
p2 => r1_d1
);
D1 : entity work.diode(one)
port map(
p => r1_d1,
m => electrical_ref,
j => temp_in
);
end TB_diode;
| gpl-2.0 | fa3eba1dec567b599e893fcefba03ae9 | 0.616495 | 3.983573 | false | false | false | false |
nickg/nvc | test/regress/vests27.vhd | 1 | 16,493 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1945.vhd,v 1.2 2001-10-26 16:29:44 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
package c07s02b01x00p01n02i01945pkg is
--
-- Index types for array declarations
--
SUBTYPE st_ind1 IS INTEGER RANGE 1 TO 4; -- index from 1 (POSITIVE)
SUBTYPE st_ind2 IS INTEGER RANGE 0 TO 3; -- index from 0 (NATURAL)
SUBTYPE st_ind3 IS CHARACTER RANGE 'a' TO 'd'; -- non-INTEGER index
SUBTYPE st_ind4 IS INTEGER RANGE 0 DOWNTO -3; -- descending range
--
-- Logic types for subelements
--
SUBTYPE st_scl1 IS BIT;
SUBTYPE st_scl2 IS BOOLEAN;
-- -----------------------------------------------------------------------------------------
-- Composite type declarations
-- -----------------------------------------------------------------------------------------
--
-- Unconstrained arrays
--
TYPE t_usa1_1 IS ARRAY (st_ind1 RANGE <>) OF BIT;
TYPE t_usa1_2 IS ARRAY (st_ind2 RANGE <>) OF BOOLEAN;
TYPE t_usa1_3 IS ARRAY (st_ind3 RANGE <>) OF BIT;
TYPE t_usa1_4 IS ARRAY (st_ind4 RANGE <>) OF BOOLEAN;
--
-- Constrained arrays of scalars (make compatable with unconstrained types
--
SUBTYPE t_csa1_1 IS t_usa1_1 (st_ind1);
SUBTYPE t_csa1_2 IS t_usa1_2 (st_ind2);
SUBTYPE t_csa1_3 IS t_usa1_3 (st_ind3);
SUBTYPE t_csa1_4 IS t_usa1_4 (st_ind4);
-- ----------------------------------------------------------------------------------------------
--
-- TYPE declarations for resolution function (Constrained types only)
--
TYPE t_csa1_1_vct IS ARRAY (POSITIVE RANGE <>) OF t_csa1_1;
TYPE t_csa1_2_vct IS ARRAY (POSITIVE RANGE <>) OF t_csa1_2;
TYPE t_csa1_3_vct IS ARRAY (POSITIVE RANGE <>) OF t_csa1_3;
TYPE t_csa1_4_vct IS ARRAY (POSITIVE RANGE <>) OF t_csa1_4;
end;
use work.c07s02b01x00p01n02i01945pkg.all;
ENTITY vests27 IS
END vests27;
ARCHITECTURE c07s02b01x00p01n02i01945arch OF vests27 IS
--
-- CONSTANT Declarations
--
CONSTANT ARGA_C_csa1_1 : t_csa1_1 := ( '1', '1', '0', '0' );
CONSTANT ARGA_C_usa1_1 : t_usa1_1(st_ind1) := ( '1', '1', '0', '0' );
CONSTANT ARGB_C_csa1_1 : t_csa1_1 := ( '1', '0', '1', '0' );
CONSTANT ARGB_C_usa1_1 : t_usa1_1(st_ind1) := ( '1', '0', '1', '0' );
CONSTANT AND_C_csa1_1 : t_csa1_1 := ( '1', '0', '0', '0' );
CONSTANT AND_C_usa1_1 : t_usa1_1(st_ind1) := ( '1', '0', '0', '0' );
CONSTANT ARGA_C_csa1_2 : t_csa1_2 := ( TRUE, TRUE, FALSE, FALSE );
CONSTANT ARGA_C_usa1_2 : t_usa1_2(st_ind2) := ( TRUE, TRUE, FALSE, FALSE );
CONSTANT ARGB_C_csa1_2 : t_csa1_2 := ( TRUE, FALSE, TRUE, FALSE );
CONSTANT ARGB_C_usa1_2 : t_usa1_2(st_ind2) := ( TRUE, FALSE, TRUE, FALSE );
CONSTANT AND_C_csa1_2 : t_csa1_2 := ( TRUE, FALSE, FALSE, FALSE );
CONSTANT AND_C_usa1_2 : t_usa1_2(st_ind2) := ( TRUE, FALSE, FALSE, FALSE );
CONSTANT ARGA_C_csa1_3 : t_csa1_3 := ( '1', '1', '0', '0' );
CONSTANT ARGA_C_usa1_3 : t_usa1_3(st_ind3) := ( '1', '1', '0', '0' );
CONSTANT ARGB_C_csa1_3 : t_csa1_3 := ( '1', '0', '1', '0' );
CONSTANT ARGB_C_usa1_3 : t_usa1_3(st_ind3) := ( '1', '0', '1', '0' );
CONSTANT AND_C_csa1_3 : t_csa1_3 := ( '1', '0', '0', '0' );
CONSTANT AND_C_usa1_3 : t_usa1_3(st_ind3) := ( '1', '0', '0', '0' );
CONSTANT ARGA_C_csa1_4 : t_csa1_4 := ( TRUE, TRUE, FALSE, FALSE );
CONSTANT ARGA_C_usa1_4 : t_usa1_4(st_ind4) := ( TRUE, TRUE, FALSE, FALSE );
CONSTANT ARGB_C_csa1_4 : t_csa1_4 := ( TRUE, FALSE, TRUE, FALSE );
CONSTANT ARGB_C_usa1_4 : t_usa1_4(st_ind4) := ( TRUE, FALSE, TRUE, FALSE );
CONSTANT AND_C_csa1_4 : t_csa1_4 := ( TRUE, FALSE, FALSE, FALSE );
CONSTANT AND_C_usa1_4 : t_usa1_4(st_ind4) := ( TRUE, FALSE, FALSE, FALSE );
--
-- SIGNAL Declarations
--
SIGNAL ARGA_S_csa1_1 : t_csa1_1 := ( '1', '1', '0', '0' );
SIGNAL ARGA_S_usa1_1 : t_usa1_1(st_ind1) := ( '1', '1', '0', '0' );
SIGNAL ARGB_S_csa1_1 : t_csa1_1 := ( '1', '0', '1', '0' );
SIGNAL ARGB_S_usa1_1 : t_usa1_1(st_ind1) := ( '1', '0', '1', '0' );
SIGNAL AND_S_csa1_1 : t_csa1_1 := ( '1', '0', '0', '0' );
SIGNAL AND_S_usa1_1 : t_usa1_1(st_ind1) := ( '1', '0', '0', '0' );
SIGNAL ARGA_S_csa1_2 : t_csa1_2 := ( TRUE, TRUE, FALSE, FALSE );
SIGNAL ARGA_S_usa1_2 : t_usa1_2(st_ind2) := ( TRUE, TRUE, FALSE, FALSE );
SIGNAL ARGB_S_csa1_2 : t_csa1_2 := ( TRUE, FALSE, TRUE, FALSE );
SIGNAL ARGB_S_usa1_2 : t_usa1_2(st_ind2) := ( TRUE, FALSE, TRUE, FALSE );
SIGNAL AND_S_csa1_2 : t_csa1_2 := ( TRUE, FALSE, FALSE, FALSE );
SIGNAL AND_S_usa1_2 : t_usa1_2(st_ind2) := ( TRUE, FALSE, FALSE, FALSE );
SIGNAL ARGA_S_csa1_3 : t_csa1_3 := ( '1', '1', '0', '0' );
SIGNAL ARGA_S_usa1_3 : t_usa1_3(st_ind3) := ( '1', '1', '0', '0' );
SIGNAL ARGB_S_csa1_3 : t_csa1_3 := ( '1', '0', '1', '0' );
SIGNAL ARGB_S_usa1_3 : t_usa1_3(st_ind3) := ( '1', '0', '1', '0' );
SIGNAL AND_S_csa1_3 : t_csa1_3 := ( '1', '0', '0', '0' );
SIGNAL AND_S_usa1_3 : t_usa1_3(st_ind3) := ( '1', '0', '0', '0' );
SIGNAL ARGA_S_csa1_4 : t_csa1_4 := ( TRUE, TRUE, FALSE, FALSE );
SIGNAL ARGA_S_usa1_4 : t_usa1_4(st_ind4) := ( TRUE, TRUE, FALSE, FALSE );
SIGNAL ARGB_S_csa1_4 : t_csa1_4 := ( TRUE, FALSE, TRUE, FALSE );
SIGNAL ARGB_S_usa1_4 : t_usa1_4(st_ind4) := ( TRUE, FALSE, TRUE, FALSE );
SIGNAL AND_S_csa1_4 : t_csa1_4 := ( TRUE, FALSE, FALSE, FALSE );
SIGNAL AND_S_usa1_4 : t_usa1_4(st_ind4) := ( TRUE, FALSE, FALSE, FALSE );
BEGIN
TESTING: PROCESS
--
-- VARIABLE Declarations
--
VARIABLE ARGA_V_csa1_1 : t_csa1_1 := ( '1', '1', '0', '0' );
VARIABLE ARGA_V_usa1_1 : t_usa1_1(st_ind1) := ( '1', '1', '0', '0' );
VARIABLE ARGB_V_csa1_1 : t_csa1_1 := ( '1', '0', '1', '0' );
VARIABLE ARGB_V_usa1_1 : t_usa1_1(st_ind1) := ( '1', '0', '1', '0' );
VARIABLE AND_V_csa1_1 : t_csa1_1 := ( '1', '0', '0', '0' );
VARIABLE AND_V_usa1_1 : t_usa1_1(st_ind1) := ( '1', '0', '0', '0' );
VARIABLE ARGA_V_csa1_2 : t_csa1_2 := ( TRUE, TRUE, FALSE, FALSE );
VARIABLE ARGA_V_usa1_2 : t_usa1_2(st_ind2) := ( TRUE, TRUE, FALSE, FALSE );
VARIABLE ARGB_V_csa1_2 : t_csa1_2 := ( TRUE, FALSE, TRUE, FALSE );
VARIABLE ARGB_V_usa1_2 : t_usa1_2(st_ind2) := ( TRUE, FALSE, TRUE, FALSE );
VARIABLE AND_V_csa1_2 : t_csa1_2 := ( TRUE, FALSE, FALSE, FALSE );
VARIABLE AND_V_usa1_2 : t_usa1_2(st_ind2) := ( TRUE, FALSE, FALSE, FALSE );
VARIABLE ARGA_V_csa1_3 : t_csa1_3 := ( '1', '1', '0', '0' );
VARIABLE ARGA_V_usa1_3 : t_usa1_3(st_ind3) := ( '1', '1', '0', '0' );
VARIABLE ARGB_V_csa1_3 : t_csa1_3 := ( '1', '0', '1', '0' );
VARIABLE ARGB_V_usa1_3 : t_usa1_3(st_ind3) := ( '1', '0', '1', '0' );
VARIABLE AND_V_csa1_3 : t_csa1_3 := ( '1', '0', '0', '0' );
VARIABLE AND_V_usa1_3 : t_usa1_3(st_ind3) := ( '1', '0', '0', '0' );
VARIABLE ARGA_V_csa1_4 : t_csa1_4 := ( TRUE, TRUE, FALSE, FALSE );
VARIABLE ARGA_V_usa1_4 : t_usa1_4(st_ind4) := ( TRUE, TRUE, FALSE, FALSE );
VARIABLE ARGB_V_csa1_4 : t_csa1_4 := ( TRUE, FALSE, TRUE, FALSE );
VARIABLE ARGB_V_usa1_4 : t_usa1_4(st_ind4) := ( TRUE, FALSE, TRUE, FALSE );
VARIABLE AND_V_csa1_4 : t_csa1_4 := ( TRUE, FALSE, FALSE, FALSE );
VARIABLE AND_V_usa1_4 : t_usa1_4(st_ind4) := ( TRUE, FALSE, FALSE, FALSE );
BEGIN
--
-- Test AND operator on: CONSTANTs
--
ASSERT ( ARGA_C_csa1_1 AND ARGB_C_csa1_1 ) = AND_C_csa1_1
REPORT "ERROR: composite AND operator failed; CONSTANT; csa1_1"
SEVERITY FAILURE;
ASSERT ( ARGA_C_csa1_2 AND ARGB_C_csa1_2 ) = AND_C_csa1_2
REPORT "ERROR: composite AND operator failed; CONSTANT; csa1_2"
SEVERITY FAILURE;
ASSERT ( ARGA_C_csa1_3 AND ARGB_C_csa1_3 ) = AND_C_csa1_3
REPORT "ERROR: composite AND operator failed; CONSTANT; csa1_3"
SEVERITY FAILURE;
ASSERT ( ARGA_C_csa1_4 AND ARGB_C_csa1_4 ) = AND_C_csa1_4
REPORT "ERROR: composite AND operator failed; CONSTANT; csa1_4"
SEVERITY FAILURE;
ASSERT ( ARGA_C_usa1_1 AND ARGB_C_usa1_1 ) = AND_C_usa1_1
REPORT "ERROR: composite AND operator failed; CONSTANT; usa1_1"
SEVERITY FAILURE;
ASSERT ( ARGA_C_usa1_2 AND ARGB_C_usa1_2 ) = AND_C_usa1_2
REPORT "ERROR: composite AND operator failed; CONSTANT; usa1_2"
SEVERITY FAILURE;
ASSERT ( ARGA_C_usa1_3 AND ARGB_C_usa1_3 ) = AND_C_usa1_3
REPORT "ERROR: composite AND operator failed; CONSTANT; usa1_3"
SEVERITY FAILURE;
ASSERT ( ARGA_C_usa1_4 AND ARGB_C_usa1_4 ) = AND_C_usa1_4
REPORT "ERROR: composite AND operator failed; CONSTANT; usa1_4"
SEVERITY FAILURE;
--
-- Test AND operator on: SIGNALs
--
ASSERT ( ARGA_S_csa1_1 AND ARGB_S_csa1_1 ) = AND_S_csa1_1
REPORT "ERROR: composite AND operator failed; SIGNAL; csa1_1"
SEVERITY FAILURE;
ASSERT ( ARGA_S_csa1_2 AND ARGB_S_csa1_2 ) = AND_S_csa1_2
REPORT "ERROR: composite AND operator failed; SIGNAL; csa1_2"
SEVERITY FAILURE;
ASSERT ( ARGA_S_csa1_3 AND ARGB_S_csa1_3 ) = AND_S_csa1_3
REPORT "ERROR: composite AND operator failed; SIGNAL; csa1_3"
SEVERITY FAILURE;
ASSERT ( ARGA_S_csa1_4 AND ARGB_S_csa1_4 ) = AND_S_csa1_4
REPORT "ERROR: composite AND operator failed; SIGNAL; csa1_4"
SEVERITY FAILURE;
ASSERT ( ARGA_S_usa1_1 AND ARGB_S_usa1_1 ) = AND_S_usa1_1
REPORT "ERROR: composite AND operator failed; SIGNAL; usa1_1"
SEVERITY FAILURE;
ASSERT ( ARGA_S_usa1_2 AND ARGB_S_usa1_2 ) = AND_S_usa1_2
REPORT "ERROR: composite AND operator failed; SIGNAL; usa1_2"
SEVERITY FAILURE;
ASSERT ( ARGA_S_usa1_3 AND ARGB_S_usa1_3 ) = AND_S_usa1_3
REPORT "ERROR: composite AND operator failed; SIGNAL; usa1_3"
SEVERITY FAILURE;
ASSERT ( ARGA_S_usa1_4 AND ARGB_S_usa1_4 ) = AND_S_usa1_4
REPORT "ERROR: composite AND operator failed; SIGNAL; usa1_4"
SEVERITY FAILURE;
--
-- Test AND operator on: VARIABLEs
--
ASSERT ( ARGA_V_csa1_1 AND ARGB_V_csa1_1 ) = AND_V_csa1_1
REPORT "ERROR: composite AND operator failed; VARIABLE; csa1_1"
SEVERITY FAILURE;
ASSERT ( ARGA_V_csa1_2 AND ARGB_V_csa1_2 ) = AND_V_csa1_2
REPORT "ERROR: composite AND operator failed; VARIABLE; csa1_2"
SEVERITY FAILURE;
ASSERT ( ARGA_V_csa1_3 AND ARGB_V_csa1_3 ) = AND_V_csa1_3
REPORT "ERROR: composite AND operator failed; VARIABLE; csa1_3"
SEVERITY FAILURE;
ASSERT ( ARGA_V_csa1_4 AND ARGB_V_csa1_4 ) = AND_V_csa1_4
REPORT "ERROR: composite AND operator failed; VARIABLE; csa1_4"
SEVERITY FAILURE;
ASSERT ( ARGA_V_usa1_1 AND ARGB_V_usa1_1 ) = AND_V_usa1_1
REPORT "ERROR: composite AND operator failed; VARIABLE; usa1_1"
SEVERITY FAILURE;
ASSERT ( ARGA_V_usa1_2 AND ARGB_V_usa1_2 ) = AND_V_usa1_2
REPORT "ERROR: composite AND operator failed; VARIABLE; usa1_2"
SEVERITY FAILURE;
ASSERT ( ARGA_V_usa1_3 AND ARGB_V_usa1_3 ) = AND_V_usa1_3
REPORT "ERROR: composite AND operator failed; VARIABLE; usa1_3"
SEVERITY FAILURE;
ASSERT ( ARGA_V_usa1_4 AND ARGB_V_usa1_4 ) = AND_V_usa1_4
REPORT "ERROR: composite AND operator failed; VARIABLE; usa1_4"
SEVERITY FAILURE;
wait for 5 ns;
assert NOT( ( ARGA_C_csa1_1 AND ARGB_C_csa1_1 ) = AND_C_csa1_1 and
( ARGA_C_csa1_2 AND ARGB_C_csa1_2 ) = AND_C_csa1_2 and
( ARGA_C_csa1_3 AND ARGB_C_csa1_3 ) = AND_C_csa1_3 and
( ARGA_C_csa1_4 AND ARGB_C_csa1_4 ) = AND_C_csa1_4 and
( ARGA_C_usa1_1 AND ARGB_C_usa1_1 ) = AND_C_usa1_1 and
( ARGA_C_usa1_2 AND ARGB_C_usa1_2 ) = AND_C_usa1_2 and
( ARGA_C_usa1_3 AND ARGB_C_usa1_3 ) = AND_C_usa1_3 and
( ARGA_C_usa1_4 AND ARGB_C_usa1_4 ) = AND_C_usa1_4 and
( ARGA_S_csa1_1 AND ARGB_S_csa1_1 ) = AND_S_csa1_1 and
( ARGA_S_csa1_2 AND ARGB_S_csa1_2 ) = AND_S_csa1_2 and
( ARGA_S_csa1_3 AND ARGB_S_csa1_3 ) = AND_S_csa1_3 and
( ARGA_S_csa1_4 AND ARGB_S_csa1_4 ) = AND_S_csa1_4 and
( ARGA_S_usa1_1 AND ARGB_S_usa1_1 ) = AND_S_usa1_1 and
( ARGA_S_usa1_2 AND ARGB_S_usa1_2 ) = AND_S_usa1_2 and
( ARGA_S_usa1_3 AND ARGB_S_usa1_3 ) = AND_S_usa1_3 and
( ARGA_S_usa1_4 AND ARGB_S_usa1_4 ) = AND_S_usa1_4 and
( ARGA_V_csa1_1 AND ARGB_V_csa1_1 ) = AND_V_csa1_1 and
( ARGA_V_csa1_2 AND ARGB_V_csa1_2 ) = AND_V_csa1_2 and
( ARGA_V_csa1_3 AND ARGB_V_csa1_3 ) = AND_V_csa1_3 and
( ARGA_V_csa1_4 AND ARGB_V_csa1_4 ) = AND_V_csa1_4 and
( ARGA_V_usa1_1 AND ARGB_V_usa1_1 ) = AND_V_usa1_1 and
( ARGA_V_usa1_2 AND ARGB_V_usa1_2 ) = AND_V_usa1_2 and
( ARGA_V_usa1_3 AND ARGB_V_usa1_3 ) = AND_V_usa1_3 and
( ARGA_V_usa1_4 AND ARGB_V_usa1_4 ) = AND_V_usa1_4 )
report "***PASSED TEST: c07s02b01x00p01n02i01945"
severity NOTE;
assert ( ( ARGA_C_csa1_1 AND ARGB_C_csa1_1 ) = AND_C_csa1_1 and
( ARGA_C_csa1_2 AND ARGB_C_csa1_2 ) = AND_C_csa1_2 and
( ARGA_C_csa1_3 AND ARGB_C_csa1_3 ) = AND_C_csa1_3 and
( ARGA_C_csa1_4 AND ARGB_C_csa1_4 ) = AND_C_csa1_4 and
( ARGA_C_usa1_1 AND ARGB_C_usa1_1 ) = AND_C_usa1_1 and
( ARGA_C_usa1_2 AND ARGB_C_usa1_2 ) = AND_C_usa1_2 and
( ARGA_C_usa1_3 AND ARGB_C_usa1_3 ) = AND_C_usa1_3 and
( ARGA_C_usa1_4 AND ARGB_C_usa1_4 ) = AND_C_usa1_4 and
( ARGA_S_csa1_1 AND ARGB_S_csa1_1 ) = AND_S_csa1_1 and
( ARGA_S_csa1_2 AND ARGB_S_csa1_2 ) = AND_S_csa1_2 and
( ARGA_S_csa1_3 AND ARGB_S_csa1_3 ) = AND_S_csa1_3 and
( ARGA_S_csa1_4 AND ARGB_S_csa1_4 ) = AND_S_csa1_4 and
( ARGA_S_usa1_1 AND ARGB_S_usa1_1 ) = AND_S_usa1_1 and
( ARGA_S_usa1_2 AND ARGB_S_usa1_2 ) = AND_S_usa1_2 and
( ARGA_S_usa1_3 AND ARGB_S_usa1_3 ) = AND_S_usa1_3 and
( ARGA_S_usa1_4 AND ARGB_S_usa1_4 ) = AND_S_usa1_4 and
( ARGA_V_csa1_1 AND ARGB_V_csa1_1 ) = AND_V_csa1_1 and
( ARGA_V_csa1_2 AND ARGB_V_csa1_2 ) = AND_V_csa1_2 and
( ARGA_V_csa1_3 AND ARGB_V_csa1_3 ) = AND_V_csa1_3 and
( ARGA_V_csa1_4 AND ARGB_V_csa1_4 ) = AND_V_csa1_4 and
( ARGA_V_usa1_1 AND ARGB_V_usa1_1 ) = AND_V_usa1_1 and
( ARGA_V_usa1_2 AND ARGB_V_usa1_2 ) = AND_V_usa1_2 and
( ARGA_V_usa1_3 AND ARGB_V_usa1_3 ) = AND_V_usa1_3 and
( ARGA_V_usa1_4 AND ARGB_V_usa1_4 ) = AND_V_usa1_4 )
report "***FAILED TEST: c07s02b01x00p01n02i01945 - Logical operator AND for any user-defined one-dimensional array type test failed."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s02b01x00p01n02i01945arch;
| gpl-3.0 | 462ffb6c9f5a38897c682a4c3a32736d | 0.544473 | 2.619184 | false | false | false | false |
tgingold/ghdl | testsuite/vests/vhdl-93/billowitch/compliant/tc1028.vhd | 4 | 2,269 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1028.vhd,v 1.2 2001-10-26 16:29:38 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c06s04b00x00p02n01i01028ent IS
type THREE is range 1 to 3;
type A1 is array (THREE) of BOOLEAN;
type A2 is array (THREE, THREE) of BOOLEAN;
type A3 is array (THREE) of A1;
type R1 is record
RE1: A1;
end record;
type R2 is record
RE2: A2;
end record;
type R3 is record
RE3: A3;
end record;
END c06s04b00x00p02n01i01028ent;
ARCHITECTURE c06s04b00x00p02n01i01028arch OF c06s04b00x00p02n01i01028ent IS
BEGIN
TESTING: PROCESS
variable V: BOOLEAN;
variable V1: R1 ; -- := (RE1=>(others=>TRUE));
variable V2: R2 ; -- := (RE2=>(others=>(others=>TRUE)));
variable V3: R3 ; -- := (RE3=>(others=>(others=>TRUE)));
BEGIN
V := V1.RE1(1);
assert NOT( V=false )
report "***PASSED TEST: c06s04b00x00p02n01i01028"
severity NOTE;
assert ( V=false )
report "***FAILED TEST: c06s04b00x00p02n01i01028 - The prefix of an indexed name can be a selected name."
severity ERROR;
wait;
END PROCESS TESTING;
END c06s04b00x00p02n01i01028arch;
| gpl-2.0 | 9da8ed3e89982ecd873ce2a16baeea2b | 0.630674 | 3.624601 | false | true | false | false |
tgingold/ghdl | testsuite/gna/bug21487/repro.vhdl | 3 | 899 | entity top is
end top;
use std.textio.all;
architecture ARCH of TOP is
type int_vector is array (integer range<>) of integer;
function driver_counter( values : int_vector ) return integer is
variable result : integer := 1;
variable l: line;
begin
for index in values'range loop
if values(index) /= 0 then
result := result + values (index);
write (l, integer'image(values(index)) & ",");
end if;
end loop;
report l.all & " count resolved => " & integer'image(result);
return result;
end function;
signal S1: driver_counter integer := 6;
begin
s1 <= 1 after 1 ns;
check: process
begin
assert s1 = 7 report "resolution function not called at init"
severity failure;
wait for 1 ns;
assert s1 = 2 report "resolution function not called at 1 ns"
severity failure;
wait;
end process;
end architecture;
| gpl-2.0 | b72f50e721acebf413fdcc7d66a893fa | 0.649611 | 3.891775 | false | false | false | false |
tgingold/ghdl | testsuite/gna/bug019/PoC/src/common/strings.vhdl | 2 | 30,073 | -- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*-
-- vim: tabstop=2:shiftwidth=2:noexpandtab
-- kate: tab-width 2; replace-tabs off; indent-width 2;
--
-- ============================================================================
-- Authors: Thomas B. Preusser
-- Martin Zabel
-- Patrick Lehmann
--
-- Package: String related functions and types
--
-- Description:
-- ------------------------------------
-- For detailed documentation see below.
--
-- License:
-- ============================================================================
-- Copyright 2007-2015 Technische Universitaet Dresden - Germany,
-- Chair for VLSI-Design, Diagnostics and Architecture
--
-- Licensed under the Apache License, Version 2.0 (the "License");
-- you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at
--
-- http://www.apache.org/licenses/LICENSE-2.0
--
-- Unless required by applicable law or agreed to in writing, software
-- distributed under the License is distributed on an "AS IS" BASIS,
-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and
-- limitations under the License.
-- =============================================================================
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use IEEE.math_real.all;
library PoC;
use PoC.config.all;
use PoC.utils.all;
--use PoC.FileIO.all;
package strings is
-- default fill and string termination character for fixed size strings
-- ===========================================================================
constant C_POC_NUL : CHARACTER := ite((SYNTHESIS_TOOL /= SYNTHESIS_TOOL_ALTERA_QUARTUS2), NUL, '`');
-- character 0 causes Quartus to crash, if uses to pad STRINGs
-- characters < 32 (control characters) are not supported in Quartus
-- characters > 127 are not supported in VHDL files (strict ASCII files)
-- character 255 craches ISE log window (created by 'CHARACTER'val(255)')
-- Type declarations
-- ===========================================================================
subtype T_RAWCHAR is STD_LOGIC_VECTOR(7 downto 0);
type T_RAWSTRING is array (NATURAL range <>) of T_RAWCHAR;
-- testing area:
-- ===========================================================================
function to_IPStyle(str : STRING) return T_IPSTYLE;
-- to_char
function to_char(value : STD_LOGIC) return CHARACTER;
function to_char(value : NATURAL) return CHARACTER;
function to_char(rawchar : T_RAWCHAR) return CHARACTER;
-- chr_is* function
function chr_isDigit(chr : character) return boolean;
function chr_isLowerHexDigit(chr : character) return boolean;
function chr_isUpperHexDigit(chr : character) return boolean;
function chr_isHexDigit(chr : character) return boolean;
function chr_isLower(chr : character) return boolean;
function chr_isLowerAlpha(chr : character) return boolean;
function chr_isUpper(chr : character) return boolean;
function chr_isUpperAlpha(chr : character) return boolean;
function chr_isAlpha(chr : character) return boolean;
-- raw_format_* functions
function raw_format_bool_bin(value : BOOLEAN) return STRING;
function raw_format_bool_chr(value : BOOLEAN) return STRING;
function raw_format_bool_str(value : BOOLEAN) return STRING;
function raw_format_slv_bin(slv : STD_LOGIC_VECTOR) return STRING;
function raw_format_slv_oct(slv : STD_LOGIC_VECTOR) return STRING;
function raw_format_slv_dec(slv : STD_LOGIC_VECTOR) return STRING;
function raw_format_slv_hex(slv : STD_LOGIC_VECTOR) return STRING;
function raw_format_nat_bin(value : NATURAL) return STRING;
function raw_format_nat_oct(value : NATURAL) return STRING;
function raw_format_nat_dec(value : NATURAL) return STRING;
function raw_format_nat_hex(value : NATURAL) return STRING;
-- str_format_* functions
function str_format(value : REAL; precision : NATURAL := 3) return STRING;
-- to_string
function to_string(value : BOOLEAN) return STRING;
function to_string(value : INTEGER; base : POSITIVE := 10) return STRING;
function to_string(slv : STD_LOGIC_VECTOR; format : CHARACTER; length : NATURAL := 0; fill : CHARACTER := '0') return STRING;
function to_string(rawstring : T_RAWSTRING) return STRING;
-- to_slv
function to_slv(rawstring : T_RAWSTRING) return STD_LOGIC_VECTOR;
-- to_digit*
function to_digit_bin(chr : character) return integer;
function to_digit_oct(chr : character) return integer;
function to_digit_dec(chr : character) return integer;
function to_digit_hex(chr : character) return integer;
function to_digit(chr : character; base : character := 'd') return integer;
-- to_natural*
function to_natural_bin(str : STRING) return INTEGER;
function to_natural_oct(str : STRING) return INTEGER;
function to_natural_dec(str : STRING) return INTEGER;
function to_natural_hex(str : STRING) return INTEGER;
function to_natural(str : STRING; base : CHARACTER := 'd') return INTEGER;
-- to_raw*
function to_RawChar(char : character) return T_RAWCHAR;
function to_RawString(str : string) return T_RAWSTRING;
-- resize
function resize(str : STRING; size : POSITIVE; FillChar : CHARACTER := C_POC_NUL) return STRING;
-- function resize(rawstr : T_RAWSTRING; size : POSITIVE; FillChar : T_RAWCHAR := x"00") return T_RAWSTRING;
-- Character functions
function chr_toLower(chr : character) return character;
function chr_toUpper(chr : character) return character;
-- String functions
function str_length(str : STRING) return NATURAL;
function str_equal(str1 : STRING; str2 : STRING) return BOOLEAN;
function str_match(str1 : STRING; str2 : STRING) return BOOLEAN;
function str_imatch(str1 : STRING; str2 : STRING) return BOOLEAN;
function str_pos(str : STRING; chr : CHARACTER; start : NATURAL := 0) return INTEGER;
function str_pos(str : STRING; pattern : STRING; start : NATURAL := 0) return INTEGER;
function str_ipos(str : STRING; chr : CHARACTER; start : NATURAL := 0) return INTEGER;
function str_ipos(str : STRING; pattern : STRING; start : NATURAL := 0) return INTEGER;
function str_find(str : STRING; chr : CHARACTER) return BOOLEAN;
function str_find(str : STRING; pattern : STRING) return BOOLEAN;
function str_ifind(str : STRING; chr : CHARACTER) return BOOLEAN;
function str_ifind(str : STRING; pattern : STRING) return BOOLEAN;
function str_replace(str : STRING; pattern : STRING; replace : STRING) return STRING;
function str_substr(str : STRING; start : INTEGER := 0; length : INTEGER := 0) return STRING;
function str_ltrim(str : STRING; char : CHARACTER := ' ') return STRING;
function str_rtrim(str : STRING; char : CHARACTER := ' ') return STRING;
function str_trim(str : STRING) return STRING;
function str_toLower(str : STRING) return STRING;
function str_toUpper(str : STRING) return STRING;
end package;
package body strings is
--
function to_IPStyle(str : STRING) return T_IPSTYLE is
begin
for i in T_IPSTYLE'pos(T_IPSTYLE'low) to T_IPSTYLE'pos(T_IPSTYLE'high) loop
if str_imatch(str, T_IPSTYLE'image(T_IPSTYLE'val(I))) then
return T_IPSTYLE'val(i);
end if;
end loop;
report "Unknown IPStyle: '" & str & "'" severity FAILURE;
end function;
-- to_char
-- ===========================================================================
function to_char(value : STD_LOGIC) return CHARACTER is
begin
case value IS
when 'U' => return 'U';
when 'X' => return 'X';
when '0' => return '0';
when '1' => return '1';
when 'Z' => return 'Z';
when 'W' => return 'W';
when 'L' => return 'L';
when 'H' => return 'H';
when '-' => return '-';
when others => return 'X';
end case;
end function;
-- TODO: rename to to_HexDigit(..) ?
function to_char(value : natural) return character is
constant HEX : string := "0123456789ABCDEF";
begin
return ite(value < 16, HEX(value+1), 'X');
end function;
function to_char(rawchar : T_RAWCHAR) return CHARACTER is
begin
return CHARACTER'val(to_integer(unsigned(rawchar)));
end function;
-- chr_is* function
function chr_isDigit(chr : character) return boolean is
begin
return (character'pos('0') <= character'pos(chr)) and (character'pos(chr) <= character'pos('9'));
end function;
function chr_isLowerHexDigit(chr : character) return boolean is
begin
return (character'pos('a') <= character'pos(chr)) and (character'pos(chr) <= character'pos('f'));
end function;
function chr_isUpperHexDigit(chr : character) return boolean is
begin
return (character'pos('A') <= character'pos(chr)) and (character'pos(chr) <= character'pos('F'));
end function;
function chr_isHexDigit(chr : character) return boolean is
begin
return chr_isDigit(chr) or chr_isLowerHexDigit(chr) or chr_isUpperHexDigit(chr);
end function;
function chr_isLower(chr : character) return boolean is
begin
return chr_isLowerAlpha(chr);
end function;
function chr_isLowerAlpha(chr : character) return boolean is
begin
return (character'pos('a') <= character'pos(chr)) and (character'pos(chr) <= character'pos('z'));
end function;
function chr_isUpper(chr : character) return boolean is
begin
return chr_isUpperAlpha(chr);
end function;
function chr_isUpperAlpha(chr : character) return boolean is
begin
return (character'pos('A') <= character'pos(chr)) and (character'pos(chr) <= character'pos('Z'));
end function;
function chr_isAlpha(chr : character) return boolean is
begin
return chr_isLowerAlpha(chr) or chr_isUpperAlpha(chr);
end function;
-- raw_format_* functions
-- ===========================================================================
function raw_format_bool_bin(value : BOOLEAN) return STRING is
begin
return ite(value, "1", "0");
end function;
function raw_format_bool_chr(value : BOOLEAN) return STRING is
begin
return ite(value, "T", "F");
end function;
function raw_format_bool_str(value : BOOLEAN) return STRING is
begin
return str_toUpper(boolean'image(value));
end function;
function raw_format_slv_bin(slv : STD_LOGIC_VECTOR) return STRING is
variable Value : STD_LOGIC_VECTOR(slv'length - 1 downto 0);
variable Result : STRING(1 to slv'length);
variable j : NATURAL;
begin
-- convert input slv to a downto ranged vector and normalize range to slv'low = 0
Value := movez(ite(slv'ascending, descend(slv), slv));
-- convert each bit to a character
J := 0;
for i in Result'reverse_range loop
Result(i) := to_char(Value(j));
j := j + 1;
end loop;
return Result;
end function;
function raw_format_slv_oct(slv : STD_LOGIC_VECTOR) return STRING is
variable Value : STD_LOGIC_VECTOR(slv'length - 1 downto 0);
variable Digit : STD_LOGIC_VECTOR(2 downto 0);
variable Result : STRING(1 to div_ceil(slv'length, 3));
variable j : NATURAL;
begin
-- convert input slv to a downto ranged vector; normalize range to slv'low = 0 and resize it to a multiple of 3
Value := resize(movez(ite(slv'ascending, descend(slv), slv)), (Result'length * 3));
-- convert 3 bit to a character
j := 0;
for i in Result'reverse_range loop
Digit := Value((j * 3) + 2 downto (j * 3));
Result(i) := to_char(to_integer(unsigned(Digit)));
j := j + 1;
end loop;
return Result;
end function;
function raw_format_slv_dec(slv : STD_LOGIC_VECTOR) return STRING is
variable Value : STD_LOGIC_VECTOR(slv'length - 1 downto 0);
variable Result : STRING(1 to div_ceil(slv'length, 3));
subtype TT_BCD is INTEGER range 0 to 31;
type TT_BCD_VECTOR is array(natural range <>) of TT_BCD;
variable Temp : TT_BCD_VECTOR(div_ceil(slv'length, 3) - 1 downto 0);
variable Carry : T_UINT_8;
variable Pos : NATURAL;
begin
Temp := (others => 0);
Pos := 0;
-- convert input slv to a downto ranged vector
Value := ite(slv'ascending, descend(slv), slv);
for i in Value'range loop
Carry := to_int(Value(i));
for j in Temp'reverse_range loop
Temp(j) := Temp(j) * 2 + Carry;
Carry := to_int(Temp(j) > 9);
Temp(j) := Temp(j) - to_int((Temp(j) > 9), 0, 10);
end loop;
end loop;
for i in Result'range loop
Result(i) := to_char(Temp(Temp'high - i + 1));
if ((Result(i) /= '0') and (Pos = 0)) then
Pos := i;
end if;
end loop;
-- trim leading zeros, except the last
return Result(imin(Pos, Result'high) to Result'high);
end function;
function raw_format_slv_hex(slv : STD_LOGIC_VECTOR) return STRING is
variable Value : STD_LOGIC_VECTOR(4*div_ceil(slv'length, 4) - 1 downto 0);
variable Digit : STD_LOGIC_VECTOR(3 downto 0);
variable Result : STRING(1 to div_ceil(slv'length, 4));
variable j : NATURAL;
begin
Value := resize(slv, Value'length);
j := 0;
for i in Result'reverse_range loop
Digit := Value((j * 4) + 3 downto (j * 4));
Result(i) := to_char(to_integer(unsigned(Digit)));
j := j + 1;
end loop;
return Result;
end function;
function raw_format_nat_bin(value : NATURAL) return STRING is
begin
return raw_format_slv_bin(to_slv(value, log2ceilnz(value+1)));
end function;
function raw_format_nat_oct(value : NATURAL) return STRING is
begin
return raw_format_slv_oct(to_slv(value, log2ceilnz(value+1)));
end function;
function raw_format_nat_dec(value : NATURAL) return STRING is
begin
return INTEGER'image(value);
end function;
function raw_format_nat_hex(value : NATURAL) return STRING is
begin
return raw_format_slv_hex(to_slv(value, log2ceilnz(value+1)));
end function;
-- str_format_* functions
-- ===========================================================================
function str_format(value : REAL; precision : NATURAL := 3) return STRING is
constant s : REAL := sign(value);
constant val : REAL := value * s;
constant int : INTEGER := integer(floor(val));
constant frac : INTEGER := integer(round((val - real(int)) * 10.0**precision));
constant frac_str : STRING := INTEGER'image(frac);
constant res : STRING := INTEGER'image(int) & "." & (1 to (precision - frac_str'length) => '0') & frac_str;
begin
return ite ((s < 0.0), "-" & res, res);
end function;
-- to_string
-- ===========================================================================
function to_string(value : boolean) return string is
begin
return raw_format_bool_str(value);
end function;
function to_string(value : INTEGER; base : POSITIVE := 10) return STRING is
constant absValue : NATURAL := abs(value);
constant len : POSITIVE := log10ceilnz(absValue);
variable power : POSITIVE;
variable Result : STRING(1 TO len);
begin
power := 1;
if (base = 10) then
return INTEGER'image(value);
else
for i in len downto 1 loop
Result(i) := to_char(absValue / power MOD base);
power := power * base;
end loop;
if (value < 0) then
return '-' & Result;
else
return Result;
end if;
end if;
end function;
-- TODO: rename to slv_format(..) ?
function to_string(slv : STD_LOGIC_VECTOR; format : CHARACTER; length : NATURAL := 0; fill : CHARACTER := '0') return STRING is
constant int : INTEGER := ite((slv'length <= 31), to_integer(unsigned(resize(slv, 31))), 0);
constant str : STRING := INTEGER'image(int);
constant bin_len : POSITIVE := slv'length;
constant dec_len : POSITIVE := str'length;--log10ceilnz(int);
constant hex_len : POSITIVE := ite(((bin_len MOD 4) = 0), (bin_len / 4), (bin_len / 4) + 1);
constant len : NATURAL := ite((format = 'b'), bin_len,
ite((format = 'd'), dec_len,
ite((format = 'h'), hex_len, 0)));
variable j : NATURAL;
variable Result : STRING(1 to ite((length = 0), len, imax(len, length)));
begin
j := 0;
Result := (others => fill);
if (format = 'b') then
for i in Result'reverse_range loop
Result(i) := to_char(slv(j));
j := j + 1;
end loop;
elsif (format = 'd') then
-- if (slv'length < 32) then
-- return INTEGER'image(int);
-- else
-- return raw_format_slv_dec(slv);
-- end if;
Result(Result'length - str'length + 1 to Result'high) := str;
elsif (format = 'h') then
for i in Result'reverse_range loop
Result(i) := to_char(to_integer(unsigned(slv((j * 4) + 3 downto (j * 4)))));
j := j + 1;
end loop;
else
report "unknown format" severity FAILURE;
end if;
return Result;
end function;
function to_string(rawstring : T_RAWSTRING) return STRING is
variable str : STRING(1 to rawstring'length);
begin
for i in rawstring'low to rawstring'high loop
str(I - rawstring'low + 1) := to_char(rawstring(I));
end loop;
return str;
end function;
-- to_slv
-- ===========================================================================
function to_slv(rawstring : T_RAWSTRING) return STD_LOGIC_VECTOR is
variable result : STD_LOGIC_VECTOR((rawstring'length * 8) - 1 downto 0);
begin
for i in rawstring'range loop
result(((i - rawstring'low) * 8) + 7 downto (i - rawstring'low) * 8) := rawstring(i);
end loop;
return result;
end function;
-- to_*
-- ===========================================================================
function to_digit_bin(chr : character) return integer is
begin
case chr is
when '0' => return 0;
when '1' => return 1;
when others => return -1;
end case;
end function;
function to_digit_oct(chr : character) return integer is
variable dec : integer;
begin
dec := to_digit_dec(chr);
return ite((dec < 8), dec, -1);
end function;
function to_digit_dec(chr : character) return integer is
begin
if chr_isDigit(chr) then
return character'pos(chr) - character'pos('0');
else
return -1;
end if;
end function;
function to_digit_hex(chr : character) return integer is
begin
if chr_isDigit(chr) then return character'pos(chr) - character'pos('0');
elsif chr_isLowerHexDigit(chr) then return character'pos(chr) - character'pos('a') + 10;
elsif chr_isUpperHexDigit(chr) then return character'pos(chr) - character'pos('A') + 10;
else return -1;
end if;
end function;
function to_digit(chr : character; base : character := 'd') return integer is
begin
case base is
when 'b' => return to_digit_bin(chr);
when 'o' => return to_digit_oct(chr);
when 'd' => return to_digit_dec(chr);
when 'h' => return to_digit_hex(chr);
when others => report "Unknown base character: " & base & "." severity failure;
-- return statement is explicitly missing otherwise XST won't stop
end case;
end function;
function to_natural_bin(str : STRING) return INTEGER is
variable Result : NATURAL;
variable Digit : INTEGER;
begin
for i in str'range loop
Digit := to_digit_bin(str(I));
if (Digit /= -1) then
Result := Result * 2 + Digit;
else
return -1;
end if;
end loop;
return Result;
end function;
function to_natural_oct(str : STRING) return INTEGER is
variable Result : NATURAL;
variable Digit : INTEGER;
begin
for i in str'range loop
Digit := to_digit_oct(str(I));
if (Digit /= -1) then
Result := Result * 8 + Digit;
else
return -1;
end if;
end loop;
return Result;
end function;
function to_natural_dec(str : STRING) return INTEGER is
variable Result : NATURAL;
variable Digit : INTEGER;
begin
for i in str'range loop
Digit := to_digit_dec(str(I));
if (Digit /= -1) then
Result := Result * 10 + Digit;
else
return -1;
end if;
end loop;
return Result;
-- return INTEGER'value(str); -- 'value(...) is not supported by Vivado Synth 2014.1
end function;
function to_natural_hex(str : STRING) return INTEGER is
variable Result : NATURAL;
variable Digit : INTEGER;
begin
for i in str'range loop
Digit := to_digit_hex(str(I));
if (Digit /= -1) then
Result := Result * 16 + Digit;
else
return -1;
end if;
end loop;
return Result;
end function;
function to_natural(str : STRING; base : CHARACTER := 'd') return INTEGER is
begin
case base is
when 'b' => return to_natural_bin(str);
when 'o' => return to_natural_oct(str);
when 'd' => return to_natural_dec(str);
when 'h' => return to_natural_hex(str);
when others => report "unknown base" severity ERROR;
end case;
end function;
-- to_raw*
-- ===========================================================================
function to_RawChar(char : character) return t_rawchar is
begin
return std_logic_vector(to_unsigned(character'pos(char), t_rawchar'length));
end function;
function to_RawString(str : STRING) return T_RAWSTRING is
variable rawstr : T_RAWSTRING(0 to str'length - 1);
begin
for i in str'low to str'high loop
rawstr(i - str'low) := to_RawChar(str(i));
end loop;
return rawstr;
end function;
-- resize
-- ===========================================================================
function resize(str : STRING; size : POSITIVE; FillChar : CHARACTER := C_POC_NUL) return STRING is
constant ConstNUL : STRING(1 to 1) := (others => C_POC_NUL);
variable Result : STRING(1 to size);
begin
Result := (others => FillChar);
if (str'length > 0) then
Result(1 to imin(size, imax(1, str'length))) := ite((str'length > 0), str(1 to imin(size, str'length)), ConstNUL);
end if;
return Result;
end function;
-- function resize(str : T_RAWSTRING; size : POSITIVE; FillChar : T_RAWCHAR := x"00") return T_RAWSTRING is
-- constant ConstNUL : T_RAWSTRING(1 to 1) := (others => x"00");
-- variable Result : T_RAWSTRING(1 to size);
-- function ifthenelse(cond : BOOLEAN; value1 : T_RAWSTRING; value2 : T_RAWSTRING) return T_RAWSTRING is
-- begin
-- if cond then
-- return value1;
-- else
-- return value2;
-- end if;
-- end function;
-- begin
-- Result := (others => FillChar);
-- if (str'length > 0) then
-- Result(1 to imin(size, imax(1, str'length))) := ifthenelse((str'length > 0), str(1 to imin(size, str'length)), ConstNUL);
-- end if;
-- return Result;
-- end function;
-- Character functions
-- ===========================================================================
function chr_toLower(chr : character) return character is
begin
if chr_isUpperAlpha(chr) then
return character'val(character'pos(chr) - character'pos('A') + character'pos('a'));
else
return chr;
end if;
end function;
function chr_toUpper(chr : character) return character is
begin
if chr_isLowerAlpha(chr) then
return character'val(character'pos(chr) - character'pos('a') + character'pos('A'));
else
return chr;
end if;
end function;
-- String functions
-- ===========================================================================
function str_length(str : STRING) return NATURAL is
begin
for i in str'range loop
if (str(i) = C_POC_NUL) then
return i - str'low;
end if;
end loop;
return str'length;
end function;
function str_equal(str1 : STRING; str2 : STRING) return BOOLEAN is
begin
if str1'length /= str2'length then
return FALSE;
else
return (str1 = str2);
end if;
end function;
function str_match(str1 : STRING; str2 : STRING) return BOOLEAN is
constant len : NATURAL := imin(str1'length, str2'length);
begin
-- if both strings are empty
if ((str1'length = 0 ) and (str2'length = 0)) then return TRUE; end if;
-- compare char by char
for i in str1'low to str1'low + len - 1 loop
if (str1(i) /= str2(str2'low + (i - str1'low))) then
return FALSE;
elsif ((str1(i) = C_POC_NUL) xor (str2(str2'low + (i - str1'low)) = C_POC_NUL)) then
return FALSE;
elsif ((str1(i) = C_POC_NUL) and (str2(str2'low + (i - str1'low)) = C_POC_NUL)) then
return TRUE;
end if;
end loop;
-- check special cases,
return (((str1'length = len) and (str2'length = len)) or -- both strings are fully consumed and equal
((str1'length > len) and (str1(str1'low + len) = C_POC_NUL)) or -- str1 is longer, but str_length equals len
((str2'length > len) and (str2(str2'low + len) = C_POC_NUL))); -- str2 is longer, but str_length equals len
end function;
function str_imatch(str1 : STRING; str2 : STRING) return BOOLEAN is
begin
return str_match(str_toLower(str1), str_toLower(str2));
end function;
function str_pos(str : STRING; chr : CHARACTER; start : NATURAL := 0) return INTEGER is
begin
for i in imax(str'low, start) to str'high loop
exit when (str(i) = C_POC_NUL);
if (str(i) = chr) then
return i;
end if;
end loop;
return -1;
end function;
function str_pos(str : STRING; pattern : STRING; start : NATURAL := 0) return INTEGER is
begin
for i in imax(str'low, start) to (str'high - pattern'length + 1) loop
exit when (str(i) = C_POC_NUL);
if (str(i to i + pattern'length - 1) = pattern) then
return i;
end if;
end loop;
return -1;
end function;
function str_ipos(str : STRING; chr : CHARACTER; start : NATURAL := 0) return INTEGER is
begin
return str_pos(str_toLower(str), chr_toLower(chr));
end function;
function str_ipos(str : STRING; pattern : STRING; start : NATURAL := 0) return INTEGER is
begin
return str_pos(str_toLower(str), str_toLower(pattern));
end function;
-- function str_pos(str1 : STRING; str2 : STRING) return INTEGER is
-- variable PrefixTable : T_INTVEC(0 to str2'length);
-- variable j : INTEGER;
-- begin
-- -- construct prefix table for KMP algorithm
-- j := -1;
-- PrefixTable(0) := -1;
-- for i in str2'range loop
-- while ((j >= 0) and str2(j + 1) /= str2(i)) loop
-- j := PrefixTable(j);
-- end loop;
--
-- j := j + 1;
-- PrefixTable(i - 1) := j + 1;
-- end loop;
--
-- -- search pattern str2 in text str1
-- j := 0;
-- for i in str1'range loop
-- while ((j >= 0) and str1(i) /= str2(j + 1)) loop
-- j := PrefixTable(j);
-- end loop;
--
-- j := j + 1;
-- if ((j + 1) = str2'high) then
-- return i - str2'length + 1;
-- end if;
-- end loop;
--
-- return -1;
-- end function;
function str_find(str : STRING; chr : CHARACTER) return boolean is
begin
return (str_pos(str, chr) > 0);
end function;
function str_find(str : STRING; pattern : STRING) return boolean is
begin
return (str_pos(str, pattern) > 0);
end function;
function str_ifind(str : STRING; chr : CHARACTER) return boolean is
begin
return (str_ipos(str, chr) > 0);
end function;
function str_ifind(str : STRING; pattern : STRING) return boolean is
begin
return (str_ipos(str, pattern) > 0);
end function;
function str_replace(str : STRING; pattern : STRING; replace : STRING) return STRING is
variable pos : INTEGER;
begin
pos := str_pos(str, pattern);
if (pos > 0) then
if (pos = 1) then
return replace & str(pattern'length + 1 to str'length);
elsif (pos = str'length - pattern'length + 1) then
return str(1 to str'length - pattern'length) & replace;
else
return str(1 to pos - 1) & replace & str(pos + pattern'length to str'length);
end if;
else
return str;
end if;
end function;
-- examples:
-- 123456789ABC
-- input string: "Hello World."
-- low=1; high=12; length=12
--
-- str_substr("Hello World.", 0, 0) => "Hello World." - copy all
-- str_substr("Hello World.", 7, 0) => "World." - copy from pos 7 to end of string
-- str_substr("Hello World.", 7, 5) => "World" - copy from pos 7 for 5 characters
-- str_substr("Hello World.", 0, -7) => "Hello World." - copy all until character 8 from right boundary
function str_substr(str : STRING; start : INTEGER := 0; length : INTEGER := 0) return STRING is
variable StartOfString : positive;
variable EndOfString : positive;
begin
if (start < 0) then -- start is negative -> start substring at right string boundary
StartOfString := str'high + start + 1;
elsif (start = 0) then -- start is zero -> start substring at left string boundary
StartOfString := str'low;
else -- start is positive -> start substring at left string boundary + offset
StartOfString := start;
end if;
if (length < 0) then -- length is negative -> end substring at length'th character before right string boundary
EndOfString := str'high + length;
elsif (length = 0) then -- length is zero -> end substring at right string boundary
EndOfString := str'high;
else -- length is positive -> end substring at StartOfString + length
EndOfString := StartOfString + length - 1;
end if;
if (StartOfString < str'low) then report "StartOfString is out of str's range. (str=" & str & ")" severity error; end if;
if (EndOfString < str'high) then report "EndOfString is out of str's range. (str=" & str & ")" severity error; end if;
return str(StartOfString to EndOfString);
end function;
function str_ltrim(str : STRING; char : CHARACTER := ' ') return STRING is
begin
for i in str'range loop
if (str(i) /= char) then
return str(i to str'high);
end if;
end loop;
return "";
end function;
function str_rtrim(str : STRING; char : CHARACTER := ' ') return STRING is
begin
for i in str'reverse_range loop
if (str(i) /= char) then
return str(str'low to i);
end if;
end loop;
return "";
end function;
function str_trim(str : STRING) return STRING is
begin
return str(str'low to str'low + str_length(str) - 1);
end function;
function str_toLower(str : STRING) return STRING is
variable temp : STRING(str'range);
begin
for i in str'range loop
temp(I) := chr_toLower(str(I));
end loop;
return temp;
end function;
function str_toUpper(str : STRING) return STRING is
variable temp : STRING(str'range);
begin
for i in str'range loop
temp(I) := chr_toUpper(str(I));
end loop;
return temp;
end function;
end package body;
| gpl-2.0 | 8ce852e4f84fc389f84a130e8158efc4 | 0.63163 | 3.223949 | false | false | false | false |
tgingold/ghdl | testsuite/synth/if01/if02.vhdl | 1 | 434 | library ieee;
use ieee.std_logic_1164.all;
entity if02 is
port (c : std_logic_vector(7 downto 0);
s : std_logic;
r : out std_logic_vector(7 downto 0));
end if02;
architecture behav of if02 is
begin
process (c, s)
begin
if s = '0' then
r (6 downto 0) <= c (7 downto 1);
r (7) <= c (0);
else
r (0) <= c (7);
r (7 downto 1) <= c (6 downto 0);
end if;
end process;
end behav;
| gpl-2.0 | 8ae8c8bf084dc404150ab4825b32913a | 0.546083 | 2.855263 | false | false | false | false |
tgingold/ghdl | testsuite/vests/vhdl-ams/ashenden/compliant/files-and-IO/cache.vhd | 4 | 2,266 |
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
entity cache is
generic ( cache_size, block_size, associativity : positive;
benchmark_name : string(1 to 10) );
port ( halt : in bit );
end entity cache;
architecture instrumented of cache is
begin
-- code from book
cache_monitor : process is
type measurement_record is
record
cache_size, block_size, associativity : positive;
benchmark_name : string(1 to 10);
miss_rate : real;
ave_access_time : delay_length;
end record;
type measurement_file is file of measurement_record;
file measurements : measurement_file
open append_mode is "cache-measurements";
-- . . .
-- not in book
constant miss_count : natural := 100;
constant total_accesses : natural := 1000;
constant total_delay : delay_length := 2400 ns;
-- end not in book
begin
-- . . .
loop
-- . . .
-- not in book
wait on halt;
-- end not in book
exit when halt = '1';
-- . . .
end loop;
write ( measurements,
measurement_record'(
-- write values of generics for this run
cache_size, block_size, associativity, benchmark_name,
-- calculate performance metrics
miss_rate => real(miss_count) / real(total_accesses),
ave_access_time => total_delay / total_accesses ) );
wait;
end process cache_monitor;
-- end code from book
end architecture instrumented;
| gpl-2.0 | 41e5abc7359d24a3b24bd9f3babf2d3a | 0.64872 | 4.283554 | false | false | false | false |
hubertokf/VHDL-Fast-Adders | CLAH/CLA2bits/32bits/CLAH32bits/CLAH32bits.vhd | 1 | 6,893 | LIBRARY Ieee;
USE ieee.std_logic_1164.all;
ENTITY CLAH32bits IS
PORT (
val1,val2: IN STD_LOGIC_VECTOR(31 DOWNTO 0);
CarryIn: IN STD_LOGIC;
CarryOut: OUT STD_LOGIC;
clk: IN STD_LOGIC;
rst: IN STD_LOGIC;
SomaResult:OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
);
END CLAH32bits;
ARCHITECTURE strc_CLAH32bits of CLAH32bits is
SIGNAL Cin_sig, Cout_sig: STD_LOGIC;
SIGNAL P0_sig, P1_sig, P2_sig, P3_sig, P4_sig, P5_sig, P6_sig, P7_sig, P8_sig, P9_sig, P10_sig, P11_sig, P12_sig, P13_sig, P14_sig, P15_sig: STD_LOGIC;
SIGNAL G0_sig, G1_sig, G2_sig, G3_sig, G4_sig, G5_sig, G6_sig, G7_sig, G8_sig, G9_sig, G10_sig, G11_sig, G12_sig, G13_sig, G14_sig, G15_sig: STD_LOGIC;
SIGNAL Cout1_temp_sig, Cout2_temp_sig, Cout3_temp_sig, Cout4_temp_sig, Cout5_temp_sig, Cout6_temp_sig, Cout7_temp_sig: STD_LOGIC;
SIGNAL Cout8_temp_sig, Cout9_temp_sig, Cout10_temp_sig, Cout11_temp_sig, Cout12_temp_sig, Cout13_temp_sig, Cout14_temp_sig, Cout15_temp_sig: STD_LOGIC;
SIGNAL A_sig, B_sig, Out_sig: STD_LOGIC_VECTOR(31 DOWNTO 0);
SIGNAL SomaT1,SomaT2,SomaT3,SomaT4,SomaT5,SomaT6,SomaT7,SomaT8,SomaT9,SomaT10,SomaT11,SomaT12,SomaT13,SomaT14,SomaT15,SomaT16:STD_LOGIC_VECTOR(1 DOWNTO 0);
Component CLA2bits
PORT (
val1,val2: IN STD_LOGIC_VECTOR(1 DOWNTO 0);
SomaResult:OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
CarryIn: IN STD_LOGIC;
P, G: OUT STD_LOGIC
);
end component;
Component Reg1Bit
PORT (
valIn: in std_logic;
clk: in std_logic;
rst: in std_logic;
valOut: out std_logic
);
end component;
Component Reg32Bit
PORT (
valIn: in std_logic_vector(31 downto 0);
clk: in std_logic;
rst: in std_logic;
valOut: out std_logic_vector(31 downto 0)
);
end component;
Component CLGB
PORT (
P0, P1, G0, G1, Cin: IN STD_LOGIC;
Cout1, Cout2: OUT STD_LOGIC
);
end component;
BEGIN
--registradores--
Reg_CarryIn: Reg1Bit PORT MAP (
valIn=>CarryIn,
clk=>clk,
rst=>rst,
valOut=>Cin_sig
);
Reg_A: Reg32Bit PORT MAP (
valIn=>val1,
clk=>clk,
rst=>rst,
valOut=>A_sig
);
Reg_B: Reg32Bit PORT MAP (
valIn=>val2,
clk=>clk,
rst=>rst,
valOut=>B_sig
);
Reg_CarryOut: Reg1Bit PORT MAP (
valIn=>Cout_sig,
clk=>clk,
rst=>rst,
valOut=>CarryOut
);
Reg_Ssoma: Reg32Bit PORT MAP (
valIn=>Out_sig,
clk=>clk,
rst=>rst,
valOut=>SomaResult
);
Som1: CLA2bits PORT MAP(
val1(1 DOWNTO 0) => A_sig(1 DOWNTO 0),
val2(1 DOWNTO 0) => B_sig(1 DOWNTO 0),
CarryIn=>Cin_sig,
P=>P0_sig,
G=>G0_sig,
SomaResult=>SomaT1
);
CLGB1: CLGB PORT MAP(
P0=>P0_sig,
G0=>G0_sig,
P1=>P1_sig,
G1=>G1_sig,
Cin=>Cin_sig,
Cout1=>Cout1_temp_sig,
Cout2=>Cout2_temp_sig
);
Som2: CLA2bits PORT MAP(
val1(1 DOWNTO 0) => A_sig(3 DOWNTO 2),
val2(1 DOWNTO 0) => B_sig(3 DOWNTO 2),
CarryIn=>Cout1_temp_sig,
P=>P1_sig,
G=>G1_sig,
SomaResult=>SomaT2
);
Som3: CLA2bits PORT MAP(
val1(1 DOWNTO 0) => A_sig(5 DOWNTO 4),
val2(1 DOWNTO 0) => B_sig(5 DOWNTO 4),
CarryIn=>Cout2_temp_sig,
P=>P2_sig,
G=>G2_sig,
SomaResult=>SomaT3
);
CLGB2: CLGB PORT MAP(
P0=>P2_sig,
G0=>G2_sig,
P1=>P3_sig,
G1=>G3_sig,
Cin=>Cout2_temp_sig,
Cout1=>Cout3_temp_sig,
Cout2=>Cout4_temp_sig
);
Som4: CLA2bits PORT MAP(
val1(1 DOWNTO 0) => A_sig(7 DOWNTO 6),
val2(1 DOWNTO 0) => B_sig(7 DOWNTO 6),
CarryIn=>Cout3_temp_sig,
P=>P3_sig,
G=>G3_sig,
SomaResult=>SomaT4
);
--novoooooooo--
Som5: CLA2bits PORT MAP(
val1(1 DOWNTO 0) => A_sig(9 DOWNTO 8),
val2(1 DOWNTO 0) => B_sig(9 DOWNTO 8),
CarryIn=>Cout4_temp_sig,
P=>P4_sig,
G=>G4_sig,
SomaResult=>SomaT5
);
CLGB3: CLGB PORT MAP(
P0=>P4_sig,
G0=>G4_sig,
P1=>P5_sig,
G1=>G5_sig,
Cin=>Cout4_temp_sig,
Cout1=>Cout5_temp_sig,
Cout2=>Cout6_temp_sig
);
Som6: CLA2bits PORT MAP(
val1(1 DOWNTO 0) => A_sig(11 DOWNTO 10),
val2(1 DOWNTO 0) => B_sig(11 DOWNTO 10),
CarryIn=>Cout5_temp_sig,
P=>P5_sig,
G=>G5_sig,
SomaResult=>SomaT6
);
Som7: CLA2bits PORT MAP(
val1(1 DOWNTO 0) => A_sig(13 DOWNTO 12),
val2(1 DOWNTO 0) => B_sig(13 DOWNTO 12),
CarryIn=>Cout6_temp_sig,
P=>P6_sig,
G=>G6_sig,
SomaResult=>SomaT7
);
CLGB4: CLGB PORT MAP(
P0=>P6_sig,
G0=>G6_sig,
P1=>P7_sig,
G1=>G7_sig,
Cin=>Cout6_temp_sig,
Cout1=>Cout7_temp_sig,
Cout2=>Cout8_temp_sig
);
Som8: CLA2bits PORT MAP(
val1(1 DOWNTO 0) => A_sig(15 DOWNTO 14),
val2(1 DOWNTO 0) => B_sig(15 DOWNTO 14),
CarryIn=>Cout7_temp_sig,
P=>P7_sig,
G=>G7_sig,
SomaResult=>SomaT8
);
--novoooooooo--
Som9: CLA2bits PORT MAP(
val1(1 DOWNTO 0) => A_sig(17 DOWNTO 16),
val2(1 DOWNTO 0) => B_sig(17 DOWNTO 16),
CarryIn=>Cout8_temp_sig,
P=>P8_sig,
G=>G8_sig,
SomaResult=>SomaT9
);
CLGB5: CLGB PORT MAP(
P0=>P8_sig,
G0=>G8_sig,
P1=>P9_sig,
G1=>G9_sig,
Cin=>Cout8_temp_sig,
Cout1=>Cout9_temp_sig,
Cout2=>Cout10_temp_sig
);
Som10: CLA2bits PORT MAP(
val1(1 DOWNTO 0) => A_sig(19 DOWNTO 18),
val2(1 DOWNTO 0) => B_sig(19 DOWNTO 18),
CarryIn=>Cout9_temp_sig,
P=>P9_sig,
G=>G9_sig,
SomaResult=>SomaT10
);
Som11: CLA2bits PORT MAP(
val1(1 DOWNTO 0) => A_sig(21 DOWNTO 20),
val2(1 DOWNTO 0) => B_sig(21 DOWNTO 20),
CarryIn=>Cout10_temp_sig,
P=>P10_sig,
G=>G10_sig,
SomaResult=>SomaT11
);
CLGB6: CLGB PORT MAP(
P0=>P10_sig,
G0=>G10_sig,
P1=>P11_sig,
G1=>G11_sig,
Cin=>Cout10_temp_sig,
Cout1=>Cout11_temp_sig,
Cout2=>Cout12_temp_sig
);
Som12: CLA2bits PORT MAP(
val1(1 DOWNTO 0) => A_sig(23 DOWNTO 22),
val2(1 DOWNTO 0) => B_sig(23 DOWNTO 22),
CarryIn=>Cout11_temp_sig,
P=>P11_sig,
G=>G11_sig,
SomaResult=>SomaT12
);
--novoooooooo--
Som13: CLA2bits PORT MAP(
val1(1 DOWNTO 0) => A_sig(25 DOWNTO 24),
val2(1 DOWNTO 0) => B_sig(25 DOWNTO 24),
CarryIn=>Cout12_temp_sig,
P=>P12_sig,
G=>G12_sig,
SomaResult=>SomaT13
);
CLGB7: CLGB PORT MAP(
P0=>P12_sig,
G0=>G12_sig,
P1=>P13_sig,
G1=>G13_sig,
Cin=>Cout12_temp_sig,
Cout1=>Cout13_temp_sig,
Cout2=>Cout14_temp_sig
);
Som14: CLA2bits PORT MAP(
val1(1 DOWNTO 0) => A_sig(27 DOWNTO 26),
val2(1 DOWNTO 0) => B_sig(27 DOWNTO 26),
CarryIn=>Cout13_temp_sig,
P=>P13_sig,
G=>G13_sig,
SomaResult=>SomaT14
);
Som15: CLA2bits PORT MAP(
val1(1 DOWNTO 0) => A_sig(29 DOWNTO 28),
val2(1 DOWNTO 0) => B_sig(29 DOWNTO 28),
CarryIn=>Cout14_temp_sig,
P=>P14_sig,
G=>G14_sig,
SomaResult=>SomaT15
);
CLGB8: CLGB PORT MAP(
P0=>P14_sig,
G0=>G14_sig,
P1=>P15_sig,
G1=>G15_sig,
Cin=>Cout14_temp_sig,
Cout1=>Cout15_temp_sig,
Cout2=>Cout_sig
);
Som16: CLA2bits PORT MAP(
val1(1 DOWNTO 0) => A_sig(31 DOWNTO 30),
val2(1 DOWNTO 0) => B_sig(31 DOWNTO 30),
CarryIn=>Cout15_temp_sig,
P=>P15_sig,
G=>G15_sig,
SomaResult=>SomaT16
);
Out_sig <= SomaT16 & SomaT15 & SomaT14 & SomaT13 & SomaT12 & SomaT11 & SomaT10 & SomaT9 & SomaT8 & SomaT7 & SomaT6 & SomaT5 & SomaT4 & SomaT3 & SomaT2 & SomaT1;
END strc_CLAH32bits; | mit | 0ccf7aac16a7e0e8da3ce7c70222af3c | 0.634847 | 2.237261 | false | false | false | false |
nickg/nvc | test/regress/proc9.vhd | 1 | 425 | entity proc9 is
end entity;
architecture test of proc9 is
procedure foo (x : in integer; y : out bit_vector) is
constant c : bit_vector(1 to x) := (others => '1');
begin
wait for 1 ns;
y := c;
end procedure;
begin
process is
variable b : bit_vector(1 to 5);
begin
foo(5, b);
assert b = (1 to 5 => '1');
wait;
end process;
end architecture;
| gpl-3.0 | b97b71829922a580820848ebd455f6b9 | 0.538824 | 3.512397 | false | false | false | false |
tgingold/ghdl | testsuite/gna/bug040/p_jinfo_ac_dhuff_tbl_ml.vhd | 2 | 1,405 | library ieee;
use ieee.std_logic_1164.all;
library ieee;
use ieee.numeric_std.all;
entity p_jinfo_ac_dhuff_tbl_ml is
port (
wa0_data : in std_logic_vector(31 downto 0);
wa0_addr : in std_logic;
clk : in std_logic;
ra0_addr : in std_logic;
ra0_data : out std_logic_vector(31 downto 0);
wa0_en : in std_logic
);
end p_jinfo_ac_dhuff_tbl_ml;
architecture augh of p_jinfo_ac_dhuff_tbl_ml is
-- Embedded RAM
type ram_type is array (0 to 1) of std_logic_vector(31 downto 0);
signal ram : ram_type := (others => (others => '0'));
-- Little utility functions to make VHDL syntactically correct
-- with the syntax to_integer(unsigned(vector)) when 'vector' is a std_logic.
-- This happens when accessing arrays with <= 2 cells, for example.
function to_integer(B: std_logic) return integer is
variable V: std_logic_vector(0 to 0);
begin
V(0) := B;
return to_integer(unsigned(V));
end;
function to_integer(V: std_logic_vector) return integer is
begin
return to_integer(unsigned(V));
end;
begin
-- Sequential process
-- It handles the Writes
process (clk)
begin
if rising_edge(clk) then
-- Write to the RAM
-- Note: there should be only one port.
if wa0_en = '1' then
ram( to_integer(wa0_addr) ) <= wa0_data;
end if;
end if;
end process;
-- The Read side (the outputs)
ra0_data <= ram( to_integer(ra0_addr) );
end architecture;
| gpl-2.0 | e6537b5f64f851b4209ac48174f09c47 | 0.671174 | 2.832661 | false | false | false | false |
nickg/nvc | test/simp/issue320.vhd | 1 | 768 | package TEST_PACKAGE is
type CHANNEL_TYPE is (
CHANNEL_0,
CHANNEL_1,
CHANNEL_2
);
end TEST_PACKAGE;
use WORK.TEST_PACKAGE.all;
entity ISSUE320 is
end ISSUE320;
architecture MODEL of ISSUE320 is
function GEN_INIT_VALUE(CHANNEL: CHANNEL_TYPE) return integer is
variable value : integer;
begin
case CHANNEL is
when CHANNEL_1 => value := 1;
when CHANNEL_2 => value := 2;
when others => value := 0;
end case;
return value;
end function;
-- Strange error about not being able to find a libary as '.' in
-- mangled function name wrongly interpreted
constant INIT_VALUE : integer := GEN_INIT_VALUE(CHANNEL_0);
begin
end MODEL;
| gpl-3.0 | f905b77b840c2bdd58d26264e52d7367 | 0.604167 | 4.042105 | false | true | false | false |
tgingold/ghdl | testsuite/gna/bug040/sub_219.vhd | 2 | 1,725 | library ieee;
use ieee.std_logic_1164.all;
library ieee;
use ieee.numeric_std.all;
entity sub_219 is
port (
le : out std_logic;
output : out std_logic_vector(40 downto 0);
sign : in std_logic;
in_b : in std_logic_vector(40 downto 0);
in_a : in std_logic_vector(40 downto 0)
);
end sub_219;
architecture augh of sub_219 is
signal carry_inA : std_logic_vector(42 downto 0);
signal carry_inB : std_logic_vector(42 downto 0);
signal carry_res : std_logic_vector(42 downto 0);
-- Signals to generate the comparison outputs
signal msb_abr : std_logic_vector(2 downto 0);
signal tmp_sign : std_logic;
signal tmp_eq : std_logic;
signal tmp_le : std_logic;
signal tmp_ge : std_logic;
begin
-- To handle the CI input, the operation is '0' - CI
-- If CI is not present, the operation is '0' - '0'
carry_inA <= '0' & in_a & '0';
carry_inB <= '0' & in_b & '0';
-- Compute the result
carry_res <= std_logic_vector(unsigned(carry_inA) - unsigned(carry_inB));
-- Set the outputs
output <= carry_res(41 downto 1);
-- Other comparison outputs
-- Temporary signals
msb_abr <= in_a(40) & in_b(40) & carry_res(41);
tmp_sign <= sign;
tmp_eq <= '1' when in_a = in_b else '0';
tmp_le <=
tmp_eq when msb_abr = "000" or msb_abr = "110" else
'1' when msb_abr = "001" or msb_abr = "111" else
'1' when tmp_sign = '0' and (msb_abr = "010" or msb_abr = "011") else
'1' when tmp_sign = '1' and (msb_abr = "100" or msb_abr = "101") else
'0';
tmp_ge <=
'1' when msb_abr = "000" or msb_abr = "110" else
'1' when tmp_sign = '0' and (msb_abr = "100" or msb_abr = "101") else
'1' when tmp_sign = '1' and (msb_abr = "010" or msb_abr = "011") else
'0';
le <= tmp_le;
end architecture;
| gpl-2.0 | de06fb0529347534edbf839cf81359b0 | 0.624348 | 2.578475 | false | false | false | false |
lfmunoz/vhdl | ip_blocks/sip_spi/fmc408_stellar_cmd.vhd | 1 | 11,370 | --------------------------------------------------------------------------------
-- file name : fmc408_stellar_cmd.vhd
--
-- author : e. barhorst
--
-- company : 4dsp
--
-- item : number
--
-- units : entity -fmc408_stellar_cmd
-- arch_itecture - arch_fmc408_stellar_cmd
--
-- language : vhdl
--
--------------------------------------------------------------------------------
-- description
-- ===========
--
--
-- notes:
--------------------------------------------------------------------------------
--
-- disclaimer: limited warranty and disclaimer. these designs are
-- provided to you as is. 4dsp specifically disclaims any
-- implied warranties of merchantability, non-infringement, or
-- fitness for a particular purpose. 4dsp does not warrant that
-- the functions contained in these designs will meet your
-- requirements, or that the operation of these designs will be
-- uninterrupted or error free, or that defects in the designs
-- will be corrected. furthermore, 4dsp does not warrant or
-- make any representations regarding use or the results of the
-- use of the designs in terms of correctness, accuracy,
-- reliability, or otherwise.
--
-- limitation of liability. in no event will 4dsp or its
-- licensors be liable for any loss of data, lost profits, cost
-- or procurement of substitute goods or services, or for any
-- special, incidental, consequential, or indirect damages
-- arising from the use or operation of the designs or
-- accompanying documentation, however caused and on any theory
-- of liability. this limitation will apply even if 4dsp
-- has been advised of the possibility of such damage. this
-- limitation shall apply not-withstanding the failure of the
-- essential purpose of any limited remedies herein.
--
-- from
-- ver pcb mod date changes
-- === ======= ======== =======
--
-- 0.0 0 19-01-2009 new version
-- 31-08-2009 added the mailbox input port
----------------------------------------------
--
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- specify libraries.
--------------------------------------------------------------------------------
library ieee ;
use ieee.std_logic_unsigned.all ;
use ieee.std_logic_misc.all ;
use ieee.std_logic_arith.all ;
use ieee.std_logic_1164.all ;
--------------------------------------------------------------------------------
-- entity declaration
--------------------------------------------------------------------------------
entity fmc408_stellar_cmd is
generic
(
start_addr :std_logic_vector(27 downto 0):=x"0000000";
stop_addr :std_logic_vector(27 downto 0):=x"0000010"
);
port
(
reset :in std_logic;
--command if
clk_cmd :in std_logic; --cmd_in and cmd_out are synchronous to this clock;
out_cmd :out std_logic_vector(63 downto 0);
out_cmd_val :out std_logic;
in_cmd :in std_logic_vector(63 downto 0);
in_cmd_val :in std_logic;
--register interface
clk_reg :in std_logic; --register interface is synchronous to this clock
out_reg :out std_logic_vector(31 downto 0);--caries the out register data
out_reg_val :out std_logic; --the out_reg has valid data (pulse)
out_reg_addr :out std_logic_vector(27 downto 0);--out register address
in_reg :in std_logic_vector(31 downto 0);--requested register data is placed on this bus
in_reg_val :in std_logic; --pulse to indicate requested register is valid
in_reg_req :out std_logic; --pulse to request data
in_reg_addr :out std_logic_vector(27 downto 0); --requested address
--mailbox interface
mbx_out_reg :out std_logic_vector(31 downto 0);--value of the mailbox to send
mbx_out_val :out std_logic;
mbx_in_reg :in std_logic_vector(31 downto 0);--value of the mailbox to send
mbx_in_val :in std_logic --pulse to indicate mailbox is valid
);
end entity fmc408_stellar_cmd ;
--------------------------------------------------------------------------------
-- arch_itecture declaration
--------------------------------------------------------------------------------
architecture arch_fmc408_stellar_cmd of fmc408_stellar_cmd is
-----------------------------------------------------------------------------------
--constant declarations
-----------------------------------------------------------------------------------
constant cmd_mbx :std_logic_vector(3 downto 0) :=x"0";
constant cmd_rd :std_logic_vector(3 downto 0) :=x"2";
constant cmd_wr :std_logic_vector(3 downto 0) :=x"1";
constant cmd_rd_ack :std_logic_vector(3 downto 0) :=x"4";
-----------------------------------------------------------------------------------
--signal declarations
-----------------------------------------------------------------------------------
signal register_wr :std_logic;
signal register_rd :std_logic;
signal out_cmd_val_sig :std_logic;
signal in_reg_addr_sig :std_logic_vector(27 downto 0):=(others=>'0');
signal mbx_in_val_sig :std_logic;
signal mbx_received :std_logic;
signal mbx_out_val_sig :std_logic;
-----------------------------------------------------------------------------------
--component declarations
-----------------------------------------------------------------------------------
component pulse2pulse
port (
in_clk :in std_logic;
out_clk :in std_logic;
rst :in std_logic;
pulsein :in std_logic;
inbusy :out std_logic;
pulseout :out std_logic
);
end component;
begin
-----------------------------------------------------------------------------------
--component instantiations
-----------------------------------------------------------------------------------
p2p0: pulse2pulse
port map
(
in_clk =>clk_cmd,
out_clk =>clk_reg,
rst =>reset,
pulsein =>register_wr,
inbusy =>open,
pulseout =>out_reg_val
);
p2p1: pulse2pulse
port map
(
in_clk =>clk_cmd,
out_clk =>clk_reg,
rst =>reset,
pulsein =>register_rd,
inbusy =>open,
pulseout =>in_reg_req
);
p2p2: pulse2pulse
port map
(
in_clk =>clk_reg,
out_clk =>clk_cmd ,
rst =>reset,
pulsein =>in_reg_val,
inbusy =>open,
pulseout =>out_cmd_val_sig
);
p2p3: pulse2pulse
port map
(
in_clk =>clk_reg,
out_clk =>clk_cmd ,
rst =>reset,
pulsein =>mbx_in_val,
inbusy =>open,
pulseout =>mbx_in_val_sig
);
p2p4: pulse2pulse
port map
(
in_clk =>clk_cmd,
out_clk =>clk_reg ,
rst =>reset,
pulsein =>mbx_out_val_sig,
inbusy =>open,
pulseout =>mbx_out_val
);
-----------------------------------------------------------------------------------
--synchronous processes
-----------------------------------------------------------------------------------
in_reg_proc: process(clk_cmd )
begin
if(clk_cmd'event and clk_cmd='1') then
--register the requested address when the address is in the modules range
if (in_cmd_val = '1' and in_cmd(63 downto 60) = cmd_rd and in_cmd(59 downto 32) >=start_addr and in_cmd(59 downto 32) <=stop_addr) then
in_reg_addr_sig <= in_cmd(59 downto 32)-start_addr;
end if;
--generate the read req pulse when the address is in the modules range
if (in_cmd_val = '1' and in_cmd(63 downto 60) = cmd_rd and in_cmd(59 downto 32) >=start_addr and in_cmd(59 downto 32) <=stop_addr) then
register_rd <= '1';
else
register_rd <= '0';
end if;
--mailbox has less priority then command acknowledge
--create the output packet
if (out_cmd_val_sig='1' and mbx_in_val_sig='1') then
mbx_received <= '1';
elsif( mbx_received ='1' and out_cmd_val_sig = '0') then
mbx_received <= '0';
end if;
if (out_cmd_val_sig='1') then
out_cmd(31 downto 0) <=in_reg;
out_cmd(59 downto 32)<=in_reg_addr_sig+start_addr;
out_cmd(63 downto 60)<=cmd_rd_ack;
elsif (mbx_in_val_sig='1' or mbx_received='1' ) then
out_cmd(31 downto 0) <=mbx_in_reg;
out_cmd(59 downto 32)<=start_addr;
out_cmd(63 downto 60)<=cmd_mbx;
else
out_cmd(63 downto 0)<=(others=>'0');
end if;
if (out_cmd_val_sig='1') then
out_cmd_val <= '1';
elsif (mbx_in_val_sig='1' or mbx_received='1' ) then
out_cmd_val <= '1';
else
out_cmd_val <= '0';
end if;
end if;
end process;
out_reg_proc: process(clk_cmd )
begin
if(clk_cmd'event and clk_cmd='1') then
--register the requested address when the address is in the modules range
if (in_cmd_val = '1' and in_cmd(63 downto 60) = cmd_wr and in_cmd(59 downto 32) >=start_addr and in_cmd(59 downto 32) <=stop_addr) then
out_reg_addr <= in_cmd(59 downto 32)-start_addr;
out_reg <= in_cmd(31 downto 0);
end if;
--generate the write req pulse when the address is in the modules range
if (in_cmd_val = '1' and in_cmd(63 downto 60) = cmd_wr and in_cmd(59 downto 32) >=start_addr and in_cmd(59 downto 32) <=stop_addr) then
register_wr <= '1';
else
register_wr <= '0';
end if;
if (in_cmd_val = '1' and in_cmd(63 downto 60) = cmd_mbx) then
mbx_out_reg <= in_cmd(31 downto 0);
end if;
if (in_cmd_val = '1' and in_cmd(63 downto 60) = cmd_mbx ) then
mbx_out_val_sig <= '1';
else
mbx_out_val_sig <= '0';
end if;
end if;
end process;
-----------------------------------------------------------------------------------
--asynchronous processes
-----------------------------------------------------------------------------------
-----------------------------------------------------------------------------------
--asynchronous mapping
-----------------------------------------------------------------------------------
in_reg_addr <= in_reg_addr_sig;
end architecture arch_fmc408_stellar_cmd ; -- of fmc408_stellar_cmd
| mit | 506021b6eaa6e769ab50f7155d8c49d6 | 0.447669 | 4.226766 | false | false | false | false |
tgingold/ghdl | testsuite/gna/issue50/idct.d/input_split0.vhd | 2 | 1,822 | library ieee;
use ieee.std_logic_1164.all;
library ieee;
use ieee.numeric_std.all;
entity input_split0 is
port (
ra0_data : out std_logic_vector(31 downto 0);
ra0_addr : in std_logic_vector(4 downto 0);
ra1_data : out std_logic_vector(31 downto 0);
ra1_addr : in std_logic_vector(4 downto 0);
ra2_data : out std_logic_vector(31 downto 0);
ra2_addr : in std_logic_vector(4 downto 0);
ra3_data : out std_logic_vector(31 downto 0);
ra3_addr : in std_logic_vector(4 downto 0);
clk : in std_logic;
wa2_data : in std_logic_vector(31 downto 0);
wa2_addr : in std_logic_vector(4 downto 0);
wa2_en : in std_logic
);
end input_split0;
architecture augh of input_split0 is
-- Embedded RAM
type ram_type is array (0 to 31) of std_logic_vector(31 downto 0);
signal ram : ram_type := (others => (others => '0'));
-- Little utility functions to make VHDL syntactically correct
-- with the syntax to_integer(unsigned(vector)) when 'vector' is a std_logic.
-- This happens when accessing arrays with <= 2 cells, for example.
function to_integer(B: std_logic) return integer is
variable V: std_logic_vector(0 to 0);
begin
V(0) := B;
return to_integer(unsigned(V));
end;
function to_integer(V: std_logic_vector) return integer is
begin
return to_integer(unsigned(V));
end;
begin
-- Sequential process
-- It handles the Writes
process (clk)
begin
if rising_edge(clk) then
-- Write to the RAM
-- Note: there should be only one port.
if wa2_en = '1' then
ram( to_integer(wa2_addr) ) <= wa2_data;
end if;
end if;
end process;
-- The Read side (the outputs)
ra0_data <= ram( to_integer(ra0_addr) );
ra3_data <= ram( to_integer(ra3_addr) );
ra1_data <= ram( to_integer(ra1_addr) );
ra2_data <= ram( to_integer(ra2_addr) );
end architecture;
| gpl-2.0 | deea35af4a7dbbbb65f9ce77f53c41c5 | 0.670143 | 2.781679 | false | false | false | false |
nickg/nvc | test/regress/operator6.vhd | 1 | 1,584 | entity operator6 is
end entity;
architecture test of operator6 is
function "=" (L: bit; R: bit) return bit is
begin
report "custom bit =" severity failure;
return L xnor R;
end function "=";
function "/=" (L: bit; R: bit) return bit is
begin
report "custom bit /=" severity failure;
return L xor R;
end function "/=";
function "=" (L: bit_vector; R: bit_vector) return bit is
begin
report "custom bit_vector =" severity failure;
if L = R then
return '1';
else
return '0';
end if;
end function "=";
function "/=" (L: bit_vector; R: bit_vector) return bit is
begin
report "custom bit_vector /=" severity failure;
if L /= R then
return '1';
else
return '0';
end if;
end function "/=";
constant ID_FLAG_C_SHF : bit_vector(1 to 3) := "100";
constant ID_RMW_SHF : bit := '1';
-- ** Note: 3972750ns+1: Report Note: bad else: s1_id_flag_c = 4 s1_id_rmw = '0'
signal s1_id_flag_c : bit_vector(1 to 3) := ID_FLAG_C_SHF;
signal s1_id_rmw : bit := '0';
begin
p1: process is
begin
-- report "bad else: s1_id_flag_c = " & integer'image(to_integer(unsigned(s1_id_flag_c))) & " s1_id_rmw = " & bit'image(to_bit(s1_id_rmw));
if s1_id_flag_c = ID_FLAG_C_SHF then
report "s1_id_flag_c = ID_FLAG_C_SHF";
end if;
if s1_id_rmw /= ID_RMW_SHF then
report "s1_id_rmw /= ID_RMW_SHF";
end if;
assert s1_id_flag_c = ID_FLAG_C_SHF and s1_id_rmw /= ID_RMW_SHF;
wait;
end process;
end architecture;
| gpl-3.0 | 1e9ff0b812eaf2e219456c9350230e57 | 0.573232 | 3.069767 | false | false | false | false |
tgingold/ghdl | testsuite/vests/vhdl-93/billowitch/compliant/tc1360.vhd | 4 | 6,488 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1360.vhd,v 1.2 2001-10-26 16:29:40 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s05b00x00p03n01i01360ent IS
END c08s05b00x00p03n01i01360ent;
ARCHITECTURE c08s05b00x00p03n01i01360arch OF c08s05b00x00p03n01i01360ent IS
BEGIN
TESTING: PROCESS
--
-- Define constants for package
--
constant lowb : integer := 1 ;
constant highb : integer := 5 ;
constant lowb_i2 : integer := 0 ;
constant highb_i2 : integer := 1000 ;
constant lowb_p : integer := -100 ;
constant highb_p : integer := 1000 ;
constant lowb_r : real := 0.0 ;
constant highb_r : real := 1000.0 ;
constant lowb_r2 : real := 8.0 ;
constant highb_r2 : real := 80.0 ;
constant c_boolean_1 : boolean := false ;
constant c_boolean_2 : boolean := true ;
--
-- bit
constant c_bit_1 : bit := '0' ;
constant c_bit_2 : bit := '1' ;
-- severity_level
constant c_severity_level_1 : severity_level := NOTE ;
constant c_severity_level_2 : severity_level := WARNING ;
--
-- character
constant c_character_1 : character := 'A' ;
constant c_character_2 : character := 'a' ;
-- integer types
-- predefined
constant c_integer_1 : integer := lowb ;
constant c_integer_2 : integer := highb ;
--
-- user defined integer type
type t_int1 is range 0 to 100 ;
constant c_t_int1_1 : t_int1 := 0 ;
constant c_t_int1_2 : t_int1 := 10 ;
subtype st_int1 is t_int1 range 8 to 60 ;
constant c_st_int1_1 : st_int1 := 8 ;
constant c_st_int1_2 : st_int1 := 9 ;
--
-- physical types
-- predefined
constant c_time_1 : time := 1 ns ;
constant c_time_2 : time := 2 ns ;
--
--
-- floating point types
-- predefined
constant c_real_1 : real := 0.0 ;
constant c_real_2 : real := 1.0 ;
--
-- simple record
type t_rec1 is record
f1 : integer range lowb_i2 to highb_i2 ;
f2 : time ;
f3 : boolean ;
f4 : real ;
end record ;
constant c_t_rec1_1 : t_rec1 :=
(c_integer_1, c_time_1, c_boolean_1, c_real_1) ;
constant c_t_rec1_2 : t_rec1 :=
(c_integer_2, c_time_2, c_boolean_2, c_real_2) ;
subtype st_rec1 is t_rec1 ;
constant c_st_rec1_1 : st_rec1 := c_t_rec1_1 ;
constant c_st_rec1_2 : st_rec1 := c_t_rec1_2 ;
--
-- more complex record
type t_rec2 is record
f1 : boolean ;
f2 : st_rec1 ;
f3 : time ;
end record ;
constant c_t_rec2_1 : t_rec2 :=
(c_boolean_1, c_st_rec1_1, c_time_1) ;
constant c_t_rec2_2 : t_rec2 :=
(c_boolean_2, c_st_rec1_2, c_time_2) ;
subtype st_rec2 is t_rec2 ;
constant c_st_rec2_1 : st_rec2 := c_t_rec2_1 ;
constant c_st_rec2_2 : st_rec2 := c_t_rec2_2 ;
--
-- simple array
type t_arr1 is array (integer range <>) of st_int1 ;
subtype t_arr1_range1 is integer range lowb to highb ;
subtype st_arr1 is t_arr1 (t_arr1_range1) ;
constant c_st_arr1_1 : st_arr1 := (others => c_st_int1_1) ;
constant c_st_arr1_2 : st_arr1 := (others => c_st_int1_2) ;
constant c_t_arr1_1 : st_arr1 := c_st_arr1_1 ;
constant c_t_arr1_2 : st_arr1 := c_st_arr1_2 ;
--
-- more complex array
type t_arr2 is array (integer range <>, boolean range <>) of st_arr1 ;
subtype t_arr2_range1 is integer range lowb to highb ;
subtype t_arr2_range2 is boolean range false to true ;
subtype st_arr2 is t_arr2 (t_arr2_range1, t_arr2_range2);
constant c_st_arr2_1 : st_arr2 := (others => (others => c_st_arr1_1)) ;
constant c_st_arr2_2 : st_arr2 := (others => (others => c_st_arr1_2)) ;
constant c_t_arr2_1 : st_arr2 := c_st_arr2_1 ;
constant c_t_arr2_2 : st_arr2 := c_st_arr2_2 ;
--
-- most complex record
type t_rec3 is record
f1 : boolean ;
f2 : st_rec2 ;
f3 : st_arr2 ;
end record ;
constant c_t_rec3_1 : t_rec3 :=
(c_boolean_1, c_st_rec2_1, c_st_arr2_1) ;
constant c_t_rec3_2 : t_rec3 :=
(c_boolean_2, c_st_rec2_2, c_st_arr2_2) ;
subtype st_rec3 is t_rec3 ;
constant c_st_rec3_1 : st_rec3 := c_t_rec3_1 ;
constant c_st_rec3_2 : st_rec3 := c_t_rec3_2 ;
--
-- most complex array
type t_arr3 is array (integer range <>, boolean range <>) of st_rec3 ;
subtype t_arr3_range1 is integer range lowb to highb ;
subtype t_arr3_range2 is boolean range true downto false ;
subtype st_arr3 is t_arr3 (t_arr3_range1, t_arr3_range2) ;
constant c_st_arr3_1 : st_arr3 := (others => (others => c_st_rec3_1)) ;
constant c_st_arr3_2 : st_arr3 := (others => (others => c_st_rec3_2)) ;
constant c_t_arr3_1 : st_arr3 := c_st_arr3_1 ;
constant c_t_arr3_2 : st_arr3 := c_st_arr3_2 ;
--
variable v_st_arr1 : st_arr1 :=c_st_arr1_1 ;
--
BEGIN
v_st_arr1(st_arr1'Left) :=
c_st_arr1_2(st_arr1'Right) ;
assert NOT(v_st_arr1(st_arr1'Left) = c_st_int1_2)
report "***PASSED TEST: c08s05b00x00p03n01i01360"
severity NOTE;
assert (v_st_arr1(st_arr1'Left) = c_st_int1_2)
report "***FAILED TEST: c08s05b00x00p03n01i01360 - The types of the variable and the assigned variable must match."
severity ERROR;
wait;
END PROCESS TESTING;
END c08s05b00x00p03n01i01360arch;
| gpl-2.0 | 26c036f28f8d4b1c25a083be6304e654 | 0.582922 | 2.966621 | false | false | false | false |
tgingold/ghdl | testsuite/vests/vhdl-ams/ashenden/compliant/generators/graphics_engine.vhd | 4 | 2,224 |
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- not in book
entity graphics_engine is
end entity graphics_engine;
-- end not in book
architecture behavioral of graphics_engine is
type point is array (1 to 3) of real;
type transformation_matrix is array (1 to 3, 1 to 3) of real;
signal p, transformed_p : point;
signal a : transformation_matrix;
signal clock : bit;
-- . . .
begin
transform_stage : for i in 1 to 3 generate
begin
cross_product_transform : process is
variable result1, result2, result3 : real := 0.0;
begin
wait until clock = '1';
transformed_p(i) <= result3;
result3 := result2;
result2 := result1;
result1 := a(i, 1) * p(1) + a(i, 2) * p(2) + a(i, 3) * p(3);
end process cross_product_transform;
end generate transform_stage;
-- . . . -- other stages in the pipeline, etc
-- not in book
clock_gen : clock <= '1' after 10 ns, '0' after 20 ns when clock = '0';
stimulus : process is
begin
a <= ( (1.0, 0.0, 0.0), (0.0, 1.0, 0.0), (0.0, 0.0, 1.0) );
p <= ( 10.0, 10.0, 10.0 );
wait until clock = '0';
p <= ( 20.0, 20.0, 20.0 );
wait until clock = '0';
p <= ( 30.0, 30.0, 30.0 );
wait until clock = '0';
p <= ( 40.0, 40.0, 40.0 );
wait until clock = '0';
p <= ( 50.0, 50.0, 50.0 );
wait until clock = '0';
p <= ( 60.0, 60.0, 60.0 );
wait;
end process stimulus;
-- end not in book
end architecture behavioral;
| gpl-2.0 | eba2c1228d033225bcc82732d50b4939 | 0.629496 | 3.329341 | false | false | false | false |
lfmunoz/vhdl | ip_blocks/axi_to_stellarip/vivado_prj/vivado_prj.srcs/sources_1/ip/axi_traffic_gen_0/dist_mem_gen_v8_0/hdl/dist_mem_gen_v8_0_vhsyn_rfs.vhd | 6 | 171,976 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
dWNC5O/skI155KAp5KOWoF7PAEoSa9dlQ4BEGvYf9rcCz/XPmDGb9cHdFk41xW/13JPFb1vvJI0y
paR+PkKOQw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
arDqe1SZUVXvjYDvQFyp018Qo3kBxAQuqhz4XaALefjTfUVxHLOl0QMJ32OBFkyD4ASVDy0y26uw
p1WfQag4myDUgw9X1tg1EkSAjiY2T+bS46vpr/V1iSmCBLeMocwUSy+S6/j8P6sKpKVBIwYNIUk+
GeQaTfzT2jus4jLVuYk=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
LqZHaa/fqNZRMwLiq21bMdfwLQhhsi3+RWh3hUoB3NQuMju+GhGuY4MooZizud9d3j38An+LHEsr
GDrcO/p36+T8vsJNZV7Ufn94KQBBbqctMH8grLiOOYRChU9QJegXc4CDvx7EpF95l1JQ7AYhUc9m
BP637BC0zMrYW9oD9CA+HzYHObkLlwfKUJUa5Z9Gy/gRbRwROFmMiHl+pwV8bGzX77zFUBYh3AmU
ipbAakCJdSPqhrMWtt2EhngRToN7G+1BVQ/CiA7w2ILV+Itzzt5MfEy1C3HjrlzXl51xB32BWGPz
cV4O0htiI8hqTkuOzWq9nCem+ECxavU2KZ3BPg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
hl3RtgC3zulj1VBs/uKvPoBXU8IVq31Yn6TPJRPRncW3nwHlMNJjYlyG2Q6bIzixu4iWyHB/ZIHE
5gG8ea3nTO9nr3GqLifJ9msptoTv+MyyZatl294Xr44J6xsXMQ3wJxbBNjUqgn7k7sbogIV78RUM
TVWUJAXxrDcDKI89s2M=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
skw9tNQE1FYb2zddMb2x0Xv3aQBe+FTXsJkPGfwdyXRKljpuw2ofmzjM05rUP1jDryQRbTtcu8Dh
dD3xnSiXkvMjtSrAPZciwjTkVxxCHTNTshjgHiMAWWR/iLuWdsgBT0JqfSHbGB1Bi4mTjh9x7oVO
QYiPIzYyrgsvEVXMyA41XK/6Dvb2pnfqmGXyfQIu7JCvoIQKoTyIAfADRTtAp+pPXpUMu0h+quR+
urUNKn9hxxJ5BNgZbN53EXWHkiNCNV4bBXOgYFLdKkTahF9QNd9xZhbKYYyKomacpiLjvG1YMXv2
28nKiejJmdm4L2LPF6MoBAYk8x00rX1VY1faLg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 125568)
`protect data_block
BHyLwSnyIU79ty3AfPjH/wNZb8sme5llLRagWEJwaiB3Wy8JV2XvJG2zLeqtHOwGcUrz4ssHY9E2
Zw1gZjkKuNXy2EDFzkFZ4CnZpM3dWhU0JHbjPa6IAecG1DB+Z6HrC3xwi0rjole/2pGD9aQK+bSQ
28IUvsqMiN44/um7SH3mVcCIw5wFG+SDF4katUk9STAGtGQe5/q3ZMQnNI8rza4sjimG0LQC0Z6e
AcH+S7h9UPpHMFWlKT6yGV+PJh07hvbH/8eCRSDulg4HUr8i8hyruMVLiezmBPxU0KORfTLtJX1v
2SZLCJcedyyKFJiRdeQPEXhVcPpCr0Y05Ps0gTqnqON67u7FCPIZhfIowMnSL7P7fbpHM0oRcwU9
DegvtATCOIGFAvbVW6c60nLNT8H3sNZkoLji53M3CwUew+DRtjFHefqK0qUygedhQ9DzrGtmhiET
EHr53+tRZgG2bcX95J91ecRv+CTAtb3NR03dFep1Qbi3mxsR3I4T+ua4i3f6kKz7QhqSwp5FnDin
//H7edx2T1WHALWmssP2OeCqAs3zo8W/kiOzi6TaBvcHKag+FLBdciqKWbQ/4yJluibWZ8+fifz3
1hjCUoN8GJQ/Kx0pCdrkITTwUC7pqgmYln+kxjK1NXHAJE80Y4KPY30Sw4JGpjCMqh9FwqEsV3W/
3WbLlvX1g7gbdbntWU20DJp4gnTbGpsNHcxpg+5BSBj1N1IIwf0SCXMuo/NH4Z4/3yeLngH+e5UI
xF4FrRQ6b5+iQ4gVw2cs+qEBLg8CpaOeD6Ve7j2zJM+rnzaCUXqRKqP57URFtk4q7+lQmcaNqMw3
oXaLlyv2+vhbXEM5HKXW/fPrXox/V5JtIWy1SvqLvwiQfrw/jKP3+8im5q59wujHUYrfz663hIdp
F1wkBW5pbQV7FSisg3b6HW9yVyyHAEVl1qYPgDAEPOXKH72tvHlATiUaBC+NJa0vw+VQao0RpGkk
Tt7xdHVCGn5mIxG/TkKusSQCVqZH7I+bnXx8hJTtFQic6J+XWcj8vayNWZNo50vW/ow+MxVyeU95
xovGaqYRUt6+o2giFZHX445U2fz9VOyDuZbUbdkg7ie555qwm/TEHjeZJV7chuljSTsRbzacVC7I
3uxKg5Iir4oiDMbL6FXA4PTKODfr+qhvUl72WTloHqwMJrE0WM61EVMxxweJqkz2KHek3Xt2Piz0
r2HTDGFNd++LziU0VyuhwaR7u4QTceoBybrSqbFxl3ezoAXfzAn4uqO+vz7sfeQJ82TXYqaU+THF
1T9e7jU02rNDjBXxB5PCloC0UWB0kAkPhCRb0wS1tblZPSYgIVLNUOzKhGi4//IuPeUsdNfYyYN3
iTbCMBGo5PxqLE/C5KhAeEVmUR57CdBzjxp1QvfVDPBL230btLSs/ulgWHyiBgFe6No0pQfjzhE5
zdjTUZ0V7A1gZs15ypWChTAXTYHKxRRfkV4HARWJvWZP2lKvFBu2I/VO2G7N86cj/VjoNSOcLmYm
LmLl1awj0FtVjSj4snz6flkUekIFWETYftOhs9fGMPocfZuBrpOCAtlUqFCfsun2UA7udAfCe0ox
WB+3Av11EsktwpFX7qWAJFFoFv5ItOlRkOpk7Bv+ayP29kJ5xs4KkSUzblsdm9+W2jGJ5DNk31/Z
h4jU3BlmuLIEj8OjaByK1XXfoKWdIssk+g0ZqtqDNsZf3WkBuK72+1L9KZvvplPIQTV3lgMvuIOY
GDeYNK0FywAxNaum76vjg51D6BVgShMWoRialB2rgPKcDG5gAbxeiwCQVpsWUlksck+AkcuJRW7O
nLNi90ckdNkzJv77/FXSF2/lApAeZDdezfF1mYfiRedNBgTjgo3DlXuV78apkyGelGO++U5BAR4r
jKrY5Q7RQsLZMelcK7iKupQaHZ9NZspIj4ZdtuCKfQ96YCCdI5aIVhLqAzQTbIUkDt0KSMQKdLqG
iZpcI3WBvkxDAZSz8mXqzt34HVMu8eFVCY3miHAQuClUDKFj/o14v5SIEYyL6B4exZRvTu2HL2MA
EskXYoCTZEB+6pEPttXBfKEuMlk8LzOl1QuICHl4cHfiua5Q43/1Ye5Hhj8+fu5IqlxqD+SbH3Rp
b59hIn5XSnexH6YdHVFJr156akt0+TsYDnxdLo22qMgdGOLwLW5li6ENRtDVLRRtysGoYgsCMDfT
p5BLVpNd1enqDYxnGr3Jg21pSGqwp3kVrsoC/Pxi+8AscbcC8FSSjQIIS/c4ezxWzJtqyb5XfNBw
NBaY8k2w9niaNomRgxfGXDMQtpSKjDkc+QhyE77xfH0Urzn0gsnmExbASgGsp22yzDFtEJaDgISZ
dIIDmhD7Ic4Ti1IjpLORlf8riZpI/nB1wW2q2aqOVnYi6GpGLZsJFtoyzMqyoS8JLbFmDcTnB/He
JBuf0wqRgfQBesWeIHIuluDJItP+F80KLYKglCKp7n88EHfVOtWmouYCJT7cADjgq+81j6YaJkOm
Ah4/POlkVO7qk3W6w0tw9+/YAvG7ii/VlVLi+lwNCzV/b5UDmdh5KZadEcsfM8T+pD67kn/TJ6vM
7hOkD+8yTIEGPsEDpA/n6ctem76FPwFPzeitJsy7at02/nENwIbsD2jOIy9GTEhqnYJpdSz3bjlU
4X51rZsM3SNkF+CNjj2VZJFZt8Cfb8GWbMWOjDLmWyglo0ECoGahO/9XYs63Yrm95RAnWfleOoqF
bXybynseykr3ztxnLS4dbLTja1jOha7sIRZP0GZyfPKr5kWiQUG2bgTuErTAdN9tmLe0JNjrNgu4
Rw+NftMHT8gasyp/AtdoAo1TdbdejD+pDCyoFs6eBtsPZeANioWbzGhmmiqpTiekJMamQUT28zye
AGk0eLRrhsWnSnBB3+OAtFHtX3D9ddaLFBHw10TalYfGAnZB4kzWqSAcR64DJ/V8nzU+H369fcVo
5dtzGCrY4PgO5u+WtH/WnDjHMLLfUCMKbh1UeMmd577O8UKyad4XosrqdQFopdqhfHcMEdhvVwlh
ek/+Z1mhrgDrUcCqBlhMPk1RkxhjIehCiDP+MQlKNQPBRoarVJ4xA2punyVdtOzYN3jBvQrGX6I5
AKzOeOaLb48OB76rJA3RyyFE5ETe2cQH5vme8V/cBWynlXqdVqHEW1xxLwL5g0r4c+km8l3Lwm5X
xaiwDcvAY0GMWRfjMfNJCE5lH2bh3IDL7Y2QKhvDhbZ35xGdexZ/YnL2A38q0OaxPM7OrQUaQq35
/FnBnHOLSXafc6g24WURjraChCh/qMmuX3LTOO6q7k8z3umGHOJLunIUGIbE7BTjvB5dcpHDJK36
T40R1JqNMlPP5Juzh0E8S8OrSbBz5NXGr5zmZEgI5JnTz6gWgPGQis+JJWO3R1YrjEYgEI589DB0
rbpZG7iappbgdidatg0811/Pokl5D54qGVxiN+ZphVq6TKJxpgrdDZ5H0mtL6g+h1PhrVgjH9eLP
W8fiGuE77jzsB4+Tl6fKbddvOsF/3ZmIHpRdm6cL3yidCn5lVL1ViCJiMR3rz3oM+TwB+EXAyn6W
pfZM6x0StgKAqQY5Y645AELy6CGCF3TgKsnuAnL5yquTkFZsayaGdgsmg9TEmAtckcQxiuS/Y0P7
fObbTTYP8wfXcxKne6K2xNpeMJNjdQdp8N4YftiZafxTe+OaHLSZFRm4ocArsHeNg0cpNZsQHjTt
pVsYPl3hyjgtoDYmM5lB9LNkOiSUoouB7cjS5pwzPSP3dzaFarsgVuyX2ltZK8JPw6hImWDd87AD
1utWwLBGdB8C58/0DbZalMXOmobCbVPDtw7vEF77ZL1HDP6+tz9qz4bkT6fU0xI5TJmhP9dT63c+
CMjiFW8RU48hSb0RrigjJjPIIuMpCsqsUtct0VbiI46qhy6xEFv9+lYnY1yPian2zF2DL+6cfiWQ
gSXtN6pw4Pn4oJx7vJ8Ijqh7MwsWHTQOn+zctAQa3PMhPaVFcWT+QdsqE1K1hv6H0erKd4sjMdje
KPpheFSK7omWwZE8HciRDm8BJx9RtbTtwPo8IN2MRhy3lSpcgMd/IWQCfzgG1a95XvOgt4Cf25dA
dctBazgGJpncblYLg29S0WODOTsEyd7NwInlvBdKZKaa0Gogd4EOYxMyUZh1a0zpbzjsUrwPdbcA
FKM1dPAsJge6VjjnCYC9AKzgU+vJgmBqf/rt7jKxijOmZtdvMOXtuvvBs9q6HIgk3tRDiDZI8aNt
4H6uWmno89dsXDQX6ZTpX652U2xtk/nUaG+A8Kv6MhrlzNKKagflF/39yPKKdwt9kT8lub9xJz5g
XHwvA8vFPYMu3Gy3YQRQnf8qACJY4S+c3/s5e97RSszXwTo45vjAfhIPcjEvcK1e3AEsqKSKiex3
QM3jNWwR8BtvILRRLIBUk+OwJa9Z8wYvBdm5jESmO+wQ/F5ingZtwn7SATs9mYkQtTElmSaDKqGm
iaai3RAnEZcPRmO3Y5WWdz2G7wbvQfkOxmOsPSbK/MK7QRAXSwmQlGQlhEzM8MKHMi1ee6DZTN5f
kXmfEo1gfc5IHT4b/yv3gG4eHumaW3JB3WQaVf7P+L2Poj7YsX4PWzWb0fGu5DJYG7nh1wFm+j1x
pxdN+GwA6gqVe4vFevgbp2cu07ZX6rDE57kVHnwpTSjzXoEOA2gEE8mDXcTKiSrOyNzWk5+lWhCo
jT2EKzaot8j5JIWlxWkI83marF4IwPzEcrhq0ubGaxlwQtF2bRBfVQm5y9snd86I/qfZKL6DGx3S
NLjqFKmqNMPqT/t6DCN5ueD2o9syvFAwp1CBeTk/IcP4rTCbr1T1ds2bQpXSPe34YHIF5Vxbgflr
ePjnwQy6lVr9cM4ph31iRTmeNNZeNpRJ8jPctP5mM+vwW7SzT4jI3DLVNUxlv4lgq7X+qNT9k+6H
zut77V0EqfzQRJXj6tn0HOs0O5Citv6+aBcRN9R8j2Xw00YgJdbuIDUHDcF+FbujuPK6xwXCmsVV
CY9VCSPelLO++Nmbqh1osINPQtpAjcqJxn/SQilPk1y02bl6AFaVS55M9MRTPq5Vhq7Vh2IuCtNW
YhjE8c2SwWn6Cp5EqVJHRu/dxfs+lg+hY9J1hUl5xMMySam6oTY82tQJoAraolS2m8Z8N+2Txz52
e2y/1QW0eEm90oZhTUicJePWBYdF3no5WCmqY235r3V2hb3eMuvrPf1/MJ3y9rt1bXtAln2Lh4D0
r9fI4ElpJBQSVbmRBN30UQN+gPwHw2KoLdKuLEVtSJDZGaOlel+45ZMrqb0jjxBW02Q2YRENbV2A
haEM54/GOVJS7ocbBqkNNrcwfx2lu9BuZy4uZELQLz+oM4mD86kavcLOccPBLEbSbs2EkVyeR3Gj
HJ3PrZlnIpI26CvooXxFFDn43/zZ14NXc3MOLIoA4TtSfHKbtde/uytmtc+pLSMoZhUDv7Zy45cS
ptrRGasc3hEKJaDfMA2efpP2+VzXeDXzz1wwJbGgILb6th7fyQrvlu4Qc38nZIpZaVGXyLWhtqsP
ogYAEF5TyjscvL1pvwF85r9Qytcns2tla0hqkoZU9HDyNoe0//PwLeRL0fhvsmLQt88C5rP4Z+P8
O4SYaCLdD9jB9LxF880ayQ5KJBAjJVNmWeluGJppZvIvUGRmMWnKXVa1c+fhRM7/PVRcBFgx6E1n
TKHT9f6vmSubspMKJXc5EbocRozaBYiMLoT9MzW0vcQBXxiKPUdmdApUrLZbTXGipZCAZybP5uiV
ptvicyJPEZ1c8U/0Y1CvllPCYSgfUjam5EhBpmc4AJ3aa36ILSWMWLu0UqsR3G8AYfTjdu2YkIOH
i0Q3MENvR/dPgBn2BKVZiQ4UwOz87TYe6QAIyyFbZIn2T1oH19XUVOQSK2h66HoDe+n7BtR8aPrN
uT60U18JjQd9CMNLx080f40jkTzwArwPAWWNCEGcRXlcngGbpFASISTZeVb+JE6hqEGnDekNWhqv
uI+AZ4K9i4yovWP9h5FEL3W5C8fnAKm41xgsGLALkyp/hAhuLAcAZg2qf8dhzsJNTlw3QJenSYW5
EMA5HHV4ObdGPPOWt2PKFWpQJFsnY96TE7L8BHSGthMhhbDz9Wm0x04iiJBRQeY6nn/qYCWgCe3f
aPjsEN+94nPDy5XxxzEWmc+nzb7FH8AuW+paWmdsi5uQFieLXxNdWw1976XGsCNO5mzMw6aWkrUg
hsHHUbNXQJlFv86DimzZlT4hFIvMhwJ+nk7kNq9UOff3fvrApCavwlgsAVZA3OHRjS2UIkGWuGL9
AKHdQ5y5v6FNuk0jTbQvVTX0UxHVwOouyaIXjYBinqXotyv2FZU+nXjncWlAos50S1QhTL4PTw6e
B0s1Ezh5EI14iDxqaGaIDq8UDloIJBjOpsLbvGQxspmNCjD5Ppr0nCqy6kOCpBRvv7im2r7NiMBm
+OPP4ocQNoQyRO4LldvEa0Jp94W9UNck7mzQXZvAttUDsEFqJwcrMKpMYtDE27BWA67vnzNH8hiz
egzt39qfim4HfmxmJXZaNJ0zydpSPixJ340ZdWTzccncTs95DW1b1D2F6IAIUI2b6RCmhrrh7Rzp
i3v5uZVixiCVuDxwaVUR9V3AphKeAPwUvlx5nL0POi9CywLOjmU91nlmcZRdDCcH7C/id08Hpnyl
SvvT3eguo57VJqmCK1f7k82pNCxz/3aHXuJZVWtHHIcFRB5s/fAKgTUHf8fFe/evB72ZPdpBrSWV
UgmebEbfyQCubgPZ77o/QIOMSviEbB6qlc6PSyzxBMWBi0pCDtcK7pmb19sNh+AIJI4MvRYWDY28
NYvaUY3Zi02oL6MVv3/vYJS5VuT6G5GRN/OPXnx6UTgi7U+7jPtt6VUvB4C6f4dtNorWaXgm7Cfi
RZneEQk2A1kJuCZxYOvt4dGdArN8CQgGzxbhUsxpBBEIDqtMDsZMrDb7VnUtnDldwbWBKOYtDaU5
D3ajp2xJC/58kolLyGyC3ziQf8aZsY0RgMOYUlR9nakdmoHwWjbZanft5/IwQwfldbRiEJqyYLFA
Rtn4X4FD5+nawJdDLB3TIMgznaKpG8pGXJDYUkm4yRiR9sFbiJSwCec7mCYlXlNWGSTyB+nG2QFU
y4Vs3sf1fJARVTmjlKhzgDauZPPNmaOEyVC83cFn43KEnd8H00lUF/CAWsYWVUz9mJAgIM8iZ/iP
ltxeyXr0A0ewC9tbN8wayv1yOr/m7dI/2pMTjA9QsBi1pbHv9JAp1SPD6+8eDin6ezd5b9xnKsSL
1bF98as6iOdMExsyuQl+lUbO2pLWpRUak95luIYgJ2W6nSv7rO5cRph/Cize1TsjtFd89cwwhgm/
SHEC+/BXH0cSGCfknyLiPho1pG8rCNGwGxq+jdCZejUFWv5DdL59WCRWl5nJC2uZsqDnz7tCZMWF
PTZDLjWcO5g7t6HNTGbgYESnpNAPrc8f0RtNQiKn3w3LZz8Dtrphp2YQ69Cm83Tj3BE1MaZLOnsN
69lNnS7Nm0XJ+OQMQRK/uCY6FgYH5bdTKyE4lWBz3Coj0FsShoY57+JBHpk8F99renuauyKQEM6t
fVzd7Y0Ix0xHe57LH90JY82YboLyrSP9E5iWNnHl7tUEcry8Sn6A2A5VPtgCeqnpTWCvwKyqh+1H
zEyQCrLUA439IIVbOeBhBxTpH2zG5+V5+FjprfTjT2smF0fgQFE4AaxSbDN95Ebe+IQMBFSfgX5x
1ICW/pYQ8PNaaCdBigb5NrPiKWhOkCP5g1kXnsP1kvmEoQnG4Bt4Vekk2c39H7+4uizh7/I2fYSf
ZSYT/oFkOq3OoXsDVde3cpj6NnehUKSp+mTtwrLfJEWuYKVK/bgi+LoKsQ5U2wskv86ofxvR2DBg
bXSVh5JtOWLFlty7GbOxWoclSrlfibWqwWB2jQ9uyvu6kHG97xaOgRHtwIyogvdqcT1+H0WUsWjH
7LBobClWVrX0hn7Q8YixNQnlVspN14fzJZIQ4AjejqIOZibg5ze0nOPcNJfJwa2FcTfHrHmF1BFS
ATNgakKMVhLw2Kcv3C5/olDIjljthOC1uZejwW2Y5SXworpOeemKYqw9bW1PKnEcouXRSyTUqkdb
zYWsHFpIyFtxN/NSUp6z9jvBjupqcSFFlRNV+HDDzbWGz04Gj/oDh6Vesi4EPMYQXQGQJPmb0upV
L/83UEaY7D6aMn3gYRQZ0kn9R8JpDOVPfxHcCDyM+mTHP6ZxmjGdsJtj9zRL0PQlrZ6TW9/hy1rK
v5+cR6RqiehNiHqk+rR7NnUBVfAp4jeGFgYVLKn6TStLztSoalmkS+TAv1PoEg/+XmFGACMph9D2
9Nr7tLusN+djPlj4rSv6UO1CCwNCOQZTxjWFUK6v2b+Nx9B0cxFQPMLcstnF+IVqfLZ5C4ir5Dk9
K3Kjf+WWKfSxz0vMhlZw6lgfDyJ01r4VMnw6NJL2kXV/yAJGcF7bCGj+i+jXGVOEPj3GstSWdOK/
zvKBp9Py1mrXCcTLHNlInO7jL7D3Qso29tJe+IrLpFrlnqCa7eoWLmIH2vIETO7ROTyXhYTr4R+0
P4I5h2bCUOfZxn3YmHsLIUrBzgr48oBX13XxsP5Cg6OXlNVe8wBvxwTmptOzgmPO8zbsQY4QUNCi
1XKpexWmXZDyuDb+mknuu3nohBj9Z8Xk2fy0MSy6ui04Gf4eBZQDFv5evr9ljUDyDgSqaj0iwA3U
/Lr3Dc4RHOdeEZr5d+ca7E/JhRZerVNPVsPenQYCybefy7bH0ZVYCEf24L836AKVqRxVN1FXtVve
MrJVDopqhAxBThZ4B+98NfuIVjEUNlbTl1uIdHPaOW65xjV1102C84tL19kPaAAmTRJ6e+zJOtVk
7B8C9QILpFdc7ijR39GT6kPSgQpEFDlcm6UfRZcbF/M4RXzrS06hNf8SLPx8bhjJnKZpoZOVbyk5
F1/ewqyR6kb6jwdGovRl7AQwC2p3bFZr9QbFeZl6sJftVjRC52Kq+BpZQDOQFS1XBF1aUgempXYY
rnX7mFojwIlF9oGShkTsv+VKSyjsY9weHtNo8ULQNNj5Ytl8nAHxPHB2EMEp/ccN4y1Kb8t3X8O9
pG3pYw3eS0+ZZCwPiVqTRfCuqF9mHLK4ayI3gSBpBpC2OSny8WjwnzMTxGoI+7dc0uYCpa+29Vk0
k/ceWtJBCHKKKX/0LfCphwg2T6a9nLGxLzj0rHzF2TyoT3TdxDcfOu+PCgjJn7XWYsAUh85eykxR
aRSN+4DpaCUEopL/hgED7IfM+zLR41KUpV89+q5C5T23iIeCBw9pS/81UNfEqSvUWUH79abHp+Zx
EZcD1C3Tgyn9frtaypJ114INM5nVw+ePgHVnxVihAnusDJJflk/FeF7vq74p8/IEbWxuzMCmMJxN
/YQIQ9eeeomDA+LIK0fja00uv8/n3BxZnIFe5QSXRMmntyy3z5ccgbhxe0L3BDNtZHeTga0yaGp1
iDqm5sJPUMG50ouSPDKkUOwJSG4d9GBriDqR6IhlwKpg8sElQIMZTqpxnYZvwE837ZQd2PJ/Mt1E
fXaOPw+OlsdD0dEPOVMrSFqfMQl3EHdgzGg0UwlZg4IEa4pgP6UgOkEY8XOVek0wdvF7ob6OF78s
WmpEloumN4QZ4yhgP9Ux/U+u0jQXG1ow38+Mq5s0f8GrgId2I2fvPuRdAdCcEwLXgQOlpyshaRWp
ZTRYhAQwUo2iEV60w09fZA3v2QDmDu2As1sjNH+wpSFQrH5jtw6jqC44IRZ7Nmi5tQAgPt6J3IMd
BExgklun6fsQ6P5+0B4jhK2tSmdWjC3uCvNjT90SNyli5Q28ujH/PVs7eDZ5jYmCsin3Y6TG6LjA
xQ1bBLp9Dsh1PSJGOusIjvQ9E3Gjcv3CD0ZHNxoP3QKQce4XqI3c/4Y/BxAN0MgE1r85zDhgUt7C
SZdrxWyH5TGDxItk7eX2yyo6sMhCF8EmEdQS+extwwyWJ2nUmIsB1oFSp2c1+EG03BlFhbWlhUD8
7Lw9Cug3eZCdt8VYDzYVdA2e5yF2wUkXIVWZuJOd8siL2ZKbIySMh1jxtQDsmIn0/hW2NWztYk0o
wV1EL11c+XUOD/XfzxI/aFuLfuSc3VvuXzElEPmhRw3u3LRg6rF7G9VsLAk+0/5XoC4Q/8dvTkJs
nveB1V2itgjJA0R8pmr+fW4jzOFh5z7uyIYnjYLyAX8mT47Ui42q0I4d+iVtJG5j7gKSL2uRNM+R
ZaOSkuNuA4HGntUx5Zc9BQyFuhQHmk6zg2GfrRG2HWmhEPwjJ5TVKCoNJpnvveugikKS/FHhukjw
9noGqSn6OsayBXQhaxaA42+eg+SlRzZrFR6FJzWYI73Z8LUTwDMeJCmLOOuLZu5NtieSOEdtUMdt
dDKKcBLPlZ11oE7t8SZ6jR/mgFvWpfr0ueVOpeCsRC9rB66JWGPwgztmAvUPfsC19tfkB99Vymd3
oCBdPuBxNwrYg+DJ7Lm7IxyJUtlQdpHNCGaiq1qeK0OJIxkc6fwzBW/Px431Oa+uOoFg+2eL4Qd0
fw9UBp50ukIttrQKfnjHfNSf5NwfnOAksVjZW1LPTalXzmmx6hGxffBOl+3VwQJg8hCOQrMX+7dE
YgLrbkhYfcwYj5Wffj6wIhgm+zOI1moCO7sxi30WssHezUmeM0bK8oMwTZYq/hGz0OCm5juskyP2
9EozQXtFgZ/escouim+TaPblImOKM71rYvGLUr+eA1D0sIr6CnsKbWOriQdCdlXiv5Dy9DnEGkN9
5aqNfI8EDELaj8GlkBiSYCS5UlOaDZn9PXXmlTm0Mb75jIFMUtAdvHVFfIpUTKe9pkOSOOOegXJR
WLALlf8yJ01K6dtj+ZCErBSlExQFJP9s4v5BPaGokN1icMZMUNzKWpEZN6A55R45KhKL59xF9x7/
NsAoMhYJWODVEPjlTMM8MPq4d5hfRH1qm94kMFegcK1uPxBXVcIf7EKVelV+xcqJp2NLwY41Iu3e
sO5f+0nSY1mC1ErA9aG/NLGWaVIDl4IF2uuNUH36kU/Vz5yArQ2MKDeX8s/en7uOYgkg04rDMe2E
pCufAcw8BJR9a3UVL4sLNDvrRNPT8sK0LjzSg1PS7DrNfSHe7LmrYpASNK0BKaN5JkTy43I6iQyt
jYdNSWuWXmxEs/I0ICt7awQfRaJlwDznwj32cuoMHVq4kR8b1qgZF/7bB3/eCQ3dbhC7QJ2zkyrS
yumd9oTaHbeuAmX0pfsswlrvkn6BpXvqpUPGv6e2a26Z0u5asodooSHJDn0Km/W2qyHAEVC8WlVg
mxGcCF0kLdC/Z6UvoPHCmakilqjjNRCubIoYgd0Udf7K4/7mFbZA6QeKtgqbkr97w7NDGAKqPkoh
sDbNeQ9fkR0rrXk3ylLCUjaAmJH610ZMG8Z9R4Rch7PHrVvjxl7pjNClv5sP3Bz9VpwOaMCpe0BC
sJEFW+bxBKhflF7xZ105HJLtHG0NojJmEsvr4qxY3utO1JSLloR01ZC34yn7cLeZZ5R/sRplAUaA
ibdmRmmik2R+6mlKMH5UaEEbtOfg6BZRZhT5iNUwwTkrMXCl36/52rvg0xcMfeNjMpWSXX2OF1Xa
sVR+MgvcKqtgDerwOe3+3hgmHy6k3df2zhP9pbEdHMrwkd8OgKZla+Ym/FBQikpk6G7b2b9NfN0P
64MN6XWaXtUeKIfYpOH3FSm3KFZhKNCzdCQ9SNQE/BhyaNF0MYHpkVDJwrB4hazcZds6MOmF5B/K
YH7ztj6RnTK+1cjTUoIK4YrpKqcxtPntNzb7MnA0V7UZeml3I/zHdgCHf/6wWS4uSbQc5sj3HFDv
5AuO5sijwDOlaYKYVVuICHnWe+D+zYgWcuKOlIW2XsJmTeWFwB1vYcweq4atLR+XHQCUqP5j9GRn
+iQFuY8rvjbG2dJHYhR+XkLQL6vOP3Rg9Y7benMs9w1IOyI8l1d7yOh9ScDGkKwu4HFH7bcEXE7X
ehwrhWt7HSRHGkht6SDDTDC5q08lIv7NesjMN4/DYuwDr6z96KXhq8c4YPVJXmZitx307cCCchfa
qU7gLCC88l3o26WjUn1FVksvAnztXtxB6kpuF/BUmoAIb7Wqcc+71P/7AkVm9sTJsjsSkijF1N1f
jyCbNoTGzhxkwwOZxaclIYI3eWQ/DAhaveNSmQEgXtqwDZR8IlYaTkgi31hOW6JYOnWUFXxSljtJ
Hjm890Np01dwBiRIlKCY60C1NtDcL4QPzjP9G+nCtZfSiP2mDmA11NgzBlz3KQpPhH8f/vEW25ln
K4C5fbjeBVefzhjg1tXXlif2IyFrHRBFJJTcHRCVXLTLihvouoN+1cPmGCAlX1ty6sbinFwujUJI
eRNDmUGnhef8+kOTbQvXOVpmCHRijofwzdfXeT9tT3EmNXXknveBgaUfiDRVrxrwbsx/qCPjrsqJ
azAh47ceDiRuWoXbhREiCUx/4bexKlH3EQVTRRf5yRbyyR70kLN+ncZwc1/qkELFxrZ08k9/adAm
Q0B7WAgtzgJjmfGo+n8T9cLqIWrjLPPvEXB6F54gUzG+NAyeiLB73LlWsPrRHDNB3sM2U4wnTtWF
CT94lcwYg0NMvJ+RyntDYg8zEhw9bcShFTOiBXJOtmDMNcrp+dBw3aMlU2R9kLQ5YhmWYqr++cMT
HBrSVeAYXAeZcVa2PAe9oEfcm8eh74Bd6QpTJd2q8Z5w53AjmxknCyTzk+j1y6qhgArJx0m5UefM
e8FHepDvbe4LvG2ldc8gucAgrV/TBolOhz80gH1LRU+gem7qzhQ2LyRCiB7AobBbitgfc8flbwBI
COkJD6Mppz/a3o9D3F+7wC8ZHtD8Q/FNMLSbphYNMc0CfRYDj7kX+v0gngYGL2XkHpgu3yJKXzMb
9foCJYu9M2YeXebrHdlXFAwun60bVtL31RNliJuOrborIB/7Xhqg7OObLDbvkyQqpr61X6i4kBIv
rmZT7XmSwB1zr+Kq4S6eIm14LgckfYUyYFTHG+MbvhyoqCtlFgky7OtmEhtCl/G9TL+VoscO8jbm
E+NWZFN3iyEbNrsUQiQTWTVu3ZBxJg7qmF/Fsh4oF4RUBMPUa8lmFt7/adlBunmRmMBt5YeKEwMy
lwMqflbSAdPF62zoTZxRPy8gywBi83c33KTuRqiiqrYZBKyHYu+beilnG34i93Awrz6/6g3cCy+D
UJCJ6JP0vK32T/CA+FvBpVKGFX/hHcmpIIJLlllKpNvJZ0/htX7/vCQlRU4cXijQzec3QeMSsMlx
NM/NN5OyEpr1VkLgplwLVQuo2lE127PZuUP/Xcl8jMuqdahUtO3VBql7Zyb8Q7sWwuM1iTzczx3U
9us25SNrrSCYcB2pjQBFO3bANGeTZISnOkBpPLy/3gm/sbwL2b9IBNng3SiglDxTtXu+iJR7xrnq
1szWVEsW4m4iISGKisfAqzQj0qqvBtg+4FXLr1G11B3Pj26XViK965IJ7YDJHSlfgskEgWgzvjse
hU7hM5/WDVC+Yv1l6lrAbi2ezgkUI9KVXm66lzeJ5eZgYUayAJmODmNt6lgZ4Rp6NMOPasFjUmlR
6vZqWrVooN/HazDvXMoHxExtKtxWTs0xXtgeOMDM99m+jofbsOmZ4z+CxVLgJqDsBqdJt0dtDBsM
Zbh0FKmKUFex7S8vy9Ygn7sLUT8N4oZv+39GMWXsBnEHB87rMw6KEd6q4NpPaOYpp0e+0goX4EEj
+PSreg0aRmh6b0IhLWluqbPuUqmIlMhexLMRaQ85E4GKVHGTCXdqPrpM1CEvksuFRMbAn7SuDDPq
ONtJFNgH97zEkPNAlr7GUgdRVZUR/ODcdJB5Yggsg6d+w5nbnpSalKLV6DE8o9VIklBXMO8wB+c3
vbw92nxNX5+fn5euPFUPL4rK/DczWEJgwn8ohJwTwo2H8MerOIdJbfJ+E9zc3CyKTrDuOfnSTvrn
BlFx9aW4PwCTDP4cLMFm9+Pz8q2jxExs+4667lBAN/GrqA4AP8a6TwBv8BijBM9dq8yZjcMBZypZ
vaFIBa4wkBI0iPwZY6nREYJJS5PN5f20LE9XlybS6bkGLVtZe2lyV1MGk7FAitRJtQKIyHx8WL/s
s6lbBIk0MusQz1GMMcqI5XWGTRaFsh/j81zspAIr09UMVZSLd2azGFmtIBJN5L8bFt+zPyhrugPW
kMOvkhLskGY4IWhMSHx+hQj5yLdwLESKYbm8OcrplnwJUsaSVosLO8VQ4cmN7qFFixhow0i7qER9
Lv5LQrU37V67Drv0xKpG6BgkF09XSuympp3r4w/HhyK8uE0QqTmjgA88C2w8vDpHOz1AiCBiK657
9MTJ9BYvrK4WDY5O/bWjo9Zhlbw6RgFf5h+rwl3cL9Vl0AdHkd8hb4wG8Yv750hJIVGg+m3WNalc
4rCFxi3Cr0FXdzKitpx5azcvQLzzYHoFb0j1jbX/0Z8LQjP9vnDVcQ981+4Q2fPV1PV3bG3Ak3cG
LO7yzbuXjIpG1D90KRPdtwQN+e9BlBF+hBljZQPkgH/2jx9hWcL4GjKmWk7qX6crk8rlYu3Vz8jf
ljlInFUlT6kKGZperr7IrI5b1WIySqJLTM/ya/6jhaLIBnvas4w31i3jxCga5T9vuZ20cuMP0SID
R1zNztPE5F6o9D3W8r1ciyMC0MyAZj4+5Xciv16NeykbGmsGPygJfLzVvL6GBOiQcSgVkf1nIqH/
jkg1vZFXPs8X8ISd5NQ8GDYvcwO352LG593K167o97/Y4QyHIxgId/wQnNwWoiMrPpKAggnoizlV
ncQoc1qB+ttjZwBM/I6uZz+DokyZlCT4c6PknnCIzyh2OxFL+a2PNkbta2mUweWWuSoudB1xzRd6
9hK79aoEYLoTYJKNFi9lbcVnrXH+O9MqHtuiPKQefBipOoOZp0nFsAyGpVkyZ8fgD8WIGywkTedu
FDBJ08TPbK0VwGay1yZ0AHmr7nGbPcrFFgWreUmOkCM6xs+Pn5W8qJs73L0C7ZGHfVu7B9F/xvGl
VouDowLnmI5gKtkJSrPBV+lxyFVh95uxhk2oANnmiVIPIo7Z/pw3XqlD6bKDbi6nsqUiNLYzlgPv
KHUHVcIbHVeyhuecCzoeJJyZ3ifcpR+PoRXy17K029F2J0mgx5mz22toizzqNMj/GuYpu/ldAzvt
B02rAw33CALMFOsmjKGwbAzgjz99fyHC/eXSmIbDI7g66cK1iqOqCl0i1SF6SgV1cgzVyR2VyYlw
Ztatkk+v7jLYv9KDg1hO6r8Znk6u+aDLHCg+ajYfLZ8u6//+lQhjEFBBYcdIcXuvZhZSSTj/DsZT
deHJ/oLMli/7ATvFCVsXLfibhsy7eQ6JKHUxRM482kj9va4B/lj+ZrEhHnU404ARoDyFPXM6KoSK
G9EfY4ewKDlV58f89fxVCpXCHfgGRQvC0t0Njzuxmr3c4hECzZwaW3KmGqOWWAOBn9UVvGGpY6jW
cyTcO5uj9+Wb3LntWLqdCVDQq0Oy8MQdDnybFU5DeVMNTvkKgCiFq/0boZn9g4M7ZaEKx5mWkUsM
I+KzjBj5HCta5OsbX9m7MBdSlYaz9Cp31ZjPD/6LIl+T2sgMg3FzGZ2ZP4BRLNNUKahGAcmFAO0Q
R8S/0cqqKJESaE9O9p9yryMO1ZmNKWp4VvMLIiBVT9BJD0fF5ipN4L0sB/Tj5FduclKqQhNlRTrc
P4cehxS5DzSR7VomiXy3xdOt1BQajRhibEqQA8zvqBJvmGi8cWboxgWEDogyznx+ydvEPTj4lTU2
KSPN+eVJMH2BRyOMSXJ4cTAbzeWLtKvkT1sgf9NSfgpo2LX0n5Gz5lQEldL7xtqBrzBj7dDziS9J
g21KuzPT/UAGcdLRTMrfkikw9ECaP0j7uMoIYfYf78XaxnmYnHogPNvvoEwV8khRv6NRzK3DiqID
pEfnWPgUbKzq3PQVUBsGE8jX4MPKb75BL+MzQbsYKTv4s3IHi3ufUCF8CcX1AW0TvPRMqXBUzi1J
Z5CRckOfmlmhVJLCQW3JdNyPCib2Vm332rkl6/V6DcVTOxN4b/Lm7GoDDdTdG82WvJXUI6fIp1Nz
kU+KJ93qYcXKGLxsfkFdFwHihHC0TbaTN6yvN/qG8koa1H6FkiA1i6pIeJoIF5ymwzzS/I5yGFf+
RnmMhSTpN/zYPa3fKJKKw3w+Ey5q3U0MteJS6/giHZ5J9W5C8aEn/PgOcvKv3IIYyqXsWsdWb6HF
pDihQmazK4V8IFMqmDMGK/c5qKz5A2fz4IH4vs3lnogAgG5ce+5+FF5x0LkQoRm74zAUVXpD4RLs
+tzom21w/LGbst2rtNoQil8nGU15iWkdiRf4Q8gL3nk1g6tnc9abj5x/CBfAjqOcpCsalN3ygtOS
oWdn1viTypDqsXbmKALTOmnOOsE0Y8oSDAIjPOCEeMsHyISJqFD1bpi46mRHlypENC6nB6lbjrhN
BcU+YttHnFx6rvgCbwcunCIRAYkWCBvFrINstxD4GFSbzZKNOPR1JiCJbOh5C/9MTzTok9VuSbQ8
C2KKhqZcTfnRBcA7yXgyYU6FmudT+REnA01YorQh6JsrZj7EFqrgH+u9Gh3MSzBIWx15D5I+USYN
SDC4zIqiySgQsfNSZ1sYIErp/PT7UOAt5l1306C5rJNFJs7bMpUwRGOKrhM24xc1dIfsZKsp3F3d
3k/DyV0HUOCSQHieYRwx+q2s4hdXjjoEi0FYn+Ym7vet+ZaOAoSXOvp+3SbQ/0dxLH3eTaTKUiK+
hrVsB8l9YFaphqCfK4VmF0lmphlgj0f9DFVSpcwKBrfQzgCadOzC7wv3oRw7RJlGuhFBtVeJGehr
RGynagD6eSBL7scB1C6obBS91aoPQmodxH5um46ZkM5opFCnODEsMDPoDK1z+0I614pBIp3MET69
y28PkNpBNxTDGnbDDhoW1CiBQKCIkwJhHDS0Lzg+lnPJrAoaO317bjM2j+5ec+peBIWFnY7GruyQ
gYFnzR+OYioRWvVNqANtgZtnKIShp1oWapzuhH20cCmfC1+9FEoSESZ3h99SrcQ/O/9A2tQH+QFu
jXh6Oj8Dbc5KtlY15mmtE21f/bVTq/K8dXn2riis9nGh89HVE2fE4khseOkwANKpNHZjgyDpqqEe
aD6eESW5Wh4fols4JsW5dl5M/s65JQ2Fg7toNjcXFVk+LXR6Y/iMW7R5loVXrrsZ31i1tSA+xzHy
mjgCS9wS6jlJdqFALShHWlsOkeyCrBgjodU42gO8+0ojr01V00LAkLVQzQx4Xqp58g3ocDBK/QxY
aAW9E3702gZ1Yhn3RuTizzc2ajFl4g1ygi4dtGJioMmekl0KDRHmgWzzzBfBh0o4f4gNmtsFLmUa
C3HYiAHaMZWy1FJ6u0dQqb6qgzrx5FkQXezXRWcYQyyeCeGetajtttakN5hjrrzmFOqky2JiIpwL
DF7SGjKpSdAsdMXEDJ7r5FGJF7p7vW+BCjRPT4Rqr7NypO6tQoSRATg7HqRo8IVMgxEn8uBD2uLS
y6PbplzSpP3rFrtoGXkCBup5Dt2aCh7BPsMx+WPhFW2grTCIhd77joLtf6du3GpyrnFv4E2my+s+
RkH8vEigmiZdYYbRbX6Q8xkrwe2R6d4pS7meRCVSiX8WSnRYrcgte/2umGZEtMtpe7cu1Rzd90+L
KpM1CBzwB1JOv/9EcZ36kxZ5TVtuxkgHLsahLM8CKBAHT1JmKYyJ5LDZ+uslKe1CEH99W5vRXifP
HjamMGBYiubIFs1p2D4IQBUZ36KRgyLT160mu10SLRWZKtwBCz0oeBCJAajB2lXc6zTEYmy3taEM
HZUjq9W2WaV4rmZ4yCPHZt3rYHaC0a/3lEyxSbm7HZKLdy/uNcQukQHYOsiRwB2HIGNA7sjmzC6A
udwvQmY5y2q+Ts8dvZNuVrGRfSzmLSpTLdPdAwTv2n7ndQITPsWojmFlHJuxrR1KL1HClR92Dz5Y
O+4ZZ8xbO4Jfz3eXpyddRE+TTXd8FePzlUjODiOz7liY1m5G9RTyCPUgkO/MwATSMgBmr0W5FP6l
t2TGZTTb/H3DaWeERm0P5D3s+TnXYaAhmgF/q5NVlpJUJoYFnyM0zUZxqR6iGkHdGb6GSdWgDbHP
LAr4WR6eM8whsiNfJDlKf/Zj65R8xx9ewnbggdk5JkE+obzTpvvJb3oTxkIp8ETdPuHuYRJz/Hq+
j6gJXXHLc+D8ez4VHDPsBa2fSFFt3Ri3Z+U7CVKBO68OCFB4kIlDgCAMyklrkfGFZ3KJHkSgoTRK
XZ0wS3tBEc6C2VptGMx9PjSlKzvjKUlnz+GqDHyB93lCDTISsJVG7r0PM1G8tCJyDMQ5U2c56IRz
/zKiwizUWIVNCrLXiFS2o9QyXaeyg1w4+B97hEPRJYei3lYJPkWAdgzyAF4UbShthTgtk6pP02jk
7fEs9Ko+hQUIgoBJVjbBUr8NXKZKTR+MjLXzpqlTGjXgv8gLfflizG8xak8AvWrdvu26LqCwFAMt
O9F5vBp4SfEETgOEb9pEFYd5I8inLQpEXFG1DDuZ1YsZjt1fGvEJwWzVocLrPkJqs8QJzFkQgUec
DDew82JCUs9El2VyS8RsCyGsliMYVaIr8rM7LdMnaAjqhBTR93dle/rxWc6pF4NODTuTgDIRx0MT
/gN/kuwamLGStg/DcJ/cyu4o1q/8phlgM9utAJ7xhS8Cl4nqsNs/T0nLr69wcxPhFC+cXmmjBxFM
rrBwjA5n1MetGZCivrFJThmvqba0Dm3/5+YLJwLXUjKxYybfLiv5qIaN0llRue/Iw5fPKg/5Z9s3
MYnqNvixcWRbliXylPK+A60iDO7Ov80gZoCpa0gWN2pTS8cXm8tJIaxUVrl7zb1K/+/QvkDf6sUL
jH4UM4G0BUIpjE3V862UGGJ1xwr5+2gOx+ZsC4/aHoQ1d+4YRP1SrilXJan0NE0tLgc52ptZ6Gmj
lbZgOg04jqLGZZg9Am7KvDFeKkljQTMiQB/hSmu+OkTptB+I8H5diVPPBwcDo5A2rAmbuRQEm2WE
x6Cfoes1vJeA2PeHjq9xVIesF6pYYQYGSWqLilBHHyYwdQtVGaP2g/BoS5YutiY/dmWBC2G0T/Gk
0W9epahrbnZ9tg1cb5+qSrq2Kj4BzTgmrrciS92cgknfr4uNVh3KIwKr3L6YCMdxfoAVGh/0MQyn
E0KZvJOv9yK1BUsVtYmK47rts/8YDE6979fnK9BH+Gz5ZWkym+sw7fD1mwHJ2SbQ/bbwIh/Txi/R
oF3YCZvTj73qNIqwfhqPneXAKBHaIYdIgIYLqugLtmEJJj8m1RgegADsQ5ch0VKTE7h73VG6tPFj
e2tQl1P/UlXPFoCo9gRebw189zE5I58DIca30uxbdNv4gudeLWCjSsrbpqhicu0TfKXp1fqgtVVg
Vqcwywp8q2QMOFl2achpUix+Bt54hRQvhtHnpXrQeBStboSu/uq/3YQlXaAuIgfnymm9iWfJRfhY
RJ4fnBacE7uv4nDSIz5DBYjDsn5RwmNbQZxmXJa2CLyOVVkJG5qluZld2c43eeYlc9szy/ZaALdW
ndHWKUpwADiQGZ+sQ0JqL/AihFYcvYmGI64BU0SBgcIPbdXseTOGe4RVUGhxMNfKPGZfyJ9T5KAT
NoYw8EMYvK2trjrYGKxgiycOU1P3L3r/yMpKibcUKM2XeWifUwiT1of/dfdUig44mwSAMPqIIAVu
N+POWQ0avfq/eAf+DrJRFi/qRPSpn0UjCdm802dQDgfImmjlmJ51nayuACGqVbuUgv5zQZk4vzgk
q2rQzYlgVEngtbJl5tXGGKp+73cXwkroFXE0kBm+fi6VA9A4lJr6srZcZc/clFGW/I60h5Zpf2j+
+ffUIAYlYCr/5LyuEXNnnfic01JZuLv/uYp7CWXoHHUYYiBtjO/RZE7hl6bVcyOdv3HzEUwf28fA
KEgXpVLbGUp0KClkcELSt+PaQ3o0lmRpVKiiKhryfNzA3kr96cWQVjyEJhwcCeAX5VbXJcwwmt/W
xhoMo+94r6jWfUUu+k205b9r2rVTCu+yeX8Vg9pqOWwlezLrQZ/l0GnenvyJd65qX++dkhZiAXLD
MMKghq8EH/FKq/4D+Jw/h24xa78/lDNrOR2lpFG0WBJfQf7eWUxwQEImc7GqSshHoAY4ZIHOJArP
SbJKJn+iMxOw2zjwA1RexRJ941pIXQmDllEIau1aM+4+eHlufnrwBQbZCr1fr6TTCbRntg3/IbQK
Kkgtzlfso68pt1N0MO6hokBpQlG118uH2gTMNvSt9Cf/8ZJshtyMCB4002KI4NWjZx6kBCG2Via2
yMfvbGLX8QTHG2x9m/Hf94o6X47+p1TimXKJks4HVUUBZR45TTw/URs85qMqvxGCtPcBSl1jrqlf
9bYYT423IZSyiWqf71S1WA085UIMDlh0EWa5Hvw4fnw+W2KLkUh53i90qOCU4392z/UR1DIGsEGk
TjppJFFN/nGCwxEQdcfABimufEiOxvuRJBnTVjzsAABEqJOf5shKIFf1HVjPTzRaNezL8eOVQQfu
5jGZSM/JITmd+2FrVc74renen8Q6sJ2Mj96rsA4H3iurs0bWaSlkWF+DQ3KHSxbqOY/EydFbXOUt
WU7EqEzzRceSGQ32nlwO1KEd/4NEU2BWzQZvEXExX4Jm6wsrlbSwggN6hFh9/OGqvRMp0h2hGSNc
vXg2mgDeHIEQ4k3d8kRJvdLX8D4VfcUqEFtlSnFhKlNDtJlT8ElPB9+mBIB72Ar+LFoKZQobA9Ah
571A66DaR7EuO7AyHh4NcOv3L7e46YIj97u7fIDivqYrJmA/dnVHnA1Db0357SktJiprAZvjEyiU
Yz5Y56gcNp2T/KEycxtjvHFqU/vix0LLGCJCEcIOoNM1SXbQatngRpiRwKie7k6lfLnn/0BtNCv0
/2Qg4VV58N5ErSTeIRpZUXTnTNrUVPFfIvKgyQTYF+PDKczmDcduOdF0jtkQkoczWess/YUX+4jX
myqbpe3G+rRWtXs7Ouh5Bto/o4BAGrs/jmdnFBzPyjmIi9NcASuhyUzHFhzr27eXftTFitZGhvLs
UYxNzaJyX+B3WsAzvNgW2wDSX4OlBPKiNXrSamLNpop6mxmvojK3J/jIJjUT4LchdosAjLOnyRAE
fllF7DsLlq7+mmryVwrIBQN9ltnYFTqkPxSMesexK1qRCH/s378dQljgJwvWqYOKKVnuu32eoEXs
79HaLIH0RtUX38gWYnnQLStFf9qH16uE3OQ31qqQ8vADWQMQHftuVdzcjOOAqX18pfGGmrXLAhf0
V5h/oWpvityCRbhvEOvjp662iJl0oOtL6IgDVZQgaWQkoK/WJUwHnugWYNPr/vFuE1RGKjzYqEzi
p074nVjt6e79PaJsVW+Gk8lKWjsowDxEbAOz2Cp6vzRZE0p8nRNxXJ8eoX6ObEM15KrxuC+IHmfG
2zQ9RG9PvUdMo6KFYkB96d61MLhDvgHZAqRv6ckiZyj4S4ucrYgknyM5+GuKZjaO4IbF9h23QOko
kwt3a9Fw2VGVZoXyAnN5ci5DaKtHbxBq+01yySPGZ+4BxVPe0hSwRmYJya3cUoQtCw9dMgBEKwmb
cUHVjmvNCHmT2/8DyqW8v4jFHX1XR2s3aVmtOufsqRJSexdRwswsA75C8cXaBdkjEP8II++Cxs6c
kisV2K0PdIJVCGaMSPD7kJqjvall07X4iXUog5g5tH84EnHLuVYUYrLm6G/zBGfjXkCoGD/qwvOm
eJ2y/hnmH53Ub25vBY6hpCf+hZ0RBWTLfYLDlcNDX2AYHhHbBLxQXom1M5Ip4zF1eu143nh9u9x+
He1KwD+1aaXG8Ui6BWCS81KAfRUFnKTYvZFHhQQGeopZgKSHkuTBPoj9mEOmy1uNgKagZBeWynsM
F+yobQJPa2ijvwMB/zSfkT04aP1fI9rKLxpBjYdpFzTE9y7Xw4ftBf6YrFkUvNp/gvOsbGMCMehq
CXy8N1RuBghqgmxJ93lAxRhlEDP92Rg06B25UKsW5M1vHAocz25vKCz5PLN197csCDpP9U5kKcjs
i8QiFTh+HKkVGjtI5WjbNk2PgbPa9QyYu0Qw3DNIhREHJDK47qWmcY07+7ocWAUh7Nb5xqiEh3Yv
0cnqkvtNk+p8aMZPysYmDNAJ9pdGDMLbn8nn/z55j3NgVfygq1Jy8oiSnsbRksKqpv51/zX/gQsn
Q3JTGr9l+K4QkrJyU146I59Q1lskYArcj4ris3SFkdMdboHh0307JE97wmWu7UNnH4tF6yNzf/Mg
twPtpxZBMq9d3DpnfPU6tZPU59M5CFURKNNasz1pEmL2xX3CLiQ6NPIqKu9ELyAbDXJvP9dRYYxV
vw7REjOt0T/bwUCCr2wT9wIrjbQzUw++oCa6W1q2+COL9bYiCoU6pGxGdVHF4CaZDEHeOnDZfd7n
3K8N3wNNUHJ8GYJDUW2P15NqqFCNFJMXrCll5BvoNoXcRZQoTx7WIerrspkErEWhUy1I9oqqsV5c
WH/t8CioSaBvm4lotRuiW/QDEhL/csERSYFF1cGDFCysxOXG6Jv4Y2GoX+e9nTLn4ccodqjuiOkq
mumt6Fdh+fO6emN3i9wW4jDk4gFQdNfcV07PUDqiWMhoBaM2aINPgDpJem1qV3SCpw9zqbj/GDxC
RgT3D2+Zg9zBxb4Y9Hq6Q4P01k3VcnP1wwU+/lEvByRb9C9B6oaiI3KbdKTc05y+O4R2+tMurAsN
nPgl6D0use+wfOzMvGHCU1meuYBgCILsr5j+PAOwFIn5kRzdqHg5fpTNwbxbGeV64sEwqqRnRiX3
fIfaBXIBQiU15/bQFmrzRJTeIrvn4HCQuwsRYjFGfihkR7QPyzC0KETKPSQSNp1J3q/8WM6jZgev
X1cNElPhhnBbr1NvYI57K+bsByFys6hsQZ7kp6n3yFYgP2h2RgdFoZxENNr/u8RcxLbXeTuL9FOq
dsLNa/unx6ExI5602cz38ZSVZ6T3woIY3HfprQC0XUTjqVjaYfHvan/as+HIa8YiJhyirA3mE+EA
CvKXcd8i/z9WFUsKOw/f5vr3lsHK3xbfe6K0zxkMeI+FS3HMD55SoKwFq8eghrzJD4mEuvmTazrF
fRJp+2HHCfodtZCGPvz9jqxdPxUoRH3JRawxBH3KvcnGeLx03fW//V0j1AQRoSsq+vUn/dQo2Iq9
ZhkX7j0xq2/GD8+7IyeKx8GS1+BMr2a2PU1OwMybZUsVvvGcaLPefN7IZU8gpJe/c3tnSx8IiVLz
aX+qLpGE6sncu3vFRtYr4gh/VlzFOga0BvBjTkKicZB/TLGZGfHSRSs0O8ss28oLIxlbIxOwSg0F
kWyCwjgWl6LKlxA999kcRwdSw9V7pD7ZoXQaoyIafAXBunK7ooNPFfm55nZm0iLwHc0qOH7DSqXW
uxzRhc37i+3uUQ/k8akyHJbHX7d2VzqO1MiNLpJMgkwnTyv2s9sZR6fRpNIGC1V2+tQQ5wb+FzEF
Ap4++uilLrD2lrlK29UBYfGHbfLhXQbiYvHmga3B604ZZD6KsUuoJAp929OWoc2JEX4YwgB1aBjR
5kaAFIU3LPPQ/QQEeMlOlTAr8ExPvWZTnhpFua7bctOs+F8CP93CYnqJGJs/9pds40ehJtylLuBb
roPAAx8yw90yjB/E0Z7BbVpSK1h+jWDvMFclBoxSnLC++1X4k6zt+ITB+FtTNBhV0P6FDpsQOz82
VgHm0zI3T1BYODVhvmzaUKG5pHJdT3FzWfUu8qhSP7EUWt2CWFUFlVGbD4nHb4EDkUI+TehGqT+b
OP8X0E2Ohp8UGvjgIY5UVItLCmQkfYQCwi04YrYy7KDfEcHC4jn+e43J+S7VkMGcEM3/+enRm/3p
sV2bFUGcYs8K0Wk9U+ZpgdvvEpiGUJ0d2wpw9tLGCHgSWKzCMwSB2/u0NMrNS4ZEfdakKf0CXXoE
F2OiCqOtTSa5/AIEmL/jMmiNM9wCqTdOFlfu10/lSQuhukChEjrftIxtGIOuJSU/a7Nsdrzq+Hb2
A66C31bVCWvAOW1xfMI2ukN84esGCeIMYEGTK5VksV9QZGTP1nLjJNK1nvwqnlFfM6kWBWGXanKB
8JSC7jcv7W/AIUC3pS3AkN11jlltEr8oFkHf0ER1jfT1mRc0m/dVFAe4qKz3FWRm//jR9zEL5BH8
+JMNF0+UsRS8jTAk1Mt5tuB+mHFnVXvJWluLT0DqKFKgNJPd8UjnFb/wVJWqdI+La3H9ml1saB5Z
YzmlR30IuqMMVzwW2Wq9vTIeJcUnbRuBYqqZIl03Y4NdUMSIdrw4XekenWySze0i6/hovxETHBcK
FNH+NfPBBzrM/P3ZRKTJLhvV13O6VCrTqBvsnbMzF+rEcu4ovl4qZZoUkf3PTK/XAzE1PQTIFtYt
j2s/Vw+xjhd8ilpZap/pizjTF5OzQIG5jbXrVpCJwfioX9fa77I+4pbYwCg7CguSkUtdbczfqdLm
GCI7xGCVPPsuhMN/nuWBkQP0HH4uT1PTaUM4fhgqDM2k6ncmYrbL+lq7HZBUGOPUL20Zgvj3D29i
t6ogFH0x9XWuG5qRjruE+DfSXM+FDmf+imBx0sX4mptLIAbrpWdjff40o2N/0YZ++5ncqENYyakB
gg/TBr25+nZbXV5bYb3lGFtPlKNAhfE/+RC1Tc4CRigXYHOsK4QZQ3j/5ygVoL7rNrCAi+dYMFQ8
jDhRFyvbDMVfk9ymyLEZGMuUy8BhS3MX5L4kEh4ojWI7zRF5MYOEyJb9d8/jxlRLf/wojVUzj4Nj
abJ7P5M9bqhSY80EHIWgDZI8I9Uz/R9HIi1WVihYfSklI6L1VRVnPMQV3jkxaXGWVrLgkZ5+dGcp
4PucnExlJXAugQeZSypt8v1JVEXPSusDR2rk2Mn/kAQrPOM4LeOT05dzt6aLW8nBD2AJxNgvXDrG
EGLntuCq6qkJ68RBfPqZj6/Ip4+twF+xrR1fUWP8QPxh+Hbh7+zdF3Zzo+0rFBAOjWJWildFMiFl
jLa628mHAsToYt0yX3r3c4Xg2NKwibJfYU/QcVejvPVGECf/bvFcgLj17ZLsZWyHWiIA6gdwg/FA
msHMyxG19OSrag/Qd0c+KPAv46qochLiQDrTdEOunt3XU+7Td/5IZfFY7Xjz3KjHEHvLBNDhHR/D
1D1Uq7dYyXHuu/SK3dRc0ItHuOQcJUZmuxmrLfnLjDIwZVZyEOZnjozPX2VgexJbhYLtJufoNfZs
x1SLWiE3PACrOiJtLF58pKa9csPpLrxjKYzyD11+QWrsj3fWolLHnwmHwKkCh2U+p7f3QiwyieRf
pj+FMmXlmLME5iByyph2UegmrthvHPm2XgTRvXmHJMapnCV6AO3iAdninqs0XofA8azwaAN8ngkc
hlzFsTpJjHD1G0tjeM7s518AizrmGtr5XGbaE2kD1veribX+F5SmCicDW3ztq1QJJyhFY5qYInUD
nO4a3eP+I0bo6BQiUT/S9Bt5IxFTXZNWHzBAViQAlGB4IHnjmn9JTS1h1oqWChkfwKkq9hA64yyn
wRLVk/TrPeo841E33DRVxv4m/ieXN5ouldFTBuXay9H6E3HvKlJ0JBnpPB0GyH3JDlrpARuYeuxw
WCu94Ijvmu9P3dA+aBQIzLkcVZgZGddxnisXMzSr1X5Y7oAQsn5Bqa4cL7H55aalZDZPhLkRu/Ua
hC1qRF+j8Q0Ad8AKenbE7DDIXbixB+IwetXEZYf6M91sGtxUjPhEFo1WfH0XE9zXvsvWyi+ZuVsJ
S1qZogCUYkmKlC6J+xXc848Lwrvf6edig5vcDPjXCyjz1Kit7X7PtIUKXyYYIchuJgTjf+rXMTPe
VfueuMBlHTNzOxXCjVSfncFAfGD9l/i1Jsw0VYq/TDy10E7vVDj0+UTVww6bRy9fUXzesvMc5ZbK
BERawhqybG/zNfSQSzdGQtBJKm4JLGe/os2RdMDXKwO+Xy71fxoAA+C73xD+gnmJAKri0R/YAUkf
Gt7ds5GfzkIWqHcof5TOTBvB0Os2OKG6rfJy3ulaN7S7ZiNvHwIY2LSVk9cmoEQUjyPCo6f8qHMK
sBr1N/1ZkkEqAsZor0AwbPL6sNl+2djVkA4RSk3dkZ1KZveRzOhIqmsH8pNbV22t6HFz4kzTMsbn
vX73EV3YUODLqIVlh68OeXtBaLzm167SEkihN1Yu/2naF70rysF0YGynQ1NV2dxLC5YMCBQDdfUi
vwD5qxRIhTgQrex7PD9zY2OWuvL/Z4ybHISmaFx+o98XN2VmTVAC++FlsgyyyXz0LP/vzJ4QDzeb
fc1XjI0gv1xkY8wv3Pa5pHt3ehvIR6m8bKE330W8ZG034oCNrGTEoSNfjR2cbBeQcrFp3PqUgm4v
JNQ7QtUI/Dpd22uwowM4KjFnKTFJzfVUdcVB0VAN48iZI15pzpeCB9fp92lhMpXjPcbExA6yklbM
AwZI1fcGEj3RrZFsL6fMF8AuZlidJoHQQYLyKxecPLD2keW8XegIa2Q5t/nG/QgE8Yoyi9TekYic
tAJYPqx4KRd+PGpUfm5cFEC6/JdE79IXJ8NhwOoXjolSNKkwKOMjxzk2OLEU2tUehYYymYg1iR7v
+F1H8sK/bLdoh8e5IlHjGgwjaP5GhPYTIl4LckzBs8ggg4fVCGyuoYFfEYikVgzoG9uG+kV6Nhd0
qOFGHkBnl/zaN5xd9KkvYLi6b+XDMKh/hVl3lSye34C5eqnRp8VjUAbEERz5/UPCoof2gfRqwssi
x971czp05YFCiMvKHI/X2FBFh23imRR/5tpj/m5NBHRhcnjnoQxIXsTHcQY5A6JWdZCxJxvG0mdu
RoTPugTz+1BEo8ueXzfSVpBJV0kbIGRXWzrGehh8HB9F/JGBiOheikv9XBt5XgZqnDdsaGL9Ufx4
8LoBqbVU7XLD57q0TDk6TPJq0Ol1Cw9NpEao3bBELpYMhMJh0OfTIfy3hWrE4wZcaTuYOSllJSSh
2ccKdbii/JIJ6oAqIbzsJgdqMZ+upvwiduh+ZsuCD4brPUZhHeDiX0oPy3A4eFn4dRV1yv02HgOO
K2bgmfuPpGM8G2YbsJB3XmMo68pz5rc+TKoy5UED+K5F55tZtTQjfvzV4Pph3rXz3Pvyp3DufPDt
PXQjtGBxo2MwotOSynlHoqY5Q5mBdkEsQaJw2Rz8q9bdDL+DDOKubKIgFTdBH6mMAyiSc36KAvgp
ZYlIS6pGmTdeScLJJm9x2yfCyzfsrCl/8k6PP9jNTWINmu4xt1egPDaj16+RiBV9awJoERnjmxfq
qzcwd4sXH4xEJmP7N8WI12QU/yOrEjw1IAkWckKKabVCk7JAhDbxRDXQvwg2Dk+VdkTOP5KnZJ15
OObO2VmYapxbpaljrpPwOT4quUU1yMo6eYMTL9T5QxHBJmcOTdotY+ZeXSWX0DEjZd1WNbYgQeba
X5w6GQuHNU9Qnp+tY946na9EUVlsafKdMraM4xkE+WixR7aiccQ+2n88Gn9n5K5UrMllBOA8KZx5
1mE06S/winCudkpnNIoR3EIYmYBlCL4E6kjHFuEWRgnW+Zc7ivjs+qSJBxDis36XbUKK46Gg6+lw
W7MSNr2g2QvW6JMx4F/7r1ZEF6R/tqfQzhkqTKr3nzM8sTFsu2s9szYfDQ39ylVeZg0G04ByVl5J
jN6HskISwggloWatQy0qsekKAWOyFb4QD7/75chT3Qt/lRRTY9fPsOHRhfrk7QNmHdVCBFzpLBde
jYte2NfhJyErC+VyXoZ5yOnRmLqKoSN0nIf3bu2xrzWQ9YgqFEjQEsEvgEdw/PgXDdWorvSiocpn
w62TToxgo7c4QUcpL6oUHT0WVAvWMs1XlIHzsdZR5dMi+SC4aE/YaulrEOo2YYWq/+xp7XH5tDXY
JYEBL09SquqnbbS7+vd/7dBKafdBo2wdH14fl6/ZQ14+goZ1HSersKXnDteE0o/ChWiO9wX+pVCT
HXbqCJHpawRLjpWPEZNyiuJoKRr/23tS4WNBxozL+qeTWX+p82quxQuKSGp7rWZjiiOvmWmUeDQH
oPDgz5M3OXRwKPtXcbPWHYKiAy8M+ugEoh/gW/LPRC2w37xhn8CWSqN55+oLuZFZA9nwr0t4Ki0G
CWRX2/fl1ZRqT8nrqJzB7pYtZN3mxTLPPftUIEUSgbqMDYaDrba2N7ZZibBlbY77SwWw2hun3qb7
0X4bXfg/STJRtBF55ZcU5q7ubD3Vbs0cGEU+yafFi2fxUn+PPJdnibag2P58+aeg0GgzpvTSeAN1
HiuumhQzgNastuURIYYFDuk+jLd5Pjt9NfPQZgBEBS7Q6l3ObpwewupcKLctMLaCe3dHfN0Arsz6
hNgXk44rPOstEic0LBiQAbAUxAjEuMGa3vZMVLT4YRjoow/sk7pqIe2n/GCrDaw6+Kizf14BjWBu
Mz8bYljEnlmCJ9LdnaK2j+yJokQziJNnsmz53BSiDBAhOh7CxPeXk/q5OeKgtiy1aCy4wV8SOTmq
PLiHG/QvlNCedfdEE1QogCO3GFTHq8txN+EShCv2iE+wWSNEOeth09rY4Sx01exuI7tCjLOK9Jvi
ZLixv7zuMT3TAN9pVN5P7wLHmkcJuNxuXlpVeZjux7ffHM1ZjUjiVARBWwIXtc74KWzgEDUX1wmL
hne9dUxGKAaI60zsogPxe3wzhr3vNnEPPMIZHt0p0yB4ZELDKyZ7YOhL9HHRX8Reb54oVbsEU0cY
OZ8jAsTyM/L1xsBLFTlNRLrovFi4w+PnNm/R/k8PrmD72geyKYjQ4zSE/y6oTW3P/M8Syfkx92ra
8WQ/9C0R/XxerxhDt4kx/JC2KCUaBov/YNqZcFYjvMwuABe/ArzohxmMJmdaWhsFKmyeiWpmdAOv
1+P1T4cD03+Tl+sgNMiWK70am4cL5KNuIu5gsKb8Ulj9zdZ8Z2x0kBWLb36sTrVKiau/CFvFocr3
WiI/fYhNFLnpDXgOoH7uOQOLnDXvfujH7WiEQp2F5wX6tEhTtR5huQRDbDzOaU7T+qH5JjJftiqr
m4RHMHEznA24IPuVyLXdUwPX4rJBNFdHJuR+G23x3D0nZBsHWAkLIPi8ip7V3Yl7mk2rV7X0CsML
vHDvqobb0p5hNLkrMKhZo0VlRx9EnzwloWjDJbDWG3MjAIBWf0Y61M7M/Hm06jOkHUc6yV8cGrtg
NYPpH/geWcYOxA2OLqZMlrOcuI02HdCdR0O7KT2X47VB2WW1hU21U9J9QSQR5RZkjbdsfAqLbWRD
DWxbqRJ7i7MJ2opJ65RyAwCQTHdFpLWtcE6cMizuCiBLXxXEenHIeUNyE9YdN9ZIKNxhpvUPfvF8
A37UdLDHW1fhYUMHCOryloxkyosCznkXZqJnASQoXbaEBIcZx/AmV/MhBctyPHzrZadlKSSvp5ne
cqjDDqOv8vPusUo69d9491mlKKBxBg3AYn7QX436t6WVHt3dhSlrz7GidJzaSLM15tJorjDYICsJ
+JdyzgAOO6av4wCtA6YMGrcrI+yZ8AndWS46dd/wCBln+tTBVX/z/fovABsaSs3lPWq7xsTQiP1Q
Cz+J/VEht96zhuotia4QA7IrZC4kZrVcjvV3LxCPL6sXnQmxFKsM21muv40BDGbWivwadbve7c05
ZRvz9j23caP9QMDYROFWEyT6ZHPHYfeJS7/sgSjUZg7Zgh8+w/VzI1IL9bKcfz+sgLSZubQ+SWf1
h6NCzwvnCOK+XY0Xzy3wQJ093MDd9w0KR5t+fc7K2TPipsztyJNxufdkMWlw/3YYRKz8EO4JIpIb
eJKzkDWXpanVJ9h7AlSaTGQu2t92PSRDdWN8SlCNm6bEuwRX2YUHJt66+SHQ67s4vkguEMr2GZkf
RAV6vCM5Cl/XL00NVCQgDFMCVsRydtBml+qhUu3cQx1YOpoD+xVuhkG4aZ+qYHe5IaCLILaZ77Hr
z+2XEIGrxGBTpoFrTmk4egMvCwmVjhIadF/hL+CAHZDm4kyb+4lolV7iCEGamP+zGtMSQYD55UtB
7WzAN4xQuIVpknEpUj7IRqfR0vNVE97E7ZtEHCGflGOL2rPkdvXwtqNMzl3jY8dtJr3+/0TD2hVR
Z5narrscGJ7k3BCD9CGg3AEtSFxk+58OVIu8WT/O8mUjbtePH0NMP6WfBVXUGdWWDz4mFibXcXyW
0jB9yT/Zw2/LWyX1e5/vDQsQss+L2VwIlqQuC0AGXAvBRooA4ZO7vqKawUG8SIrDT4kwSA5+Q5my
aqMEaPGfBV/lNHM8fy18hNk/SVPVqWvbwhjIJ9HHXMyNcSUYdGoRxbNubT4e56CKlndPbwoMjYGW
chnhzhxZGyNhJ8jDnTloSi5aAJ+ybsEbUjYmwXWMqOJ8YVkUvh6mfahwPPp5i6D5AwwxLQXH1bHK
9oygvWxegMqmS86ldpY8JKFPJ8Cu0Zc0OdScsS464PeDIIOyvPjm6+xpplpb+UsWsVgUDH+IHucX
AJR0PKjQlQyXTnpRRdSYkXuENBxYa//M9raj9gMML5l8C69BXU8V6fntmvH2IA8rIM0YDd6+eUAu
MrnGa4XTHVkjeGAToMVIbxWWcjzLnSdwP9QAeZZs0whyv4IH0z/A5LKsAvQ7XaTUMkx5NPT9eggy
cGNntcMxMYj23IulXMiPHG1KhmwgpELAie7eTZKR1T8HcrwPrQrTsgytzAu1tl54fW6IhL1BZGP1
tAZxl14QHBtjvUw5918miXFqLFPS9qmFcMMPqW+IL5nubCJW090S6UNC09cWdW+8SoQBU1FTf45d
UEI0FLrWZvMRovg2+giWf3g/+TGeBOHETUqfx+xhQ20dnQu11qrJbz3iiauYGqNrdW4fKMBiMOnw
kvkF9F7AYeqSaFEONuBA4jgCT+ijPaNtmVUS47hunvlPv1pnhdn9dmt2Mi2CKFxjCTXXhw3QDVR6
xzgcZeaTTQqdbKINDnp0NOzh7qo9RlUfvr59XTtUeJJkx05ipckhfVqypH78C9CZeyvtSkFEAvB3
tyzVvalmPnW1D6GLWVQTKIQMFCNrKMbkx3F6h5eJFPkjLto+1UP2W4wzx24T76OIRjS+DZnPRakl
/VjVaKvHVkvoE+sSuT7G0tlu6w+uOAdY7KwdYvsM7UDaDy6qVy91BJnYmd6IC3Ic0Z3MRL14szmp
Alx+4P2Voq/7eKmIphgiW1fiWZAaBaZreQMlIWkGUGdHgCsaU3wxqB+er2bMJR56NqCoGWUa8ykv
wXSX2TNdHqY62rIag0+8txHegWcz6imkr0smQ9k8oWBHXaFTvmvZeSXmiGDaNFzcoDa7NoiCw8BE
nMEem94PMSLzDLoLA+0/3uH2ZVfT3NeRDTzDctzAhVqsp+nnLyQ5ELYLIrXXQRoEoEGKk50YFauf
B+o2ehn3O1U72sMPZpygFrCMbhD3UmlWOR3KOEietzjAg9NN6ADSRKOZi10xKhydX4HfYArEdlZZ
GUcjJiWAGCR6pzGBtNx1//ZkoQXrWqmXo76oC+WGIRAV30giXEPmE4IEimeEj7qkeP03b6g1iJrA
s8UwQAD1rNzz3QQ6wuneIRI3009NNjQ1jplULWx3ghypv1iSaH5V8HnICZq+9cbUfDLogBr664Xi
x0M7fgU2iPbNL3B4U8E8yJ2WYAoPAZxTzGAf+FlFMocrGtXKPCfu8ceDL5K/t3FCu3R6bhHMzahv
+clnh9IE0bLov1WG1Oc3G7sy/LNhKK4vsLG6gRY2MKF8d18EYWzKQzk17Uth2Ct5ZXURMmN7Yvml
mTHPej0iwxwbpbAGlh0k138O4WqZOXPIRfjIoapuk5wDSN1uaUZWeDmTx2db1UmcKwi7PEbHuY1V
bRZswkNUg7vrs2cEmtbmogM1+r9pJPIC7ZWRkJZZuftbmndnHfN6Bubaa7DJ/rfUe+jaUYW0koyg
uT42jFFXaWtCDz+wS3KACa9ryeJq/yNjLNzz7yz7aWqMuos1YLc9YwaSWS/+peYo23qpo610OBJM
QZnECLaTEYZjZVCsvTYtdTGoERegytiLBdehlJ3ypCAWmGghTnJbVMKKNnIZpgLzuSACDhSuFN7y
tRL7fwvs0XtcFC3OzLJyCAWyllcVTQb3mkajyNMpLkvx3pGekss9yi3bNQEMri1Nf4MLB0L1Hfb9
iNbkl6skP3fpdRkhhq/riGAFi/3d61PHSqUfKL1fHo38yPrxiAooaDyo/gLwwDXyh9wrvQ3GhVYX
FbESkolO4qhYywFem03zUA4E0UbpQhPHP07XxG+PHsagoi/F4YDj5lKO/LtD+s4zuRtq0F/BeCEE
ROzBCzSvIRJp6opjPOAByor+Jfu49KRk8+1aj+IEi76bzaerPunYaIy3wE2IYC30/B2WEG1R6RdG
/TQyFra0MSea3OsLspQz0zg7ZH6lxuGg9JPDZxlu7MJjUVaa3YvBPRbwm2gzrb1o0TxWNz5i4Dig
u8hHeTWOtUG7oZ0ZXKM2GZOom+/fwkQCeJOcD6Bih8QSBq4HKUc+hCfUmTyoGtL5UtT7fdGKsYkH
Qvn0EdTMevjGvDJpMPIadwfJlK0OdK05qK7W9knVAp5BvR9utU75ahnINrQVRBahjc8yN+0CrJgR
yCmPqNYzBCMRjKQSpmGVdjGTbjOgon7N8GoGNBVlHI/gw506mm5aDvD8exJHtw7GOEozxrNAickH
hf09E2ewQR9LYBNOOsQ5Gm4H5o4yYDydpvUdVFRUAH6+h74wKR9jnTaC653iyoRKdp1hrrGr/3VV
8DHEpmDF/gVfcvPjuD1L2AeoBtcjUjx4toW7a6EOsUG6k2IdD58GFlaSJN043nXubaxM/p5pXeu4
06Bh9sQyDrq+EMHcTJjmYYcXTP1+VFHI1wnDlQFKU3i7Z2AAsi64Eqi6HgwUspE09bCKl2MacSYn
etf1I269e01trkk9RBMFIYcG90p0OOytfhRu4SArVRlXmjAyui9mS2+sFfRDG4r2rAEwrWqYhBnU
osYtZ+PxTSS137lCHDy7zXe/QZsSGBpDwEGcwIHlNlfNivHDF1y42MNE6EvyGEO3P3Ap23Z7mr5/
YahMLV4bXtu05UZxOa/pCfJdx4aQD8+qUhxOjvrj1SmGGF5ZRO7U2RsZcG5UucEkbtv6ElaYp+16
Tx1TYCtrBAhAYe0l2hQoFJ2QN/9c2fuqgkZfSccZT8hA1v/s3awJn4JsrPOQ3TRv3ZWEo4RWcq59
VDQrKiKvtpJwwKiUJjyNXV0AbxJChrbDYojM8iEoYuzsM3N10E3DldRFNttNpFPD7RsnEUNGK4CQ
ECrQ6kxNxvwcP+nepd7bQl0MwzalxNt9tYY/rDoHWOzscyyOUyTzPtfF+6wVFRGcGdZJbZLmEqhk
suRJRwbpoLYAR8SdKloFqO7bMohIjJzaJbD8F0yqdLtXE01dO6VGVikcjj2ncMIw9uEdrE/BcDdN
snnZZ+vaTLocu+XeulIoqcPGlG7SiZWAjLVs3WUCErKf4L9X4RZGcCsxfUJoMBoKmZ20DGBwGIxO
YO2m9N+lwkY7iWHSz90Q+yJkMw6Xw6tnxKKYjGmx1KG6e/QdCPJXiyufkSFgni3hkPuWc0h+dVKE
gQoM2lZvlI2zDBpcZDMJ5sKlH7Knq7ETKQsH5Pa/vDEYUqpIxBNsOe1Q+oQMNkiyQtkyrHVslCZU
RZ4csNjoGDxphppWoRWRGevhWIkuDuzyuqEMxPPBhNaga+y7oJBElNx8FQbfrIpbIwTzO2HiRCWl
q2jANuh6Vs9BEWi7a+OA1rQzD9H3690RQBWTvmKtPuuGuRxpebeSeiFznzmUPD5GgI9pRZA2fZ2p
em5Jvz/f8kquJJLYADI51918H679mKy9q9hu4tSHd/8pLopXKj6QjL3o9XWI1AIzHcbkM2w5v6aS
JGj1U+0guQOiE22fwztqVuEuAEo3BBjyMCHOSHLUtJN7Oqac0lQHO8bY7zH90rMU9I8H3JLW2mnt
Bto6tjc9qXp9+nIC1ZebZawTuEIW3/pSNAVryJSukFtSzpcvTJJjUCSeKRDSpRI9XpV3hPSAVLCo
vc6nMWoQnnvfwFjHvsZfFYRN+GaRn0W31PaTH2fHpwY/NLppnBss7kgNzk5EjQb/v8cdy9Hopagv
v6KjKUpfGS0REkY1OwoaXIAfLKMAiekOTj3pZNjLUrdtfKECkkn2NHqewDrHEyzK/oE6C3NyraZJ
R0ryQGduSbQ9rgyuBVOIg4fxcYyV5pREZo4skGQPp0TOXQMSjX5JOR92oisyJiTw6l2ksbkAtF1/
Iy60fHjCOUwg7/TOZdCsOw0IO5T4hYvC5hxz+Wfg+NNYf3pkauMfsEGTiDf05xlte03i7AQByJ2z
wEFcHYjD1i/d8LAhsIr0t05rPn5qLBywJYirjoYrcfyhx6KOJk3vcB7V9POXUUZdOS7fhugw3qCn
eqBYjx5FgNWQQI/mYoT9iRnPKKaWMcD911VXIRHDBr/QuvVgdsoZ2pNDC6IpGPI1B6a0Ro2wTJzg
zQao+1yNIJhEX9jvVS8gHEH5jQwWG+GpTg5IqMargsKYaQgtnTmpVU/0vS8HAhSRWBpltKg3jVo9
Hata7FJSTKeF4UfQNaVYsgdR3CGN/iIcvyTBoEYKVL8gOCD/Y2FWZPg2StmV7zOm5AodR0KLGvzT
+iTZh4qTg7dBFka0N+JbntyyiElD+r++7HOb3bffqjBRACf6HOUf0kDHCIhZtJ7z+CM13o9mNNHQ
RGB9/QncBW7bZurtHrm67YMtTZn+5REkF78TLzfnMhZWt3nQMtthPbEK521v1pCBMiSAYha10fCQ
KPbk6pP3uf0xD824bCwVyWIFGGkYHIZILY4wD2gXOdvUCc4uvC9f1dEEdMIG06uzR+QFTlFve6LJ
79O6IarSH9Ci8nejrCvuVBdX+IdWnoWRmBKqleG96mYtvVQq7+WYTDTZebLw2E3Dgu4MD6ECKfoU
BeCLgJ9hQNjfRzfyzbQDaejv/2odj2uk8CGeILib6yjvnxMF8xVZUdOi+NhUArDPDujpisK8s0i2
yhyHY0ZmcLscYo/kHS5uQ0ohkZ5EVbau8Vm85gEy4vp/c2iitAX+yOCOdDb7KA/Q4g4chIxh+SvT
gIp6U0LmM4dL34z23gqsr5poHtBDW/p5OTVGqfR4wRkHupjtBUZGCRDlGKfy62bP9m3O7YMRQylB
4blG0ojP5ZHuBAx5IrrYqfBtWm/YiI5GkMzg02Ua9gdcLruvbdV+/Xc6ysC3Y7VRc0qJrG3wvTvV
cwZT4uyKJCcmrliBF4C96u65k5m8+G31HWuCz3kYaZus9xdKCVDM1uGASjO2m4AuJsT0PkfXw8b/
yZkZprlebznVF0LSFLLqYKfiEI4u5AmOBLLtUF1L/ervtJKMH4REKJzTs+GdokX2VGXZKsWLU9PN
egXusz2GyvOEx+LPzJoWD2lE8uishzEydEY1SXO6ToyDmV4yhOfS8c9BIpsEUnQWnQ6+lOjE+Izp
jSncoeTC1dfyXBR+8kn3mhgOgg8eKXxnejVAfY6W6NUGNTgL7mU67RYAEiiimIqPCc1SXT7OnExW
qhyuvJTMYYG5hqaLenQ0uWXksJNW6mDl8/5aDYZizeQNzPSzGjmIl29rnaZZY/nHbvZPAQ7zF8q4
7M9xyxYJOeKB5NeRvpiuYYX7Ox0zIWmc/+uGDDjeV26iXywLr7fIjZGF7O5ckREO2Fv2v9DTkrOR
tCA1Zr+xjE278RwzqOP4SVXufxZvCuI44MbP8cKeqvDGX9QWIBKRMQgFzNzwnV8QlV+1+2Z6Usvq
hDBe3R0M00rqN7Sli5zxHEcvNQ/B27+DOEi4CjQqyUywOIpdlDSWW2cY8mH8pyGB9RycQC/0TQRP
K5zxvLfcS4cKRoMKuHz0Cr9ZkLsM6NlCKqrZ9NcCjAQZ2dtyDqzlB/cuQTWQqPjmk8QUtt1DpIGp
vnVCHUx61HmuLU1ear3F1rB/tZ7TTepxMJEYSa0nWQNly9bI1FMUxkcwF4U+PNs3utMxU9QxPGqN
qq0HxNaGaMjvsbLgZ5D6CdwGukJyXhio3KOZ0TwgsvrUGJJ9lZeME6L9uuLRbj9RQ2XACob+SVXg
wqhCOCSOxf8ZvrJhKOzGRObeyHzh4qSzCXPKcAMKjLJKIPTFC/frteyL9LllbO3ELs+rsSsjMVCh
rvPMrkFJTRSic1IGwbqVOhz1KORYBRKGsCuWC71oX+NdktU08lfnSOJ8PO11O/MPzjCto4rKW5Ii
T1AHp/B/x3QLA5gETCX0g5gnXzpp38iLIxs71wwyHl/fhz4Uqn5oUlCk2pxMBaFcxufkTUPEQUTF
1HIrDC8bhuzY0+ttrQEPbwr3wMTh+JJeBk8zcM+yYBEjKTmK9xhd1PELgL1EatU/Vz2t6ON+r4Ma
9R87NJ9siVVKDmJxk73XVZ+eEMmidgBVUZDJtX4g6MX+eK//1RVlyeDGLLg1C7YTxjVvwsDEF/I+
qYQ0PGKKrAtpBJNc4vxi7hk57gPyrabWC+ammdBMEi86Q9IJ+epqw08hWYBVIT3QdoyJbQ8eoRhh
3u4VzCv34VilCvgqU9GcZVkDg7jEv7R/Rz5MfcQkO7cCi9ObftUB93gKHNGKHBIFxUe4CuLSu47N
bR/UWtarzsezxB592UAvehQAvY3IfHT4axaS+Neub8+WCTPzy7iV0En3sZmJPCQ8dfn2q95Eh/Wb
vRSjg5d+NzCmK9VtBPueSc0h8FEsjh5s6i3fBpov48lAgMJPCP+EK4L+pKoKBKhp2OKnwifmFbeh
xBgqkjLZ9Ee0Byv6/z7Hgl6hr/E1I3FdKUrzq1xcNErk/UkqwmATgomC9xqQYvMGW92CvFJS7e75
vaJM3IXR2YX7CKQS2jeQmKdgygFtP/wjz1vYb9RBsS2rko/BZs7Fv3nuyHIkRIJ14qw8EoAQazlW
i7qXNHL4Dj1q56CkDu7XWnCWNSTLhxD/M3H0RPZDg6N1KsMCOYy1DoUc6ugPWZJLkae93t77FNuS
jlIEpbXf5npXsvlqKIq8I82ig9AUA2Bk8zi81YpjJsKS6kAo5G4vraiTM2YUs/AuOEpPTz0MFenK
oDxXsvJ2LhwltpcJExZ3qyj6/8NvBgFl5VHQMayAJwpstICK6jPyGJG9IgODRXhIGSDLyT2lmmR8
RVPtnMt6qvJTxDDbm2ukAob1lhddJZ9jhvkbBvGUNCa51OFfjz9LqyJth+Na6be9Iozb/Wyai8xf
8R58WFAchm1sU9GRyr30dGRNOgxkX+pC+Z9K1XlctkI2xcfTefk+Emr74M7CiCo7XBInZxML2qqT
SmmnM6wBpQURbFQZmffN2V8NUxivLnMQ5VR/u0jDYjTd7MAPjRHfD7EDrJA76Yj2fxSbBJLswKjC
tFcvZokT+F+EoO4XwatkMjIkuPElZWC3Zc85quk4xHBBU8VRVIkKjMC9wKw0c7dmmCUTBEixYwYL
ZTXAn4Uh9pFNiy8g6rc7O8kky+Z0WQ+R96/92zoGOOe4u1700b1JjTGejaNqfgp6MJGUQ8T5rXYs
PYXVkRWpMrIzZLK+wRrUFyJM6WufL8km+HQtMJkQ1PbdheLxOm4R6y2a4zC5pwHCZ+71RWTUw7+n
9e7oQ85RHkExQKM497IkXfmqgdLgM5N6EujzEkkih19ttp+z/+1JRFKuan6oNDn8ISpgFMMxiQ6s
2rxXZAZtJNSdNhz3JXxvY3uGiB/0TSgi53YMQhXg4A9tErYf6p9PgtAdm8j4FjfeC/bU3k3Nw1uE
GrZ0StLBEzcoG6WKGWT5jXqVk17fwCPxl3F17OH52ERJriBlwvTuHrFlPB22Qy7Ubi29ZjZEkT/l
nWqMd1OIb11Ql0I8JpUbuoJQWgdXy6wyWFFwLDknuymYLOqoUNJx5Ub9eAWHDwAzRw28Q+glXiyK
I9myWfHZ6SYKnjZ7P82mcVbxWKUitpdyZ8+BFDRXndyLENq9RVtaQ0+sFFCzPCNBdrDmd30LJodl
C65BuI63F2s0IsLzOkDo9b9YJua2U07T6tUGpBlFAWvTzVgGCwu9eFb5oVxJYtyUC+VvEaJP+iku
yKt2vsIosz6CUvor4PNjEIiz1aJkjbBflEJvs8REO/QoMuT4/RVGr+f/y/Z1skylmW9wANAFaBrj
lSbW3mFoPDPWgog/gc1ZTa0gHwqbQGxPzu1xXEJtlVoYWnMr4x/zlVMnVPiqV7mVspDkgvvEdbxS
5SPIK2Stp0AjkBGr5cXTDpPyE5VIBXJqhxEuAMbj3jNKCi5o6OATStZholEv5JyxERL47hsPR8al
cpLdJOLKFdAjFaVLSinjNAj0YhslCaGbySpXYXufkysnS8OHGDKLVOoTrKEA7zZmrMhXwHRL54qY
DQkS7GqFPjCwygdWkvRICwT+uSGHZZrpSuJDV3PEp5sToxp+BSxCxdT4Xofpr5xLV4GPQiY+M/PQ
zSNXRgefnpzMeyK0qa+IG2P0remoLctq6tJGfg6+RQSWYtidtiMpHH+3YbSCLr1EQ6ZvspCNQFS0
q7KBgrATfjrL0uzzDUm6DiIUo6MnQyTKiPVu9PQozTVEHvyZM/RemzUXq/y1fTueQQyLOeeeco7I
w6Z0lx9ERHTdAvqzob4Y43RHXyp5rEwKuASAgrRYR0IoUWAPI5l8u5INIj35HVnC7xvH+Ec0G/yT
uOz2xPrNhiwUN/M17sXneeivtFth7x0+DFtINgf1TSPMcFXHlueV0wF1dYf474fuz/+bOpPRpwVt
YNOdSA2HsiVhb3jQTQjZowgI/wyqxHPWLwfridWsw81qU+OYnGqBsjMYJpjvy9HfbhaTxTxhrU6z
cHba34ZoWIzImlor1+RmvNsEECKqbJiHERU5LasuYkbxq1P2umrQOyaW0aADSSD87AtIWdXWpQlb
wTMHG1CbCrTb9ix2E6lwzPG8UlV2vDLdP9jT0qirTRpKydJK2vwUp4yI/Vw2zC71jvbJ3XYqEFkq
5u5zTxpBjd5UnXcTjUrdFrxkfO9eoptisKxoV0TXjxbYqVzRB8pGIX5fBMzP6M9czupj3MOicXC8
L4Hsvr8k644LKNMTlqROtReIs00irce5RCBVMbboSbkt/Ex1nM+fL3bmeVbPL5gyOovdD99K1jne
tb4jJJb5EPgU5nvNBNadoGMCPF1FvRchnkdF1PVgQZZj6xxMzU/cOPuFQXbwNhOBBuPzIozALivp
Q2RkoDQibFbTsQygC2F62t6yiyAQmxbAso5I7uEMGzpIonQ1QWawbkqSO39+RPMAYaLjHAwK14VD
H3WC9n2rjGU1F+A3wNECcGPsO6leQQhzNKldhO8nY8OYFsZf2kl68UW9YdrGUZtpjVVlWsEUy0uK
ud/AfMuKFgR5SKe7BfHm7B8W2yLQZeSeyxOrrLP5IFRlZV61klzmuq/FLqSdk/PmkN6PyYpZNvX9
Wey39KTGgRJuTwWjTvTb3upxP/kMRlg7Pl0sSFvLJvgPyg71+7hQunyRVh9hIcRRFp+CzgQckK3w
xFu+g9FDizuoWZWX4N/HRu3YMc6FXURXcrnC3S8YD+K68Z4jolll6xO1C33i2ZRnK15dQG7M/dEF
pVhOIUoWR1VCv7lU/QFjmA7hhzMFtPtOR30goxKZCIYlxkuE2HDxK8K3mus5U88/HnpLJk4tBMO6
VQUVAU8caPEdvb5gnniS+ezUR+n9Sy8KIDI7YEJLVaOyKdJh5Fy//7fMWDT3LxAq+DMpJ6lmVBwT
fSqW7oOZ6fsMQ+xo49uO3D6M6prvJJdjYJ4UWTf96xgJEzDGjxb81ZLK8R04F4sBtvHrJqQO6Ia3
Z4S2C10SBAVGFwoCy1wBzmoTsuMvmVTwebNAZrreJl7y0Nw1naDYhlLtkCqmfuyex3vLEK9dOMkJ
URi0RW68mllz0AaNcoC/ot+NRm5YWd1RQiqwkVfnvqINwyTxLBoFGpucveYe5ouz4mlye8nVGSQl
fONJ1keRBR9xW9cUE8s23p4/eM2S9JzdTAE6tfcvaPcDtsdkn+QSNjVTvm0zUK0BM5GbR0bmYVL6
jcjgI+xfoViP3Cf1OUbxSiC0uy32/18RL5GdVYl1LtiWgLNQYaVDgI2ulxZv4VPpuOU051OM8Ekn
t38bhPeUDDTqYWuIBl/Upzf6BNoA6cQ/3hIGzBgyCQFoPovSqolkAdIb0JLbXseOZXP7SEzN173H
ZZ0bOLkk/wRsDIOIGB4kpQhGMFib/5etJCyq+iMvNDmqMtwQ75gZgpQo4mevFXUwxzQ3x3DDg0o2
sN4vawNBWoCm8kju7z61Ru5+2QM+MjU/nVkeoT5FUV+1yqTDbSIUtGxsJl6zVBzkoRxxR1TFJyB2
0ZyAL1qAOffOm6jsNUPZoX+4ZG0ZrQII5xIN/BHBRp+f8NxvnCnVmuTeF5UQaW2eXUO2838FK1c1
i+8rIUofXpVywGT5HncGj3UGH+/VqQA8JhKnZY9MFlyoLuX20LXJL27XhwMsilvfCKTrDDMBGPCA
t/VDzwvwdsg/gIWhNWctdgm8ghTScdxXKQfERuFzObshNhAarM+/RXL0PlhTvK4CBOEllm03Ykk0
lF60K+crMM3OfT9/qWuK7DYBW0O+QXmF2neaebf38+tGA3fEl0QfIxNIk0IO1DaLpk8SvgCuxaBc
IE4i0LQ3BcrBlfSZUyMnD6EQ6DW9FCACYqB3mpacVzfZx7w10smvZYXydEtCVOL3IJNOnEXyzNBL
gBnMwm0EwZ5fIrGmeLtY/dV7KbTMjONwsHEjSK1ORCFMyb+K6QpaJY35JhYVVuM6ULnlmyNB1dvp
p1bzCM15yIqj4rBPoemT8uJ3O6A87z2lLjARLBeqZyfpqzOQL/Uo6utImcixya5ltIR+ZstO9y84
Q6VGPwPal7vzdBjhYmhSfxfQt8T12Rr0BludnHO6udhr/gsS7ncTcFFgU+JxdsZWU8wYvW8vcEvT
q2mmnCpTjk5iLPyVsW6vGl5LjKaL0fiCM7FfsAlEJOiYw1gXztscw7qWVSDQ908Qv4ZQ5oFuQD2A
UAsZzv2jyi3LYbtXJJwinyMtEoDUTWe3OggKQzkc/TB0+lisnAodYVNVRBbectH8o9PlRmZMNVay
tyPu3G74xCAq3GOD10Pem0knaFm4DqUxW16XiVg9X83kn0BJpWtjLJFCwyCPFWQuBn8Fpc+jr8Mo
at8BaUEgg52k81Y49+P93LavQqjwJ4yr1dhbx6TJBNVGzyvB4XWsOEcJCu73qeMBERVuf0tHIsWl
a0ZyctsLOPFjs7j6a2Hrj8AMLQcLouZIx7i3Vpt866nKNzLU1c9Z6VDC5P4JS7o9i83aVgKNU+QP
z5KVgIoB5TQ9LXqIani+9vDqqufnwWcd0K+k2+pt8++OfLORgsabitKEousr7pKQuShZd47BKuVm
rKqb6HFVYVQ/QVLZrk14Y+G1YOPIet4SqiIy23WcdXNWil1Pmg8z1mFkOJdeMGL/1NxjJSLKg9L2
Qotc/c1APF8OPX6QB7i/8dnTIBfvs45StfPu1xlPBc6u+BmG3L0exJY73xdECvZHG6F7cfgmgIQf
xQsMyXlpk5W75MauhCJkjcujqRZbgomPmPEMDRFqZO3+jBMEqIHtzfzQ0n6QoxSvJDtRKO9lNan4
L/E6dUq6FzDZ+RH/dLYFog5HlCMsfxP9xRKyKrqZkK0F9pI97nX2CPvNDKnEBfe0n73p5tJ6K2/B
g2DpbSMFeZiJOFsEvz5sjWGhzzNSokt/n5n4vi5nElZPnyuUIQ4oTKSQQoMS0Y6Jo4W3gNAqAdwM
dyN0DvolMP73Ussoz4VVFe0/qTjWtM/V1sxhkYd8fWXbYLOt3T5H6q77Y3o2j3YrfdGEz7HxZBs7
PwIH4VGLhE7tCk5h4B6hv7QCis25jq/6ftmB18uwYVhCUiPHVe/KR9s10mlV/q+cnx8flcYn6PwQ
kHriWHGZgj9/DugkukF4aCtOyd3SNH9YP3fugmq8fDUmM5piLfMGymLUZa+q7DdLEFSq1/ImHOL0
j+ufEAQmf+QpxK5xAZ/ThoyYHS81IdPXZpAJXJwX9QVNlyPy1kzKZD61XSY0efuzR63UIS/GGBU/
X4a2QzUtSBs3uO6btMB3mJVfNr1EeIEIVGqbKBDuHY6kVZCd36ZL6nTwCRkCGSy2ApKNJjZOgBnA
KR2y7QFkqk5DKPjiT/+YcLAdxtBy+hmsU3MXecz3HgXk+wNTSqGSoVmPdmH+iN8b6qVQKtDEpjbE
USE6n4cw90AcIO30etTF7Mt3Z1y43Mlama0ZYGM2zBoX1H7O737sGVxL/q8hNnV4wZcRIRIMh9yN
oWHifSVHBWEEOoTnwTbqGH+cuYkBBZg139RT2NS8xYXB5i8K64TVlsf5zyb1wfpJPOc8sqAh5JD1
1iXf551WFvQRIFQzzMVyoy7nOphJa/ovxj9eHl+pJrkTtthmMO7aeaMKrQ4J0du6oqmWQQgw/jRs
gq9yhCjCkGMl0uFrxmbETirUCnFK8GP6SEAgvWa660bcn/2fmdHLfawadVVjiitTHJmmjj1zJX0M
cQ0PeGiccp77uUejkaMdi5sdBoLKHO0ex0de7L1826TuFxMi44YQ3lbth5ohcTL0VOVABvqWSFeB
R3M0zwTbppAlf7OE2mxhCTsVCNxyPteXBIJq30fi/8nQDPfqKFfRrQDizuOzge7TRCo0QcDOpdKY
bDldJl2m1lYul/sd7WS0qiTp8WKf6s56YaP0i7jZ6rx2yZEYJvn57yqZ+ygTb9q9+hSAlEfEv43P
LO6g+NHJP3gqqeARKu3lxJPiZUDUKDXpEsXjutxMVYWIU/h2B6pu56vl/NMlSv2C6+1zPdbz1MmR
lWoqsYQtFMQKEmidGz+ak0MrI27EcIqcwM4fwI3+rFA/PHmYY+DogulDLhHyrjojz8PwnyhO30JB
DwYLXj9D3AfZA7vvMXbDYHon1Is9I6k0bWatdr1oxmlFzfkeFqpAURNd2iyGIjy3us46RDTcL+w6
num7lcGyHroxp+9AsmRG8SFpTA7ZD85Ra8l7IQQ8fu9T4UebVTAgDh11ahOUBPhxykR7AxkUhyCS
Ry2O8x73xIZsk5B3WRmnm910KdASN703UXSA1jGk4JQ1eyUAvPyma1nahzKq5OhERMNigPd+qotL
9hdoei8dBaJax0HNzo8YbaVTlfqo2xeE2+TE1br7tdk8wg20BipUruYiGDiMuSYB108CLulYVGeK
qVNo0f+jKbCOrMS+NwhTvwz70cP5kY0aCFqBKhM2VLFLNv7RONHd0NU/Eia13qL+vs8EAdIUFEcD
R9hUpwguMKRKOKGts+Oncd/7UJ6rK8nvNjuqJWg6UOF6sXlvcVUxp8LzXhDPHT7n91jk8E0loO74
Euw+CcoyhRzxDmthhsYdkuETZaoeKqMhw6hoW7WkFVqTGm/xb8TOFB3Lz6V6sTPW7iKbAg4o1986
mi4ogw66SUle/TwbYN1U7tfXrLKUCOD2qB1m3mGU9Pf7cFdxMiAvzHE++HhUBWfKmX5zUa8P5/Jw
sP7j21Ff9zsAEHaZSWfI1y1+Jor9+cuyvPTFCVXAKnmFavaQ1S82FS2V05b/t3+rZ24Wp2wmopSs
zQosTvi8rokSe4kFv9Fp0EieCFoG4iW4hDjjqqPHa9D5dHS/phyOWWik/7DlU1/HWLgnx8QA4xnN
iEGBY+fzBprbvIcdeoAtnnoaCWoensYNaDCjCyxerH57eO9JkCAxpZYLMqlH5uUAA7l+xSJO6pbB
NDj02pfC4nSTqjXaYO81iFG9n2MoUsycT8Bx/246vY5DZFZRnF6VDg1etkz+MxcglBEjP0N3RNG+
As95hVbBg1TavBAJAYLkWRvWVfRldePGWq6J+XIXQVa9bZCkqKTOGVAzTn5+pNCqYFqX0TIVIaBK
TFrpVPi4/kaDVoUPvANNyW3MlPAep0ALm/bJLHrXmcqtwXFY+D2WWyvzU9TAVfQgGvzBTxEU2WYJ
59VdnSGjxUYd5W9hrtoLuPcEpedu02YjwWVHn83rvB1Mp5E0saymLUnNTI5u/JI4a56+Qc/8RaQ9
/1rxCfyW3AwZxp/0dawIbfZMvL0w7AcvCocKJHk0MCgoRVFdpz7iN96002cuTJ7mdlj0Jnz3qMIa
FA/9arzp2VRBdSQvjSOcPMDuiCymrokkmJ2sXU7CAOeXoNVE2JvjoZsOZvLsarPvQIwgXlqf5fdx
qg3YT45HCV/RwnWbAXTsPLpU7gvINmfqKutaKPRB34MlZTnj426Q7px6j1cIo1pSApnfXdP0gBNI
0zNnLFyze8sVUa53JrwnwWd/t4A8PnQOjnqceTwu/R7zLEn2WjIC9lLbmf/7GAQzEcz49vtIbHYT
TS2JmEsFBtavC45drkr9qg7CFV2YTvoXTmaP8kfKFOcgnLdQLkW6FNJm/fRGhlzTLjs2LOH756XO
UX3UP58GvLa1rm0ZLFNF8ISo0h5hcC5j3qx6DYathrWruNXLZvTbFACGy8isbh66RtVYBmKkwfhP
9xFxWkHuWytm33nHA5GX/MtZFNZptfB5X1KIuxNMBOdGa95VbjLgr01KX6wDFHGL5Ani+p1UxHDh
RKgINEs+zb1KmVIeqIIyvdBZSJz4ao/8xGGdr9R30JKNoeW2oxh2XEGZTusSWNC3pGSRL7moOJZS
Y1SOWpaE6Tt5KIetuLAstOOsa8rIXCFzzH9ISJARaJgO5+cdfT3K94v9y0yYTdaDR1Xvk37YpUNU
YhAWaFL3qqkc969mAGNoWtqDozVta7od1psLV+aJtLNXV1amMTg0JSW+DJ/XfD4jXhoE70hcVcAH
3Kx1Kh7l1EAL4GmanbpZOokZpVRotIJAoMgP7nQCwHN5QPGj6uol86iUXEeeXJueFtcVuOjQAtL4
yMWliWt65WeNHoCIOtrvkpcdE2mJ3hTS/tpsbBhcUAY0/eICN5I7ATnx9hUtkAUSElQt9cXRXgQG
Ii37tXzo6K0rhRXw6Crh+ipFKO0z3Z0QlxIJBvhmy/DCoj9dMIVD26mbtcUzDyPF09A6ZRO/ECWB
rU1IS708E+6XNTU8cONBQgvAEoaZBJVVupadjaXWSDqwzjqWcASpX4W+lwMvEynfOrf6SwX5JL8R
qAvaCKv6K/xVkc2iYESQM76b4k0kKNGGP4CfyJxc2cgc1PpsG7uwXOxZ8R5wkeE8b23dToVc1wkE
0Vx+/z8xh/XLeFPBjb5dhDGNUsLwkA6ubxpR+WV6BOoM8lZewDiQjeS3BnaFsK9mQG4KOdiWW970
98SgDiQJHnbOe9zmJ7lzNmVGznLYOvGkzByB5ThGMtu5SisSLDYdYwawlY3e506HdQjiloYlf/2W
Dy/lOHJx7c+SYSDGBlP0hZPHfLKj/ERz70Qe52wirxK3Wmp3vMWRwgZJ2fkU6Xd2T10N8TPyVwV5
GdMTTB5azWibOCfIvMzPwCc5OjdZr3v2lvkTVZJDE/z4nhO1BmYUT8qMKiYX1dlUTCNJf7UmdHbF
FVKa2zPxV7FL7n84jR1Q9Pf6f0ccVnUp0vSB2h6J3VYT3L1UGMdv7AHc4Was/1RQVopanSxlVPXT
giFJFvE8S72eNNZVRFVH2hR+YjN/geSNRTJ4IVnVKzoD2WFe5/8KvEhQKZYmXVFwjbDj5JEe/yHf
qkTNT9Zsgsy+wFgepUWazbBNNOmKowNjyQfjxlmFUvJ1IrAUY6hUS4IAEKgzsrsRBsnplxmuw4W4
QzFN6XtRDpM7KaFDrlBmiFYN4YdlI+8LQcBKAcPwJ/2j2yqb86ukAThjBDQERvcEN0Amf2PdbRR3
vHnogSZNyaBkSXFgfZY7e+Mo6hDZVYa4MdF1Fhetr7aNkJJ9uNaLcDUD9cHA7U4HfajDjqAroFvN
XS88RfbACW/uhIiVnGOzksG2G64jZIBZHWl2JQFVjvU0FCQHmHdTHJ7ZfeVbNbHs+th67SSrehLu
zfULqon4KqnFpTZ1A1AwSchoQIOwWPPh0sYTaHx2Nip8aJTTl81rCHRwzUQFl9dbJfD/I/+4gRAR
gVBTjs91sq/ncXUVAUuqkbdjVRqIqTYbRMJS7xchRlmozCPL/ktQamDelRwUs/r8YuV0DBWm/diK
DwPVmqW4ASYt9akY858lcAL0oBkKr02jL35i2jfipbdD+20bFciK9GcWaUAAw+rKuJw/bIb7oZT3
kxQkY+XQUWuZXPvy5X/ysjXzHnx3i925GMk5v/p2L9jw9JjuJdP0gry7rs1RYo2esNwLHKkqefUy
dPr04DonjzL/e9bJVXARMF7Z2WwhzCTJ+aa7Jnm/QziXVp9Rb6oo1K8DYxDN/39WINOYNJSbGLju
3ZBcIuavnby2toSObtVXsT0uZxhwKc9db0eO08ARyqUAUPmmye3M846EKXiTalF1e43v/c9RnqEz
sBYRmYVIuoDEzCr405Z5unv3d8Qq8NsYMbXgy6VOeg3kLOq3XzKv/HsGSbMTSFzmwlhJqfESiFQ+
clMErLdFP8mWg7UtHFxvtUGoJIqs07GCLKS5s+O4z8j3QIVXo3mohavwk5jy0XgD9Gg3Wuwauwa7
ySVaOwyB/K3mR39hSlvOO2Jxxwfmky9rdeXkwgYijh/EfwfkXNI04DcHxRH5GWcDF5yP3MfGy3Ig
v4LAlV8DpgtNP377zcJU1bwA4lr5UZggzowPkIkTXRZZptaQot5kNejhQJyMrQpqA4TuNG7wGuCk
ILBAY+NabZzvJ4jEcn0DcGTPdDaaEUBPIeC0ByI65hC1C1t0S8AlAF6+6eJDMP3D791L0CzVXHJY
7/ys4kfAHiQDk4RumoTmtLD34pow2CGn6mJqHaWrkE2Af4NXWQVMIUep0X1lVaaopFr7NDdNPB0y
PJiWWZ3TwNrQYshN2UI9l7mQKc2qdCGx5Ae7vV2NYeFLlI4jVO8RpMW80i4R7trAnsiuQ1ufnxaS
LING3qtMjLiCLPT1Vw9albGIM0MXx6edFtb4SzOz6vuwxlL4ikv2DJ6RdN1ace2xPGRXkS8qgG/W
fw2SmBjZY12VuXxPS7hOvpSYr5bImCKfPhtyB9Ql51HJ38suNV8rDE/Xpx8YBn0I7AIRmV0CLG3w
JcMGNN24PqZWC++PK0tlI+9TVlakIcIROw1siabxkKi3fn1UpwBcDzvM460hAgMGypvC7Z/OmUej
vdAObdWpBfVWWhMa5Lv3FVJCGiP07kJFWrKWia8JZ0A7gcQEhSOOwnukhTgHEiDCFEQfKxuFPzrm
iPCbFBOotmXVpp5UcXMpGFQaRvfng93YHQ6Tca9ADLq87RuXF8qYclV61+P8smBIVFZl8Drky2Gc
MtLZxE2X0Z0kakXK4/jZxskkGCOar/S/ovP37WBooxYKskzcgqZusr7lVxuLltYzsK/WmoZI8Z7s
dNEvg/OHDv8aK9ig8BxiU4MToj8+wIuSd57fBhYF/u0DewXt3qpSWpz2E0f/C7bS/UjNoM4f9+dY
Kzv1Avs0lusMDMZ4bVUC5/AMUCiykWiX5u3mcXjASd0L8fdTVGxL3QL8CBIh+Z4NWUfGcb9uHQjK
u85mgxYvnxnK6QE2RAIXlI0VZ4wgGi/GQDA/9/NP0Oj/JfsUGebiKg4pYh52vqmQZeRkKgnmq1ir
tb4A/PcSQWlUu0WMWII1q4My/+e1s5V6OHL3m2yMNZ1Wo91eX5PBbxKs5mtRrAb4ej0rrzuUoE8G
h9PEZBF+Yvl9D3EzxK884+6t0d6ZrU6D7SqCxGfSYqCtbwIBNq7y40SCsAgGUawe95MJjINPxAFj
M2KpEyaXXFqa4V6hKovR27rzuZtRCI3k4KBG5bVd5q5IeylgHOhHaFTAoVW0YsZert36QRIe1x3Q
tU4DIIzOtJA+IhStX1poTiG+Yjn7XasfQ3tzhaBVqluC65vYN+v9JJr7zn6E+YeG93obA5uFLukb
R9wDSWGR5ZohML7H+semtyaFpBaSm5LY+jybsYHevIt5LeLNvdVxt/Igks8KqbFWeabGcQ149LgX
eJO6GHjg8ZRWiugDjTVzv1ui5lEebWpO5oWlDQKwu7hZUkFicxMGW8Ra7eS5JIRaNfXfG83cnRVi
dKKOY78j+xbHAYKgYbIDeIyOiR+bOgWc/+eRgsy05WhzYFU/CNwUShmI+VvHnrZlJBB4EY2O0aEP
xaheIFzYbiJRABRYW374o2SHamVrgsHyBYyiuJxApLphCPkoY/DRmHTLb5C13KRvi43wozRy3uVo
9KgscIEzdWkMBCB7QfXGQi5BGFWzFWto435ZvGfu1ujAP5UdbBnjZh35vfmRpCz7Zf6yKq0MVidu
NCr/MTXlWgeBOVkiI/oeP57+c5+H/sYXx3SdOGEXpZd0EcoJUu+gMRIE1dmS2d183ZJjZ4Sf5WT1
rX/7fv8wS5iKwGfP/FdTYrOPmKn9Kclr88wZ10dbdROBpXcNgrJp0fDUESM7x4Ca0uiC/5RgY4Ok
2xVKHPZYQT7yLj5m3q71zEZv4nS+Yl00eLvdAZab/+CG2wmpK9vb9JbkG+OuGHzazQj4hgM0Is/n
zRqoMAvI6wgUgotpDknOE2yTU1oVY825JhTk3NkgHUsbYlG7VJqqLm2gCHJ4SChs22J9BNp9Wdws
K7qgmf04FVeO4JSyIFmZimYCqfLVPHAsGCx1m9flUb7sgWY3NTynuma5N0pOQzZJpkVncCf4IusS
g9JkIIh/vkCAVjV7uDsFtSkvJKp5SETpMilATHu+uAHz0CA1dzocOdQrNScKaotcpkdZP0fxQdGz
IV/Q50NnMxkQVr9HjZt7DgiR4hQ1nk/145bXsY2dBcU6L08EnStXSFyoajLZoVioMBQT97MdKRW0
xI8k960eXksYZXDuz38d6qBmisSd3zr0h+X4XzCNlynhToN36HIAjVGgxpUZ4aHrebkOB/G2V2US
uU4lNyNKduPDbd6/O9p6XtkgPpSk1L8RpZU5ZKr/pl1t+8sN8rsJqjp8+cu+w5f018tzp07tG1Uc
4I3xQOuZYKTIEukvlJ1HDkh+elOmHYV2bAl36y6CXkS40pmqkRWvpkAFe96M6v425iXPUEWjGBkW
L/3g5SbbRUhkUqnOtLfSGy2kwRfi2IpmIzHySm6rMGG4n0f3Iwr7p7C683B4UZzzhDYGYDhptoTJ
AWh24LttfG3y8hUxnTW7P+2q7HdfAR3wSLzVafqsD+nOZHJ2x8SZZ9Qj16lxl6acOjjQ0nqgcivj
vesIDPamD62UJn1FmBzvcf+TZXwXEUIWy7SnEzYNAFWE5e1YLYtwNeFOCA1T+WZa0qtfEiDIlbJp
iHJGibvthsjoDIF6IoWGXi5rM7mTh87eRQyxgEcecb6DP0sLk5pZ4YjTapZv+nWai6TUAPubBazv
79POKfE8v3G2d38PmRm8bVx3hs0OL1UG3XOW2xnPgQ3VBiufHo8//0a253+J9hc2lI0mVpAmFwEp
OfLFQI7ZSlWNpHjjlUf/mtYHVKxv7MGwmOpbhiLJjWliVGw5vYav4f7nw+Nxrp5LaOeZh0U1VkrZ
Df64RYI61Jucf0qEdw9rw5wXJ4/HhEl55tOSKGHOg84bh4IkuyGGrVxTSf3JJYikdTx8SFiJoRyd
lIcubvvpfm1sURXn6K3HseUmUAWh9TEfYCqWo2gRmePm5zuQw181XHoAvNccLXzDbtT+fSZNM6yw
mFl8pC7fENJBrekYcTqNxwq916nbiaOGsoSVZQ8qLRctkkVGwUh10VrGyowMybq26XYh9XwNAxyC
EWyQ1Ad72AY/PTojArFs63+zPqxNp0VNpSe3lUuwNIkatvKjnJBaBmWahTRtBQRdZI6PSTdRTzlP
6U/+jLSkBQIWLS4cm84LFL3XKWmLlQeER7+10Ck3jZbXoiZNXEDQlj20rEPGp4Vab0UbE2vbYu4+
zl0JCxAaGAuK5lur1B8s6zR2SJdxnX/MkLmbfgp/V4VOMx4FMIFYefsF/nhmFz0wvNARhWsrWfH3
nw1VdTOpiUmHSxXh6lSv4X1rfy+pK9GOgSbvE0GmoN0FZI7xvS6cDv2wHlmtOMrENqQAe+Q0BPPB
t6renOMWPA1XI0E5X+tsI/Bta0n9TWiklr4Jbe0rbQu6iGfv3gDQaFboHyetqytzpZoQkw6n1z7M
m0MSCY/H4iSrYfE8EhcWOHhLfNxa30aNaImdkUjHZFefEWKZ2YfjC6QTXu3bSz/cKteHwl6KG0Pg
zz2MCtdCZUZTITvYp6WF7gVwIg0wB6kLQY4UHMtZTLXnCnP+xVpFQ0ZQH2kF18qk/6S7DbBH0JUj
qBMe0n3Fo3RYte6UtmjCTEPtz4fPtuUM4FJeFtvpzXyrjzjU9RqWqb+sJbSqin9uLyMw0zGta37z
L/DLltGiNsOeM2W/TR1qABKPD9FEmHYmuNE0sDMwM4eGaoB5K9VF0yWtdLXfZ6uE8OPQnf6+y8b8
IDes62M+UiY5k/FVcnVfzwiluZUoMB4Gl8PjF6wffagxtohkABk+ciwMaggxtm2SG3qxA+ifBW/G
uPv9CT5FmgA1GaWI3rt7ae1+xOFHYXsojwJ0toiHWMYDUF1mHx7oAmxr6a+uWeCxHuwHVhJd2fK8
Us8CrMzgYy7l4q3R82heM02MV/rIkqtaIBQFKgGmqigbK0TAoP4yC4fFq+u16FrRHbXIgoMrhYXY
M8GrECpCVfy98OauiTY+4ScQRh4COlv/Hn178IWHqIFDxh2Mp2Lju23UXU9F4X9GzCWBG1B8Z9JN
XC5Tg442xhriS9n76St+SobL7BFXj6uue/cT1KMNhzp90NVLJiuuPu3LuCw1cyvc4+9FTIEkTi0b
zopHFpWwnEtYUKLAACRNbIBFEqVFc4ex1Kzys/ADBPO3REUWh4jdZf87qJ6znYW6TK4MhCp3tHST
q+jKEoEfuSA1cfyJdjxXv4+wya+//EyKFQde7Z2wSpB1twB1O8gGx/ZbJKD7+LHIP6H7wdXDOuN0
B5BaoWIAwMEDfZrZ7APBiqoDORiUY24zPqZv0nAwDBrJkZHA+HpdWBFS/7/b5uvCIHHiAjQP713g
NJTRKmxkRLMdDVCKYuv7DXE2qjO7Lk9v4Z7dCUgZpZAuf5lktgHB5JEfvXyiHaHMNMgE+jbnPkKL
5ArcqunPKNrjidhINLv4mo3g0gBrREVLdEMzThkVcgQX+Bx+ZIFm2IgF7G9cgrT0gWWZdum2Flg5
RiyAzH86SyEtG+bWO58rhvz0dEGCWsnQt8mVkSquOOq4X822cIbvjd3r8yc0iza+aXwr8n/udch3
UiORBY8j86vB+Dk195zqgf6EVeRYOs+YOTWy3x3/81ffiDIzPS8dUXBzT6923Tr/3uVsBgDW54Fb
29MBtuWSCquRr/tIaTWjYA9RRtRgC/xFdeScHQ5U6qYXs9q2bcMpF5VEaHBelfazKT6c79cy1giD
X+Gr3aJwJuTW9ek3SSgibFQAZBlGbZWyzdTw7N9jTIfhxVpu11ZtXJkY0IztREyQqfuJplcSeRSo
HFCgR/SGAldj0H6pPXW7UahOV4HXNZ+QQFgkxy7Gt5W+4aDbsrSZnqoV81RLpYxlnthurkYjmm3A
a6WP/PbPY9M9KvdfqP4uZOO4SeySGCl7ZnM4wOwFcYpBQngNoyip9h812ZKadpHZjhi1WRCQn9TU
7QHATnw/lh4uRoUC02yIbrnTutIYXeC5Jj2T3MnhqXAKvdlkWyDtu47YJJ/7CtllQ7vNtKOZvfJU
v2RNSA8cW/thDz2sZyyRa8RZelnqxfptTi7/YDs82+JeS9Sfd6OpRY750i7qwlhoJnO92TePDoTN
syhNX7lV5Xdsf9W1CKPH/dfXbBB6M63pfoqIAp/cvamgWMMGm36VeExIpcrz4xagchP99DNRnn/T
5oT+UVTkG/CFq29fuekEbzcCXLXN5oHxkkv4b4Hlxor5qGDAzYFcXIQyuu055DAxKo5zmX8VmIu2
+viUVQK32Mwc4BEun9Anne3x2N79fIVZlM8JKvxRmK1+QsXvPOT5WkEtO7xCmVnvOYFl6B80hhZI
Cp2FYRtCtNGJyDJi3Dr45dLka+l4Y+Q8NV3u2VuiXDP21K0zIWV5I/0Kb9gytNU2ZH/0KNsYPBMI
aqQmRST9P3et2e0xpIIGU4gfO6C0RbdVRWycg2g0+EGSqAa8XcicuLW/W2ECea8VbgASYTl7kytx
knNfaKdXlSPjM/lrjZ+iccem/gXXTcxhpalnYnzq7MO3z4nt2r3RrJ+JxN7hH5k4iwDp4Qu1Hz54
KgKTM0KALe2ePzpgXiknfSo5nJKfjbjE3HC+alBrFUzjdq/smjDOnoN3XFbG93EFGMwxxPw21y6e
ldjROI4GDMuI2NFbGnpPCk8K5c3W1SvqlzlgB0HMvJDj6KuKXmqP5Zh3v828uZNmIgQ2mH7xPatK
/CnegtUY9hzf4TsP7IKdsR9Z3LvpBIi66nod9jp7RzV7fQVYrkcyEuGsSjeSH9X/UwaLAjdruDNJ
lgihdElkyisfzKEYGhKZtRnj+lN+daEB9VtDIqPowBGd/ZnA9hWbaMAU7nuYvrvkmqd+hBSXTHvi
bSsIvIrU6wVLT+t173MWbJU09JOdG379L7RHjFHDqUnQITTpoJatddE0DIP36k6MWQ7MKzXr5Alt
JgYzE/0fgIEk8rP1ue/A/T/ZEcVIPie8FkUN9Cw49ECts7jyEHjp8xJOsEXdg6DwuM/0c6wfrN5u
Wvf5eDMo+MWmsvM6RByMBOiyKf8dbwz0ojDqziv+UnUOkTlluNVj31gv52VF6pGfaf0IFQkq42Il
1iOEv3HRCuTaRz+tNtPYlIzV2DcUuITH4ipthhpifv2lA0M46XqE6hN5/peCkqPzYypy8kaculXr
RL5Zx+wm1fNoaMIFI2mptF5MzUlBUCUNL742xqGDP+mVxOcUb1PjpzhEfuk6cHDI0bzhYviodddW
lr5U32TjG7kO43Wkfpt1sz+gg+P9tc7BsXPDXWTj2Zs3VokM5tHoQGN8MFRMcH0O4+FC+375DWoQ
xSHkVk9f+HZMoBsHm9ZYo1yqMXYX35YzCjWARYtNdI8mPbsZsBUyA39Kk+OBCwQwnFN2r9zoPVJD
IysFPSZxLnkpuwbOEEyBomfiguyrhxLwSsswVAdEYb/hwIA6pxksoewVT5LgJsOyJlLCcyWyrgPk
2b69TpfKbTOYAyx950rIsYZs60f4ciJnvkdls4kzrFZVEYpo53q23J+EguKT+WfqgF5ieaghyVst
eZ6zmAIblaQG4zUM8A3nmDG5u04pHpApGii9yc1qnvwsR4gdtcYucMKMMOBmLKmsLsKRto166oEk
v7Yostj8aOA3NEfVyWaqOdSltqZSFoWKcdjpMzQi3R0dMhLhXMzC1uFgFUbCUjkGpqUZx8ieYxvq
AzHRCtegdi156yMhJ78VgW4ASpFrP/54/AUD1n56gfY3zMR2ZFJkfhlKnrQ8lQUGBrq9MUnS+Cxu
Ju9epKeQZr4j57rovbOjDVLk6Woc6EvZQp0RShTfQskBZBzAAtkNLVM9DdrOgy+BoopPSASRPPxT
19FZpHMXqujcdzZS9ZJkl1hQ7HbNPpUlCLKSXP6NyN8w7K4QZnSFzlyEJI0IalPiljCvN/IVx104
h8Odi9H7HrRMhGNtqY1Lyp54J0hXRzNci5Gjrrgkf8GuGkbvgue33cTkl8cocoT/mjWWBw1MXjaZ
y4ykbcwMRQmaQD9X66Jhx57EO6Y2BJYwlzz/PCxT9E6MSUDmhxqLihenNeVJNBs5IZaI0UFw4KGz
ao6FwvuRT2brRtE3NNbO7vev26yX7e5tGupCdNjxc5Eyj2wbq+TUL4VClfB5QqOkVXY6fGYmmgCs
CVQQexvlenU86wScXEa5StRyjbuuANLK6Sr6a1vfMVSe0z/oldJXuL+jFX8v8lCtUx88UHtTfuN+
IIgtgwvtclWfrh7yVvwX99hPcAn89kd6U5eS7idD9DCUsL/Mn+kdYYx1p58C8NGQQnAvLB0KKlT+
LfTQ25xSIBBxJiluZWS29fWeLhQofwsFmvIqsy27MPegCLVpRqWvkY3X+pmoraHpCyJ2CJvy3aC4
p5WhZp4RDOe1ceCMWUmoud8KAsK//5zEHam9nqWDSbSMlJN6S+5n5jz8juLhB+w9pPiZF2PuRed5
zueNN0V12mqjFMQYKNXgL3ZGoNiS1EK1N8C41PTzg+uYIaGNz6/0YiaqnLUVfr/3yvJutlNuVlkN
dJfsTe3iLSppuxZt3YipuKLUPQPijpdK7RAS8+CLHw2TbrE+bzXxLT19FuYxUa79ptEy4n1dtVtJ
9YmqTaWr4VYljDEus2/O1Zkv+754glAVd8uVrwlEQ+5179HRRU0wAbaWmKEZ3wKD/r1IQ0QBMjwO
T1/ifikwjtbSzuEByXU8AN8f8hf8n9k70YqNI6hP/BUnMtTtUdqL2tjwPcJpZIFR5yHShJeMJt3J
GNaOSYEd8nFYfMROyEvoR3qko4DrLIfP05CtU/gHmJlSlfUI2AusNEVgKppilctBNir2MLKNweor
gn0r7W5Dj8DU7uhVGp0j3RJE36NgM5D//XptHWYCOBrUmruuU/ycHZzh3RezFmpXYF/yVWHz6BkQ
WR+jOBD6hjpXl6L5TPmPYo//7cTXyOMNleg1gNliLt8PWPgkOHUOeRyIAY4OQDYV98BmJQ2vKX33
Wf+c1Y4WgV9SXIIrserihsax74kiYpNCDhkxPsilEkhsi5Uf7b2YpU7iVchQO77B+MEQ7hc6x2AY
JOmeuayzv7IaVXLN3MzgiqwWiaRNlw6eNaMz2emGHSAH0kKdZhJVk6q01zyu1FfGoFib8OV08e6W
Nymp1uCVtxSGLhT68+bQ4VFcwptEe8QD7zqMNFuIBOFxYpYxyU3a28MdSrGyuc+zAiLz2iRR4d9g
VXTBfF8bWf6JWpVt++VFOQ17ppct3nA+2Lo9ss1tcdnvVxyLXPZfPL4j5ro0y4Kgf53VEjHTPc70
UCZKOj3MbqGx7Iyd2Zc60JZaYZo12Yc+dHz7iTHx8PF8yp4WEFpR3XxuySLbYvyLvw4IDScBw7w2
keAo3RFSa837WF89mIDpGDhsWrWn04d0JtNoyoW7OZomJpVT6pnYeJT97b6OuoPTldDd5XPsmTn/
Fv/teRNJNOJ8ysvxp+jzQxmjynnZZsV9upI1or3so/Pmg0TS4jKMX2qgMIQGXiZyGglAmREo3zLi
W01be4wC4N6KBWhRGLFeIc6Q78m7SkQJCghjYEGL1hLeCgvHU2axhnPtyNFCbTAVWL16pqGYT/iW
HEmH0kC8LZCffr+QJWWprSZ3xBbIwvCxi9ozbiJpFaXuxeRPGFoStGVwSH+SzNJZpI435aXYA576
RVw2WEQc9ss+klmJGpbhAHlTLkcDF78Gs9kFfMj6PTzVwVXox3d7kviZx/TSvu7g8ouAGf55YXVH
mHF4m7+tZQ1kHper3s+OiDPejIMS1BS4D70HqaXiiZ7TAWa9Mj28iVqJtHflTTOEf3CPH29CCii7
MN4oiuzpb0J1n77XKug6fH8Jk/sbQqt6Uyw/f6o1TW/YmGef8+d1PqO+na+FBEk1WsO7XcyA7Usv
XoGh2jgbiiOSccA2jMyCGCAjOFKT/HtZK6SFYXWsq42APfcz2Uz5N/Ds7rW+0aakWxsEm3ilcGvP
NraHtLP97js+SKcg1U2vXZSO31jC+6Nt3v3b0X4DWiW1yZWbOruWy/eZhw0td0iH0ZfwNPJOWCyD
MhddGfLNe41LNm4lNY3LqTcq/KUIVMRbpKRWECK9hUveMwRecVNbEKR2nspERm1K1uY/dMN33VN4
O1mEpav5dTxfV+uIYtKxKmGoqhtI2qxp07n6WaV2gR9x1Kgp0bVP9zTcjabLuwFn9QMKhezYvTGf
mNlIjdXYHDQU3ilSJyMGj4GV9tYqylJtSaj26cZokSTpu+DvJyOhs0jjDgeCpNRaf5D2Ot1s7Gq9
ajySsJj4GKVuLWclm9Sq1hqFZ8xsykT/DCKXDck1NFKiQIMKFVZ7pP+N8WtBW4zqDExqdxL2eHGy
A/YZ3btVead9LpH6pqDo5VVyYTDEiVM/T1hVD3Jq/y6d3B4/6y6MrPCFWwSby/+GjbRqclTr7+Zm
BsGhVra2N/hrLzMjE2EnKRMgUIFyVDenLXoXopNlOFbu6KkSdDrxqu4ynir96D5jPln+zxamB1ng
nu+ykBD2WxFg+uS8MOOHPK3k6+5i65GDhoyk2sanHWdUji3GtYPyOfK5IdoTxPCKWd5RCr6Tkjrj
FjLlQnG7mTEecBSZNeDC5J3NEh0aZtKNNF6VIy4BVcevF9u/wRBSMnbRVuI/KxVu2VQMeLEkoNp6
gpJAB/dZLXIfeQ+4sQowTxwrh5oMu4n1+FlhVo0nnNF4Td9JT/8h7FmJ0FMSvU9r2++j4frMNuvT
lM/FVrCtKA77JCTnmq6pNkIFnPBuSmASwvtoSr/eUTvMI9pL1m8t4Wxw7P1Xq9E7VWIxYK7srCuP
ActsofCOq7eS0PG5HuzwY05nFyzkMm/k3ziBEFqJHY0tc593Z4TB3XdwIbFpgqVM1O546x8QLqjO
8bEiX9H+NKNs20/Z6smqnt44CDSZr6X+3yIVFLegFG1I2ENMfAiMGPSDS5x9+adSjGim/LE3Z8Ag
rkSwH7IXeYR6SB0b/hAa4IAZaJOH4UFggWieTHGgypr3+2dDsz69Wq1aseZOnuVH8tXFktFpXLaD
+MIRiRYJRFqdLKb3Exjp+sSkSwS081/bVEehVH3KXmLKo+R9HbhWeigcZbQ+Ic/HsuxJ0DtiduW3
syXP4e5lsooqeTIgpLT+/8WaHabWB7Kpd8TBpT2vNh291RvSBFtKWDFfVWKaPkWMeESnw32Sxwuh
HbFmsQLdxKlyvYcrL2Bkwy6IIB36ycMloAH1+i5I8SeJOjsV9izBHoRsroGYRgT0X576/tOv1+0v
pJBjTae/Rlc0XKSQuezz3FMo+AT6K20r+aZ07ePmseM0fqa3vUBUtxXvDSkkZ7tb/YY8HvlhBoC5
wBhS4NgRVr/xaYfpu1GdOwm/cKcxaElfuP2GfdQphHPcGL47Pf01GFm6Z5V5RNm6tmoStYJW0Mr/
redBuDe6ILatGoWtEB17EF7THlOptOrH3arZlalzZ4vMJDMs68ZL0iY2SzzR3elEDQx00S9f3xMC
p/TEzmO5SNJXj9xnKQ66EMQX/Whuo2eZCknld6BI48P2a5vPLhNIaVW/+k2Lxd74O4U8zh1ih/6w
7voGWGIGUoprY8aWXMFKPdQ/o0k0EwyQTlZjPrWaxt5zcHOWw8l8DHla/xri71ibYsSKPiqhiTVs
4xXhWysNN9fK6Y+JkuKfczoWKVJ/k3O2WVO2+Hganb/1VOBsPEUrM9lJWepk562y31E8rb5fC3u4
B6elLFIcQXP7+8hnplBuRnBos4g6GMIYg0NjUPUvUyauQj8c7DLYWIr9Tbp24pt2uVCKHyhzfxkl
Ot+461DXu/rBrD/UZcsv2yjJDJGxj8X8n39vn0BxMJeADdNVNYS0B6rvneuQKq22KXXoqXIaEzhg
Wf8IXMvuwx9QBA49UPkKCVeIPkBv+gjoAxkWSbhdcewaEbzOlZYcpacx579zsgcNQWge+frY9Kw5
+nNgSpSdLgmUu88BytkM+6AHs4XPu+3RVqhB6RIL/01SXXARJ0hq/YmdwyNA2sxcSbCRdNLPdoLH
tO+nb4v1wdGRf28oggkoa4nvI1tZAVyQ5IdM4pQU17B+mNMrjpSOX0iZe3M9EWAUxMQdBxvIoDD/
WtMtHVBvO18P9EyaKw04Kqa3vJjpzB5GUBzOSjKjBJu1b90X8sUitkUBZykH7TUlf25x4R3QxeQU
XnNP65ZYVtuCznZxgJ6bCFpCGQkqiRuQoHRHhQ2zfz+jeFRM0R3wCaMLpwOEAIPa3mN+FjVTWThi
KuHNgs5NsZRKIIl1n81W24sTagUMakVeQeaD/eKEQpLdKoSH996/T76zofj37cLE1jS80vBNjDSQ
YQToz2ESu3sNMejdtZt6I/5egqB4uYgyYNJjT9ArU9C8553BXyEvsJ5t0p09CS4xHvKSr2zbd3wt
Y16UaAZcI+Hhrhb9WZSXVOPCOrtHmBEm4jPGvIEd5bo6B42UbSvQHKjQhKwuvRjibSk+BLWJ2x38
mc/4ZbFwP+ZwRdrB0FXmdYY21cHsqIDPmOrC5bEukFxjUGaFHHBcvCWSTL7XaoXssHJHMy5RatIh
f3mu7Hi5f4TV/EVMbULsqfcu/ppd+KODiPy28CUC+klv9YP20FNML3fAVS+SqLBsDokO12wv8fEM
uvjcjW3CzMV/TYw9BDbh3YOZVn86c3dEW+7H9wWEbroLlhakvy4HC7mjkd2fPrCkIZZ70px5x9AC
wd65IvxBEnJhxzZDSlcOt8Ohn/y104fKAnzNBN2tPv1DPiTcDbPJlwDaWhMkT8tUWDbQxmtNK2uE
cANnZ3JW3nB/fLElmyw1uBa5HHNha37kEA4LOLuakGj+PNtoJvYLcrRyGxJ2Zbfta4hmliNATF24
Vz+zmNVXCYvP4Hbqo1SXzcZXgOV7DJRa1jiTqtvbFQJw48aD7ahg/D812CYKYBBf1pHTWa2LmV7c
Zt3ItEVKsYCtDLsae6EzbVe/wn3Nl0ShLxBNrLV22VDV07YaNM2AFMmxOmVJ1r4Y41tn/fvJ0jur
VfDZI6ic/0rVzEB+xC9iozVdVX0sc6tMFkpJSWoE3bnNOISPCXNFW8vJGBzJoFWFr0EfoN5BWQ/a
LqqpYkeuZuJOYCUV4k2O5meOWOA3RKNfFYcJdftONNzx3U2w50PBPAE6W8f9S7kekCcE9yhL4Fdd
M5yaUr1bEkByfcEWVzKJICZod4k8Qcz12rsJxxMjEqN+Mvv37h+jS2csym6hcKppp3GuQjPY+Kxn
QHiA5b6p1B36/0ncSXZ417j+NUeCTLbc6/Jkm3TiyNqhXcmpBYu+untn2PlvcugkrAI0KvgsX6Xj
JFtuQ3a96ttpEB0ygSzgtAO0MsCGvmvAjb13owsNTpHL/1yJfjT+kWhT3eIQfg+0WzalcqXdM0wy
HZMMf7uFPFcdPvcwxaL3V6SJQHJpsEzoa3+fdXRZJl/LVYDRB5jVsYtATVAIKWzU/fcUdBbMAwoY
6vKWoQTMnWa/QUQzBXGXnO7p4MHxhVD6f4HGcuMY6QPfOLla/8kywkld6e8YW7Glcf4HTggjsUS6
YExRgCL3XwEX4lAQtq+XAFPeFz5rdyVdJJPB9vgwxX9kGwr3qH5u5n8Skb+naK77ppWRFr0gEeOd
dS3IFHlhpRQhHmwKok6h9Z1NymwIYtB0wt+YLjG7JhJQJ5eHlE8eWSHGSH8ZzW5eOscoj2x173N1
/bBn5TLsTMdBC/2Oh3PMDQ0Lliir6VvbmrpWVudwjVIV5RCovFaURpdQ+eeBntwGVDlyFh6ekdtg
8sCcnATfshVJ3TdET0iMldYvYX+XXLOrM+whxyXHSGm6Ro2H1bZInAXUGN9BqeG57UKeb20OOQs2
IBdvCDlLBCu2jNPIrdy/ZpVz0DE/wmIE3WE8EhOW+QrYUI6cWuC5Tl8FPGvNi2NuFWvUDUS9oULY
OOAZ3ovP6kgW1dGoHutoLiuETvgMMPz6fJWuLJI651iWkVkAt7bifn9OPdIYOtb2KovILv/rCGPr
yJGrnwnGU7ZQ7+ceOsfhKyp5NlJ/7+FH0HwfW2jjM30WCbHRrLpoW5oqWYroc3T+qtpEJ7SNYlYs
X+2LR4/A0wC6uwJceTBJEBjwYGMUbUHjuoBOLsNLQCUzxFPd17LyC2V9t3O5+nMFdCx03RzHm9+4
d47mB4qnrNp8YNengyFzSD/zXIYGBhPln+bfKDtLk/ZeqE0dr2iRicobCBfErnHgrOEFYs2dmZR1
qUe96J6CvJFwehTLNbgiCHSPZ38mBV4At/qKVb10n5KK8Pt5sJSXRIv6YBMYl1tuu/L4Bh0+hW4g
4O4KjWjD4vMy3xxmREyxECF9QZNQukaY+afG4k69kRvBhpM+bqicFq9gGMq84LCxa2QbPru+Ba70
22thsM12PGMBr2mTAqoecoE5LWCyV5q7i2THipRXmpxRkOrQiTkfvFVlsx0lLn80Ljnn5LslM3B/
VSEHZ9l3Cst8nFt8iYcynZnR7xCoVblza4sWa7Ngj8rS0huGXh6yGW/cSMsoEyWy6Pr/qmSmYnlg
uYV9M1wEimmS1CqGy2YvwZ9srWW5FchX4L2DoOvZo4LnAmzN5gMGAPQJdT8zONAJifj6QTIMFxnv
a/LujHJAA0himmTDRkT1AXKh/IYydy/YaK+fviIClx9QkP2Gy3YT8ACDQVJxXcYVOSmO9SxArIFI
LucLmKvcH3vzZnf+HW2h7/oP7h/jjJD5AKEhz/7WWsk8GCbrxvXB4Q0JU+ThBov6iSTy9UUBh1r8
1J1sDomk1XTYyPn5t5o5i/t45a0rNQAe2GYnvB7kbcqh2O2q4Mfa3wI/YIu1uStM1iflYDsJr30u
1ZK7YBPzWFynJ1jrcyTunXxso+bSP8/wG9uvG//kpn0jKaHd2+VqQTx1ek5wZeBG0eQBS8Qt1gLK
y2ovhN956L2z4s+QLhUcZEfuFywVZjRHe9+TwTUNNgE9WJ81So0qfCpW8zGr0C6CTWlV0sUAYM0V
KPqRaqH3ssrl/c8fDW4k+0y6l/LeO63gj9UelQJyGwDSSQNSfDnR4pIYCKXjJEWrmGWW4Bql7lme
CYE6JZeC20XeDOKT3IZkwivgiAupHi2pRMVZ+yiqpGJxl6LmOc9ciPfi3y8Co+5+D7YFGN4aaMxo
FUhp+oZ+MXspfwMlNFV8w70hHll9ZtKeI/jEhJwH8Jb/hRKGXtXU3cwsDl+H2HxVoMGdvkWUphna
s74F7WshDrM5HYDTkTRArpGMxddjml6zEPJU+x2qaIi+KTYMvixOm4xMPOTEYaCACT6n2uY+FZ/R
dJVrVTsFYuFRNx9I8fjxmOb6/ETiCnD5gAxAeMwteQFfPesEg6N6/S+2n7CgSLnwDCXtgtjBmMrG
Qtaob7Febh6Zp1PfIcl5yUx6o8eFHuKaSFTm6NdWJfsmu+DAU2AQULU7eCAkvLuabGy+vXq8LWLS
Orxj1Dwhl5MKOXdv3XT3HQcgEFUsrxCboNmfHmZ8Mw4vZcsyoOFe48AfJ7M9RpUd2wuduhj/l47j
BmDD+Wb/twkvoa39h6ScgkH8WWN3PSsUneZdsdPQzAr/wQJ/H2No0nWl3SAgMUPJrBJDEfkwpMfi
BJZBBkODz8CS4X6qAHgki8MSqyEvcPD0PUlHBLgjFoI944YG/T6w4RymBIHpEomeNONOw8ZAanCG
Re9JBzoo5zVt5HKOMgCI9sg8IqSC448SDmce5Zn0e3D9+XX/KaAEVzyULFZCGgPwh2K1P9tUi0E3
dOldA7U4BWAt5mq0i7ecSnSGY17AxvomET4rVqtmOVo4DbDJfB42aLLvWyxaXQGdd64I110Vevnh
+268JGuRDbb+rG/e6lyzCORdYJZzOG2td0qvc3HeR/PPFw+AOwA+uE/WdnzTh+KJj2feLcRGThfQ
ivjFL6//K+N11x4TjYaeSrdqQmyuaLaRyqM5Fl4aAQHAMmccqloBQNFZQQv/0cRnjD/iTn72X9lH
TKZsDGHyAU/bagbKT5LveYWtpqFFEMaYxmVrISWd/+tWudhfl6AyhoisRQz9hmF9FCGYAUuqoOWa
9ZJGAkF5FKqXrp7bW3pBwA48/VYiob8CD6k5R0aBs+y58EYV2HtVcQAcZSwzQZupICDlHvQIvrca
Vx+FMR5tl3WnNswgandlEeAQyejzc6AnK2ljzvaezcZLVobI+bOhYgWMUyygIPXmN7K1jI4Ua+XC
LZyqvKgi6GnWj7rSMJhbj0zDfreaomwYPYniGAf8774qzuHi7yHMTOmaMe4+CbsqNVZyAu9O8Gbd
+MaWpqKM2HJx8tRD7l62+lixGhoP4IqHFxJwdNpj+sbesBXg4w/691G8z7NM+99O1t7Aydwhl7O/
RKHF5z65m9mzmUgCKr/NuBHavuHZP0vF8qBR0wn8uTQEgaFywjQmTynd5RO2c3ogGG2ihDTUjNSW
bnRGs+EoMPZU1Nae3NZkKCp58t0p2dHg4Jl4ykHckJ708eS0quOuSOnZNM/n4UUOCdqvgZuvu0/n
b7R/J/uc2sWLP+/dKnr3+Tgh+qqO1rlwBwn5aPi+QN5u/3k9zzitQCUOb1Q/fyr7ymnwoR8JKNJJ
hWMremwAxo0KdF7Ah7MafIUOQtPa+9gIM3GM+0kXrQfB4YsooRmLNXwFbNh7NEbidWOxNHDTJAOF
xGO4+Mtyi5kfTNtOGHfGO506hPTaXtza/wGOzHVdNitSyJEeyqYKvZDavfsxGAQf3dMWueq/uGmJ
LIYhxmUz7q0BIKVqhioknH23QotQo5Gv60kUlhLFbVVoMFAIojVnnmT4wsbdKpDrwQkXGfSTpnEa
mBDf5DrWwtzmB1TqZdHvyQxODPbO9TxJmcd2cNbW1PYuekeBP+pxuo7jz0LGwZBljQLEaRua3sMn
CxcCmvdl3YqDPSJtP6K/Q9GQdwh6Y/7bJUV0lAD0VPFRLAakaEvWRHElLVpTHgCpOS0olGrIunnL
IC2GIbWOW8rsrO7Y+ypAs2yyh5r99yGIQQEKyqaHHsS1XAflKay/HRJJwsN49W+SlEF4hzBmA+jt
Y2kxMJg5VrwpwWEbeBeBPZr0SzFkPJa7RpWrYTmV2UdchbJgZmqQy+l1FgUqd8A7J+I5hiAqlblz
rg8JIY7Gm/4f1UW19W+onsUnE/5L44gxiRoKEoUfE9+HQ9C9/GjwA5KTl/hWhe8I+Z8FlP6TgMx8
MU/NCGmgOOf9dkHiQW4Kh6AlRCdLSCDsqy/smlk/gPpX86BRlqwfqKn4XxJxVVchhhXTH/DU4G1R
QuIk2HpB90bVY7hHOTONVsyFeWPxAtCb7nVNglIf/cRd6sb7+TodbEkV2lFLDhkFHtnAR0rC3YtL
TQPdoUt7i5dEOJpkCfEAFPNptwYnIbuHrHG1TrbOPx6Ybd3htlzfNmvMwBqhlRRSseS8+lGcGXsn
sv+rXu09GrOgWnMyUw/e/tZVME0dlZMJOW0LObbLf+L2HmFs/d3lqQ4b9sWPx+y3gRZrMsTLmvuM
zJ2nSwuH896EXIkZQgxJQfjSjdccAa58Oe8Ig7fcsup8vq05A1gMIBcc4a738XldITtLUibuxcSf
eOqidiV8t+4FJ1HbYot2Q0aOpejrDFVeRiFPvEZ1kOiMEHYiokJ9L/GGyzlytP6UJ5J+3KzwjgW+
FZXnUMBKHo/fDzqg9WXJVsuz8aFVqrIyIM8N7gXGw6L19XBj2vZA+10ejpKr6rZqkRZzh8zY46ZF
zBMT2oYanV+mIdXGGaiAYIk4WMhc5z2meztT7PXnSHpTsbK0baNHiedgfok3C8fnuShAr5pEAtgZ
Tf4w87I6vDUE0mfVbXWnKNjmxHAva5O1pLzCQtfDIzv2CATg1/a9nmpgg7KUAbhuUfD8PRpYzA1z
k+anFx7G6ZwB2HljLXKoNvm6KGjRZEr0W4ze9cAMFffmM5SV41saq8mN/6r/YfJdilUKJXD4h7qS
irALEXf0+KwOFiEl+bcN2AE2AldPkepNApFxlz5c6IjxBDJo6xCYsRC5u0BkD06g1gyOq3L/2RUs
OD57ZXAznpxBTzR5BKloK73EEaU0z28IPQl0OrA8gZbh2PaW+jE0/+Ulg4i7vd9siILBVfgH1mI2
AIJNtblsSK3IeS5ssFLXr8n9FpLSUBow0qXeNizS8/iXjvLekU1Fq01/Wa344sBU2cK0VAVZw6gr
sHqf8r9c1SIvKgCLhV7Tr+fCmKJno12y5Uw1MYac2yiYdZkRJDoTbCt4uQw2wWbK4WtBOjs4nIrU
ZXoIXzbXXHAgPObIIxEr9oUrqMEJehNocDx1W28NfMTjxxfZjXI+JYlEya85soXVE8hRm/SgQJx1
vtwcp/WrHHHhX8I3uiyntcrfQvgVlPOSSUUVlHKwLA0FJNzVcJU35cXVx5tEc5hQomIMOOhEUJkP
BzzuK30xlony43c53JERwG02wZTwcwt5+2BuAt2QfczRzWX6LpdehT1kpcOptoPW8OXs3aPk6uN7
YPlwbduU1RYC+xPPvN3Q/PtgbYZ9x833mkHdPywTT4zRG+Y/oNIC0XxxRu1Xq4QOguc+bM6XF1c5
JCIN4Vri6e8E679HQqHhvrwn8wo1FM6n9E3dUUZO8QcFwOgAE3CbMGfY8Zj+PqAfJ9JKP4tQ1zLs
WSBuPmdDynLav78LxBzTPl5CE7iCT54v0DWWBuPoflxdw34Kn8Df0FS5yrF/yNipseOOfdLOUYvm
5FFWjgB6DSa/EUS+987Q0Zl47Nuv2+jFA8Xk8xiwxJpX1Q8cVlbJrSgjmB8jVe0YM9Xu7/CrQhfZ
HyimFNBlIVwdckex1i7XaUgG9eotUeKNobZj3gz0Eq1aMrJxRVMvPflRuMGhmJIBN4GuhWuiFuYc
NbIYBe3ZEXAU8fzXjiixi4WTeKhT6dmYQvqmwDZKqWVWiOzEHuaxc+ZofpbcG+mRG2ydbmwujo8h
MCDwPNuFikPy95tDfh9+RO9q0OKF9ANojOrNQU2JcAkjhqLZq4AbPCvp2m46mKdkMEwqJnqzlIN6
YdHdidMLLwnQqX5sl3Yub/Uv+BkU2wY36LPhSdNkw3lJ7KU3UMi8BLZQl3z4WAXfyL2RBMzfadzp
iPOGhicZKlE0UezOeF6QgVP62hB09Yx/XrtQgFyTfpppaMChNjAKs5ilCC1nqNnwRzaBLK/Vv7KN
BuHlqNz8Fsnt3/Jj3OAuPnjxMQTmmq1R/nnebnKB69GckE/SmQWyf3GaEs1XWO2ZsrEMdI3osWSy
6gXfgSb+laN8U7akoZjjQB7XScC+7GtFSCE60TuJt9Qvnx89SziQPeG7IKEcelaRJE8xJ1hSonOp
eSSF0rxAaXIjIEoN3EU2ohGAk57hJfIPrRJVsa79WCBoctRt7tsiJx9YMNtdiBSjIhTh3Czx63Mn
LmquGOYFNrBxOvt29P/gFevF7qwDhkv4WHwmQyt4huAg8aDK2icMhodb9+/f4SEEIM05BziCq8Dw
25cGgfmIPNHZEBNVC1Bpyr7CIZP5wUqed75DGHHK0a1cd7XER/Xnpa+zSOvUU7XFY14R8F8FeXC9
bF/vO2+b5wwWc7uKTplEH+TCeolhD70HlmGHm+vCZHvABls37KU/ALqZzB4rEmzk61PNtSuvBbXd
5up6wc6N39Uao+HBvEVC7YzlJqoYThg3xGpXO3mmqsnqdfh6jfVXINK2O/bnvka5cJzx46G75q9D
ngvpvVMCz+AwPBOpbsgnJRHz/r7TY5IqjjgKGSmsptCWVhz4JRPILZepFRReSS9F7tSbG4eCAhUx
Dce65AZU7qqtGP1Kwx5gbIfP/K7LcNoZUX+Jicj+evwd6Mwgc6/PKNYEAxp8OxOlqI1bXMgLfzup
4J5eC6N31BlMq/MNVk4/Euic1eJFbnYUxDmTi1vrH7Fa1VgttaOIHcj/enNNFnz7UlCWkerG2fIP
64+LMVa8pKKpk7iPhyDt3BLIleaAAxOBXkT2s6Dy5Lasq8mVqKVxTClJdh8RFg3Lh2FgyNQXtSTq
AF54KhR8CRZAlkLSw0apd1/HRn0TJmAaRVPxbY3iQqSnsIcBtEvmdlscCvRx5hIZwFSQVT8vp2Bj
RHthvL6IUjJP6ftiM1Vohpidx0dG0IjcT9G4NbFVwP9mlVozZFcud6VJPVqpzZfv5NElIYByZv0e
8YzcAPjq3ql17cWE3K3bdGS2fJxx5kFr7mnOmvbWoQtqXHrsv33Zh49mcr3u2RYQG6FIG41cMvU8
17EBU5XKMjb2CJtUJYwdPCd7x0VbvWgIgGPTUq0UvZP6RevKnrZHywo8Oe6M3wVnDbUraAz+stUF
26xNttykPrpZ1P4xD9Mx+uHWS6sOQs2y8adLAqqESML0anRNpOkYlk3f/CQyAAfiXwNz+AyCkCHZ
NPylu0CcAxAmwKeXl3AlPhUWZaGOMwID0scrlPiasVfoUSwlz0QWXcao2RivLhkMEA8XN2VhnM66
ouY3D7mWwRkZl4WwhfZoJlDnB/FHBO8wGpJVKstzboBo0F992P1tp5reh2WrQAAKBpld5EvqTIai
zeLqb3Es589zBJEqBIEc+G5YnilYoWjfVJfr0l0wM/mXvE/HvLWZmnn1XkuV9wmCcuPG1B/ZEmyb
frqV+nRDqwcp1Q9y+yVlTva/rTX8FGskCHPZomhPT1pFxq1UTBIJyQw4ty9iyQq5yJ6o0j1HPaH6
6MxAGrM1cGVHWPCromudzuGaYMH/l7ak0QDcHWaDhtdxVe97RaCzTblXu1RBL+Z8gHc4Ye1Sd5FX
cy9a5UIRxYQb6qvAue/p1KWijO3FSPou67cDvWu8Qh9WReLeQnsptyTOP1FcP7Iwqd39elaVG0vq
8b4aiFgUKpwziyCgSR0ExMD2ivp334Y9U4BiX4LfSIy2afxMxViMDa7julieS/0tUj0xZza1cDd3
AR8ct0/oGzqntvbb2wiFtDueL7dM07jp8AM+LUt8t1zGCgpxablLs8HftlbRsNcUhHRpPGwDfvNH
VvIXvB27hmPrNWVFocOdzvt+YqapkE3mTf8zeflalUkZQNMGC8UsS67gnO3NUKWt2G97LEXfR1tv
lPlcWOZKuVP9fp8gR86nHHfo1EVv2V19hO2sX0zC78/4lf4jGGJevadgloIZgOx0BEB/x/VWLp6M
JYbTMmOgfzBy6dGmfVADX7zg3t1L+pnNcFt/M4HopEXuK2eVex180REM9jX/1Tg549CjIhLhZebo
VgtgNx1ORWu720kjpMzwo6nnZSpLxPNwxgvs+Wmqn/+c5mq2IF3GkU3WRcCLLpgP7DEOGU+xFea9
n1QMzdrdmH2X5pjPpEWSh1pEJ1CHERTIFq5E8Tpx5f/mDH01dsJKn0Cs2u9KkMGz9nXgM2FNl8gW
yaDETW8UoHCUH8rHqevsSt2xXRLlXPLk2w2NWA6b/ocwZBVqx5z+NLeGM5ccpUwYSNdbxApNONYx
sCBJsjtw1FdD7GzIO4ADOWYZ5d61K7wujg8hS/y5HfK2+HEYBcsnkluaWgGGfasvxkgGaX+K/KQ8
9y5fyArGzobS+6QmhtxO9rPah4E22imiaJlyTvr1eGEYgQ8RLshH/6vr90gMORauaNCT+ozNpbOG
bA1bWERxcfHVHAbcXZu/b5bRSvSpn7bNMKeGA5d64+B5tKXIBEFHX3FbSUtTw+gBcsAQ7Az9EcYy
e0ln4rnBLTB+RrtULWepiJxMLTH2wC5sPg+knXrKOaqREurrYn1WzKuolRRYGyNW08sh7rFqIBvm
+qi/S3KoapXF459QSuM0BSPKlPeTdF9y36puWlOOFdLNRTJt0q8sUdnEOugxucIbLD9GM+SNfGDR
bO/8V1HKyGAdLmKLUO0HHD2YDA8yJTJe/emy8gqLrcHTLH9TOrzgSAREDz1iXUVZ7rPZWjL0j55A
CHNas4X2P1uwFoRIMIi9EG6TRcgCFEHVd3N8ysmOyxq8cqAnmOzMe/hUbYE9USbfWL9EDum7CNP2
fJLRkO7jHNZq/RwIkWA07CkgqivH/xhCps9erL+YJUJTOwxt3jM66ZAWFyEEgOBXNQEjfjYvZzCs
GZWugOloktKAJhLDJrP3HPHEYrLyGZF3SnIt/h+yD/XiSA6G6hEOD9ejsMnbhtCMv7iLqs/IPCfY
dLQnpySRkJ8h8mMFmOwiaJnCRrtIFJXS+188wA8FM+HZE+UY23yDpT00BCb9snT2bLgXd5BFHsjJ
DeX8yJL/DD7qWZm/n8pXZyqvIttoB7pD3jFVjBB902PUqFMaHJHzCjAzWWqMONFlsp4yCOsgurck
ReHX/AJL+WTd2z/VwodZJeVEPzoRxjTIcTR7iXUmvoW4PP1CIRFRE9ZCjcOhyBgtM8OvxiOb9hR/
TC2QhDFeWiJJTdYrjKcYoFNLvqc18xZuho7v+yTHQQ/gOLM4SjltfsVZtcDJLYbf6qS4IErzPhin
37jG/e/Id/UVSB7qUuWHH67fi3f4svd/qiYQi1GPMs5/2oBODZanXf6oKeFYxzTwvBZ7HpxPGMpT
rtOJ1HaXxvCtyuCQvub3S6bC1YBc+znzeu9xBLMRBcq5gyz5OE21WVFQrUX71mAH0uc7AmPOvXO2
ptTncZXyB+hNRe/jS2nLM0chkS8b6UDZsJGyBeVgk4PgLc+EEyXtzie1+f+RwGnT96eTmsG173q7
9FEpZK7zxVXdpV9UHfraH5pvNi51Gt2hPkaPBX8DorWGVp6UvO4cAEmXORS1A7QNVKIbjsS8Tonr
7duDJd73O4fa4AcQ/f3vaG91YM88NnTvA8FmanR/I8NIUk6ufvC086zwM8JKk8fPR9XKQKfFTSFD
axZu1DbIBRtnJqlmWDh2mWLC6xWeCyDIFKcVGMOWEaaomTMpz7tCkInO8+m/b9XumQLkcxv98YF3
9UesHMdHa9hH5cDmjdAniXR0E1bwYZsHzUab0/ruaxbbHliE5w4uTT3KjwFKynMznjkGmWdStqM/
rC+52pAuS1nBjIkjmTetDywo3F/wcoqTO480uqoCsugn8pP/YrcAes3X32LI9OWMi0FqMKjZqGtc
iskeUyh3S9Fydfmw8IkXEyCYHClVlBaTtsrVLQCpFUyLLSsjjb7+4une0yKEkU4l20qzPpIxqNU9
pcpx9fJX2E9EQGOsrG9gutoz6ePOaWyevyaEi2+Bl2M1eDrNczK7VJBVQojbBbip6xPo3jd6QgFI
51eI9if4xMOvfhjSqT78Ni3Y03Cyog+aj4XdPXc8jc6EKvpO+wcCvP9Wy8mSQi8g3as3uRMSk6cA
RJdcAJi6caOfWUN46eIabVTIQ1A49CJjfYvGsy7/7SnNTjLIQ1uZzl7zKeG4Mn0cpDfwh0yjyHaB
242chE+3DCIXnO7TIe6Ms4WSSRBrAjaWrNDXfRkxh3GVeCa3Oc3+lYGiUh2vbfNgqpF6fPV6DBGY
KrrKJX//blgTFoDosrpIsFz4d/DFeE2l5pUUEwPuKSOzEpqqSypou79zouAOSPXzzXkOe6HX2L+P
KJ1+IBtiOSwVcnZjnGf5A/Sj4ZsM6MRhHjpRLmNFCwVkbYr0HsyEsQ3aHV4kULJeOg++OJAPV9BN
dDcBOUKzmK9afz4BIYZMawcXbjZ9dFsFkPeQ57uMAZDHL5tq4F4Z6iYQuhDJf15pf8W5jyrtE0OB
L6uitV7y/wvFarPF22kDyOiO5iHrGGtBy0EASStg+1BP3AL2/eiy0996nn7lWjEi9HqWMKmLZ1fJ
yw6gFnqK+YrewFlSah/3Z7uVbp/ZbCVOe6NDKLBhy1sX4rqqoWIamYOdy1B24YQurOjJT7HJr4ng
89C97haqXK1toMaFxuX2bJu9wUE7+8BJzNqKr0OXKvVTNCLEpXgC+cmoAa5OcgyM/RMouUh8ZHK8
IVwSM2pMSnMynrenSWCkNgsPleV9hT0dXO03EmyPZL0v60mqmuJuJ2qGxHt+2LKshOTOZHzjNu16
oKKCyQEd/7P2vlaj9fypiv+IQkFflZPoi971554oknaMvi5Mx80nzI6k7hKelnjmYy73SwPcqXqF
gmYNIn3Mynsdnueh2YU31XapZWs2xPbMzD3L1BeRboeUiJYVbDbZgmzFV0s/DHNzOxg8SVr7Iqwh
QYqCH9c7dPjXKcMi0L6z5KLRlBfgYXiAYum+2jIs3jSwwf9imEPz4/fveuJco+s8E6nEVLe2qBUQ
K5beZeXbVzrMPwR0ITGOFN6EjyfirE+ji6jo0TASyyx/PRoUpuPOkoD8Kc9sAgIhoQ0t9nZL3mPT
B4Q0p0JgubsE6q/Al5dwFaaRK3UaaCtuE3yAcYT3lov9eBsy9ZN72qCDCwJG2JnL8ezBSCDCTgJz
OgwO2V8wNpRJfYw05MaOSQnAOqxPliJ6QRHVqA0XYwwNmTJK7kSnmgf3WAphJzHM0oGBuv2pDv2D
ee78zJtTy/cF2HbM6HaDaWVPiyNFoQrKdqDUQbxlzMKhtjWiLbFn3CBLnZt1xPryzaAGa0AdrzEY
dGP0dNvXDR8NN67C6w9Qr+JWj+qlUCMAlmwKu3sz2lyFhF7FjX7yGq5+cippDoFBlhRbQ/pdYbvC
dzCeUiFhubrHntj1l9VtFaJl2Tote3W2ZdyRbYkG5VWNneZK36np7Sr9eY32ANwSWwde/btfESwk
X1qpD2fySLfOXr8Ky9oaNUPw9Zf+3KXVyN5ddNHYmL6LZHR0iBibAJxnPnlJSePrBGz0n+PXIeAz
VfEDqRAkyBrz9bAJ9d8SK/dDne2g/7gwFqimSpuSomdDPVHk5dRem4nyOw6C/RAWgO32JlEZPbRK
Y5NnAm4q6qeIx2rFowPf4kDCEmofg63CKtGYg0RbkxviyDWcffJvH8ZqMwZ23y6MH2XzAdcd3JAy
lCEJXJ5g/lMdrk0oYGmXvhRWvX0WBmOx0oy/jzcLIZRVdVgi+u99XpIYSTgmyQpZfCRW/O+1Bx8z
vRRyOHk9BcNt+W25+/7trkKLXG0Af5mmPOxFe9ICKmdmJOFmgii986D4c+ObV9d1YGAATalGkvCO
ugYDQ4FGrBC4lrIz7N4JqRDoPvAEG0XmehlEDjh1t4ZOT3IcKLqnUWhiqV6hY4RVCh/Eg9932srw
THUIvPeirBywerjeyA9sXiIgYbeGYpwhEgPqEXnLJSmz7dFwOOnxU8vi/L0si0fUchq5Q0cnT4vg
LqF9yOeRXQQgG5e/Osm1NiE9IKyAee7H/KjgHVLEsE9tyRNdm1uqV4YIf9qMhGl4AJXZFzp44EiZ
aJ/M1vcXD4GeBPqATifjV7aak15XYD9tSEW5f22VZ2e5PXfNY1HvSZ2FqujJyIweNgYQGHVVNKnz
jCLra/e5XzoXfn7DTdSK/UXXkkw3sfwIIWHQmkcX1BnQeVcaCGfJHMk8Bd30uvK+4wPidPOe9i1a
I6nKlr7xzlSm65Lp6pqKpL8zojvUkCjoYL4Ihwm6+8NNJvh2QCoD33p4c9M9PNR5cyTkjWV7yE+0
pA4v0Aa4IGY45Xb34GAqps779/GgUcBSJv8UmI+Xe1D4vo6ISunpg+dr0SII5lLcpb8mo1HlzN8s
g0GQFTOrGQ9scztND5IjAgi2RPWf/DgnlO089fo8LrGfLS0qm4ZWW24pvBTl3YfYeydN3MlUjh7h
jVp33Ui6dAN2XVgLjDVY7QZ7M3iGlShi7607bhQYqtVp4fJ6DxtNm37DhrLPFj3Qd8P6hGAnhFZt
AcvW9J2BOkLhxP0wca73eBtWthAXp5JVvXCSHu0vlkZ9NcN1c80qBtI/xKSLnRB8AGiDjqAJGolF
ICPUOJDTydrtVDCc3m5gxXfeUkCWhDJqMVttJuHLM+3c9zKKOSXov+PGuCVqiFjENHm864pblf1z
EBDLFCdWritZCYk/z6HQova2GEUw87kYbIPGDalxLxvR+Hl9uDOjOqHoY/mP1KF9ai82qFv+zayo
z5huiPNY4tza4l4NR61UPDllLQVWLSJAgwCsFCC0jn64Beph9d0H1marfCW/HU9xIzI20903y6i6
peLepoj3+HrUVsiOILI0RKoaoVMBt8/9toRyXJ3yLQpeafQAaW2q7Yt39Nz/efYUCOL+YfkNfBuP
ZYnhSNnANUiTBIYfJHu+Xg7vTdCzhxg2Y+vs4QLyiPRmVqGH6e4v75r0ue6GD9CbrAM2/yTFsjFk
Zqqj+O/eNqrI7kfdDuSke2sB7pHO5Yp2IqJYz0Zz/CwBzrIwfFIR+NCEN6sKlKyDpWqGNbhHyQ9W
D2s360fCbsJmtnEe3nE4WDVRnR38VW2sRAu9as/ZuecPvKMYdvUkvA3j8lBiC8mo+5dXgle595XI
XulVP21yzfh2pi7ZhLhxIPcsS8tkybYyNeWTJckQJK7dPI6e8PbXioKy58YgxYAwP/QA7mBsoZAn
GJoBnLiictU6hXs+t/2DBS0+rRjH4TRIizYCABiz7teAPZq0mjYYzTAxc/b4H6u6J6Cgzt9P87xp
TJHdxb+6r+TE0TSUjD7W4aMmd8YP09THq3soaMeEri1GgHZl10MCPOFLKtBwnUnWDq6fE7VSATOO
iY8E0McRjs5j5xi4mJe/d1mnjx9qvFYTnr2MAzREIezL/5KmtRScEEfpK601nvmEJVI5bUdhoI2C
w0x88JYgzI/YeMUcp3cRmUvp7lDzn5+zzom6jVeJzMkT5I/IMePwL1mvpgCnHrng4ct4rl9vcTx9
vVLBxGOcs3uLvJmxVnZOtkIizrmefmbY+rnzO/RP37Yob1O6tXKeftHjD9Hx4s1dC46NvI2Goa0t
97Z6EdC+i80SKVtXilhiV8gyaygRBbhN9g9yF/DJLIrE1skRmggOdJ6SkYjgdbeFoMiVWWSxHDrk
VRT2N5x6VBobdwEFLiKmaHwqeGpnpwy1qIWANdy4t8c6ARzametltaf5GNKAK2OBdg9Ia9epDGLK
KTd91s6/0EBJQnLfMK7ZS4eheO78Bz2MTfkni8FnNSm1g5PYlgFDdczrLYLXzBxNJT0Opd2KCBPn
EvF89SPFl8G9z/ca7ReYf3GgvX9uVfY3cW81R0DZOetQ8wPp+n4/+UHgmTgu06Rmu4RvNCKfY5Q3
fTXsk6NGXTKPzWub6klJ3q7RR/kuU7/YVWMCoCLJMlhKYeNTre4HaDCfNjiEjuCANx8UgVQrBI04
E/+LMElOB2hHKJ6Ro/BiqdlpPOQ8hkrG/nlMMn5LfY3zezXuOi1a0hX7G9SiZhPnFrhta7FV9vT5
lDBbBWjaYnas9wvJNrwJEV1ww/hU/DrnlvOQIdJraYGme10VldiJ5cYUnpsjm8iuhNK4tvPUThrF
ChN7eybouYWQBJs6g1HurwMFNdHLtLH4Fo7iCCQ6a/QS8gNreYHH13GnTkJEmErLBcxjeOk2r2aj
BIyBABJ5ZuRSjda+gn6Mn2FhBiF1hTuTZciC+fZaK83O983DuHa60chU/eJYTAaMqRLTRIYiF3QJ
aWWmM4nc/5a8n7ULcp9GY+yADfflrvoKwO5bezsnQn7Z4Lfi7I4g7iAu6IJ75oWditHQ42PM4M4D
UHiU+z45xC2sSHrik/jP/Nz2pcuaOBip5og8C9XM8Wp8QsG/wk1To3PWdVp6+IUUXWcMhfRRmLu3
BGTFrAvrdX4TwCN2X7cwMKZ+s8qzBKV/Czg+Bd5pKaG1Q1r2/4lpX3R2dOUJpFITQJyo47G0MrR4
T5AUpHUz6aCNrQ6yf94eyU20j3CFvipMjBZxZnUVXPfNSDZCPwJN7Y6GpD02JFMwy4uy0BPtaqK9
0XClmUFNT3js5mHbxUeEq3fdF5Puy0UWU/NgGOdpXOUaw+40irWpb2BWv+yHxPAC8Begrjio13z2
v+F/hhFIzI84NTHHvwkej51NAcuyCjbkHJD3qNAi8kURDXKvYoyWiy+rngvdLp2mIeUqzrpb+aRk
VZwxWvF4spUI+D0DxPpWdnYzhB5pb4qE5RVTVUpvXRa3+3ttb4hg20ddci2OVUADhnMG6zsty42v
KTBL7Pc5H2DssskpRaDepqO1k2J465jwT8URXQObxhaHBCyobERTDCGVMWh3W1T4OTjM74kSqThz
h+xcsUOUD88O/vnKLSi7u+/3b8KM7/4p+y852icLhP8CIGwo2vsUKz7WrxP9+6yn01/se4bpR/IT
5V0ghRmsEcLMTeejNcFajRa8uDcBQYjVkulKOwt7t6tWggqeDenQhvmdJpuveUxEzo+3pJ2UFV1+
T1t3yizSBy64NZCDkQBw0752W4CjoGla5P5T5+GbgShExe3EBOAecTWsufmxavwKZ+P+n0eEHo9w
5viJ7JvtGqINFtVlhquHj/oK6AR0gCBs5eIlcaAGtwKIO1GGpu9dj7PNb5ulp1vqOhZgJYy+cpqv
2oNU5wwmsSF1re918m+HF9hoawHeo0sbuHg/qdIAwCy/oGLAw+rTWerBMj6dC1IU4uLM2rYWwi+a
ggHeqPLAqwVX3jaUwqya2Do8wbOQpFnZ+yc0E9eb3035gR76ry8KnoxBI/axLR2F2gL8t40pM5PF
6NKXHgRqN2Ox00dzuXBqlbuguOGraRHkZ6al92T4j9zsUYhLz2pWrqKe1JJC0Ja3VridC7dGiDlp
JUuzk/e2n6G7jLi1Nl1xmV5Jg5E9n/FfdhjU7YAou+L1AC1zFseiaRMQXCND7qTXjSzY1+G2wtHQ
ADnTcfqAP10WBbqjvJLhAP8+LnFn2nWh0aYb7y8BMld0JHV8zOgbkO6X+vLhK3Yp7Pht5GP6+Z/x
eZGBmnAl0IGBpQKcwhL7WS8iG7XhmCdVSOE0YCv2ebm8AQ5eKrtwdcyt06Sl/4BmeY2HSthRRrPH
gQweJsV6HAY2j5cSjQUO9bewj34xsmdcbZdGuuKqg1deItMPV7HxnalOtpiwqTzCaPTnMlvva719
kLSoh46Qyym/grRvBwIxKZvApK8dTqptx2EwogtF4AezcU5ie6BKW7EiVkRI/LhiGdz3O5DVLRHc
HZHrqAVAUjWZKG76q+l2aq+CWOCuSA95CfeI2b0abeUUOo8aVeUtYWfWvN0jq9PpK0NEyh7U8K5J
OkiTLLV2/DKEUJdewMnEtV4MguNhW/tcGI3OqQNa2gLq7vgMwBqqJ9AEHXQEGAF2wSHHhMFCG8JI
8LRVLKx9VCgsrXVSfWl+CUA9CfNLJFCNVQLxQRWD0NPU24w5tbiBx3OIcysyC2VVbg8ySrnKWo+J
QS4mTSrQYl+4TFegi5JF3eZORlWjmZ1rK+5bibtFTnE4vf7BuVfB0n7GVc1kcf/3Q2vu00Ske00t
nvygs7dieQFxlvs33AUGafD6y47TP4Sez1Y768uzeiCGmaTbl22HfY383FLA8yf8RWMPJW9r8E91
rhk+SkEg1VyrdjdNmir7/3IjaaPu8L2iRpgilkme2nXB3WwcntF4xdnhJ1aFt2SigCAQRyqbZmYw
W6MvGGdZnxCuIyN35ELfhmFzEH4z9anqg8O3WhKZbbjA9ksWC9dBDFwEnTaaN2UpjL26l8X+ivGF
uOqiSPKih0n5KTmtafp7Hf57KI3SnA5euRnWlCj86Bu3rve40z7oZ+v+l+phLFLDVSByRehFjTcN
I/0md/3nuDiwe3bVWpoG+ByOKjgCgKVlIkLp5Ca0mLE2PEh2AoiJSwHfiITFtwJRB+MLDwrPwojk
EXZBMs1iUUzzbsU2ZqKETlbRlnVhz090VxaDg0Y0yx6uMPZkYjODlQAjCvLDJAlf9jEjyzeMLLm3
bprKTr5nI/cKUmz6WKOszo/wrrdxVYAcCf5XlMlxCgPsC9Os6PiuZdRWLnLz4dAC3hDgK9gMg5zB
OEPisrRhBwlT2vPoD8uKZylodSVlI6tEz3pMg7mjmZ48Z6sY8SYd1414NGkhHjYh9SP2ZBKIo6X6
J1PnMIWPIvnvSAfXDaEXnPxDz6kOMzlYTLljdyqYa/Owjo5m00wK0Wnm3bv9Jp+RJ75RELc0fFHJ
ChAeq88fcibh7rglJXJCkvUMPX0Erduy2fbHPj0pjoQYVr8R970CfrD44g3ld4EDNtiesq7pLCUj
ji+34b6+oqbIiKYpC/Xt7I8BpuwyhaFooaISaJ0P9/5HsDrVPnPQSSra3GYE+jy/enJPj6kdDUEi
g2BxDVz0TnGmczR7+HjC26cxg8hzBjlPNFyB3uomFk3cPp/vD+gsfJQ6bePNcCDEbn1AtbuDfJq8
BFo1OOzK3zM7FgQkN84cv5p8eDF4YEdfnasxnrxVwxivAYxOJd3xLiGWgoey7DVvifMn9kzLLneo
tHzrSjYiKAzP2JwHg6rTRqgBq9zfKcC9iMcFDQY07dnQzEopArEP7G/oBGMYUxwJBb1zhuCfz7+h
4HKXTq6uMlTvyDtD0FXNaDr5JKaLEx6OnfOGJJVbgfx8fmyzDXrF7DOfTJz1Me7k1EWKaOcsf8WG
P+aT2tFhX+v6T2Qvljr+KwG/nUz1kDM6XFcKvIcl81A3375GK/rmaUrK6tWGf1NStR7GuT07TCgE
yjBGfcR+uPHWsnHVD4Nc2I+g905K8lcD3qHOQaFPlysP7VtpYHcIDERkYy0KZFm4GuUhVjI1Nd0Q
aRH9+0slRzeBVxGRkd4IyuziL2MmwtcAF/I7SSbJVvRqgZmpjNY69xHct5IzXBpi4ZsOCvB7iNBa
lmhc7uUUP3rtvmQN6QmlkXjBF5KU3fF/nwGyBhaJbu0v8YgRFb01OHJhGVICo31IWFvfy0hi+Lb/
74Ls3npJWWmztgRrURG1KIf1B8suRgLtlsDXq02Y9dq6gVeA9rvcS+jupf2QBGeXmwf1tjmpP8zS
vsbcfB6Fm1wflwJd1z7DnpFPTe7LJwzu/ZYnUrmiFhvCNZmhuZZUZSgq85R9RwQrhplUxs6CVTcx
A5unegIQHQfx6pHm/OwUnCvm+fcTnnrp2gk2s9NL3iNa2CkjVMyISM1SFt72zJAOVjxIwC3D5KUu
29zwdOxuHeoEqDdwXKnD0iaNOCEh6LNdL0k+jeOvupJRW6N3nB3HMpCRnQYeXW3dbY/d7N8cSmZP
yUpT4tGn8FNuNJsBTT59chcicyXLEclwz4ginbcNQdTPsCNMP3Vf69kQsGPbsunHgb4KzKH6NmJN
dLgsG4NYwFWJmJXEEPmjE+tvgv6TnVQb/a5ACVKLFWM60biKvgbKBapy+sBbjzu/T8rb0nUxsK9Q
wKraQsTj6Br0m1i7MX3YqDIWZv9xcXEMXoFNkguUHt5NU5m6GgzRPA6V58fd/Oyu26tbbJgG3c5e
gsrZR8nBROtUKciCyUXF8UuRULlJeh/T5umwCHGXvPs/2FH6ObV7tDQqUXo6lf/NSbWkrQKoTZFf
VXiTroldjQo4OVTeBxtVgjO0Uy+tEmFCpgAc2NZTNfX/jY6Kxl29+e3Q++zsOMAY1onorahfdCiK
j/jt17FeDkzd8ZBY1Ch0mO1E3LTN09TYsUBYr52vM6SsAiR98x52B3cLVS6hgpM+QdMR7lZhIAKd
8i4rJnCQ08s+kafjJRU6wqvCClMiHTGyktvxjhFBdgzENaPteOxSQHGGgyT5zi49qy4fZYRugrgs
gGlifEEB7aZieyEHNvh+MmdxcobN8h2DQKwZ/DRW/AFpfVfuoUxOp/0IRsn9LcmjSp6K62WZSI/o
2XYdclRLnRvmn9vVbSKmEPEx5tl3nqrPxz2/BPNlkiQbQIhVZgW8yIz/bxHCgGdSTVeQnC5rDC/P
orDegVMfEODCbuahtRHueT9HKVYkI/8u7dyoZUpwZo5vj2uYNMkBcc43wKdd2qIrqL7vPh6PkPe7
Sz1ynRXHTfq4wJgCNNPerBOr3/XEwTuThUvwuJ8Wo80sFpBkM/QBOya3eHbyLC3RlClk00/jWe1H
JyO+w8UVSnekl2wvVOCMnGFmW7sre8AbZ8l5Zqs6egR5fiWvat9Kls+4VfMLujZ1T9kXlTmJ9f50
JM43+z3pMRbay59CrQ/yKx3pBDIPytk+lA9XikvzN+Y80BWhEHoiXw7lzd7L+Pg1GU3d94/PkktN
3EYJkT8EVXcFqEtvgg8AMerelvaLNQCh0zcPsS20GTeM4tAk3Ot36lGy2q1Huajp9LVt7/Txyf+A
WeP3PpHL7NXwY2C4seoUY6FsGWMUcTdX4M4bvyT4E6Cx/FLm6KCWgvvFlmS2WCH2zDkTPbqRtXPx
daFZIzu51zQkkQaJBOsgbPKmyGPtadD/KNXAC2IQHCqcKheSI70yD/WmBNV114ahEDDS7N9Syscd
KsgBkR+O/d/l2RglD4v97wO/yq329UY3FOihc00P+SGskM9FvbiWghGmSfVZBearOjgSWty8yX1x
wwlyywqFd2zcIf7lZsPHu+qFhHbWs/uN7KjCkkqptNvu0UZkNpOB3MS6VFjdZVZSWomFsypYUPoJ
kRCiL/cBKrbm8wvl0ITXhnn9iSmcShIB4ck1jPcNiDnHKjeK0v4yZG75WbYlP+7rpK74EhAeYxng
JI1PLw6YSfvxxyEWxvwIqkXT6IhRjbaswLS5IilXh1AGRC0hFVh/4YdwZdepOkbAYR135zSU2snN
BuLT17Cg8nVG/j+RmpoE5sJVVYofvCypDY/Ql+w2bEVj3Y2jwz4QUScpmmEwQNogHq32Hnk0E3kX
2nlF5bGzvk+fnZEWPR1pEEH/GBFFo5ECLpJtrkLheZSWLNnVqxJYoSf9Hp739VtAbv1bHpp1uSab
TFCdpa8ssPXFpruWaxerj4L1vpK0OPjp2A3c+gxEaIaWM+eBbqZjnhFKqw8arBDVYxYCXI6bSpF8
MlF+a8APIzOMj9BqIdwJxrQKwH8SeVKzt9Y1riioVhbZLg5cLyOH5cQ3Vlcx7pqiIH9eJUZGGdhZ
r1HhBBPtnw62bxHvJCoZ1ouhjjb8I9KY4CMQVnpOUXBWT4wTbrrN/M3ZnB9yFEXc7QCP8aKWLwxo
p7aRPUVkjypscuqGOD07VkpoDt9QNP5+IJW/iQipuqoo6zZisu+fQ/POTZJCLa3XkK5BdrjZoXLL
sUC9R8CyVd4U64Ea8ZB3vrZOvF0M2KkG4RZOjbpZJ7X51BLpIr8zxIW4E2SXfCpHCj4MNoZ27VzH
fuylB78GbHBCEYFduXDGV4MYH7pfUX2Vpf73XBsubEBiUAAlRf5NBYKNuKcMIKPN7AXl2jbgOOZT
ENxmfMgyKGtsn2QP0IUEfQMPbFUixXjchzlvIwiIt9PCy8JMpSJGGRel2hLWQi2VumCKxVj1YT4q
HJn/2kx24+l68j5WGduq139r7eMK0mgBzXPJYo6uLx+tQnJsiVt/XEQipiSQKUtdZyPQZIDmC056
ykbzjFcEBggE4mzvb87e10JLJr1x8qN7BdDkkcA0BpsN9Q80m7QZa4WTHDtRR33/ypYzTN+6mp30
fwNVUgJ+MCPFxnP2nupHWlFtEfTNMi1agCO2cYvhkqiUbSZy5nms1CVAfcqzi58ouvBiV5wINmXY
VPYi0kC9Nl9xaC/f93mafuUGBKN7FCnnneXugkpNYMYV6mKV3WZj+LojkPEW95rrVvUbhrHyaquM
dol4JGbmj8pohRuHbJL4sKUXrFs2KIz1aRd5QB4sade6sbHdZYoLPxQFoXL0sgscURlYHb4Sz4X4
4aFBm6tjwcJMuz8r4ydfr/7Rs7zTzwD5D+9hyAP8JChvZ47kHJDOTcGMNeZnbqBj4R9aqa9B57Va
f9qCvaBTIfxH0VrRO2CtUabwDjFdIVkqGUsZZqWzXMB4kGSMeJ13EcfBouwTPz6X+QMk2+cUC/AR
vKAH1e+f9zpDOVHYmgJ2t4xsaUiZ/ke/AvpHnNsph46xPupu3XadU08fNwkgT9lPNocHrduVt05n
iCkDFN9yEsNX2m/0TgvQDPsswL7H4N9V7Hi1UM2aGOz3SG4qqqhNpYzH7xWi0opwhiojQBqWFyFF
EpXZUtP1G/n3kgIp5V1mLEJwH/6Tq9hHfn/pvjFU8YWkQlnNeELeErxNgJ40QVN11ysFwYatEb8n
iuvaPFuh2qVOogplm4AXkOmP4u5hhU7CTFTVDlApp381JjrQ4lxDmXIsU1D1u1wijdZpX2um/8YD
3OEnuRU20mRZbtembaZ989msWR+/6+E2Fh6NAMCKApcPMDykFwMu8XoENNImJHv+Jt8ks9oXq0cn
ukeGIjWSxyol8jsDF6uczT/a2wWghfO+1S2CwQRV9Pjd6g6Kpra2UdjRj91DQfT6i2DpJiBd4oR9
0BgFJWWDBiRH22MyP8fDti/J6gqlMv16dqnvuTZRWHFKViW8gc0dNA6WDoovAdjICztp6Nbfj3LJ
ETXwLXfQu9B/BOB97U95swaK6DjCiphpef1b2LmL9T+8nndaSLbQAPa2NybexCw/SlaMsPy3ok5u
khzeotxSwhA6tIL/aP6Yzljq/YmtNFqcnaC01toxXtH+jlZpz0+nXGoFQUpMaF/4beIMsgIEPWoJ
GIKB3a0dS4MONc/RrMSwoTR/dWMo4Hjh1r9SFsg+8TM+v3JAwTFrFGzA0//1dj+Jc54MaDSh2vTu
HcGvIYp/5Ou8fJC1UP4i+WO0Q4/ylW3JdgLfBlIqEf25CU0NqzL6W/2RY4pyofQcvXnzaaU8Zl0W
8rMvFtUkwWq9ixv24BT1C6lEFHFOe1SyHd3h/Q9QUicQBaSPBsBvKgqkkqX1ym8/EDiEoywIooOf
DrbQbYsRxyW/1tC8vPeUGChnIuuiXIYaeJLjj76xI0dduBaO5ZtOFJdWFAt3VWTCcuzSo4t3yRhS
msrYtrh6flbFjUegyTUn58qAkvWa/ZmkcuXoK1lj7M8PPImJF5iAjImvbQ9yn5eVyYGk4bWciRS2
224moYyFHEhUrCeMp//F1lzKbp5kfY9siL0CfQMzaIcdGJwCmqNFmd4lD98gKos0mHSWHfiJTvUt
RvcXe3R6k4HPVugOrCz2aXxJWs5s5vGzw2JLUzV7QCsDisAfYKM5Xsa2A+USjSPXkloZ/Umkwlqc
VTAQjIjsBoooCuvGg5BZBRckJ8ctLPSCF5+OCddaY4Ttjvz5tHRdLLyeNj4d7P/J6LW1T9h+f2Wv
sWOX7cb0k29eV95xSkbpvrmPdolpF0sRTsxssCSTR+LD6O+PaM8K1WDWMhcaUhVkuoaNfiyFr8VV
I3H5qNl7HEWYQQykMSSup6lNhXHT1ku69SQgcvuYHiwoSaQibN1GkS6xFDgixLwoJ87RvlBRfFJO
tv6uT1H+1D5ynNrbA89WvNE6FbOs7544xoCVy+1o6aqISxmM2LTJuIcFpK39K8WBzhObDjuKQiHV
KgbHujSRcG0cXoTp1yWDnhGx3XS+E7FPvjMPvXhAcwojxlyiNRIgG/izuauphDCkBYLTZVVKwFhU
mWb7Ehqh/wlORvzjiSOTdYy9/yIUIaxT0LT+vqPltEvQ8J1tzgtR710M4YhyYg3/oeYHM2nTNNQi
pgC4E9c9qns38uc4l5qs1ABjd3tuez1NIEg2YpnJkHIvvvmUKHqr9b54PbTzMm59BSt04LpiBAE1
Q8PZdd23apeAZRN30YDwIZCLlKYzZkvJhp4OHbzr61tkAhRmhzdhqihGc/HfeMwj2T9tVlliiNI4
7/YXM+0PiLo0nvmKqikXSaiy/wVc1KERyneZMD7umS1sBSl3DDID6xEJeNT3ZnWTbckhE4qOqiPc
wNREfb1BEMl6nZBgLN0XP3moRTeJjNm2eBnIHN6sv7cGmG0YbJHYf4Y7bysLZcJMnZ40Vy1WkWtH
gUwVcmfU3Az4Tia6ZUYrmBzzMZSGu0n7PXZrSpa/tx6yMCXLagrbWdrUBwRKZEmthgkez+pXln2/
NBZtgwqMyUh9zFKlR/la4L3NpedNWiQ4+lR4IZjOGPSzp4dKrRQy8GJiC08fjT85PBHcRf5KQTNg
AmW4pqR+EtZFb89pqD8moEDiwfZIOjbpAriI+BpZ02dQw28S2CkQ5CZdncrrn1EUe9BQOpHCqghA
mHsgAfq/VrVUrASR25H0hDHolSk4vOK/iX35WTa0dvx1JKB2ly/KrCmrsX9xeJHxEOnzEwxmJGq5
3pkrpN9Nl6U7u/XcST/OSv6sRGlDdt6zFbTyj9zHS4rx+SlSvR0OO6zlykFyA4aAvB7qykOeb6MV
WF0rMRAAb+EgzTXBXwHWI7lwHiZaxom1wlLw4YTxU4gS7D+YeiCAUA9vCZKeLBOlGp/gVBYpIvZr
y4YDv3hUhtBI8prKNtEV0QMwyg1D55NCJdA8GroTdgTEWGo+OfRx67bwagfvsh3F1lYRJntMFVCy
U+M/wWjAD1dA1QWBW/N/366Xvc/gkGezMwxYY32Fof61HqncyMrvmZSbW+upjO9jQDgTq1Ceg6q0
7aNVIxqI/44rC0GNl3XEVBX/90V1gQ8GJv3m6Y/2jhE34boyt+6SehNOZdfgrfbjm1fVMLS2aVho
7ZexBaROp+gqIxPBsZ1ZkU9soNTVTZi0ukrMal6/fwEzrBYizdCAgff5l3RRI7zVdbVv6Ebb7rkL
7flHNrJRRLLeOsA9KUuezt9JYlESXY0d9c0gCs/XMXi2KKTvk6k6EZn5gLwCpHNs5oUdwpNCMvMA
/3e/xzp4vxdoAX2fZHXUn9so/A9LeMwrOPm1utPbeFNcuDs3glNpGRUbLc3Mp5ODQ8X/RpnOPRDb
sfcHsWuv8M4+wrDYXNBeT5bIFObz6EWrP0YgOhPBA7VNviQ7aMm5fE5w3euc8hxGjtpceW3q+bh0
fUL1K6txTQ5n+giWH6//FNWBxFZo6+7vVuE4C8gCEJ8z05P5yQhir+Dk0hsipQE9YlrBDKHQi6gu
9lGi9UoZBqKza1oTBdUmHxTPuPjFtgVrBOrMF1tqbOYJB1WyAt6RGHBO5FHxp2gN/jDdemxVaJAD
mitmqFXvE8UkWmOL3gLnum5zzD1Km6OKCFV0OiKq2lg3oWv4JzIcl1KCGs0KOje4zIccGbwbX3sR
Yc2uk/Fb/35xe+WppXEhTZ7WGhnUpj63UuC+mzqP9Nw3hcazAhpDGWJOFEorsaZ6pwnk4h4Umb3z
DiWT3oB17kfzh/UCuQJ3OyZRVyEQ3fY8uD2PRtYlfdyVaLB25zHEPgqPNiApIhR6XdZowqG8DJs8
BMOBCJCWI7VfdmH/s6KA1bHWJKbLa7KIHc4kTXw13ktp8b1vXh0DkNuAadTlsDkP2phsZEu1oeAm
db+WWGOLpsWwp1DDiF9zuQ+b2umyw8w10bJ9p4tO5n8qlRxvblOma+sik34Mlhx2D+56TXlXcs9o
a75WrsXFKCUOKvoUZYOlr3dRTWyMSfODUc5Q98T2CdGFmN7369slSJGAyxnYLiU+grQ5YtPpNxF8
TT/HFlvBokbjeoz1AQcXrnzjXwR/3jdR217AFCW3aJzglx1nJ26HPsHx3kRoyHBNd9A+cOlmq/gn
XYECO7hj2m6ylaObB3eRnAI2ZCoRaXY7hK2U7e/qQeL4xu0fvH3Eu7qXzEqpZUEwO187VwWkrFNv
PqtpyY13Y42u7TGBmWPu1cjcvg5RAhqLg3QPosVvVKkFQ+qWMWGl4P+rEzX0FQwBaVu5IoEP/R3V
EUP/t9lKYgKGAN2hdD3/7FaUi97gczyz7E/10RM+qgWlQsMDr52oUrXnH8qJPUut4WBENRBdG9vD
MZeIKR+Cnzb4/N0PbgyQc0Y2IdurwuGTRI8RlgxQwi46mMMlRyjxAO1Rp9e0uJEEMGwNsnHptHtr
Sx5uL97UMD+YPHQWGUJrc9mOffQLJyyaDLxwP/BDH3dnqtiuCI5PFwsNA4LykhkjtTeW2YjCYK1Z
Q3XdcwN4OI23wNeK/EBmYvKmeBiY4zrlUM9X5iiGPhomQJ/Mjd20SmW0e0ekY8alR2oMgVmWJxJb
s3cWIn02FlxuQx5qq31OfXBtXC3/rSokO81cEzKJD2He+UvbITcjomH6fQy53Jen6/p/KxVRbSOs
MsPushWBPQM7Yo2bRpntFt+3bF9MO5DE47vhYDWY7JL9+re5nthX1pfSqtIILUVhpQiVnc7LSFm4
ThK/y7wUhzKpN83IWNiUMWkWs7zjVkpoXMML7k/zC28lOqooY18KN62Yr9mszWumnvVcNO9sxoTN
SyRHyiPqRlMCBFEqLQU/4vS9ZqT5JfYO0tXs0FqGLzIX8RdpnUH9/RFVAur0yyNaas94t6D8HK4q
Pj1UitwGyGbbOIE9dicbacLUC1XKNFvRAYsRidl55kSEUhjxT/dUBcQpEUzK2vHz61FAenbOCYI4
CwHc1Sa1JQeXtIBIr/Hi4eNdu1hNAhgqDcEsr/KIofFDizSQSKv4bDojIfw7RqWsgNY1E8kJl7F/
NJg0x9kU7yZcS56uNc0xIS2AHtvY4+sYOs3WYDD6E5I+2Ca8bgOyBMy1orojZ10m6RPu1ZlVZtqB
5YS7AXj49IVBdPfW81bQvVwC77vQDJasMVGxmm6o7IV1uAJPuNeWbiHxjXqckyLWbGdPg9Ynaibr
srs6FipkincFWSrWO8unZRpuj72TofTy+6iTdYrNV7JQiupi/ufPZgJLmd75pVG1NsKrqYgvw2VC
fq8NbxFM4SvGqKEWVNpwzSPr8L9TdTrHOJpMzGgLaTGb1lRwh13mcc6Z2ud9XlnHCDK50LH8nYEi
i3sC78qCLheqAaoqad8h0MAC5Vfn/p9/ywLdX5v+grJSrEuc0Z89hQpgpp9/GdXkBtUbcAqeWjIh
BmhqT3f3iCJZA4Q+u9pf3+D8hg0OP2NmMfAAqWE1htN6maDRyaMMwQ5qUCj9EOzANm5/eiHBpqSb
aYXuamyASZCMXIfYoMXRxpawMNAeHSo6sc1UGwFq5R7fTzVGGATDvcvyEZiV5f3g9PILE7iLEItK
iON3ObABtfYNO/wh2NfXpwpeh1mmg+97cwEKEOzGV9Wrbw7Mb7DHu7zy/bR2o9VO4xVcqmJbFY7b
71XprEWG5Iq+rwX9LqSOxs99Pxy+SvqXGobLu0vB556Ox2mOhKBrpKBR3mw2IR0A3Wu1uOB/O2Vh
uZOASSkxCctBFXW2NLAz9FworHWBkr8r9Ezg3keOuMtEn/5w9crIxqEeGbA7RRtdJk3VikIly2Ot
l1DDLqYOAX2zpWwB7y+W/ErBrgKg5pk9R58kKukbEOPSCEOBtktKGRl5cUmKnwL3lQS9CDRd7fu6
jaQZeK6lgwCAyOTJxysGsq35YZ7E1ZX5E+OmZijXxR7WkHWRELXKFd8TS0lZ0tByCf8mNy4Ymp2p
Fl7Z1hTzJQaOzEJbjtEC4jXh6lrNQZ9Nx0yvTtA7KUegC+J+OU/aQB0HeLxh6NNR7z9pGcL5QJv7
k1oQOIJrrolL7nQJ7pTDszS0ASVXvlI/EehkG5lkhN+LbhoVyw9jCsgg3GSFLEqIwoEr031RPsj9
VNN1mMCt1BxSdz4li2QsBGGICTqnR/n7q17XmBMxeB/IBsXLxFXhujCz0DxGeW3sUimYowW1Tpr5
n/9fMPit1JV860JhjZwHMGmN7iGqGMRnrBSrWQ+ybiqp3trP0++9AQwNGMf/k0oI5QZ33zEmoLLj
JKpBJo4PdJy/M4RQ4HXAInNnAZSuCL0896urN8yv+lXnBsp/Zrqjhst/Y6UtQbifKWjMwkw5hsD2
S6EDHYBzUuDN+CHzXk5YX/lBJPQfwJfBB23doKi3slGCA3z+olbgTvReHDSS/l1uRYuIRrIEWQ6C
nq2BKqnIQ/C3w5iqH4I4TBz/9tzOgDMtIRFkHvEemOGNtPjkUI3y1YoQLFRkspUeV0lf8GgK7tV9
7wI7jzP8MJJQuHN7r+V0+TKT/5KPwCYqa5x/h5gkffFu00xFutIIalcviVfZy7dNkpkxPHj19aXa
u5Z0TgCizHiFV7tYaUzfd381UxCnQDL8W1iEwz0A/pqV8ftUTSUSajht7v8mFqx2TEF0fEu6wQct
G9gwdeYdhrfU0liqNa/EtcSRm8vdUhXuuUm7l4ztCwp/5HhsMmTPScDxCN1SxL9u5LizML4BDNdW
rjZMvfuZlmlCjc1o3vWlhSTkKXVFumPGRILi+5l95j/nFNNg/EP88mELlnrdrDZIqE5r6sLXX0Vl
C5GM3rJOQYeI1wuP3NAqBSCGKRDQfi7NXmYUJe1jFVFKAV/iQ8HW1nzigUcy8Sp8AeR0Z0+fjjLQ
U57ZI/AItC7OeFkDS7WzfFvt2PISkZrN17FtgnQOjFWrHXpicTROIskC5xh4Gjd9dOcS2E0O4G1Z
BkRq5oxUX4w7r2yKXZ08GOe9aN0fCq9vBthWbevqH2ldWPc7RNmsXm9dvctFVarpFNeYQs26yo/2
nM9KFvTqY6IZHpDVHBq9BH4pbPyjZ2NYiHIhtnlo0q+ZZ8RI9BRgnkaXgmN/lmEFYqfet0+AR235
Hg7CxWyz372cfIcnnxW5zeaQRirS96XeRG0nTSfQ9pXYFHfQ/MQCVoB4wbYwPLM+KrJ4HmOc44U7
jxXHhoHdzjw33y+wsDEsUeQ9iFuKHEz1G24somYtbLk/ELuCOOB0rxgJ6nSpgi0Hta7GFMp6cNaG
2t2xkT1nBJSJwCoaxbmDl6ma1mgJ5hnGyi8k+19KjQBWGJTWNGVyXhQeGGGOS6E3NfBIUbo3ty8c
eZ8Ea44OJmmqCDW6aTVyieUKXjjtMdDXF+EMR41LI9ETjmQPik1dPkVdLx+q3pxjEqHHRySZfrJu
Q7/Grp6GPstShwxAxhgOm8CQj7xexuvmfEBQYltBaHz1eMmZZFOvWj3XW2i2hVn9cKY/U8vJ8p08
jl9BOxoApPtRXKiH0y5Yf4qihNcE91Ql5a+nygI7XgdrGm8uoNEIGOmy/gJiv+MKMVkqu7Yz0UG/
YaKM2gn2qdjZCuzwSz6NSIsYrIMrCjwrky8lqbdgWtaogJttE4e0jUuZ6j9AfGAkBwHG/wTnO9Yf
bzUpWNovcmwVcTbsAcKj568d2nR/im9jSd3+0TTSdY3pXvNwc7Gp1/fpRneBT7aY5ARRn8S4nEk2
X2yYxC1a7y3+S12lqCKHxWZ7DWFXbHW6bnSN+Ff4TNVmObn63EMlCYnUXQQX3NB4debXfNs1BvIU
QzIT/uLn6u2lWrmP8+J5lssdGbl28q2g32TyghNJAvNiDl+m/E5KrYFzaLZ3QPz/EVxRM+L1Jmv5
s3uE/L1a6++b6UprmPhagHVuh7m+6XBegAgur/K+CPm6Cb20mm8qptDQDgN8gqbJj8lDgOhZLXcJ
3dEY51kcO7VTCXTQKoBeKRoDX+aGG2KiSXwYL/tOjq3XhMK1wsKSkETpf8hON1ifeaM5k7aqfrKp
l2I4mIjkf2Y8EJLVlKqIZgm+xoeSAt53yFqSNgXDNlk2uSvhCQKp8QnjkozzDQMpyQlI2vw223XV
cN7dRc//muI20x6yAR7eEgJ4uZRzzE4zIfsx3jWyXn71iD/Lkdzq87caezJO1BbSE2Oib/Cp6LEn
O4AKdtAB4RyVH7BeZvsu4l9jipP0pUSHMovLNl3/XZN18MfFzeNsCkHtXSkzPtSBay03R1P2iQ97
6JM964xVOhhCN5Y4txsV50ja+qSHJmw3A8CwrAGm6jvdcr4SNmCfESyJ9yDKX1knfXW7UiOt89B7
O5uXUK2S4pAsZoxC8XIE/evmsEutdL46IsRQi5urNI59iP+WdINlFYrMKMeGZoYcjMV8ptFPoBT5
99R82PkxnQouMIxl+K9INkWSsUQM3mQg5VBJiQHnR7B5+Aa5EiwhkBrgFDXJ7m5SDpT2HL9+qUU+
CJ6jzuzseVl9ZKTRe1a7H1jD3SRuReQRuh8mATrSyXMrcEvJw9qAsyMe7DOtw5u+VQwnv41PGIvL
Mv+Uygj7GTr5oDpq59w4rl7UkCrcPgVPdfBMAxw0LjC68WJ1iV5SuyqUm9BYuD3K6Z65OvZTEQGQ
AHDD352lk+m1qjg8c0Vf9AFFdH+elIXmUFIRUGrnUy4l6Uj0tvcurIRXDOZJkgh6IfF/B5SFS9ZC
z0orC+n6PK+wyDQmszIWSiHFA2YqpMHtzaAXqyNNKE1UQLYbU4cFukzA2luG82l8leHvaRkAjeSW
snip08J1skdYl+YSwN+OlIlADICNt3ZaXamjxD1BHlRz6vhSQsdL6DM0gxDaOXz6E5R5jk1FD23v
h1L+ltUpgsssgrr6qJa/A46JE7snn/FYi628n3Lt6CxE7JOllSGomzzaerMdYzIwbjwTrq913oRg
mi/AUYoTJLHDN+Zgb80MycE+q+IEi/s+gqbAnyQJRhMMij3XQepyyYA+0tugPpB8n6bw7SyHLJ+Y
YhKTkULdADvQzZhz4SsqQgx6eewCZZdfjuid0nOXVZJ1+338LVbtLxFhpT1rSG/ISki6LxBcDav1
EygygRt46t/e8Uhn6a2Is+lwuCeXo/k73ldnSzAlWv6B1IWc/LH98u9oySTVtp1aSm1M4ji5c//F
xYNhqBvrXaapygt2rhFlnLppiWcmo7urxbe65VIJDX13eQ6buBizJeA2maXA3grU1HJZKU5a0SbM
ulvwiFXx6B769/vHxBlWCTnCuf3W818jkZksnYwBxbuZ2mAvXhcAIGVgG38g6ZLaYnOGEH53MZsE
G+k4VVlnTtajeIcyMa9EktrQzbevLQ1jQ6wojzf6MQeyjKbsPShQRTe9na5gdSnTBc8UI4N2Upwc
hvPv5+loabOGrXNb8kyn3l1Ni345cdd21ned7tp1DxhyXIKFvrjlXcDW344c+Thrhtr58dqFqti3
zjfW67lP814v0MAfx1MtNC7+QrRNEgcoQBaJUGqfut8Fct95bMzKTuDb6E5mvvuphqOfJswYpO+z
66EbbTPXqvqAtrC2jfwnRvDiyJCFOJ25+tRbcjte/oHwgsbIk3kfivwvGYE53+BCaenx8Sp1A4aS
QJxLhlPHn2m1zOxY9K83LC4zTMRGXSQ7iy8ndWzIRkjj0unWPgfXEeXPrhOUJFwLrU6iifqEx+vV
iEbze/UHueaKSdBYkYbaJNSBFhy8AtFTU1rAV8I0oP9iCgBWqz/E7WrIVqHO/UuLtbzqa5+y5bt2
R/gfmq50mQANaEdxllnPRnaCW9H4O2t7+jxDxDO6fLSc93UQCsuri6NOZY2DQMw6uQYZlreF48UJ
gx/pY1nU04VShdV9Mr4z2W5zqQPGg+1ymt8VWn4BOR/rx/O8rQhmQ9DjEY3iBKdkmbeCEWLunD+J
+3QhIPoZDGS5YWC1YsKnNhCsj3DfKC0ciYO9tmtqTq9gfB47lQt+Zj8L7Qgd2dwgkNKZ5SIhRFRF
AD8woCp0OvB9IfsBtQCHI38qL5A73Q3OavORg7f8xb2B+PELNBlYYkxT0e2vY3b3qFQFdNWl/A+c
KHt02pQJ/0sNoJxsrK9Gwmg3/5rqXGhLsgYnflP+7kww62Y0sN9Pdr1zJfMXgM+YtpqLuKV+O0u4
Z6NyokLR5Mx+hYnKZ8TuMtsF6U+3tvF3+U+Pfc1+hAxlLCEBVO1q3IZEM8GjD2qGHIG0mMLmjDdS
y1/znq3HWiAP3XOw+06FpClgdNespYvFzuuYiRd1IqTrBkodC+9568reLmLlc1uORavz8sCC62/b
/FglVgk+A0r7MAd21LmtJau5WylVhG/XleFcayd1boRWWKnHpVy/Vv3l47T5rtWlVpg1BqQCPWUk
q3FohSBB4ZDMw7Y6r+YF1DdtwkgUsjKRiASfaT64Gu47nbw7Q7rpPRaQ+VprHO1XMIjWtKfwQB25
HKy2Z3o+VrXZ0kaGeiAUnzwf0gkMosEh0aKl7LUsGwz5/wJ4gyCg+imXeKzGn+KATpwGq5guUcLT
5RZLnr/UbJNraE/c2Vn373cXQFhFwlZlIITmpCpKZGri/2sgv/xevnPOT5731y1ottiateFDC+5d
1/es6RVdIxg7ATg9zre+UKJbOG0E1uoDRfYQ/5bG5VkOXQKzmLyiyaLiyWnT43d+vx8jSGT389gD
tBhnwR+EevfrvM/+sjiCmDiVt4I5ydWW7pxSKVTfuxyk+s4yJNIt5sbwqTJIpwzaUa7b6OM23iYn
PLD7N9QW/ICzm4WCVcuwOadbcv+mXOBhzJIyvwFOHyn1aIImENZGHlxDgjljpTjJcPBmp7nfz1u6
vqkSPIkzKHOAkYhcpDPYGTYRZ/p50wlWapBFYF8u1aYIOvx0IR0hYE2Knfc1b6jhOm4pQlSG9/Y9
T2WSYkO8LxE70GbQIkaV/V6F4TpbzyK1crG+kSRsS6ibJkOzv95a+uc3ICQL62fo76+VPUrTWBPC
kAgNfDNlFF1nWzyXMwRvRkyN1zT2T5Z/udn4znJAph5YBOZcaIQArP4dL1x7+ua60+iUX6bkvL6n
HfiG3rKAz5RevNAVHv2YCvk3EWFxWpuFwAUFTnoq77+qUFvcKXthIFBkD5BQ/IpabenZGQILePpR
Gr7vDgboArqRrBQS+ZJRlpuOMjL7NSU6K2blwIziwnQp/tlPao1WiVftkTOoAqeGbwIBz520E2+w
r8SwbX20dOJGUuxSkVywwv/FrlJjzVIO2JOLOjjitwDZDdXBnoBj2Xl1n8EpK7Bf9GLQUI38LvYP
1HyYKpX+PXp+ll/wcV9asT+cVsOnKnpHNFLWHF3BlUvPomKMbHwr/dzdGF3xr4bIj5XqKW/2susB
92hqmcS4vKjYVPCIseWAlTc+zLFvOqitr2EYHR9Qvj8DoKQtBX22+XT56NoTHuPMPeidbzEXrcOv
JyHcsfWFgEbrnUUoPslK2/VOM9LVziM5B+LmMrEUlqje8NrU5vQquBJEwppnBNG4GunN0G3XQRrj
EOpQeW7tFx/2B1SIQIuRPCDSyhiP6rkUK8ZmCVhdZBVdBjIxtN7n7jF0g5NI/TnwXpWlWXzgQrdR
FOiC/vcbLsdy1zxdwrpP4H6U9CGAv8tQM60YX9En08SPPBLsb1jV2Jkv2mGJ0GOvqXQ+aL4io8PS
8i4tRwW5PN573AK/J1RPve365aihm1/2nEL3z942vUyjpfwZW/lC5+EHA7ZnfOfHEBf61CNDmazM
TcN2iACJ1lbZuQOElFkvDaLhqAxw/e6shWBrHQdBVAepIJob2TEqz2KKhGtmt158fpMruuBGJSqc
3zyg6zN4YYZP4SJu0nfI3lc5ZOexvAUZmZo1xTmW2DuXg1Hvz4INvhQw3JfZ4HVJvCPun8WDW2Bz
aoyhNaGzBEdYAvgmuTTPTxfeHmNXl3QPC+Rg7cHMtbkPEbBIS5/gVUDE+yZKgXh5RxERYp+RgpFQ
zIFJHzxJVfBBmwmFjnovzYxR3fToI/ZXIh+wSMB1LXhz0TYP5TvYJfWIwSh0EDTGR/PvEyfXLP4B
E9eA6i0MUW9Tkw4CUE3rOboA5pP0wQUmtf33bYC9FTg38892fIqReToqCnTVw9ufUBYFAldk0ruh
8HThJbc6nJkIxNn87A8j2MAw04QxyEaPAG7MAUNhUYkVlhfjx9/DeV1xqTBxZv5eD7lKbOl9cOXD
UQTL4u9oBlPJxle5r0V6sM9DLzYY4iKQUdGcrlaVBbW461TTI/aKDaU8j7KxD+oW77DE/b1S/9+G
QqCeK3A+gHDYMKqJXBOdejf6Vw8NutrqgRg2jzPYGQhOfYuj/Yj1sdJ3It35rFBNdHxvZ/m6J4eI
a6DOK5PxS0K47WmwDTi4xT9cxH0EjYxLO8CXpCQUmJPRHA+90zGOk7mwhVWbABH7u4i6XggyW8vE
22mLpGsPaEpI5CR9/5YJ4ItFiE6h9L5FXYg5xXTxHPKvzKHhBQ9ggyhDUYCR5MvyajWDH0hg72MF
Wfe52FPWTWHN83PICE/JTRXFJAmklJ18WC+4H5OrxLRNabKzeeOlR9+xHiKF+Pt2Tdo+8KgfQzoN
Dm1XBbpZhqxykLIIjK117CqRd7uVj9Z7l1Xel0FchnYFGN1R2cXOYLQNf2TuQbt5OlmMRqBoJ5hG
I0iFtGM+YkqHic9eYeGeT55+Q0zImlnLCJVpUjxQpBDUG9Lrsy7T7KwA/JkgmUgOX9xgH5g7oRwo
xegeoTs0IDe/eRGyUKb7vI/GhrYlsgjCr4+IZxoMG33hSaQsZJf+h9x83hXHYfyltbSrdj2i8OHA
s8kb6xEOHs76mwG9YnESTmh7jDLaoQZV0njJaGwQkHjWJ7VO7vsN7ImQ4HjYz4JkMI7ODjDSN3rZ
nl9aR6rIrwvqZR7Kzh0nONKbnav+9umikkYZMfTx7CMmYpjZSm/l13jQCkJEqYzFLuHZhIYzpMn7
2Eys7LNu9AAcXcztQVdbd63X7ASYceyEl2bp/HFxUSPxWhrr83/4U8Es0GNVSTYssrIvBIpwNYNQ
WPHOf1wBL2adLEwwjNEy3Cpgh66SFKusKIE+Xr6BDwBBHrtHHJZlaIhZdNiBysDwq4TjHgOikWQI
4eYXgsIJrWsO3DuQbt3S8N9y6y2s2P7AJkYHrxfm0vusM3uZGmYzsrd7OAOhaP6DJxa1aKllMPmt
m1Kr+2L0wVvIK+A1GYnIDe4X46X7VNH3xcK8uI7KwtdfpYl0672NaDMvhGBdu7NsvANYiT4CwcHK
FOuC4RFDTJ8L4D+NhZgUcH8C36PCSSYHBCqGYG2hZlHWdnw8oe3el5FUqItts95VZAALtPMge//i
zvOnAWLz9zJmIaFL4xY0gOKYVV6v9GW6Yem8B7WX+J9VxhnfS810M61HD81fbeixl15Ecgm+hQzh
rqK8OhzgVZOkNBK6P2da7QVrTI3Cdeex7RCO4Eee5hMgiN+nChnwYy/rVCTyIY9Znf0bMO5uBgmN
X0Pm8mFUq8RZfsrLj4bqmdhiqiDmNaVXabs74VsbTcOTj5sxk78FgVoSHuD/so/VKEmh18QANpWv
tr9KgBGoLvopQ+XSL9v7z5iWr7dYxIW2vvrOkDGXw1pq5MlBqzTtLxjgxDQE1rJn5nqYn6aqM3cm
aqic+t47zyK6BXjiYqL9NVn2O3O2/rRNlA45kQIcYr3Y/x4mryz9bPdMWc8+FvaeIjPJ4YfJXUFa
Vu6jPd+rASI4vn6qMVLocUFT+FRd8E1Epwvmzfi7Z5aEEKxmU8QK6ZY+wCuwoeTbOOc0SrOhsQff
zV5eo5DIinAd12+Vf0glLA29qFVJ6Gb5zqxoOJnJIecXDHYRxuYGkuco1cAGCcP1BX8jvl+nIugc
ao2uCDS3CZ2R2w3giO3sYRVrte73Q+5T7SGHZkaX4lfiQrMXa4gkHxx2R3XI8odJ2oz+g8nzGS3J
WkWQ7qApwE19nkO+NfPJZO3CtZdD2vr2fBNITh9CMe8YpUnAbE29NIZ3KhDxnvKIC3JnzJKzWys2
HrNaG9hjtGI7SRdZo0o7gwvbVYEOJRsMYwj+gcBJ8AnTtHvTpdtPV52CrI9qnzrpbqivALajXX5E
SC7RxS9l6F6TotoN2YohCAoe0jE1cwb67YvY6ofQNJKazcnt4n3HFMG38DAa0BdKchUCxIGSBlUx
Fwh1KRWtzA7LcSgYYleLnqfHhHZJWskVOoGGa3vS6N+nBocwwjnL3KWX8D8DmbDyHe68okYeUSID
6x2EUam1Iq7s6XR9Jzmw6DPmxJk8P2KCtBVTP1fGOqzNmeCJCoxvw1eeacBJbiPGjhcRFbE6gtlE
/kz/licH7116kUAkIrsOivCU2h3kQvcWbaMZxPy17U/mp45M/GVILt3U+XK4C/JG8SjWUHJSqS1Y
D7eRbGkS84PvnzQ/FkXkFBBugs0Z6FXrJyt4Bs0a2Sis7q0eTBQ97mQgHFp/VUjWcPo+kJ+XxVb3
rqWjUezSFuMdq/dNGC5hI4NYDtB6d37r4UIGaaLHXOtL+XgfreyMkAL64jnP0JuD8clAIi6XY7Xk
dnyZ5vBHQ9/9kUYsD+NfuuyGm4H+LGHubjrT1OkIOr/erTtarTbbOj4/ToGMy23lnFhr8EnF1Jau
AIhWYrd6m3dCi6hRNWJGiTBkRD0HiMweKTv6gmGYU1tkldDroMSp3InagZy96ZWCvQ5wHQPnv1rI
FacmW5uedMJlZdalZY8rfc8SpAaGXaeYTwyz5AssPxVjxw6cr7vJ1UHXM0L+YJv0koBrWg993n/q
/Wgx6nU+MTeJmU9wycqt6y2l28xAH32+ibHUWcLT6hXEoCUJLMpMuO5ohOQT+Pi+fo3lwVF4xNfi
am2rCRM5boz5DG8e09zXFsm1sQMj+9YKjomI0sMfexz6Vbe895yMADAPiTWkjBySgbN/B+TMWH3z
p1TD4iX2XQg4WtcOr3Af/rufYUeWndSDHu8vfqTYErvMcunwK4kDzT9331c28OpbmkkCHihv9BYX
S55nEDstlnKOdgBJa9qQn9Mmuetps5E17Jwy/CNITnhMq6h29WAsskAVYu0NpCBiMhHOCYE6Smud
/TajxSRXZTu+J0eEss337HYhcJ1GuYPpxUbeauVdmlaj/T1QI7gxHZtuwx9kvdwCTJIrnu6bMxAP
s4BMA8rtkB2P9q6rRK19oJIk0PA2HgnKcCyM7rthX7keeDvyfYDToEi2tBw1m7PQtwOJ5h1mp+i+
DLvwb4AKvJXowYKNhfuuOOySevotJA4al4caiFzw6ETu+y/tjwP1STjeZ10YS0P5WvwGmQRYJVJY
b+9Rp2Er+dTPmJDBFxZYC0N7b7fBMMxBXIaoaOXvwo14RpXcHClAq/JFR+CZEfyek+JwvCYByhgt
R8M6Fpp2cc77geS9aDEKK8x3GohMtGOvLUiTUoqCUOnHGOKn8qyGrTkxa9ox3FR7fc03tVOjCPg+
CSBuYhnhtFN3NQyChtEbVm8qtYhcRA1bnrBKmLfZBVNKfcARQO3Dymw1VXwpHI6MVyoUSeBTpjaS
enpGNWykiuJGpjicPsYeOZyfDldhdriw760tpwiCVscN7ZSOmYJ4gGKWUEe3vg8G901xGHMy12p3
wM7FibvwKrY3y8Cf1XxExrW6532OAcWi+1bTqEwYhW2VfIkad7ngoGksDrkEuCde1KuDZOY64qPR
Qpvv/xcMEE5vO907Atzn+MhQHsBCOxQyDAfxD9eHAhBr6ya79TkZ/9We1WeBnHcGrwLebL58dWLX
ctL0ioKVL+irJ3vpMNSUjsZ20myEJwGnheq0EkVI7DlyDvtcL8qPATK4gGA2RGuoua3heXNRi6JL
J6iJcmaap0Mg0mCXJzBXE49oO/IcCU1Xu2ZV/E8YaqHRqZ0CRZlbKtIh9vu5sUwU8/B/Fs7v4NPr
0YVyVHwPSV2Rwih2wQt0a7NHMtF6cLt3ImzdNj8fXKJ3oL9r3OCs9bMbcoyoyRFq8ZpJpzmnI1ca
iTecV1cukwn4xqCVS4mqp6E8+CayBcIXiNzXJ3L5RN38duu4ZCx5/SLJmxI7muLvxhTpUQ9rM1lU
fFLpkxfwDb9eXd7OQ8dq6TpS45R820Vs9HXxbkAHEMlnpxmXJ5QLQnK4Benpu3JKVCaMPGiIj3cD
Sfrcnp0qjz/LqwBz5MsmKHi2WLPscDt/9wLxj7CEnuZ4ohPCOcFXyw/7yyUowdZSszgF4LzvbtW5
VK+JPA7yjoWip6no55g8bpmOEZuJJqrvHBdBdoFzc4RvrnZCk7fWmfI1xJkMul2659pvtpJT5Y6T
FKt6H9Z3KCK/7+RExqPIoPtSoYs7Wp0UqE8dcIqFXLJMGSDMeMt9P8r852DIqfA6OXkqUhT2m003
s9by1abDMVns3Rt0fJ2Sz9GHvS9YK0+Y5OA/6Ljk5TehBLtj75UCu4aRfYSfE7e34s6ilmF+P56r
3J7RheviCC0xHy5C9NCqSYT29BrzODeDFG8DH+/zdcwIj0W4/2NJRaXxJKJELmKMwVjVgeYmpZyS
YAKUpwtq5xtnilahwh+Dhp56tzlWFladFTiIvEcDz8/GKL09BKuklkZN1Kx3bC9GX8EJNuPnigzA
csphVqNR63INDYpXwNm5gF89tn2BKHifzU6mwSZbVk4PxEzMZ5jsAIiy34DAgGLI8kRhpt7sq4qt
x1oA4H9Pwmq/16QtbkQQBlna0dbX5+GIVZ1adyv5nJW/23awJlY7ZZrsP8LMzpc6jAg79M7FZyiQ
72LTE6VSTWzCoGS+PlZJbU1siCUhcOgSsEzopDPRS9JEan7ZYAuwGstn9Fs2xTDKHr/TVy/sNzVL
hKO/XDbjvJlPhaLb/tQSIEu+GWp7q/DpEkhrRlaSDXOmdOX6F5CAJLoRhf58Ps3cmiY9/021AEsX
RXakfaayGmL+VJrlCrU2UuQSEQ+3EAs+AmYVvySjFNjs7u0jYDL3rS9VLoM6D8PFLT5g3hFW/yzB
ZvyiOc2exMm3MLJ1BikpmmnWW/b/5QQuofMoZQZ1+/sSwyyZ3pbpAT7kkHO66LVhOOJxOqbJ3n5K
UqAgAJR6Y/QyTs8LDP78Z04MT5AK54dWUgIYAxKD7phbwLfgmF2GClznaX1rXNwwFlqLaUT3FNvq
0CjjiT2KB77rAmLmPUMgso1wwVz3IANNt92GnXWrxbxXfCnVl3UpFLtwhWhpPfx/FA37uGeryXwR
g41Ejje4FgrjbP1YJELWJ0WJXSc3b7/l6s+H0Ypj0ItqicVrs/zbT2sxR7HUTDLaaohqS+47iS+o
FZhbaHgKPGfO0lRSX4EaBWpi4OkCTd42kdFroMyvTIzqW4H4IyhO6pt3dYQciZaB+KzedETaYrB0
xjY6lKbx6KQuDzTpeavZ5qn80EMrNB+UaA2dAvsZNQwLnA0gZX4NdddOLA6fHsfMj29Yc5qOxL+b
tjdZrxuRXjt0QmniWvUBN8LbQrtZV19LKvBMRWI/dUuFLPCvkpfOP/29hEQ83vemOzvhE716qTy6
ArzmdWJiVszHcjFG+hugS3b2JiNurnQnmBQJcFAOqM0kTCvYaXkoTdHTMwd723/G/+AF025fAnN7
lEQleeMdJpWaD9TrKmp4YqPMPxhWukFRKjUrFhS6/YixkTj8RRrDff5KKTakOMUGDYM8JXcecufh
AkpMI62gouznRErjnSRIrsTMBZpHORuIDr8L72Dc+JVfy6U33RqEgimD/GkV6UvAzasL9TPo3e23
YAIVyolOp7jaYWEOsdXPU5VDbXMD4KcvQNVihXx9YMK4uhwJISF4p7/GvBtyfcH1tvUpdbM4SZIE
SR4vgUlw4lJJB80I6PgOeen/rWQiMxEeJTRE8ZFCi0F5nG6/FfdsjMLmxevhzOPTQsK54GTSf6hJ
P8nHK01WrQj91Boui1KJ9uLH3WM1TEtb4fgslvd+OQ9upGfujNA1y7Ns/+9q9pmLVeuLzhlZpzY1
tvwWNvpl8JFrWtx9y0lVkJrDvQOoXhPUUyEtlJd5APs+7od1IEJg+cgKXVnT0RrnbD1F/vvtDP4b
qt0Rxz42DUzF0BTUN7+wFSWVeV8u2QEe6lmw3Y+ctL3mzwHj0DQulTyJ1B3JPD7DH7Z0upyGgtsg
eFQ5gFzWb8gb9EbHU/bPqvUJyb7zhEVNtJZquTH1OeHv+rvfe+6CK5rr9LcLKf3V0ukZjEoAU4wy
qKbRsEu8pSkXEKicV4jmDRR6UAUuCItohYqKMgXd4jfv54fFf3m/VbUoKt99dBDe/O5jGt8S/YXU
Tr53WtW2NOxUUe7sdkedrLvdTi5oS5Px5RmPxMkFq25u8Gq3TmzUHJzVHJo3JVRXhSscz1u/Jc7k
0c9AvRgOA8C6oIGWLCBpEXq4OcDDZgGebHPH6QvKvyCKSlY0Wd4ewNbz5J5M2HnC+abQb20x1mDG
mPhpLbjf0tt/PAvzkqPbGKT9BOPna9H3ia/nMCle0Zd28io1QfNL7ANhqLE5VgdUl+p/dVxcmTqZ
iG85PxMJaVqi39yCm1ELg4a7VUg941ZtToxykCD0S3JVDDAPUwS3clBW/OSon3bqtW4woQnGRpbf
AOOskGUyVjQyqN9KgyIkfhTRuIrBvy2qgZUAT5olBHeFi4BA2Yc5j3Tg/j3JEbtGgwmcDfPcFH/y
oalraVFoziucohb+MDQ7wG9we5IYI8q8NN3Y8ng6OIK4iIqyzdt/Gu/HOQqtbD8pSZgWQ8wSDl8T
MBHvznm2wlLZHr3P9+6Nsl+Zp/Gt4G/CnBH9HmAeDygS9PJJC63uC2eEXNvfbPpzGcvXjjncArVV
BToEJ3kxmwbgiZm+TO+pqDp1mkySpH1CLv4bNVbsX8fsQ061OCL6zGaOw3j5BvJxXskOXDZHGMWH
9FX8kHaSI93kt/rRg7cBNyAW+Oxvo3m1zTJoabxc8gtdVZKrXr9+FSl+Jx0U7lMiNWamf33cGyV8
WLZowcogvfbYeGy31wqtyHhxM6RWzyWyKIhpID96fu0k3RHfSfGhvm8Ett4Ua4rl9sFh1UVKUknt
4HjVT9h/hHU1CIJEnwwMQjydtg8OGAiR16wxic2cAc3oYXiFIP/zNqmspz3OdMpy5GLukjQLN4g/
z0yu8e5IPzxH9wEKxDDSrRfVLYFXdtDjahXuHqND21OJAj5G2iaE53wtfBnHnxdx4QEk/9AwrpIs
KmCLxwJ5USoB87DB5ppWM0cUHIAy9Dwf3Ix7DKKr2Pm+baaF9Yl76BUqzkaQ+Lnw/vwIe7LEC2gh
NCwLy74gTUgb4o/eZTWzl6r0QRaJyhfwWReIWoLmnS7vL9bgywcTfiN3p8Fvu5n5JAnZBYbJYXLl
cTzdb95/CDz4fUOua0W28dKe21rASPIw8cnIlLRRELB+gOTsaMv5uJRhVfIsczAwEVqKiCXyvvP4
vLZoKJROQEwsQzKOaYw+eG4Hq0NXS8+G+D+djeSNdkCuO+zfeQcg99k8FLD/WIlrZQZICQES6IPi
5pm4wVv/9s+0yq5JJDv0AkcpBvOkCyHa9qva45M9k0zGhtUWwMf8Fwz5c1w9A/NP7FKcovtVEDvS
geEh2w9TUps+woVlUltoUPBynXP2VqDNOkeowoRfSiblF91N13Qu0rU+stIgd+ELWjRu1mSEJxGN
4VBUa1m+v+eIvpp5WFApR7ci/9Ptq1/n4vAuxZAEV+NZ3PJCljAXOZJb5nCi932fCF5s2/Loe+Fb
dQBrmOfLcZRXWCH68Dp8lmKJ97sE2+9aWNr0JMUcDSs9F3dvWbPfLkZ78tr/KjutfiSzy7uDgZCL
Jz+tRzjEGs/8VyvhPHT5NOTk2jjoOW53+0VvLw5Tv4cZGcV5pzNNIVHu8dp4XW9rvxiJ/DzHCfZK
14Tujvm+TmJxbtT7lnm/dSpmgTj1i+Hct2MUvCacfLg+rQNmq7p9Ipus2H/ivprfv5mziYBpm7tl
vVG7fLYwR/5JSJGQ5kRgjlaOXWNuuDQe87bRDXiy/6lFSoYFlnby8KDGjDezImbpbbUsNxzFWaFl
BPV4Lx6adQfpza3PVlchtfMVLOI55MPmc7/9MiEVhr1753WExuhc9dxMj5d5JB+4D+2+xoDYp97o
7veCdESo3GNIM+JdEAgKlNWgSQbhmqujPauk2j/OOXFcLcfAalwh1hPDPZy2v3H9CcOU+GuqFhqA
8BWQEVHqWWVQlxZZlOuHe3HrYDAtLrXkvNHEw0h8PoKe6nC9M8E8dphz/rSCFORR41u0kx7SsPmZ
+00vYR/xiPpqiE546LFFZzUfgjcYQVAaHhXT1e0kq4MeO07wxWvCDJAfBB/IFfzNPvTAaNODZwlR
rjEyNEwEi7AP1E3ktSndwZGY/V8Iv0G5d46D2tHX4YPHF0geDB2OvMsgobfenJIP09YQQmAH/UBJ
toQULLGGhAUF0sjnWOBBh5P/dDFA9Qw+A4EXQK2Gli83HTrR1OEfSJfulL4uS8GaALx5PF0c8FXW
K6bIQrg+fGzRmeODqmbni//RmlLxnl+u4FxVfzBwL1HpHvepMTbyx0RTeHPpmO2sEEoY3g2d4biH
Vodq18nDGBLv0QxbKscmUeDeT2VFg5BHrq+zlJ//54VMrPuKpJmZE74RnGqlHtHczaa5/lKeT2ei
JGqtDbBmXL68ZJlys+jsdFj5Ew/HYZ+ubuTyToetE3zcF5a8daYB3HuwH53lRU5hunirTK6TqHc0
Ou/VeQvL7w7Y674dwiZGq8MVDGfD/lOqvBfSVHPLEZ5vosNLese5BvAHPKyMCVS9bnvN06CxMAva
HrhFyMS8Ebsfj40I7lZgQILWO74WpLOA1nHaoIeqEwt9yKqWqY3SA0dyeUI0gj1zr5HDx9hX39OS
NrrzBKK7Pf+DaoHfYOo611W9hYmViL/INMuoocvovOZBFE/r83VSsPyKk3i/Hlgs4sMcH9B7jxr6
Vg9WRpiDHM6LzaFGORDON899h/cQyhLeD6mZDi/sjiCu87YWG9S8bTAg8LBYhmKzjOhDRbNZwNke
IrP97apN2IJV8H89tn6L9jCRDv7RHORRTA0G8jrN6pKAWK1wAo3lv8Qg/Q5LPhTfr96VZEDSYzsR
ifG0X8Ec5Bccstsj/fTpzo2PuwUXJy4JxPHj6Boyy0YRHmm/WfgppklEq7VgsnVEK48BLmTWnffu
RPJfjVp8lfsp0iGD6/xDovji9MQ7HoBG6+U2LzMqyCdZfuYr6j0WHBkXL3SrPBgOvNHw44SlJY+2
XWweejWuGWNvxGKLorTqs0S1Jb8Curi+QMwQRiQUhSJX3excy4fZM55jAVj5lSwwi1wwQ2dsFK37
e7jvyEhopC1YccyoSJekZiBaw2OPLMeLBl1oM6lEJ8R9RS3DzfZv1bl1ptmTKvIHj8VjhWIFiOu1
pJGJXn9bjo6mnZTZUxjQhUta2IqnCgTXn5MOJegC+d/TW7DkbEpNzrsjQRMaiWgMqVOOg7+td448
YFhvx6AgCup0EN8ia72WVl99SEEJ6JVzivtcKjuAYNgi9ZKCkai5vXvoFZ4D2p5EMSOEaoPm3+3D
s5ZAM/GLCuSMI+53bh2MySBFM4kGQJx7QJ9eVGoxksp/It1l7b0EaaYS9JkyTmji3fIv9BsyQr/Y
ws0FkbM2i+HnWr+GG/yP4e7umSlGIKAkkXGvufOETRIWX/SQV8NutaQLNWUei2+86Ce2la6tAu4O
RKYk5J2zPCQ83AuVXae6r2MwZQypgafEcoFQJ56vTbY8DjlHO+OdGy5X4s8362BpPkxcK9pC4A2X
mJsbrhXN4OBfHwYjuP2kVGqCa4eD6JplTWViA0cQTElMnePQt4oeSCRYhf1Sn5+QIQfbtsiuen+0
j6Kh9oEhi7ptqZtrwko3PHgetXKwh60xxAkExLhhNSAoTh3VVayiXTcLUqEo8lss2nr9xdQRtfJK
Xu/2yVeGvgD3i9dVx2j/yRSDIykJ5ub59gDO5YjBpBUThG2UAjosBQdglIg6DklEkmeFWqklEtny
pzHZaobUJM4X4Kq1Qs+1z4s97Hn7NFOn+G13C4/2d/TCPHGZqd045wPbdiZJ4e/7aBT8Upv+7+U0
NiEKHQT59XlCKUYrecU9KnU7YavoOCsKoGVY3Nm1Ns2QJL68UuzDGVlWc6r6ma0fj7JmFx+KSb1s
D0HJQQqTMOcnrB213MVEEclDM8278MDP1ez2sz2RoPRzGQ+7BMFeCTf0hoxQLDT9KweXPd1UGpzp
2Xdj8j8tMhVPOjWaft5IGSTygS7iGKAiqGZIwSo4NlUJqR0jJIFY64z1KpclybW9zcNIV3556Czj
k+4pg8cd5eoyCAPTDRbcQBWzF9ixjIoS0CeG5ZhuYVDVNQLPY62t96/kq6907dk3XEO00ihcedIP
eMnJX7hoK21T+amoKGcO0hJID2vV/STM7AuH72nHKMY2VKWHIipMDk7Jvgqks512RVR483jKkwXD
mw8mY/b2teHqnu0jPoj2FP72Iuj61qrPfBfvwrV3GN4QdVQB81r64nAncNKpbpC+FEwh3ahAwIOa
5yeO/WmbpROn8/LPb0Bhd1IgU8ENaXUgEKau7TAr5ZTVx0cPHZDBCHiZ8Pt99abRmCjQq+MiPvx/
ug4ci1ZCXHxKbScQ4Wyo7si7G0Fbcih/JlnzgYWCP8u6ZSVpZgJ9cl91Bo5HWjJPRBNiF7HeaEMr
UNz0sFPMyZtv0i4JMJCGEzbNEKLeTTP0aaye89js/305EgtnpuhR/XTCy4YqvxOP/u/zI3EHvIOo
RIBDXzStEQb9fppDIVWBrtosZUeVzfcarxw4Wzlel8aMlf1C6ZUBTh6unzf+uiBIy6AJSPWpkiOH
Azx4JFa2+6LPMdjoVZp6MWcY4BuAaDZko84DdkAVVsEQyTwqghrlH4TxVmZZumfYhBRcBo7kayE6
C0Ylyh8rH+beqe2YT9gSLynxLpwyKZychMezRnEaE3St2WM4RTzdEXtWBtCnu7m2BYUv4w2ZtSr5
A2H5so9zUaGMxpMu03Bk644Pv8xgGi+VSPAveRjbGgzEhBK/4zKOD/dHKqlckGxxQSagkZ5TQT6x
HjATZ66LJZEEm00DDWnT66N8b4xYGYWSBExtHSOUXUrn5eaGlB3XyPBqrQ8P2+BeusajeLoMD3Pw
ZXVdZXpEpsWkQB1QDAdb7TNlIM4I18ocekMZUpr8LR3jTrwQQfAuEPqCh59fuba4dhV7iVDXDYZm
PfFviGdY9KYDwH5ckM2sp5k7/PwDstXljwkozCzpQPZEAGjl58+yhTt3qRzKhZQqairQXkf2e19T
7K2sIbwMbMLotxSXXHm1uQj9HuXE76jLqdIZZhF8KT6PR6CTRuiPurrQlbwRKLYKupm9XKi3vZWq
PAIndjvhKm1vQkd7WhxT/HbtMxHgaxnaVd0EIzEL5Gevyed2/Nj7BlClfCzHLuuescBholhIYzu4
rHsu3MbxdcBY6hAVnPp1OEhBZkACiAQma/0ARwaBjQlukB90YNMBtEHGEHYBffhruYCtGcKJtr2F
7h9Pr/90H9dJ9jv5cGDB+S2grFhwJM4CVyoFW/Jb8+xnopQgvuNfM6zdnxKnt//A8CNfy6ZwKt8r
FVXVKU4GkJo/Xjy8847ag1i/02UshGeTBr6st/BOd76JwOrIAEtkCjIq6LQNadTQjhRP+jfvIFRj
/0NyI1fxnnQmssEk1JMfbMBrUekmTjwJqO0dO3ONz5ScybnkuryduOQZtBJ24hXOC4GAUDHaJmce
YtcUy3FbM2onKY1Pu9eAk5KQwkRqKQAkEUzT1/FQs8CeBQGz5tyng4eMGalOvqwoePC8QPdwwiiJ
WSDiP/RqLptJVawBpIbmQ1YUkBE0HDTAOYoRWD4kehS65rese0SOBwD1QTTHpohDftOal0hZRPIp
6qZI0KtoyYuAYWhwd66avvu5IfjR9nkLhkRf+2ImYuy4oN42EjmY95fwUamuG9sjcf5zpg55SlBh
g0O7PtMDTC4WsmehFjHTSqEbOPWDHgY0TXyBkuJLHa27ywa3+tS1oldStqkV3Cti54PhoNKeuGKY
vVYiIcQsLX2yHcPsN4axeh0eYELMWcejvZ9qYkEfiA7SXIFg+cbu3XDsBc5aePp9fKtFCxBZbRee
jnqxnO84Dt/Rc4lIB1URsAV6SGqZbTI5japQIiVYRDNPT9uCeRXpcbVwZeRKb82AUgzFONQEN86A
UwDeoToNW2cTpRq0nUBevl5DO8DzFIVWm9gi4dawRHOZgUyzul1IWJDVjE0QYBkqrvIWP8QgRd6I
nM/I0I5gF3cCrzt/1vVV8WUTuph3bkcUKCbapcBv3M7I/gSjdbdo3MqfDcc6HsC9PUBJ3AEORBr+
zk8UG7Nhdf8hwJ1MClsJNviFUFJ1s/POwcqFQVeJaEYgcVdpxbuIK6o8KFLUOTa+SOiNAwNobsKl
qSa1slF1C/fvsXbk+dvgTu5svPKc1dTkfZDoiZPqQLw/e08BdrBkktVTCxXGgrcVv+MVrpy5vRgb
PW4yD6Lp/n06XO8LQvqRUvi/MClnZkFMcME2I2OtERRplaGyFm15eK81B4ti6dO2lKvJ0EfaFpWS
/h9py4LuVYevw7vXctj1+8gx0PcFWwJ1i31JRut5ED0vIxLOjYyFGrgyYCJIqKxhtcQegZPu27Gd
MnHNmlKGbkR2SkvtJ95ugevffKTdpdE7MCz2oz46jKUNsNlhieJIdGGxQvgfwKipUVqH/gUUaIxr
7yz7r2Q00ebtkbHSYmtxYAGOg9jOQD7MvV2MC1CySpCDMgAPdf4J3jaKqhU9nSLSs51BsQWpsY/F
BEK0GLzuYWwEEJZKLcLYPYdzw4u5VpB0K19gwLPFJeS6vGW1X9w3rJdy8uzKTlhHrPimC83U8aDf
74+FymBGc+P8Bp9mnmunt8rFIKJAloaZ8twJndwByRaVypTdnRiw1UmJgOyIYKqvfrpuUycsKSd3
1RM4YKaLDZsPQ6/lklN5t2GqfBpOCv7ChGEHPV03jsFkYSfDkIGrVFLbCkyjdZJpFjrh2iREUiPC
ft/6WTCzVT76Dd0ZD4s6nhT0u/NpTPBRrJWo9dcEDxanIsUpvoE8vqYTDR2CukRhH62ZwXyN2FnR
tSGFmX2yMg3q+F3Zu9cN0R0alO/keif5Ve/UXAOExK6PNVHQXvB6GfufRDQyHwe4M4doOjgVe7JY
ntvQi6p2MEfNFWlWrR36kFdie6M3w9aoCk7zpTxnBkkAWGKPH3wrRZn262FXRXzeiKmTJ8heBbe+
DnNusD3/yl6X+5D/gtASiKvRap+o9CJf2M0ZnrD2PCbJoPgFMxnFlXr/QDjYHzjoY3orbPaaGDrk
ag0P2ISeFsLMOudDYiQxYxx1LdDRCE24DSiGr3QTLp0ccMW5DBXdj9ykZzggQFRhklD3dn2x6KhA
SloNbov8xtRuQCnA4cNuzPo5Xma/BFiN8L9dSu/z5I4bU/fZXwZ5lWZoyonWKwvpIl7gHG6jBpU0
Jo1pCaniO2cl+GP1Jc2EqOmtCBl4f7GxWCnLXhrVX87UWS24Kq80xbiLiRPIRBjn56nKS/zUyjk+
8SWtKkAe9Cov7hePwvsCbkLrWmoJrLG4Usb9/LFq07lpibpAcT6vqmjwLBg0gn0cS28wmF2mkC+Y
C62bzc9VsunPq1hybNmPL7trom0G9NlXmeBkMygxqrF0nQgtrSHcrMWRps7Iji0/273R5TeYdHw0
M8sxrApyaCWupgkaKVgINkEcB9oesWj/x5TwNYqtvlKYJ0qKetIjGpavf4Pw4IhoT/a9V/bLN3Xl
6OlydOJB/YpIGKSWhK23+WwDSyKMcZ/FKkGWSOhbtw9MqL58L6RsNL4brDpd9+adh9fZhQEUJyxO
KPGZh/6uUILBh6l47yhoWDJL6z6FWAhsSWu0+hyYs/A/EsWyAQqc/nGoBXZZscpLsyV7w+jmFcxB
XQDETPYXbL+/RrfnGMcqo6Cl8vwxKPQmjDSqhnLmeL3dkrO0Pq+6cR/GvPC4K/QhCwy4F0rf3kDO
9iNQCIw+/M/yq7GSLIoURaznfKT/u0YtJOx28/DBj4HpmsAHxUMI101PDsiVbpD0Kxc41MYWblhd
tL8SI+kD1caPyTeC9XY7SgJQzd/Ba7/YiYU0gxApwrhYcnY4ZEq4hIAFRWZchaaM5v2lWfZ/fftI
fBgEYo51gkvOXUvx3AW4qvMOBtFgK1JZIl+pJzCV3F2AOW/goVw/EQKu3FaxkQs2xQS6rMBYJqRF
ULeHVMbJ/rJV82nTKrC1Y5wANvxNqmSuftAKbB2C6EczEc0eNZ8dBkeTVS8EVToeydSuPevsYaxr
y72SqOYanKzwr0E7SivZiDMxLOXJZ8FpCnSJ2zDMY7wYYyx2H6B9IIFbUwz1npcKLdk4J/KkY9hC
/7Rwe48KuAFgHZBb24PdmtL3GXYJVzdDITnJPsJiY/2lUE5Fyda/9rI5Az2Vr8wi5TPnJPKS7dI6
3Tz1pwiz/nNwmp01N9BxHSqrtkyOSFYp5bLiCc4pilJCMOcT+mrNyCCMpTSjk7cUneE5p7zAJ1hO
IjQS+skfxilSsSScAranmPOVLzVf3iWZ0fVFGWUE1PW79+dk0qsTLkyAIsMn/rWD4HLPDUlvWL/l
QgWq0mA0kfaqw44Zro0xpBiyVQbt0mAwdUgi6h78YN1kIiNcNu4u4EwQVYowsZGmDYY17s82zIOz
AP2WWAnPhQp83nSj4LP0x4+0Q3unRqI8YjBEjv2LOSiwVuMFuSie3bucuA2cNLtaMvnxowzv2itj
LDmelcfxKVaHovKrWAoKOSWrvfLAKXnoU8XklI2gItmFv8clVjd+GnxQJDzxyJcamISB6Ua5Cm9b
Cjw39KGnj7nQ7gf+y1b+EB8GZ+t775e33j4n8INDPuay6WJv+HAhD4Pki//N1yTbLe5PAt29W+7d
n9liWMx//Flg9M6j7HpSXpewnDhqe+5bMczlUEGeo3fai/gUa1w3Pc/4mVClSDQgyCpWMmu8PZPY
iTzYjI1t5b54bRASrL2QPtSxhAKLWhrdbPwR4KpSSei40em+IL98X0aTi3Igq1nj4X0lfjvkLPLV
ehvlKfB9F9a/iyrHCOMz8oIavHuQW61wHHIcFJMrEw8lcwEDMmuISF5LzxiFTLa6M/FzfU/fNRKw
g5bLdtUlnQJp07bCpVTAOVnWnwC788zI/fUlcNtCc+V2n614TmZhG8idtb4kGtU7hQ5y8Isw9SAD
UjE0UKHZLAu78HVWF+z+gCCt4XuHqUbiFLBQc9oH58gvW+QcgSiNrQ2g+kLg43wp0XIA482WLDsH
tBsoGc3AVH0AJ4pVPs91SLVY/I0AFEaJHMImewl3Q3V5AVI6nYWhGdGGLM0JWLUkoQ36HfOaNHJA
BWb13TOO2X+Vum2O4UqMR+GWUj1feErJUfbhZwDO1L6kOiwywm7CPyjAzjrRAx4ZobOqnizHmM8e
J5kw+leEDn8FERLn2LaOAC3SjvgsDU4lsWmEPVnJQUmjV9IVENaRu+7Y/D2rR5tXg/QttqjLyfK0
neHBy5CHqUnFAe4hCCn4D50mDDL3uMLhmaUuYQn4IXEui0KWSfi50qzSg5Z1kzYVMdQYE/CWgzGb
uruxAORV9m5xB/jRU0IjZ60L4dQRXLHsRYsbMnltLFyfByYQi2i/kRv/d7RiKDxskD3UDqQ7TpSk
3yc2s4w5xm1NyZZg1OBxU5CTfVxCdaSYbVOy4A15nOh52slhsHOVmUjuXpo8Tt10ZznYDUxldmp9
8+ybF/IdrEcHCpltup3dkNWC/te7d7ihvIaJ43e1dybEPSRyyUb2aT82yW8ymjgS2X1+9wXHIjpS
HIume0NeLk7/wE0fNndioicoKekLdaGM47xinWIpNt7SLDf9WRrue4wmLo8whqRdr8TWcL0GKVl2
jyMjqzUoaHrsY2hGYVsnujEn4xByM1dc/2hdb6ZF2QJAoPjIYT8dhWxvBMv8KrQTvfOlWZb6T4bH
S0dyDRYroGsInoWTXEOXZBn2C1pWyiPQtBcDTufSNmj+A/pgqxUVDrwi2ZlqjTba58YJuJUE9Gfn
rDZj+WdDX+O88Tgk7sbgf2adDlzTTNwwEaPh93elc4AUHf57WDPGYy6rSY+RnziFMwxZ+EOPBY7p
xmta8iu+9EFHuZHCvJsq9CnHqi4eFqzXave0A6ErcLjZ9J+yVNVRC+RmJxKhVaMLWSEzDt7YUCDt
zjXywUeJe47OlO4VMDutt5MvxZT2/kYRLvrvPD0mLj8YI/q5MkYRz/6Qwt1wEo2klarFAPVIg5ly
nNa2D2GHal2alsQfACKNGsE49SeFVypsELNFJ2VbAAchJzDaWZCg6wq9fQKdZmjoOVbjt3/7BZPG
NOeozWh8SUW1SICV87P2f1JtU6HzqjJKAEWZex6ODABEV+KNFxKpGB+uAa4gMPW8/mSE/WWFUnZZ
hijInLP+zep4N1I6jUVJdemFm0ieho7v52gSf6j0Akfi2twyTY0yPRnM1QgxiPzt6QIyzv2kp+JG
tLitpuWvHEL1po1taOisHoQwP2V78hV9gTtXpUFkpzDzfCN9SzUADHkMkaOepvZH0iJJEj0fMC4t
y3ebYPiRIvMOn3n1aI3B+4di2LNl2PAbJeYFPH/aGwTFzuOwoBQ8iHhAtq6srdANJG6Wy8ZXytAg
0UhqudnPt9LB+jKgsMTpJSOJt3slmL8UDKlo2KJVzcex9AIYNuh6e2AOrsFn9IYX0tiy6apyk6W8
1J/Z1oIzDEgEyKj75f4Ejth4cu4rvUHd4IB66QGQ0BawiZXsqTca82GZ61CAEfRkqNny6hpq1e41
p+J6KjbMATjYReZUv12PnnSMZzmkGA5ai2ILtSs8cnsx3Wt5gfY1ikGNM4bnhOu0SjEDPNS/E0w0
Yhtq0+Q1D1NPre7tcHQnrutZMfob3dUeM723oH1qZfFRRBDIJLSIRQrnM4T12JhB9+/hsKQmek+D
UoksgdGTbMEuk9H6XESlrNn64RKa9Kej/iZMfqy6poG+PjHHLQ2zIcBQI8igkHeYalZThKMD3KMN
ZUB9TID5+x2y81TxnyXudDClN77fFE7jLavYsiOtJEvt944pbU2arOleeFa5QnFo7TEKxckzxRGf
xFxOi+D6y2/BzrJ80P5M41m+IiHhfduCalYhtT0DqF75H9JeWl3EiPn4deSeEZsvksI2lOaou/eD
bZfaiE4MRClv9j4T48Isftu5mh6EpxZJIohu4u/7wqiJ1aUNBdwv7caMWmQ98fS/c/aVnJludUx8
gqM3xv8xc4Oxkecy/g61qqOFpIDfNiyqovBF4zHpoTYdvl/ohSMpumpQREgiPc8FMaiqNW+J53GS
opAs2q0DdVAMajoSMEQznImx6dNd8IVjYNl0s6+04gTaSEPyoU4a4XsietAa2H3Zpml5lz43SnB6
L5np6HXvfjJxIVt3TrLGMfPqwygO9CM0+OH9mWKOdJ7m1WtZSMc0Kf98YxpbTPIh7YpeWEd/rPfL
vNdN33sIWqZzCmpWqHmCxztA3AiqaDCHxtJLXcd5yd+VXJYAQp+2j6CSFvx9/ZGQ0CvvG8qvvzIN
OUqJDIkaZbMjbHIG8gNViQQAw341ziJjumuGiLlG5GqnKpQPz5ruI83mr03Fu1OZPE88bhev6Q1i
Oi8GinjbMAY5MCoBbVQlbIsOtKHqJ6XB368J3CjYPxIBxhGvjtagp2tBniJ1v2au3z0jwYk0gF2E
160nZy7wZIk4ZUvKL4f2CF3WE3QdOt7jXjD34z5yhMehq6ZqMc3oigNo0wwQqDKCTeFD0K+EYsEQ
ZvejqkfmgPR7pJgepBZk+1gBbdPS2AKei/mKqbgyXwEqeAPZMTMWb9sDwo2c7yYvSNDaen8lSfyW
iX+mkpdBdNSiJfyZm8B7Mpy4aO8DoO7QFDOZJfgvVdc9HSG5YZpuWR5zvFhKvhFF4HstR9ofPjaV
EDLI6CASmApIeL2JOw4TV+NoAYi+G+rpJXXhuvOMGccai8j84niTSYsM/A+mpHuc6Kc+oJFINW5d
c+d64whn6xY0stXN8sW4GXP1IC5WHMqNxp5YNAhXgUMM/BMpKcVqvYHBg2XpyjLLjHBFvRsOfh/y
LVKivq0BaB5wY7VytZglxxJ+1AHQqw/ozrBUR752yGeV2yFHVRdx2p5FTgGV0qSDvKYe/mi3+NT4
srttDyTnikFGHwtmK3VGJFteAq2w15n08qP58fi+htHkA1yCDuR3bktJp/H3VNcD46fDL+hdGD+8
0+S3VgKjC2TAbQf4zlCGpCNZGQpyIERNy2i2zmxreZS++GbYbWXfZGKGrTBF2u8HtknX8+JnagKp
lsZMo6B/MHAXiJQJSi+H8WCXZYSlW9T1cThgl8Dradyaj3b6UQYp4LO+wgcxYR9RGnyxJtRbiI//
oJ7a5YoavNHxsJ8yqLy+l6xAUL4W3BC2X6rIxDu1pOxHOoqJpPmKC3xiC8b8jzEYWDW0bt8LI7if
GWHrs3GdpDtL5QYcCtQyV6AeaXZ+PEuIdZbyHhDhApYBfwz/cOmVQtrQ9UEIQdq4HKOWSq7qppfL
lVUrIAHv4VTHe91jPB2w566ND9PYulFbfQiKhXkMAqcdAQeK6CZ2MnU2WD9EDy1JvILwkRkIl3yR
YlVr9ISoWr3M88qLcfJHZkwu3qrJzFTHdebHctjRc8BEQVw1npktuSjxZzklSOVFYwRiXwdFu8cQ
iBGs2GmASYFSDfJC5Qvuk/rNCqo+ffnVLrr+zhhXPY6hXwuLs5OJ/KEVRb/EnRhJ2pSYX1WduriU
z5Sxx0jerNvc+ptaNtlcXgxVdOE3SPO4k5i9n+5nO4hmD94n6SRnE1/FI4U+PpkW+t0Cm9jG5Kj6
C+vYvXF1MtJK/z3YbHTxLzpxGMniFHIAwZKBJJSmrIylLHUDpk7UJtjm6+e5IYJYfd3COnVk+CY9
bxBVxuu70PwjoI0mnGpJvaUxzflVH2AmIZcyuaVNV9uTB+3uok2jAvBJee3PmCFnTQ4VxWEIYSLn
0PXDSS9oYGq9+l8netrmSeKfz16gu5Gg7jAdPHtkxeRyAHCXQmOYROG+ai+XWTP92/lxO5LTVahk
Yaw2HIUCb2SvzeMv8tKrlR4p5WqOYkmxfOwDukPP0TeBcJqG8uVKpCoOM3sW/RBplBeuTEkmdj0J
4GVc8XWSAQS1hTQh06nlUEPV/EhdCtTtFqFZuORoljvUeOceHmGRiMpH5A7HA4XkeytYaJT1oskp
iMGFdJ1FZz65ZrQariQhPbqKkm9/f0CGIFct6/I8MtIU7to7yLlHMeKYGAcThYnh//3lbxRRm2fI
KMdpjUItGZxojGv+HdFrt2nBDsD1UndhKCVsDP9GS2fdzt8SAuIABX/zxhkAJStMa+Xl5BzRL8Yg
Xi2tBm0njWGKhqulY5JeW5SLi1T0FnFID7CZOtkITilskulchj2bmDFufEv78IlsOxyI1tYfXEuc
MgTtGTsENHOJbESk9D5RPYiZRnij7pqQv4edGA5v5bTQGoTt3Ab3ccMII0vaaUVSYulGHcKS6q2m
tU0kSAh+zTrO3sZvMxD1ekz1E0PLbbNUz6ueKyCBKF8jgfS83m1D+/vu8r3bLf3TsqFyNv3ydOpf
tbC5ef1Ndw9g35mPphfTf877DmtSkzWhmI5zdH59Jxy2fV3HJb3H7vXDR1ZSof/XmBEDhCjp9ED0
r5UdD+7YduY4hR8e6BAOouz8C4c2xzIqBJAGR/LL3t3/vvgt7ptBQYWtX8v9CkdZmj1GbIsgd8QB
9+vzsUpkiUjosHcQkY9nxOtDBebzvua1XC/CtzGT3mPRNngpNIAmouor5e72yIG9shhrcRBjoibw
/rMKbGvOKjyf9TShgv+ec9Xz7aeFb2vLmaWgnu15Yeuxf+76xSJrbWs+JO9RApHhsIwiNdSmN2dQ
WteCek9JklqQTYFVVxR34rxG8RZ7pLMRunq409xCpSl1JBtdSW7l8TqyTdiHxV4HeQCvH152GneV
e1uuGKkP6+82BV8yQrfzN99kKXgTY2KDkNY87HZQnFh6niM4XPoHoh7QAt6C6CmgqaUr+yMWdNKL
Y6ZMlyt5rLG7MrWODyRQyvX0MpJ7CevOsb8OySXdMh2b4MRqHsptLfgBcwlEkCW822zFiFrSgac+
zutv5MKpY3eUoCo9fYtGjh0SoYmYoVdhBLcGnB3N+dByYh2e3GJVOY/yMqFRu73MnJakUa2cGM2s
14Ddj0Kes9BaGiSYBiMZXb3JMiLQrTElghlx4bHDmo3WiV6n82bMcAcX5UxH8XLTHTqg7sY4JVwH
sDQGB/5up25pFKYnaIuoFJHHl0luqxF8ZyBXwcyYyp/ttg2i8YsbTdBuaqYmTFjgcvUay/a29nqH
qtfiAWvMTVrWBaJb7IIsONqgZuhQT2Iob18Px5m/L8Yj6b8cZfKckbUhv81ipbYZdTVMxgbF7P0G
52LHKj5rph61PGuDKhG8zs1pC8UniQGG5ADJ7qKmFi98rPDFkjCwloH+Ru1VP426UqtfswoKSSQo
tzpZJp+Ps9bgt07gqMqGJPQW1wuB8j7an0OGg+0GS/zY2YdC5qrDsp3CB5ml05JHeBh7HJd5C5vH
t+QOZ4/ZNBEfl8PIAVekUyW2Ud2ZZ8rlVFYYB79b7EGjl0UxwpO04jsi5Lr7UXCYb8lD31xe0APx
FRcAxZ/ldOxTU8XYE5OTvgR7nAxv9p1+WPGVVYB20/AtKOKjd/siCg0iseW9TCJzwId5OGWjhcJ8
GeGEoH1ePfyvcRyomTUcyTEOPjOMa23AkI/Dzzwhf24z32KchZiG1MXZQue+zHSUaqBmLhSNnBQd
nWX/SF6DlBZd4mcaOuLHBx4O2hq5Ry8rtxTHVBAAjoe5N6p+XCGGW9D5vl2qFc/05RnNKdImOgxl
G5RsmfWlB8JrEub9ANRcpJvJU3HhIcAd2Kbo39JB1OYDz69HhrTL4oZ/qYGITejl7gohyS2rrV2E
ywMw5SdapVrkWCGt028jVIcY5W3KDsDAbWEbkq7N1HY8GBZGSQA5t+dqJSOG2pt37VFmCUygmObB
mPCw0aEnhV5dXJVSkjMMnfTkWLRqxVfbTTTSNYWg2baw56OzDk/QbqLTzD1AzwBbmb2sK0Rl6Rru
3iXbt+8B88pC8sWQuQOKcsYMIzYq7UCviBnDjqdmiNnCWi7iVDcYFnvUI/+a9ff6KAJpc/OMZk+n
lIThwiKcgGfCwtoTcOCy7sOl5sInBD9JgbDwUrtOjvhuEC4jEIWHRRhTF8WbcvPDJYKtUioznPhx
5QVbAWj9Xt8biNGV/nw/AV/gUo635RiatLFYHQB7MG5XwKLUIM3mdNneFexn1tziIQ46a0IBVzaR
OujmlRLfPbV1ZOSxYkGfqdYAgq/88TZuM/RUTNuBZGXldLJtl5wWUXpd6TR06pLVPevpAVw5lWMH
Obf7FoI2Qklp9YtF6xYghBN4Zbg6wgYXWpnv1uPfL63I/nZalmeZ3vePNgwH5jmvqL5j6/qdWyp+
JK6y+f4f6buo4pd8jOQ/2rvy6TfYslGXogwO6J7pXJnpRRGrj4B9l1O6m2l9FYLmYMtcE5dneBVJ
uKP5RgYwmbJt/ifjmAJqESfp8xVfHBehNPokEavxjsRLKbYqLKAIZo7h/bS1Jjk8piOBtUT6cMRR
VTuug7qbk0jannYmiP+JQAcKxKOjGwLHmg8ClYFn5cs0r5OvF6seaKqyfWSbh24C53/dxfRnUYma
Xi09DkTgbMfOrXlUb5gGlnhySb65uHK3ihG6VdFasdasAqLhvsuz9lYMg2MvYb19keMeUi+0750Y
684SGFET6JIqG13sK4X/gDVWGxkPULPcgYbRxm/JWKjuJsHkHT8uEtmOeX0ripTr9+2KORIE8DPL
L6QklZvkPZUyvrihfjb31XmNWYsolIyl7h2QeZi6Nl21I8LAOxsoRyztiBYbz462q/dJaP4XnpgN
8I6Ux4taAg3yzrUcYFlUEHucCbFkJNZ4tfJ/UvUPzcH82EkVk5oFAX6PZDMGdRWAmhXf/F71EEAu
MDsOO2nXnuo7zEBIQ1PGZdzv7r0tVkMMKEVoUaXcwXiR0fFAolBOIQAF4bZx5qytFGr7hJZJM8Fb
2yCYlY0gcFxVoOqFqpv+uBD8knaf/ioL5izuj96Sn3pnqcNIwAlVaa1gJVYfW1o5c9fbk5S3vk+y
BLKi7LMRdU4Yu2GmC2ae56qQaB5qNi2MAOrkRA2YpuZyKBxsMC3HV/jDzyXWcu9GSDV9A6bJhJBe
y9f2ZQ87/miqfVPGCywcaX5dmR33Osy4k7FtSXytPypAHz1Gc+LtbuDX+Vo+h2NC0LHe7EM2PLCI
OFTpSSrjgy+mskU/hG9z2sDVrnxG3+fpMq4RQA3DuZaqNTjhN4OZ6wbS4cEdyKc0D1RgxwPM7V/H
O3s9a4VaH4BDB6FVsQzRjv3fATmDJEGwqycBohUON2JFbslnce6WD6fDWvPG6ySR9Cj7PwedgG05
cspvKl2ieKIQUDngtpNHimS2tJZQo+HBnPOsb3Wnr/NpC8Y7ezhFRkf5uYE0I1TE9WT1XK2zbDP3
n82+EU+nNOGcZ8pCH7BbUnFY1WMZBcQrpAgBr7PYAEmkF1Tbl+xzc78h+ktgz06s6758PlrP3Q5d
JlQUEWI6cWvoGQH1lofRPacQIBzUE6umKoRKsJCtx9EStwadDQUdBLQaYI6EJGKTOHsoeP8iGFDf
0o07Kx0w3OlLsknTz0RqGyIUTUQMs63CYHh7uYq9E5z5fPowLZjp6WcGP8BI3MMC8BiRro6Rx98c
kTnd95HM1GQh3RJJkSqG6PLSKzdKykWBvuqPydUKVRFMpg++z1hA4yc4z08riMf/WaAvMsg9oC4m
OaSu0f0Mi8g5WO43yC5ZWDk+EFGfu/7ecjo8N5vMgnPkufW66JsPYM2mpKkxsRTP+KVHekcTUe8l
Q+ELBk6LXCtCjNMktCVgjbIFzZpKkk2/MhEaMARI7hi9gYIondATFiY+/Xl9BkzWgESKCNWKCvg3
j4r9rtG9c6qP0dphCHl6sphhBB7D4ZByw25PW7n+lP9syZ5uP4dwA/1ixcs0+ZgIdIRVsJa/WZtr
z3CMtRnYQTVVOMptI5ndpc/Zak7n4aipqJ1Trb7gzq+Mz9MyIpZ2tzwaDlHft6VKsM4WId+hdYL5
cJTVvdUbOFO1YYQSAqp9A7Qzzd0Z13QbJsT8/+2CWLfhlOTqh+dwoIwHdT5uC5NCpB/3uv5atnLW
bvo0Vv+Y4kmxIKRfbO/abXIZKjSSUqdSsHOoIGjlHQYZaGGw2oN3meUYFireyk7VQ0Rf6D/jfR8L
DeSxXmink+D5TuQNFtaq2KQM/nVQolMR1bzrrw4IIlpQt6RmxZcNZoDbBkxvRHTLPSLp8sq+YTp7
dvHbORdCKbnB+Jgr9uhbKTtpfqymoNFeHnSymG5cqnOd7gV0Cn3A+hwtC2eXIPruOMhT9x+O1c9n
dt7x/e7HKF7n5I+5Rs6JpMAd1qQTRS8LP2IcV7RVxyKrPXFFMs/xCYkYkC3pGs3bYonp5swYuhNH
02WCfl00vpURX/wzvPf6nhrftEzT822MxERlANDfyEkjco+A5XPXjWsJyU41i+ATYZ+wx6TJEOVy
/8ytC6J3flJdZO2Av17EtS2aLL3d+qJ4j5GSjU313ez8v5dgsNp6YtKtY4i7pprxEFLji8ZRI0FY
LkLJv0ZXpBdi2uQ7NfOlvnQmNM81HxDqHiMKdb18bD6LhJopdAVBaoOcHm50KeHmCt577AIeN9Kb
HU7c+Dy4y5sh2lOR2/C7gl8dYsTk8ANs4QRR+g1vcbKJBOqRy7eqf+0uN8dyuS7yPejjTir5HHr7
1dzOTRjmFsaTKLe5BNtkpEuSaCIJ4ytsnusW6kYvrDGkX2oAr+/WqDwHn7/+sJFhzNRo/nL+H8Ov
6Wi6mEVww0356vLubnyzqBpzwyQzo2bC4YJXun9ZqgBUTJp8XcZN8xJ+nYoOg86aPFtWc97ftWLd
01CbtUVKxzS8rPkyZMQPi1Uz28BVHCwv3VRla7cRDtPTTMRRtaB8HLj7uJ9ekixSqrgxACOb+rLU
ckohEsVhTxeAX6r7IdFO4M836YRl0cqy1NA6tZHQy5Lt/LLMuIfKS/CEYQ48TCErHQTd0q+n0WFE
ci2DExfWm37vfIP7pvYjL+A6GY9K6jj3OdjO/i3zlL0sWA+jVgT+UdEaSl2aHU9UbFdrm730NSIO
boDLeeXhkHoJNR26LASqNmfYj8d7+ypuHIMraJOBxMKXVBnSr8BmJcfR1FTe6Nzaz9stJCpHhQsW
2GroSsgAmTm1HEqWxbPBWzYAaPWZOBowa6WapietIsM8KmtzQy7oFKzpmpHOHh0ak3zvjj2sdKOz
xE1SxYOYUnH+LGl6fjtGAE9HKDL3JmDiVk8oe8ihCul5oTjNTpIR+zPw9GsZkh3+vjp7xp3wCG7e
8tRRKzjantJWugvRrfR//nsxEqgmzNESPVumvCFwRHAJ8qb6L10Iv3w9Oa1zjTbvBOPiYGTbmul/
6j5YYev/c1BT/jXVUMImqBoQi+EPZxxSUdIOydBfVvT6JMNbfdM0YCSkwCymrQvKR3y4LAOWX0Eu
INoU1qlobUSXSNppmLf+qK4xOWaA8n1fDaNkdsaUC7Z8p4eH8lD/gqcyplnzqrh3oSNI0aY77Yl/
FT6ndRVaAIZVJkLyfU6nSjeICg+VzlIGEzVmOVBqgLFSY0GQLsU5YqBJ8dn0ecddj0B+2KCrf8oR
0x4JYAz3NJ5Wtj3D+ErOsRxFcjrbqRYUCW7BYGfhipHCUsowSR2FlaPn/mH7wEMMW6p8Syf/gXsb
0tuwaOKJYvx5ICwNRjLnRqavCdvPOA6BgvALsL7vHZYi5qtua8zXWGCXUBRJS8kobq06TcRLfFia
D8EPxby6h3aUH6iFJ6Nn1fKi9cbeWI8/iVOCjjO7lmNDpXw4FXxBJBAALorp8RB8/JNm2KfIk18Y
GJBPysdKFAnAZpr0Xh9HgxyPy44UztaSJWkXl2E7QMYlBhlZUo9qcJkv53z4xxX/5VfSv3bfigvz
gKtWsdkAn4xPn3ckLdmX6dEvb6nhzOREvCBM2dagvltBcNOCdPjvb27dR9m99tzGcYXCj+sqCpqP
eDZLL9ctxEVQWhWXJ6SZr5B7ZBxkhGf0Aj8s53iQm1EAdf45gX+Cuwhhz4vAG6zvi+QQmXggycdj
DpxTPHPWjTy0MS56p8WB5WYN4Hrkgf+csVKlC0maNrExl/bExtEi26SHF1p7tn4u4DwVoWTqhqeM
vnob40BRndnQq/I2FE2Fz8QxgkaCTJ3ztd8Ehc95ZJhnJeIZveoQKXWyxLQbyremIQGcx52tDqy5
FCrDzEzDmyueTsEetHyuDqjjOHcKlhGnHT6XMFCdkemLFhMdY6zJ4xomDqhhRJdBHY8XI4UGsIt2
Lx7djd5A3Q7Siohdq57m6pBKAWbCehx2VlJaFrXWxZVlXEE2UZYI+kKepdahXnqMjguXIiKdO25j
LKLcU9N/gDQEYkngO7m1bZiYsez83E0pRShoOWaYF0HYMdiDbYJFxlva2nStAvkk1jeGBOWN/iMJ
0MKDd/gU0t4qzeEE0KEEpEm554HQ+9hgRk9iLgNRA/rd6rQ1z7CgFITad85Ue+5UEbK+6hf35qfo
x7Eyvj44HyQmtV9mcvTVCMwfQ5QIkdWei01tIkbG8L3e7PNcvZgdONioBLzS0/U2U6b6+QkAyq9T
KC3bP78ndoEZWOtvMzJ2utgMBxX6Mg3EV1k+sNDLgRWwhvjeWgXNOhzMjeP3YjWG7gGf95rmkNSo
C0sVLiS34ZofVXQgyaMF42UTAGcwUqJ3FzprgOcd/06oyrDchi5Pei2C1yqDdzo9x4YCC4xGjm8t
aXlK/t1CttNHWbX5QHxQK1602ltzIebEuHNE8VVk+KBOVIrCRdPcOT14Eue5Bn9Y/lEVJ9V/ttFE
AJ6dF7SpQkclbdw5IVcNYyMmyi0Qjt/yeJs2VRWvNgJOjt+Syr9lHtQI8p+k1J7R9+9ctdImKR1K
FWftEAANyRT4jQxpfDqF4tVEpMxg9H9E0xRKUeuF4a6LaoP8dYAxMhAGzWmgmdwm5LEdh0h2Gt9I
kfWUKcR2KLbhUNXqH8ucELY6zh32UZ+10iRcO7gzEtHa1AP/Ud2ReU2e/NjFlriaL6ONlCzyqBng
oVB7Yd14IJAZ6bdy9fqBoCq8/UnVhEzMH0SAKOtkxGs8Ysq/KqhHzwWlvI/laWtq9USnvKJWIzGR
0jr26fp85ZaMy+wz9GYQzHSplDe76GXarY2od0E20/EZBAaLFzyUTCUV0Vq2d5S9FKzV8GRkI6n2
48tyqIaVO7UWFXBlqOE7tbzXeScWhbOuTy5xXAaR0qvSxFg1ol9jUU6EjNnrnfhpeR2gIyUySvnp
pSyOt/zlnc08iWs5vf5CR2Fr7Os8YV5FeGvTtEIp+1s6KndQ9zriHWqqbWtovDVQI9ODliNkelQQ
A2tyJWNCkMNWSmvd52BRP6avbW8W/U08mZAPY1hLfVGs/PSr7wgbJLbYVqFaMUqiz4OMADwmcnu/
qBPPNSmhN/gB6HYjZg/Qut8p77+G37ezKGSC/tuVgzIiN4fqxIULKBFMvf1+p4QCIvn73X4LdV2p
dIGb7/fPjDUOkj5Xn7YIPP8idp1yfbkSKENIfCzXFbBtolyNsu0ofLpuGtYwIYjH+sStpDOUpSyc
1O/IhuBh5sOyXGPMzQZcwvDpxteITk76tL5ifiXrRvxN+eq0fV6g7T0NX2VvOMbrBo2lGAXOw1EA
38ADXW9WGSCVvkUbfFA59xSx8OOnWzrwOHcmp6jl4jpHVZrOxKAwhrH1CopVLWXvgOPni/PE0K8X
lpGHXbHLWSreOP7QVo6sLo0nPXxgVC8L0RMTHT0W4NRwbNm/EymFxdnlXTyltSYRKaSiZeedf3vh
MnjTwKmMYloQC5oxlkJCAGDTfrjYmwokvdAW61wOAvjfJ5oNJBQoQPmvObn6VxYlpxPHrAffBXuT
0AN+7iJfxycYDr9GESITbf8jyOeGge7Z8hvX8Z0pRJQrMDpt9ncGef2IaWTuFYBUEexPhpmguP0k
GxVEPSSIj3Zo5j+IZJt4i+M6YH+CPm/z12vOVg59rxZucKzrHDCgtrUPJWwgx6IQkg3OSF7DalGD
Lt4u7CWUMemNhRQU/HZaPMYAgFcPcMCFfEjg3aho1LHDBqFT4J3js/IubX1aNzUbQrjw3ReDZO5h
jVRUnnlvf6lY6cG4bq2mgupg7gkxxjEIwLPa0Xg+lGHibRuDQb3CgLAKc3BUdmhtBwuMtE0tSGaX
kPNHmJslNjqsDeSv2NEVTY37pfxLwVSwtR3dcXnt7RjFt1IMm9NJ42QGG+NdF1xGG2uyKRg3c7zR
RLsTk53za+MC0xuur0tuBMsC/h8G4y69LyqaWEn4E2NGJtIo434Lzs8x5uSJSPt1lNwrAQmSu82Q
l07Es+P6R2LAwJ/Gsf4uq5ewmumiwzd0rJ3IyhsYNE0LQsxRlm4U1AI7AAltG3qdCEugwpbgAOKL
mNOPwsLE5K92Uku0Sbw1ysSXqJ67+xrmc9nz/HSYGalOPa6YcI3asrqj8zccxPPO5eOi1e3iElNP
corSzVfJWIC0xrEpwFqm+2WbmyrxWwvy3a2hQ+H7ufHw0fZCVJw/wCs0kl/G2zugYCLFaNsVCHOv
zxHBhVV9efcRdjK+K/EMeaebX/NqCB9w/mVTvcijvCT3pN8YJ2nR4EVhbnj9Yj0tAVrcdWvMTSun
28fjGmrSO7LDJHChX+macCM8ModaAxidew+qamJvNXonkCTlJIvn6M5cWK09WU5/BbZzkZNtMM2M
XLy4MdYg/OmnpPcIIjx0PN37T52ROhFkmeJqw2sqTBVXj4lnLcYdL/ydIQquvi/KyuXohKV23kJi
HSQj16LHJgd1kFIv/Kijg40lVb+7zyk8b42f108u3h7dhEjMw/LVJhphl+OdhvEDVukTxwBaR3Eq
YjHPI2LgvNW6Fjn7dV52w4swgBsykntlESqZBe60lgxLsULst8ySxZ4JofIxXLU2JVO1PEA/FFuo
9oWa07kcmr74aWQ73ATqNMxYYBm2TxDS16KPp0yLqXJBDaSv4o0pYhPRWMAvc58ZQMIW3xG3Q7nv
+gAbFQmSLqZTlEwKTf5y1+AMofvtyjtwPTaMIL3bv5AxXbTvLW4ilwsMeUQV+032S7QvDAJkccJa
/o2CRduhBPxFaUBgBt+OAdtQYz4bY6aSdN5b6D6V5jydOA9Z8A8RJcrtIcf9OChRvgPn/yc/zMDp
eG/KuGmrGA4OJobhdOCWbQm/rE8FRD83/LSA3kgG+JFBHjgTwf4ig/zMwabB+5UcHXhbi0bpaAwC
2XJIojVXvsX95xXeM4ixzAb51uo+JLE3I8DH+U9kOgepybHxh2bP8Q+BP39hKr4VE8NNgizH0dYr
FlPlOpDJYuD3XRvHWDtRBBYNbB8320v0CCXrizIHFFkJf8QfdBvAYUxLnDp5WGJ+pxeiqd6RNSA+
kA2X6KO3T/wwgoo9BcmCQeMZmJ20AjHk93fq2lxDQvQ3TTXNQa7EEdHGWQ/GBnkl6e/j+ht3TcG8
EVdZ1jSs+V9QXooXGnyJusDXVVRjBcaWRU2UTalAiUYyahohpm84lile/Rdg4OT0/bAqTFa/xutc
MdCTM8jS7jFvZntNR85xChR8KV3JG7o7uI70MDcyAQqEewWsXYJwD+9HF10opj83+jpsLBiUY1xb
QlXwVr4xZc6dImm8DtaqSE5srKOYY4iP50lUQG70QpuDj2n7T3yH2f2KU32rp7nt4Zi+aUC0zH74
XTTaCrE1TiysBDrcOnxydj2hoLiEmiEEEIK9RpBGpdoX6C/LeO3XfDStNXqSTKdSAQ6cfpNMoyBT
vhN/yYhtSzgSOpMhPWdhDpn/shd29+LM+1pQ6QvASuuGATflO7NfTo+r3svS5nnp1gGJaaQyCT/Y
IxtexD2Amw1S1BgbYQ6KQrFz3/OjltwlGoFvfKVfS36H5PIRPE+wwclmGF9mcXzirNpy6LauPjjq
288qssO+IYyxs8Rk8k/9/0XRR3ppVfPSCB+sx253jNz2rJ0S3FPPBD4HkzFsXSqcJBkCoiQEPL35
qN/KdARwcP917qyvibKWd0+wE+s0MIijtzmqPYjjd0ZBVGT92X8yjgXQb01tW44i+cLf72WHsF6Y
KFWKZ/QtmnxuJbBzKpJ8MWF5f1ZBdjgr8+jCVCkplf3Cb5+/zJpAEmyLOG6gJetlCeBWeDKkKv6R
1t25kbRhy8Q0alToXZ2JG5QKG6URzoFLJwNGI5G32irs2fzrjh4a9ZVcfeqEbXvrxZiVrXDk4Jde
wl6m5yTQRWCHBU4VFmbSfG108XTQrBbpExsgs2hnMa14/ju/tvQaDm3gecozGoK2dJcdOzVB/UCz
3R0WwgSW7vf+eWO0FZ2UDBgk86r0giRXVMMtLn9sdHpEcPX+w05purhD6UW7G4QWvqiaCAKFPUQh
uq8jYgmT5SYjjSVgF9Jd7EhbYWWzP3HzqiM+Y94AYsgl4vlKuRnpWOhOwtmRWVdKbsucjSIxV7ml
6L5XOc0m2cBzosC1825dtsibSYxrfx//0//ja5wCCF8EfCjAonQtChPnCeoiDWQsXyJNJ7s4YmGv
OHWMtXeEZLIWTuWGJlgp+2s8czbOVXMG+AJGXCLGO63yyPdTkfiVfYUFCthnPIc6qT045rkrreEA
yKgJf4hN5JUxFiYJKIQ4yYxPfealxJd/rGSGAyrJs9313IuXe6usioEmcteZXw9TEVwD/PyILNjF
UYYnRu9Du6GPruyDZ9zKoYDd57+B2LqvnJaAJWIbZ6IEJi9ygZEZRco4n0d2nMlQ7LwRzft4bzTr
w1gIsvC+AN7LIJRbXKgmlOJ7suhyLFiLIsOXAizsj7L7hBYEnwAjWTgzndX8zCo0BAX8X36m8Q6V
aWx5lQRUOhemuvArokbE6WWXN/WAXyW+/5WhKrWyIJJEck5nNrS1pr6NtnCXsrMJGKfUFAuAhp+F
j7mkXxeaScDbbH/twuR8psgW+GhdaTXTfpy145Wm/aDkmsLfpmnkBEvjfyzNPfUiUvRdFqUCmV74
/DHxmxg2WkvgPL27h74CfRm3OYoEvUxk4J3ElwPk7NOvTvQKJBNS2LavK/VAnGA2gOgkKIEiXTz3
kfpGctxqWP5XTjebt/nCyAshT147mg2orIXNc+7+OPTh+NdQVHT3IvAWYT3L6Eq2bRhhnuDNnsQx
aEIUxZJDim0wvv2DomO+9e8XVTraqCBZgAcpnQfgZTKEWbQBUamvZhx1wgC+aSGpA/PocC/iOxRZ
LMjNinXKN1OG1SelV/fqYa7Jwzyq314dkDvwZS1PjWudoqCjIg9woX/7Ly7fot79kQIjvgHx9aEL
jCetWrLe2z6+A5UTpbJu74N5OhgideZzkTtaFG4W7uLVRqDqLOcG/LEOcRKs6GbyGhspQ5yI8INu
pc0UNu/NbOPqhZ6YydA+s1zirYFmljUkF7pq2AeGrcbMsDCi2yPgBjCsh4auVGIXg1ASQNurj+/Q
CdcxJmRwRfoOKpnGErtn23GOnCMHW/VinVjSWi3z771nVGh3V8jdb9qYMRWSZpzPHp5vJaTjt1S+
p3Ku68XHviJff+0DdYhqBqYohZjyN7qgWZC3e8oREfVmihaWOcLPrNQHoh2S0YZgVjBLO8UVpCkr
R8x0xXzMphlI32KfFDQxZYlAU/N3WDsTyUoAmHQkOBtXXm1uEhNEy/reoFb98uiEvjLImz2vHy1Y
6zxk1iOmPMM3hsGGaimY7kFshzMV4NlqccvqR5FH3DVTy6OXMZVDwGKbHh8F4ljtsyvqhj5PauS6
CcU+EWcKHnTcG5SR60oMPxsit/AGmD+XMH3sTzEy63OAmVPc+KLF9MR+oihdE1ZCqYtcQPH1XkJM
SFMgIaqn9NyCuH1Qrikzvv4xous5jVfIEMGVP5Vdj+nrznu6mWFbJWbDn7N35kxgjpDQULv4EzKY
pspcHLMf+x3nFUvZrqtIMv7dhkRf1vIIn0/eQ9+iHJKKbiduXElMAPUBpR6Sywb3hIWkidNsxSjr
fsl0iQ3jtEhh6upxuKzCEGxAxDinTnYNdL67FXH14B6N3F292DbJ27nGpVIKGsyoiBN+YsHfc3tO
lRICOuXaSV4T6puIjW9QCsTv/Scxr+Fhhcju57SxZDg2qGUj3AJDSj37EURy7nU5HgYNHqWxVnl0
/cUCxPOf29A4Ddn7YYwF2i2Ge+HDbody39Q2MbtlkiYLu08iKadYLF51urB76n3Y4BndPGa2Ddpe
kcEBsVXwNxyzgG1bGeQayBJWYy+Me8h+lIY24Hub/UyTL/lDZ7FqXUKWpBXbVHFfQDdEqOsm4Yzr
jEsUkame7CBIriNRIJy+m9l8jpXSG7F7a5voK2TkZNZnvgDk3tynG2YXlHjeek7lHuY929zaSEcs
jqyp6keFrUaMAtGCzAhjOvnFjEaO9/ZEC8pqOuEDN7DGjLZI/qzkmzOoW2Z2J3VzoUdCjpwbHfnr
9T81qIYL5F1z6UyXm2qJFC4Vl4AGcY+J6Ek8prbACGaBKEO9nlINpXy2+4//ESRwCqB/grgS2Ife
gghNnPDt2opo2a+XS4V/mj9y+3v26WaW/qUfSLbADfxopg1QnvqSQcM4896BVfl2HJyndmdJmZpe
y5Xs0CXPhwLcDsIEBPFFwyqn0xleRmEN1X5AIvo9vcrwlt8IpAoyKcd/DyIVB8Usm325z73DxDD0
aYgxOrHk6lr1Rl3FBAs67RAyUXx2ZR/pq0l3CYQ3JNdYdEG8WjBWjvRXzmbCDz6o+hvHRM6i+ZQY
ZaljeVuTNi3ef7h+qc8gRsW5QmJkEvdhVsFrwjqOsJxhn7TRo7ifx0ZtlTzDCntD10llI6DawvOa
JuZuw0YPSP7W8KToYQbXtAaCB3EqvZG/IyBudE3FNWq1y3waw3plww1Sn/54q9yBi6vobd1GYOAx
BWhakMV3JmUBfXuoXE/Mq3gCsvbvGC7m1I4KLML/3IzmMYcSki2M32grTbs/jogfr+0ePZj67Jtp
7Uo761oQoxkOEuAY+DkojLr4I1XuGbAKSeyJuCGWM3ZVOLIAmrg/bNGHnf1aoHG4K1oaavZvMi/e
exhgXI8dJXDDfoVZ2sQozL44biiikFtv7xecz8OCD6BhN5PGSW4kOQ8v/ko13JV+ncmz5m42jYlS
KIKFK1SaeL9Yt1fWyS4d4DefQcqP/XVg1pTVpCCObJn8bz2T0IONI/T73bWzKUVAhMKwKeiIDkQ6
QXS4dROnoWv63YuLJsr86qTIoctyUaV05MLzFRB0dNBp2C7i2P4F4TfY1U9K6QXIveCDM9hRdzgV
oINxrTHL3szJgKFRTNlM78MUkdAaXt2LIxWHqnPrSxhXD5ICUKoLPwXr8rKi9MYQUc/FSzQ+XzPj
ZRsInCmvkAIhyIUckZs1MwbyeRMiwFpd5A2xYB/fX1bRVpG2vtMwCJp3YgcsJf9H4v1M6yul9bJM
xjaxbi5rDi7hywoCcG1GRjos4d9VVzhfLv74byaJVcfaNJZ53KnAmMzBCqvcejcOfyZlhNNQhKB9
CLjBT5eFptYOYGgwN/hsKJLsIdj1B6HNy5Kem7ZjawqZeAiyp/QVeLWVyYjKqdrfyZQwQ1ESUOKs
BrUnC4L0txj0gng2o+uJpZzg8PPpGRwza49leFr0Ue743vNHttchWhgsmHz+CPOW44Igp7UrSPXl
d+v0BIN7VC4X0NVUid5loaa0jOV7RjOiwG5MJxpBSZ8kuYudY62oz5qqFAHv4A3kQGGdrLTNPZJd
pWEw7gEXZwPYyKE4xRw8uDD6uV0pJgUOZXhFTv43mkwRSvz5D3HfI0zAr4T3I1913kAkQSu8AcY/
DQPK25qaYpvj//D0WyRmdF6S5rQFgpOdzZFaaHhvice+b5QVCDNJq/UAI58UwQPmGM/dUpnVjRSR
5YdDjuq5XERKCzyfN9NEVGPiGExkKDoklgYDbOzofYWmoHFIC1aI5TMe6TR3O+FPaU/fMmUMOeZV
ZXpsKOcTmRxUZQ05Dcsrb/Pz0hgw9ruV5uIpCw/a24vxZEIUOCXuVilc3Kf1A/sH55uzu3y22hvL
C5lYlmVtrrkeN/ZK3cWcH5H0AheJLEsgCXHua0FB27aDezDXRIfgwvQsXcNv7J5u7S03XghYpQTj
qlYVLZMrxmzW7aJF18arjRya7sloLXcTvRG9AVz7VqejrDwkWqNQaxjnOgHy73iohloSUPQRSdXF
tL1Z+Ryby8gQnaDEKwDYm4WCACcA9BLPj/IKV49UV55MQ7arGZbzyZP9oc9FXnxxMTYdu6fpKu9I
hgIDBINdpcPgkh4CB8hvyyAVZ8HaR1a1TE0ia6jBzBlAX6+gSEyI60+cynFnDuy/2z2H8tnNeLPU
A4EevcUBYRQgrHKni4jhObzVxRhjcU3PaKPKPA9Wib1RJgxz7gkSUWdlYMg59ahbW5qWaGGgC8pQ
4CFEbfkYF6QMKr9Qv8M/3RQaaqayKGOQj0VpKw8NqUmZc97ymSLBnkhwHIWHvqYbkAy6GVRAomUR
W6UOlR7oxWKAonLysAYPpU7KE5KjoZ5bKybx/TE+3Dec03tkgcSDKnqo9AwEcT57+EYkto5uGMjc
weAGN3AhEDIFeNEnzzcRgYEKjO2UGKUDswmboarKhylEyRcLtQsMrpOnwNcL3+YbAnDN46auWkp9
0ja0VB8FUcclkgY372xCElcrDZNMEFsYaKNz7KsvVrO4sPPq7zBKEKdHsNOCT5VW2A9chef25gRD
xtYX/VZh+LRFNqZUl5mjUHY/zLZZQhkD95kq/0LzQN1Kt89JS4pWg2bKLszEQY9pZIHzbn0D2wbK
VBNhMBmcsrlBezMWntUTGVLZXmRZozQd6m4/EUCDlDun4TxlnuwNVmg6OP5TC2k1mf8BBQhnDRHH
1Mkw4qm5MtiMisZHR8ueaQnxRahvVgVoL9YpAEzDYrm7+pmDsXBcySGL6Onjm0ANwjeN58muWj+P
evG4fAT/fnkUapFecdXyLHthyY42Dlfh0AkMOpVs+K8baTlXMIXhHJSQww57LxdXPt/KPrPG4lUI
MmHsHhxSC6oPBc92TkECSZoXPjXDmUkOuKTYqpeWsKgX7mX4+OOgPPiA44a4Nh2u7Qwaoedp2A9Y
OjuqK0EZOlp4kMe0YMHjgz8nz6TiCopzbjIIBoiFLFvNqRYKZJwfRsebrhUwNE4zwIz6cWfw9zXi
c6ld3KpjeJKvPiGvLYA2FFuj+ya2eCo3w8/wtQ1Q7VHecgP4hFU9LGBo4r75V73tZnlk6WWsuNBs
/KSkG65u1VLW5FHzidJ5uKyJV47kKZ1EeYdc9+kRDGmEDjNwigLh4vnaGALu2htLDgoZ0nV78J3c
gZRxCUUwPsahvREZgdS/25ihqWHO+2gAWHdCex1gjEVol5pczeCqCVNnbrVfKy9NC88ucmafRN+s
07OhB/fo4/xl7fordmTm2N3l9I7krdAvHLEN9/krLexNhBFf66btlurY3KNc0hr+4KH3+GxWS8Xa
8nmfKDPhhS+9SggN9HNWNy1AKqT90/OAlnZJ1s/h6SirWX09cEULy4gvPWDstZKo64L/bYZbwTMK
dvTSmxD7/lXsuD1vwPzACI/hMtsTB58aYuxupxxws/I4x8s73oB8hcAYYS6zwrRBfeO4bQE5yoP5
dLWFrUJ9VqY0IldAZKrQwjlY4HSXZqnykMTuVE2UAMrE+2/lie3ceGlDdIm6L3izHMEZ++r43vlJ
Ai0fFOosjfYgUF57Tc0Zxg+76/YFD5oc6BR218r1vPQL4STHLXo8YlTjYfcgrObQTxBDg0vHg3qQ
zGvOB8C/6DrAAQbZtMFvTPLVJ3P0elFoRDG+3izjk699hsr9ROtBW2OYFAsEFq68tP9RCPfghOUS
mKKNyOE0NjVQMKhJo8kbTdyK8L0y4vYQt7Ez0/zhBH2dlQT7Okq5jqbJuUM82F7wJBa3NjNSZi/9
UmqZ/wlNkm5Xnlp0XWwiEBD/HIH/BFGQ0zsHH/WP7uy42K4dm3AgJJ01UtNrwDt6vpfbKZbr13sV
1CyuoJiTkX5KZHQk794GdPYu7U2070lBXZlGfeb+E+A5mfAajCkDqv/2mzEXab0ABpa3rC5x/phe
LXLSccW6mLaxG3MyCb0Nqu/M3ph0yzXjokmRUoRFN8SjQd6TVnuCOyu1XVAp7a5WlwqdOY7UndaH
Oqwk2G+GhO78iTTduPeLTGfSWOqHUw8nFY/1CjO2V5WGaPsfUPxDqeUNvopTg/DC0nvVlI/hVYF7
lujL6Eh+xQuPc/LhtSVXtztv0PIAjcC3t8sjpTylsiWEEzQ8Fr6SZuBqzLbFSuryWAYzLcPJwXtF
vPfEs+i6DfE/BHDSK/qEs5aohkK4LUGCdLJ7bNPVSDNfYDGQPkE8khyQSDfyQptfDXGNBrc/hV8Z
8kBF5FUcRwcs0I04u8XacSQObkqYWTTCHk4KDOA1TAEmeB4lHByzVqjF4FzXS/xN5HPFwomWUnip
PmuQCq3ARO1ux0HJEJ/2UqHjwtzX8BoDnQTbyIsOWU0AlIHqed1pMuDqHfnqY6aJsDuDe9TZPZrh
TRmfRxnBt+ur+uZSCc2bAH0/UdRCdwRxliTRjGnRGDrSB/MFYEiCfJQ3pxGwGa+XeNATH2hDmruJ
5/n0hL0J6JRxwhJoyFFBIuRGklnM4u3ffkUV7FiUin2N034FmQktHij/gV8tCROcEfbkUQhcFYN7
XUiRCEXNGSFwIVuYKDKrcR7gLwDcLXRa0/ud194krEY76c8dp1575Pn9ryCaiXbDvMh3J1cpkUlS
2yEgu8qGQoECpn3bdzU0GAwKX65hxatwEu1WfZKEz/8fRdnvsr4DyOC/GGSzjIdWuhriuODdpRCi
837UtsvEx4MYOI4Rot2bYL7/5GrFLnFZVtJthhRm+cJho6lvSMxCRoMed8IGIZPWNNV0+Kg6FY0M
LJtoQIqcMnnx5v035K1QLUZ7RKttwe2L1f3GRGEtExOgpKG79VV1g61bVT5APgL4UR74N7dusQBt
j7jpk5/Dt6t++NaDSQKJucg5YgWnn4P1A3wbXhbcm7L6Z3meliAF/M3m0wEL/XBWLL+SHEuiuGLa
tXB1WhYuAwLsLlAHg3sRFpbhQHasXaz5WtasrX/UBZYurPgK3JtKFhh2fqibnMv4K8ELrszfZ48D
bUrafJ1NNYIL/Haby0FthEaJRkPXwKbQIWfuTvFbJdDESn7pwrCjvzXFVJsWgWedBi5ND2D2VD4n
u6woEGq2hbmAqPCeV0oK7rjZRxwx727a1rgjm6aWRiQgVwi8slAqpvjSL982OhtB+xfSoyuYAQne
aBD6+c6OEupH5Zd4kCvzH3Qxg5Whqjl+XTZSRQujAiZQfDHj8bDashyMD7FV+M2pBBBot7qgO1Zl
VvLgquysTolPQzZ6xaR7orLNi/HYrNT2HnJH9F4p3D0VcDno+KZ+VwD+enf0r+78I7iJZixdQh/N
xmzCN2KTUkNucFb8K00ajWGxRIRKAjsnw5tUcMlWqbxZf0DTPkRlkV9Yf4h0Jyezp3TjnT/QUP3J
JOGKdcPuD3TlUQv1z7EaodM2TZlbZiC1wex1OB1YPsMApyL3UOkGKtg0NymIeofJ5HPd6Je8jnbv
i2yTueNdedqsu/JuJfschedPhPzv4oPXll62RwxPD3o05qH/PH5eZ4GMIbB8g3zZbdrRHVyYptPs
df+KjswGyiRL3/pn8KfYGCBMV9A2/5YBuEqgBi0f9XNzj6VEWM7cM9Xtgsju8pFybTRa6PSBevHJ
xNSLJd6tXo4eNOHoYlEEStIwjl3XwZp72GUgSsXURH17e8AVuLJDfjfaqup2X7w/gEuW0LUxfRYt
D01Qht7yC7HsAUqzeFPmdlbUk9VX+zwmSTufEhCFA3qwNjyqANouVcukQVPEqpFqKuBDUSirSeLN
BE1CjPuXuAeCk/sYMXWMZHUqFvPGXVlGcW7Ve6Z/Y7v4V61W7UxG2LJ69Vsu1FyDf4DfgJ5Xc7Zl
8njxhLgEV+3jSZC5HWVO8teubbpCTMNfUElUbZ0exAAXKR+kwp3SHku1gIyBA1fpxNnPtY/anjZo
E8gSEpmE5iQ9lyAM1ri4rfNkvCQqebaHA+SJz4gNKa7ma4XYhgxdP4xI7+jILsy9itcUuSK6lcLc
Eb2ZIyDislm269wNvWd+hBYp0lGeZTzBlxObt0LrWJN11cL408P0oBBXZX6IXAaooaRqyoBtTdOO
bRXoDepo2Evc9nqDPejO6hSPtRRlpoldRPUYOgZBhJT604q2atHgMJOwcMTN6o1Kpa7Hb03tPS03
tsDuOLdaX0XoyP1oD9+RfX276RZftZNPZho6AnXm8gOFdjTdkoHZRCI79VbVgsaPPcTVo2GUhznX
zz/W1oU1tFGz/xGep99cWPJqO0euPGhV7KwaGRnm2vBNpiTaZfPI2euNHGTrUuEoldyX8gyXc+0e
sqvRxcDjr4GfNs7tiv48efCBoUcOf2nDB12+YvUQ5HJzVkuWtSe5AvyMAgJQuKM2OnkwxZVdkIgN
adM3nYisPz7Jj7+jO3j2k3vAmiznjn/d3KKGEH9sfHyPJ2EryEQx5sKoZq3//hMA2Jn+pYxwk4iV
zdV4YJwp8Uk6QhO6BHAMOq1K1A/ob8r6od6ADRsQl95jCJqQu4MBSF+dEiHq52LzQW8LCUH62PAC
9lHdv2yEvka2hVSnnXy6246h/a4jZEwam0u+OK97F76DuRZyA5rK3sjzYC5X8p7lPqmWm71Y1KNW
0Cq3IAp6OZs6Lyo6T/7o1wpMEylysUfTPdw8MjEQ7imFoHncCJDjNADzrKYwPQWZIH1OgSNDFD76
AfdXVB14OEAE9c2YcS8GVIN2S0j1ompT0/F1Y8eeJueN4QCWxyklUEPahf375Yxb5orYuNrPsTMd
/PDrwWnHkmqF3i7AYy7yZ3wLjRg+yWI+8AurbT9PNRan0Bf/J8KtfnvK0vQdWLXnj/lj6BH249mq
2SDDHSmthIYWFMCMYzkWRXvLFWyoKw/YS0UPxrCH0zvMBez7pJ+8cO9Sc7YVUPfPM/kXqkmzD4W8
RfMkUiuY/nAAX9nabUOnEaG6FYynRkjlGLydH8o6UK+b4yOPwW8+Y3X1VEixPtrQXXMNjcR9tF/x
gjf3+vnPIDgODHXcp2rUobBEpqdMtnUvyg2zurnxQZKxFZKCx0iblE6lEt9YRiGL/ukvKKqqBTo/
teuE7ivOlANOyuZuzzVGxCHk8pnbscyfowwAsdEcXM1ToidDSyIea3A2LtUaR7kViwqReTPcRBa1
JrPW4WkDoW1BoqkZnCMuhf0Ww/Vq3pEL4zl3VDM64rsJDdTR/wj8iUX/2JyNWilh1BrO8UExe4hg
ugNumumRLBDhxyjqdMmnIH0Y3sXy1j9WN3bHWZrvmb1/nWvZkHByYFnzHGM9Y2nSocY55JzpYy4P
oiDcT1EW9ZS631LUYaCoor1UFvXb44DB/pBrFwHmNQvt7u09XVVqVdhQ48ZfAOzEgc+rXn54Tt4J
kg1OyuMnnWMB+f4pL1CEHkilF0Otm6xWbNqZumhM5FNdG67KzncslZ3vFl8DONrZ/FXq48UyFB9Y
L9/qR/sj0YuqYlkHmUfeA7H2xh9neLKBY6yrXwdjBSlL7jALxar+p+/Qs8RhStdeO03kOpdVuJTL
8PXW/ReSg3gw/P39Rl/0fNavPecxQeA1ZFJ1HRCPDKQc0ZyaFfecUCD/GPq4M8XiY4rxCiGjXfhN
rkRwycUhK9SUN1TpdLBV/DXqiOf9/j361d420+MoF82qsjowAfEtKLYlq1t16N42K2NwomdEVg7f
iG5713nhuBBaxR+Z37jHDt+78xylYm2+d9vvMzMGm6ayN9gjLLUgqkDY4g8TS1hZxwYNoMgFnZqy
WXiRdP+RhI6AuKbrvIObcJ3Dn+Cefuvv3iGaKlBZKeZ3BvDnlu0zBX0qEMkhGRZkvQycrmSleEnh
AGsW7RQQl4uqBPddgcmU30aWVVSqUSk7lxvdK2EOXyBCfj6FqBpGjTwFa8JI6/xlhU/d3cfgSoNz
/GCaA39hdl6ePa8qCk1n8ergH15zZ86cfaZlJDPJtQ4NW+gV+1fSpPAAseKtl2ULSCNyTRsDPUxJ
52TuEur+bAk+OTM1DacnspD4fbRpL9QVVytuVTFSnI0ouwZFiDv1IPpPSALJvXpMaSkuhlVM36Ng
2QFBBojJ3eqlrSYuEdIAUSXGJ/lobQ6PXvQ3V1lBCj0I6snJHCm/iqVSXjZeqd9u3czk1yGnmthw
zdRjrkFO0TICK+wyWzBbhFu73hUAiO+CBC4SmNd6OsQGLgFNrGfS6HjmNChi057AXm+82T0e/hQa
p1peP22TQAcuda/4YZ0nOfHRH4ZtGiQtlyVRU6PBsIr5Xy5qFHCSd7xtcNdpmDBqENiJr0HX6oJT
tktuOIvUtFdsS1L9J7zDI7Fu7kyYnCsvHtWHhByNAw/mng9OgTbI963K87f8gitF/PUkeKLqzBIt
QeMmTTOW1VCQGOZkf6xokDb8z/9bKd/+G1fPwazJ47WhA8tvSCsLnJWKCu5Hen8jIHR+LpUrTRny
JtTQOAK2ZheSbutAgBYrVBYAmkC7/V54KPoWplSdTz/lUhdeOsHoUsh2VzGtlB9x7Zw3U0x175rN
MiQoy/lUDwx3z/7JcBwCI+SbdzOIJ5TA1yRBYgSQlys15QR8tnqC58Y+gUGi90k6dkKWXF71Cxmg
R5GnMUbev8vmxBeLT0rDX3EJZv3luvhGaM+6Kge02093nplTNWDfinfb/kMlW8wcfuUxQ6GMh9gG
hFX0yjeh08/xnr8ioXoZGfcNNXPG/fgn0pNfBMiPVVyizHXlxxjpvDvVBVD7CMl1yLWT3zM83xge
nj3y7Ap5W7eHWPoVHiuwVJTAaASTn4WZXv2KAHWD1ydCeCO8Eb6/FY6llyNXQ0CqlRmV2+tTF0q6
yxpOCEoIjTDzLus6sE0G/wM1qrJw4ieqHfZdO+km8jmDSHFjrvnujvoqDYzaX5js9A+SC5wF5ruo
ikwgeKkU8065q+/Wnd/GniWtidezaHK3a9sUgmrYKgnPv/pKXiJ5dgHfbn7np8tS9hszN7N5Enej
B20OnZ1vzXE1fLXE78VCpmQtCgIYPgVnW30TOhUGsPq1QYV5zo3O/oFG/ZLFQ+gwbUAh1aePf/Hu
E6J728s4nEtXXdlaPGSDr4XjjIIXOc8MIf/q6GRnGfUUeDhKEJxECTe0AQMsCn/5kbfOnCfHq87n
0qrB3wDf23nuVuXNNBjJv5t90PIE9XlihrIs3cQQAVYqM9TiozkWYWoYzzarTodxVj8iu3RnW55k
pxlnx9gVwivM8TC3IZ6VwwJ3oDgHeMZsouoTMEomp10n7esBkxc2dAG/UKjcbtAElOBNKw7WhV73
snYGBRa6FvAUvrC+2lAVpDs1v6Bz2mZq+n/Hf6u+WjWKy9gHcDAgB+Ma+n5g9qTYBzkAykKClEx3
e0ZV9cS8s/tTBVQbUWlvAThmoEPyKz62lrBPkK1+LTZNXtWV2JaFJaBLTKn+i4TtIQpKwNjyf04k
5jyrnswnSzBf7pfpBuO0kux9YhidBMtXPYLtLQFwboLDdmEGJiw0KLjJY/c11cz5YmCsUaTabdd0
LfkdIjRr6x9Gxp/i0TjvRgvc4N7dCS9791cmD91QgmZCp5Pjyq8LvRjJOfKOSfErr7fjkWJ6x0dj
HJLyQVnqjY+jnTxlGWVYeznzJT/ZgiKFvGIXYldw+E26ueUvZHd2MJa7aiuYbI7dd46XLCnHaWDj
/K4YuVuo8uV1Uc1tqqZxkT3gB4E4omjcfaF/sDlcDQJtooIIEP72YSTFuTrEjO/8Dlvh4uQwQnRf
Av+wgMERzkL4rGCCtF5z7rkV6Rh1j4eG0hMpIzukfD3IvXIi98ETp0RU/gRGNdV2tvRFYTpTIJgX
2ajtfj4tk4o8UZIhq9OgspiKi8+s8f65ovk60rDeus6u5JxT2zRYXGfbAL4ehZYKaxNqtIvpf53u
7+s3G8BiOl4pvExdgHEaywAciiIq3zG6V2/LX4cPsr06qUrAMZVG0u7dOsecRTZj8pOA3m64CNN8
NUfWy5+tumLjoXiJJqT9yZwtGxlvkd8CCMUoUcuDNrwEo1Wf0xjPQsMId556dxWGRjuG7BEBsC0m
e3JRXp+x1BDBNfKa6bpoBc/2vb83WSADCI0fs/+DP5bnpKaCuONAZx+QIaqVMzPptH0nNOsrW34C
Hx4izSbRwo1OUp5rMkIp1aTRvFeiHSsRTnPFgJrdVALIlzbrTL3bvcF+1SEdxhfBF8CYoEY64BY4
hYArR/Tfar+0e6fv4gOZEzS8sr/gpr6k8izBON2Y+E7zZ/sfqvZBI5skSF9AjWi+a4JxF/vJk3SJ
SepcsrGdLXW/qq8tf4DbR0TrklFi/c2ZB5njLsu/tpkKckrYpqDNbOjDEbMdVzv0otNnh4E3Qekw
ojISTfT/Fc1qm8R56+ST95/4OMWVMvsFk7SaAHtw74Z/XKqtzABnWk2Fw0riEw/8XEiICMClXRch
dY8YTcfmtMmV3K+f0pV63JBWXGWmQQTNAhYp0aYpX0+ZcoMj2LQu4FhnTLqOOY25YuNc0vrCpqAi
0s24JRq6ZCygSG+MRYJcU2oqk2lXxkNUFy2LRzwSaAJEChmr43mQmvmyvwAo+Wo+J0THyZHqE3jt
scTmq37YprmoGlVMmX6NaB/M5FWgiQjsx6efRuHhUns/i5XZokBlvMZvsZ0YtgTtU6M5u0RgNBp7
Wdjqpv9H8kDHt78FIqgnOWi106xucjYHp5EtYCTFkOw4ppK5aCGpDOrdOtSMttdVK5hrakvWWb7s
Hf/nkv42rz7HDZFHXGpGsPlWjdQ16XuboXzIE3rKJcgcEY9mZXE/TziP89VOTYKUlccq/DGAP66Y
91BIJMXYRLk9NUXp6Hb544/01Ejc2xnYSRrZ5G/Be5MkWtPztXAFcm86urZv1Wjs7b8gBOmuk51K
5fWOmcgp1fxrP2aKyTDAIJZI/LtEN1HbqHKM5XpB+f+bOma/q6pMVrvZXAUzXQvLiv4vVVeSe5Wq
eu1tjiE0aFzry3tfdRsOQOb1tf8r/BfZStr/q0HvUaWCO82nm3rCONK1CkCRBgP04Y7slwlkjq0G
mcCD/cfiMpttYfcFO6v88GS8fAMNgZEEhmUhBJ05QzXSuVuZ/yNCURQV7Av81B3QTIZfdUVSrwO7
pkwvkPC3rXM2IAo7xcipBmDOVkd6yHzR81fqFarrDkgtZ5x2PJhmFC4dSC14BN6IZOnvPZKLvyZY
EMex6pctgafNiqGXAEVBawRzncP1afNGLfAw9mvJa14TdAQCSDgyT7nwCidaxQgR7/wil+eqVQJ/
zhbgtlzFJjDRy6TLFArtDQCxECWu+ksIaKQjjR55cF2NWHm7v8rmkaElAeKUTrr8AhWjkd0kR4r0
zgLa25VVzPo7YAJw2frO9hF+a5qgUym1axKJxUt4cIg1qS/TJvEY+qasUynThCcqVFxQU/IH/PiD
HDpZLNVEIqjaBlnp/TgTMD8oM+72v32mjoE3JtLr9L5aNcm7+7ETmBnK5ltKgWDLhNvpKEJD1MBy
rkcOpPCPuOEcTM6Q7Mg1Kn8qJ75MQViVJEIqJSRSVYbqdwY2udAFq2wUrqs+wK7dX5shjdBXGJKp
xZQi6c7508/nd6lu3uJomtZIH9jJ0FjTY55ssnAUbJ5YswUA2nLaj1IwN6hwKY18eazmlgK/fmsp
N52EBUHXT7SPeh52V5goLHBMty/yrWA2T1eMltHSlyXPO0QNjykNFePx+87RIGJiLdY48lFK7Ndq
POml9CYm9X3jNLrjppwtFu/gVApqlt242uHNHDp7fjPKfalKNnmvxSDiEYXzpKQFTDephPIK83jp
IpBetUM2V9Z2ERrOHhTVB4IIXJp2l8AO+0xqnuHlnKtm6poIn0VVFtqlIUiNZBphByyHO4f+GUyB
TB+F+YAPzT8eSqA2s+GknuQYYarMyumo0F1s8Gn/Wd9o7sR9ZeB64kA7M8EJWhZtul1+I5dvCGB8
uKVIWRrmBQ+IrP5NtLkfNVceh4/IaWv3tVKxoxtVy4hBc51NVLjI/OsMVbSTjEUau8BsGdZ5AoaM
eo+K7VoIGqDY4bF2A4GhD1SHpn9Z3sH896c9GFSzdQFwaKjm0OMVTiyt1vMPU/nYJ8bz4tQoRwbC
pYqxmkxZlab50XfANsqAjbuRuCIsuaeeKsTcsAhY2UC4j+5Op35EhxiDr3FeKvXyDcEepmYURPfn
zNnN/4mYgGaJlKaywYPR44QDetxAmr5tF3dLUw/qd76rE9VaEOCJB6AKogsnJbzo0vhe0kb8rC8o
/0yjyYjDQ2myPmZysD7fd99vdGTIjFw4Z947UN5GCGawbGv3/LOaiPUQz2HpMrsrY/+6c4P3dUrG
yzLGgtgGqsKKefhBBBGOoaLZwx5NoYd2PxPn2eJaFHC9iX4XfXa18U30aptz5i5cH24oa3S5FJPW
bILhFTDQmvtKadJqi3DYrL+ACDZgF4Fk8sHFrHWmqR5fjcfiQun90+IJ4ZIIQsWW9Wl7hTDXy/KW
qcyfWQCSXyfSpcmkhBWgf/vJgcyqaraz5ocifS4j06BqpC6hO/4mk1hwLskNGk7cykwh4dRdL34+
6SAEkjUDj0M3czD2PAR3OUNP/QS7tpqsyavGWOLSfCfgunaPGhQrG4anOOKkBzrcHasDZ823IPmm
oe8633d6k1F9/Ge+4kGdbsQnMyvtP1wYNpueKuxqde3t0AbEPUbJUrKUiUbRYUsiXxiFxjp9i/5t
gkQY07ivnWCUNCCvS6OcnEM3y6dhoRFnhiusmnIegbeOUYzKPcpGwYSaUFg08eJH6RnIbjFpna1f
rzRHyNm0fZ/INS4UfwnwYqpYt61weOrnEK7xcclSWSJsx2d9vNunbHJNsA5r2pZxz/WqdFo4SCOX
fPiA27FTtzEy65tdKyGcPPwDJbEyCQrUrUSDXOLBRbHJhGPkkBM2FjBdvQHKIV9HQXkqLNuYkeEa
LJOq7NdCE3rjdpwwWyV13nzbSfBQKg4ZS7xKXvYlTP1EFxgvLoIagtz3V0+zrj9EhhdpkaE36EpM
6J+7v7GvAepKPq1uWYSEToM/zhIV82cmPYuWzv5lZxna3/uzdgJNyLIU8MP0sFtEsbBSdxBmFU4Y
/qtMb/ngSRmX1Nm634in1N9FbPGlb+jYIl7BZU2pSime41KkUtl4J07GKSbGS3FYo7vYGKRdymtm
NLjCZJcF/CJT6KOPTBhgqO9pwVJl5pbGU2/yZ//mulfZyDqA2XECbwGxI6wZef7prNR57QGHLSdQ
M4Id4z/ITf7vrCDg87OvnS7iNIR7rQnZRcl480OPppGc302TCm+AUcvtTqOuu+IVlqniOOrR3gDd
No1p9VdKj0tbqMg7WrgQtwYfmg4E49d55V8AzPn7kzUBD3qTQnRhc5CA4zMMbzRo+zvmISNqtwOp
h9Z9hwM5rQtEkfi8PFc4E9OywED9L0fRCiARFGmsaBTwligZQIVezRmUhrltOmuo0aJSzGVv6QpK
Q4nCtKa2keO0o9FNVatROLw5oq2DbaO8Q+GbCFD3/CHG84wVJSd5Df9NTGL97CjZoszr5DciaUud
EAHts+CbNLhe7qMZUGMoSm/IADZaVbjkAH+ABdr2HFTsZppT0zMeUYorRmQGaAeEZyiznHiKgvBr
OTSx+6thaGtmCdPywBgBUNbbpCaNTyNUK9iJdZmL1P5H12hv53SqCbziCpWZBNseclofgzoUn4gd
2ocmm3YMuf/2vFuT1rhEOtmwmgaJq/rvp74u90i++6O8K0LNoVtW9bp5ofpNC8rwXUCbl+eJ+/3D
d4UjUhMDBPcjy6yAJ5zh0UyURkVVTFpJB0rOY1lRWINq3vCvDaAbB0ztDfVJsgTiYri4gKh/J9P0
vTJ8usmbj9aWRSMDs93MyNG4OZkA1+0oRNvrbbX+OE8drn7y8u4M48LySO0nE6ObQQKL/j8Y8qbx
wAdEARqfZ2IpngeNGEHbKEcyiSb2VwFYtIZdHn4zd/MjnW5nQq2y+GJcJXgQYIp+e10Dxm8uDMZC
9lfntwjjUFolgOSwINwxLtA5YeWMEPScj3JBfa65bhAtxSkboyIaYB460RsGT/OhPPTWRP66Nriy
g5sT/iKMLU0dGcu2ZF+1ax9bxh21XcVjtjtvgDNTGHi6/O8bM1oSm4j9dNJ5ZVn/Zb3IJSATsDPM
pPtKpWGXixCv0gcypvZzbouvJa/oV1CG6VIGjgdkvMMQLS9I38heRGZVdbj1HTc6EgZPcdTNa3Fq
vmSiMh+7sJeMVz4nyonOwA1z0LPQr0WX9AxtQ4gdYasTKdCNJU9A9ksOUCsfUuuJLvfCLtFThtD6
EeAIdY6W1KdflvLIdwJwc4EFTtIaaTtqWWTSQqgkiPdpMWZd2GhTSiS7CCjF202r/VKtAeOr9zpd
D1p7FQKQo1ZqrRXo9qbx6MBNDBfjeOJXAU+73UnPUv2j5Q6B7GK+W/xn7ovNs3TxO7ye4RfFx8KQ
wUS2ggjGSCdJGfsDQSQWWesZm+XCnsw0QdFSnGAVqOPS1MJlE6NKUtU9R1Y3fCTrLSHI/RLUE2LF
M52Z1Emzro3eIxypXpqXy8JxpATeeJHahudYglhRRzMZmNIW4ktQUj+qWh2NoXq7Ip9VO2XEZbpE
S9V9ooa4+Kc81QjR8xPxaBHq0tVPF4KuTVmJNu8oVNPx2CR++VusreAQSw/+MMWj//n1YaH8xZaP
hGVouAErKqtmb1kNaE08+nkFHek7F3h+c0HDYo/G7vt9Hhj3TTkMEJwXBwaunSwDqFTq0zS5vBO9
yKkfBnLzPvOM/tNjmD8doJ7zMJfmB/FWcVS2etU4uQLakXJZFEwNXoN9lJXFojjmi1whr+AJBnOa
+xDdDK+XdDf8k4BV0bOfqxSaYpuCB4YL6UKKKtdXXk/eaK46P+t/Is3DfuI/tNnGkr8pAwmzfQwe
+XhDEDUKwHe0+g7b65eurn2sr09artO9tyAmnYPo2tquR/vahp1HLQqvb5zYeOb57qn3aHcJ7wPf
zfusBlGDKuYykZA3TIpW9YrJ0nT3iL40NGHHdr1ynMGKnQ+/fOpDAcWMlhyiIbDh2yZUe2oIYRT7
WrI2yOmO/nzvqDURVB1m36BG0m3hYj9N/sxWaHvNjcpo6mXfuRENVOvW/p9CzBnwZyDMPvGCAMe1
PFt3gcAvBL2HwhgammOHt+M0ij9YMF4a/plojpPueiZNWePpMIpUcN/Tj5pAcUCZWrZRkbHklyhq
j2ZCmh+MBvQKaUZMfojQ8vRnX6ZwG68whMKblaOObSxs6P+WHDJzgLL+/fieaIu0u/N3+BNqJ14G
03tGVXB/Fi4TYXPzLI6/4EiOeRZRp2H/UTbZeEpK914UE6Hm3B+3i1MIguaPMMFBhMybj5iAkVt7
hV8yKYyCoXeqL419tHdTVinMl20QVjGvzHLV1bCPRJF7qLBCysNzabF3dWqlaNvP2wyfSm/YW2Kq
/EDqYeK7K8EzlfG8mjzx4G84eX6VrYTtbWXIAfihq5CxCkEQYdNoeC35JpOJfykdC3WOff+vEejP
BMZijncCwWrZ4piQ6bJoMbC5Li04skEf0LfEC+D6C6/cxhBEmt/joqf9A5NhNflRe9Vj1Q4aztL6
sXXoRnd3X6hY4JWq5QM2Hkxwo6zCPrG2ctrkX6/Y216/agt2JDEOHbvM0lsLq/Dy3qdDGN8rcUWK
7l4H+e8TSoSy6wq6a+jmqPhIK7FF2Ig8cuqbMmVrndO+86fS3CtiBQ/E/I6hsEgmlJ7U9CeZpb7b
TQyJhH8AHHcaCmbP/dxZYEeGLDjNy8zZbDQ+t7PlkdpGQCZZYpW0PjJWKGNAavupwQLQesuYQuxJ
D0K0nnVMChHfruY+idlRiPp/GhlUhcjZZG6pZQFEfhwsx3yOOpZvxl/PLcx6IC3wZtGBYQ8jkV/t
9wFJ/10pG8AIC2k6ipEIkoKlGpQmP2Ju44753f8d5pXXiRoWS+YBPLfoMJLkFNBeJ418lM1aKNVO
4OYo1k0Vls/Om33X4qITNX+15Sgire4nEhL6LMMIjz1RKnBaoShMMh20/8ZVsrRf6DksvT7b2k5J
VHi9LqzIayX48d+S0fr4F7yTwVwXQuGY9G0WvlhVwdyenwW43KwFMKzasBfuaTU7AxwaInQOrN4R
rUPCBJEdkD6rdYmMXucYi7hzMRMIAL099j4ouHtQ9Dn23i4O5GluYqw35W+I9wAvVaon/ijagvcn
oGjRHAEDGzXuA5jam+V7V3xEbSgAttO0SjqZ875IzU8B1oeWH2dO7kg5PfUwRGJU0iAOfeDRziue
gxleDkndwEKwWLg9ADaCTpY2AWHCh1iKZp84/HBf94oegsUW4ACeyILJHA15ML/4f+vC5saTRcZJ
orUk5PbaLJwIbVqieEjYiBQTA8k+zTHDwOsjZKYrnRh7MtFJmTrpzU7DH6rWRBXoNzKmoZzGSQQ6
I+2AVK0odw/gf+i4eEAR4sTtcEFsSoQiu130wURpKsb0cXNUBvB1BN6rZ3sGKQLr4veaAXPvUZgN
7tIu8ELiowvz79rKIEKT/d/POilzPy+K2xLdw1m9Qie9m/I0BP7sQL9cFtidVZF8FpgK8y5IUyPV
qZzQRExPgLkLZO0VsMVEPCVD+gNboKssFTMUI1pywgcbpa1LZwT1OArjkwwdMP9sxMWtqwMNHthC
FfJ2vbIjepc7mlgpbPk9cXbLfiKd3p+1zi5A8N6kSFAChaep1DXitkWv5yDVgDY/hP0I2bOR0A1J
L6cIB78dzqLh6q0hk2NB2dentlc2+RHGtSVNzuRBrTWm5OJ+8h4BH/Jr5IMnQha8sqcwSw3YQuiE
hC/sBrru5BAY4SAPM4EgzS9nnRWgv1ntFNBrDVYvKlFm56LPd8nmJVmXUXJ7qny7E4PzSpnietrS
qYE+2Rc3zSnrRq5aGKhO2pZh/MKMLSiXCxSaFNy6c59PsZE9klIqPfHYoRttCVgihdhd3XtWiXk9
2Zjm1JOnum4pOSlgNWi+saEb8lfwCkYViuAMriLVk01xpJRNQlg1OSqz5p+fPJWsv+OKsPTO6iwT
4sVrAZ6m22LFdHclcSzVzPpiNJVbqN5EjTuOJxBPlnP+lFEVMtI8zz84wziIkVpEzhAUgBxyUuvX
G0JKAAmhOs8P/+A0pA+xvwMqUiIpXi795xdTn5E9GE9HZPxgeslqbe8laG+p8kPUZ0+YXQMQwpkv
ydPWlH/bVqmOuRK3lcTa2muchRPJjslhZPb1p93L5OSKi4kHEQU3NFyYll+13vj8+hFa+DPHOo90
9UFWcR3NvPnB7l56wg1SbLsGrnDPE1PPVD49/aZWk94kyyLVzx5X3UWYyoqUrM1Q8MEVNI5FVzLu
4zD9NLnl7eoKSwXuoKJOeGJdnBV2pM5Wr1OXUTVyojEWMU2UXWDzilFgi3dBW7EFw2zMEdv44hRw
MG+gnySK/mrVk4pBxcCPKNAOcvMQhLZV1CiAlWrZ5gDfh2qesSkXi2aqpnMY/00lD4E9a/6mAj8e
XxnotghMcm1UadtT7LpJrVl/0JPpBg6zjvqtt0X69Dwoe+5MN7qJx3/ry7jUIcrrfXqdNgln34a7
8urFXbEw65BsiDihvjlEk0lq8DGXFT3fsx3MQcKTk9+7eDuBXLjT1v+NegJATfZn8jDvCLebXVIb
mjzX7vnzHf2GvMqH6VBDaeIOCJZi6ENS5F4/6FyqeDDted5P2mMvRNV+guPs7hQ21hqYj0PtqTNP
aGaGUQIq2S6wmlk2D33uC+P/a6dNahECYXhkc4GjlYgHFE3P5dG9MfidLPuyguUpL9rtsstl/NVA
GCJn5u0TP0X6HyvxVqWgvgwY/rrU7MDNbkLIL/BVQT4Y2zGTYmOE2Nph0EQDJOStZriVoRAEpToe
/QD9uN9kS5Zh6rLBPIWPH/LFiGR4eWOGn3k4cQa/KF7O8z1hVtbZ6Zlu/RzixsqPw8PdI/I0FZbr
0kX8OMC/S/8MqCWgTIpZ0S9g7QWlPIRAmrk0mRn1RWyN66F3jJQJmCfBV6hCN5AlBiBWStE8osMy
WloR0aDpue3vcCYQ24GxqOSL8iWlHtJ0fD9a4qfK+gbfNmIFNvXF7UqAR2Bwq9mLj6u5npXuAjCP
EoHd1s8riPsd1S864BF8GIcGmuj46VPEv3MIvmegyT/afxnl5vdWPnIppgfjJZYEnjhGy8sMH3HP
8CCov71bY1cr0NcWWpXrJqxHXMQwoCgk3b3r/m1f/aRjggkbasJu3lF/Lc+D86iKHL60w+tQyczb
joVdpu1QTjJQMTa45tdDcnEqgW/2nBxqNV0DqZ0MzOuL3HOv3WFRq2l9M2AH4DjMRPKW3GIi9ki5
Esq+YIyxDnNOxPrRFo67ta+O98AqqXO4YrOrHCT9izNXO9oRvaqKgJy8SkCt7tH00RDMZ+twshcX
IdIFqeKrQspaYyPNQLhjPyRZplwipQZsENOFd4y8B0jKGxB5fgqbAfp4UFXp8S6k3kta2NJnL3/v
863N3oVEf7C56giV4UzVYkW37qhLtueh7k3cVteVFgo1nVH5x3qr13X0io4ZbgcOPV76roYpca2O
ViUo1o8m5bqg9/X3mbLpkuveR0SUKjXqasPmZ2QHbBmj8SSpJ/7FWKA927LLW/TTR+/AKiQvr5Pf
hHclNJZoOf7ZF/nTT4E3ZVBj470scu+uk1XeZ8KJtkhuQZPfcyhpi+m6oyk5wcwzAT5mH9ZqaMB1
CrvnghlfgXElHdJefg9V4VPzYcevILUo8F+dwitbXHAPKr/CoVMsWygs3tN5QSPZYsPukPpLLrW2
vCB0ZWAC+PGv6l8yVxs0lCTAdWCL+SfZm9LEAR+225KWwOsUwDkRizwRVME5e1PofQ052crtu5BM
C9f1NPvtgFG0MKVYapGAMUyEXXQW+zPyZQ9PpfToEWnHmpFCBWeDtZmXnBHwY0Na3X8dB6S9yGXQ
Yogw6ubZdPtPjOPBs45sogpIYMzy06GpDQlzsnshKvx5HyfnSTH8dGP3M/13Lwj9980aTD10/lCC
3AS/89l47fpy9BonN5PP3gfUAajFZf10RFFzEXlyjK2BcBGCFnOa1klI1GIU5NZIp1ArxRb1mm/q
s5wIMfiJG0cTQZuGPGl9hJT//IXruLy0zlXKBSJq1mjErLYLRSPXARME7o7dPYQVN3VLzkoDVJ7C
EWSkyXTRhwNvN+5EYZAIJaMp/6lsRLAGDMyTzJA+TubH9V+Z9Y3ONwnMGASfs9bVP40q3MJPy9hl
M6hpB4WMrlqpzlLBBhdxlRDnQ3sDnNtqeyYMPNMqJggeVCGbBcjYL8OyvLi3uBdlrhvZra28qiWx
IkJY/YrMC2GQa7GGJE9voS+OCfnDNWSBsYAa1PkU+5JHalGCMb2Jg1LJOp1IV5ls70KLr5p/zfzz
lA6uvBPAclVeYAYS3QAtZvfzjrL1dv6WVGR76taqPy0AOzzlb5SgCMZ4AL7SgoNJn4v7qxnm0SZx
1cMoardJHjCrcOtp/arikCZlJak3Zbv1oNmsiXsfX+siW90QyCHEDd7XbbO/WdyX2kNM+mOgiiC+
2GDUUcua6rbxKewgXUmk34lB1Co8dc2M6NR1LqofdQUmTZtEDG/wZ3fXnXeMYVWu1FMYh3r6DlGK
eof8c0dtlueAW+IbhkOJ02umcaCTQxSYoX05R3YJPyxO2amj3MvaU4MW+9zqNd0tMXClNxfBaXhT
76KEFDV95Jr8NDfF5YnoPEH8vAk1XmhQ34JL9mGgnN0OeJRz2K9Dskcjl3sEBth0ks6GMh0k9yUJ
bnHQPw20aTa7W2gsIQP0hOy703N5W09XFESocWh13ql/fzxq8MD+NSjzK5TIqFf0LgTdI72qfKYK
m3Ybr8K2HCx+D/diPpCJBjfX9+962iytlgXoVcH6imYIpNP0u4lg9RY2uTbtNt/WSoOCZIcmgh79
KHmx2gXhSCSLyuUdXOs3NsBnk/BaLVV8Ae5Py6OrCR/yihxvS7l8tJN6BoITyZiTcxQsAN5+scXM
HdO/UGi7aJIWiWRn32tmMsBOnLa9eHnRzHzR1K+eiR1XDBlqBBJ0MIwRTE4pctx/63tWDrPyZn3z
qizAgh+FEnogXpZcq+7wpNDHNLVOqeu/0rOqeJAXtciyuNBh/AZj+s7/KrOVHV+wVdvcMJXeO+7z
zuazeLWNairkEzLek/cwPNhVR87M4ZLcCjlh0r/18YZYWZU/p/ZtklJBZmJfcb8lbdkHDId4bn2l
Jm80SxfG0t2ZPEOvht8hUZN6aX+iWk4D54MAgalOqcu55y7IG0jVXtnjcs12qgrRyY4qMa8y986J
WK0ZP8HKCCArHhjjM3Aee0NzJ9Dk0CTVZY4Qp4CsjgqVtcAt21bbKEvHPVVWtEcp7Z4p5Reuo/tC
JRlwxZt3CtE82Pn71HpqkrTd6rzg9fBfT96B+1ysUqLxPFWpy6Rw0PtkUCi9cN0t6v64AqIMk2l4
9qlCHTQ09X2Z90CnMDUcWPerCvQYRooUSzADwnhiraLFzFqyWvG3hFY44GND9+qsuX9K2pqN4Ofy
WrCqW49RpF/aqMrBmq1jQtg+GJGYLacrFxkFxw+k4FlBgbPy7HzkWjkSDcY3LhPDuuv0nn5EYQ8C
ZMLv/j1frfiQGWQg8eHYk1C7W2gBxj4ZcyBZWJAho5qvBlVcwMzptVxnstWDByGmhCGu9+4D2FFO
xr3sY896G/71wUpuufUWGBq8T4g34MNuzgOG8oUq7a+G/8wSbyMV1tf5vQTcf1Zp/X931YHcaOCa
MhCeVIm6sL7sVSDh+QtD4Sd1qazrFHu/0fQa0USNyWZqyW5++QdMKv4R1SArzTrHnQNujJL0I1Bo
d14S+JuNplFj7CO2apR/whoeafnaOzMVOW3BvWYSmDqUc+A9yAVdFxnf4XYCO9MSe5DRv1uZlnw3
1QunX10TsfwXAgY+/b1xGkT/fPlgm/BQ6SDNx8oeNLDs3DpWX5Q4iHbshUWW+WRuZkqE09lRRQSM
cHs8di9FrxsLPqB3ohAh7Fn5XPJOZAuaFwU2DCiaAcKwUTyyIsboSajBI1k8j9YsY8O3NEXgQAz0
wH3szsrzeP6csWL27cg9lETnZPo1Gj/Gj2yTkEZFA+Hh2GMjgGMuj85ruDl0b4Rh1NEFDESck09E
sMD2DIv39l7shuMGH49U99bRbzYO597vWF+tInKiXmChHvzHGlyA2bKpboNHMtzEHm2hFzbAyW8O
/aaNB44wChpcJxANMp22beOaU5GRsaqbgg4CMLFdZPCltOkfxsedjG3r1BzS46ZYXoyw9iPJ0pz6
J57tjvt1YLb3weBfNGnbGeobv3ZtWqnG68aL29XULbBlyGK+hmS+ouQTCE3U35lpPRSvRgO1CesT
lImztegPBuP/88ULJYeUUKJtnpjB6ZekFdt7LQKir38IOC3kPtNe0EYZRjB6vhyCyUiU0WYF1gox
ew+jCmRNK1cNkUqowx7MnjBedcxucTATkyAKYQvroYQOFRxX00Lux1G6F+Cd5d9HSmYsXQfVW8IX
9wURkjlWlYcvnxgMZQNFadd3FQm4Y9Emq3f3+FN+y356vDTJ9ABmFug7zuwKDVpbOsViRGuMh2Qz
vJu+6ZAjzHewKilr1TyqBXvejGvZ6l8xekCdeVCRRfabjiekCXjL6NIVXs5J7HuppW9OV9jxrLyU
OtX5XKgwvRwnHIchJy2bZ8aUthmzMOlWJbz0W1qFg4SaIVlvWi6Yquo3ebPMZie8hbosDg9K07hB
kSjoKuYS7Pf8w08aQkzo8AUeMcMnZOE6n9mUE8YbfdYhuj+6DE4ShIIj24Jpvi7jpiKp+CpJ2Ztu
O0ZPLBRDjWrgxAYdhqfxg3uQViIN76tdWCyvY9Y7C7SMqNgdhzlQzKAt/vpJqwgZ0+Uq1YDD9EXH
ByFkxkPvzGdR8ccrQiMnq3bhJwD47U6SFhgiJCEo5qlK91yAxsiGy0L3mQFv1UIQkZsVopRLnDFv
4lk3Q5XhPAWun00sUaNfwGfDUQkdx0ht06Ni4eCS+fqbry7RlqSMG0WkYmMMADS9b2jSRNwQyVur
du0NIeRRmkS9jlUwsOPb2/sZEw6siEQjwcouvNbeBkhuDaHngIrv2s83PIetDbznIe2HYQAcPcA8
6TPyqTzcSKX2GfBWCFtgXKURUWh0ubygc6KLVTVEx4Lhsvdc2NlSkMCc0z6awpNx1Ur91mFfu1hh
5GVTLns32arBD0/BH366Z05/YOuY55Qn62ENYv+sdtYPb2XtHzWVGAi13lARi503W14J/Vvok3I8
WH58RE+fgE91K/W0KQH+NwDAYO8WWkdexgug+87qbZSOYaYLj/e0oqrr8ItHjhVFNTBbPMHNxO2S
FlToNS2GqoFd0SKHWxcY4I0UAil6K1Fu9k90AGNdslZWCPi797omA9jS8m0dJzJnnfBUdxopy5/0
2dfvTpiVR7cnD8Loo3MBCo7/nTUCnbSzPWNwPdA8cjCwV9feolcrdyKyuL7q+IOAWdoDypOcref+
gmRKL1wnoyprTl8vCV3KHVaL9ZfDEcwhb3VAOAtYJIvYSafJ8CirQlwQEiEvhhdgMMM1wXvlbW6M
P+6rVTpqwPZoMS/hxc7ZpBcVPVH4pWH08WUJjW/DW7Q5fX8CbrvIy4rer1D3eZ2HLf1j3NIAkE6P
3sAHySQ48q/BgXm/jEX/opFHd01nVVYraMMD2NUhs+k9eKlL70YIV4WheT2EeUuHvr/yJGTbpsCe
y1Upmr6c2o8agPKo8JBuNONId5EAE2CbnpH5cNXkTIz69I8gHAId1U1+YH+WS9IxKDal2Dg2mkaw
ZHRHKMyILv6tVOLDVpHQspv0gaBxrVGGM7sx62pifGkeu4oKcZDcYQlSp02KCCSNyl0/cl3H48lg
bqOs2a7vvyhxFjqml7SZAayVZGhOJBX1GHkFPOz/Pi7hVBjtLAknCJpOc/edjUKxM/hORCrpAzwu
nRTHYlV5te9eEb9VjynPI3EvOiaIb75GXALt/+9fiiP8guSSdzMYpXbKJN8Kdu3ewakGccYSez2A
c5KlXCF+nm27BKdm+whmDcAkadD/wRAmfo1sBxep5UJ5tXKYXOoORywAsG8LT4Rp8B6C+3ID0YSd
Xt6hQsSvjAxYRPk/DjPrd0+q3WL3X4nvrzPy9ma8PBIsSWeIr0TBLyAiTHjL9J3ejOnpBZ7LQrRA
84ML82mXdNXBsN7pBWcCVIvYsmRlLu6474WFK7I6F+ToF0In71u7HJlCTZRD+JY/SHuUPSUfmezB
FgK73rLRqf6UVdFOsu2LUmkrb2bAuyxIyFp7MkKWCwKjs4LangvmoB5da6DLvk/XZeWNhJrGo4Gz
hC/zO6AP/6miSXaUPbU69wIVaGmIjTQ6FPndUs2In33FdCxE2WTEFn6uz+dMCLuqsidwGrgMrxzR
jgNTIZfsTRcd7yflRe4KlxVVxjjf17x17MANJBiQPGf3/7m0k2LxtTxGxUFMSa93Mr/ARqMKKWcY
UFED4ej3R1DpxK/vv1xxpaWELX20licMwjmjCh7Zq8KqCt3czz37hLFMZcv52U2iyf9BFWIOk/3R
pmtCmvgL8RnjpfBvCby4xb4yf8klSIrf4AgVTYPKq9XL2ZQ0TL6XpnMEHsDtEYbKP0Rr/9zp6NsN
0cP0P5mqHx9tpOYZJG/TDZRzDutUEKEHxNDS3+ryOdtUuewc1jWxUSRoiojV5bO3E11KDbRl1u6e
Qoqh1wuIKppp+Ler0eQsj69k+cNzW3UAh3K8xpYKkI4AWncI4Omhbkz007J2YLdOUidDGyVJWRVR
WGuVJVeQNuX/mjGyXrZVTJf71+OPos4tAKq6RwZLU88Vv/ZaUNBW5v71YFCXD0/ksXF0RHEaJDH6
n87TUotoSHmqkAa4s5vZFE4oLB1yKl/OdRugI0jO0vRW6laiJ47ROxsL/bB8HrgFWh4X0uylT397
NrtO/VrSKbolAuXaDu73dveMlzgrc2+SQRlAsEVcEaZIdOKBU7z4bBGBQ1y3XvamiTy1E+OdW2gL
+oAanBX+WtACEtwgy+WIwyVt0rttiwFHnJjrk+QQV+JmbT4sRCMAFpKzAo65lLmtjwVsiWYto3Rm
h4nuvb5EQVpny92gYQwhz/FMAQ/6+LFly2MfFzL15UO75RXsLOFWVXLGmzvWdDxBTlBt2Xozmcws
3EKrGaHjv0eNdxn7YB4Cjkmo7QUkmB7ciQzPd8Ny10ci/3T5dL1LE6DwEWKx4fyPqV2utG/SBQAd
GfUyWfUNqXU/zpW46GBb3TaPM8GjwfDoKXB/8nNbZWZRAL1O68dY9WEry8GyKRpA7pzE5x13Buhh
RXNZrTyjReJFb3FRiBMpYkv1jIVLso1G3uVi1vN6VSPWRx5xt8WnKK3rDqWCwDCmPl/vLorTT25H
UcOriztmm0QyEi52/Mojl4L4UFn+sGEDnVpQ2f/iKflT4LWZ54IBQ/0r7lBS3wKRW1FTZNBT5qVz
nI/zRrj4icHOtagEVLb52KVgNdB0OuTDRA+0dDq+ilVQhaR21DVEllpOsmEHPGnkHilBAC9fRRpf
lq51JSNK4P3tn+5/KYlcJ6jyoCqJLjqmEa53p6S+dWUErukZMYKnr+K2OcgNHf0FTpmWCwMbjI0b
dOLYFAtrbkneXJ+zHuFp45UErdCPAJwRjMmCdfH6I6+OmOLEL4iSrO5kn7rNbGUhfy8kqF0Y9ehr
6aH3O99wWF9KlrFtBsrRNajBFOsK8XtsTkcJgDY2aTdb+1fQRvKHjOE50p6WqyR7+mLTKeFB4b0Z
uyN1Cwa2JVXvAYx0ZW5PCrbvcf7GhQN1SRjUQeIupSguXk+dgH5kLWijSISr5tiMxugpTjtIoDd9
gpa6FrkHU8t3cPhWKMu/rGflszYlW9KBjTG3hFAqufUQ/5vTAybBubwmEMOMAcICD83Dkxmsmp+E
CjWBEHzXAMfztDntSQDXWw3g7mQK/gvXQ7+FtuTuG4gTdN2usIWkg5pt1LmQstz5r5NGzsoGQIvm
xNsXS5h8pQsv2lh4CpYI4UAWrRl/9gFW6+xszzDboMfEk1NZSxj+ZOPqxyX319f82ia7oadQ3OyE
Gc2OMNeqobCy1c/85MxjNG5kqlbEPv3L5jQUqrdjUmgIN3CwJG3XPCfwVBXhwEK6spHu27idJEaP
NiMJ1WUK/o9np30yKMviH5FXG8EbByiefG3o/gdf97vALgXnf28Cy1yHUt0mv/VeNRYSfahPaRUM
8XdXtdKg+hXGC4AjsHorL2156OwCDE/y0j+VZqVefZrQcWbQnMzJAtfAytTyK++d4T6wubwtwobp
dAt7y0iNuhfj0gKyk38LDQ6owfydZDqqTOqQ5f5toMzWVnH3csrFgBRk5qhODw3VjCxi/yJgkwg5
WNpvBWILIJey8/wgpLkue3uI3T0n/Tcbyg4yoJ37d2s8y4XKwJdI8uBRvzo7d9MxTQeG/NIsSvVB
IrBgAxiksmWFVIdXpBSccoEPNxnmcKWwRaNqUbJGNIAkdPZqxqeJhUOCr+4Tc6DRvmUX6UX9o9ZD
vLxr2377V9ScRX7g2kYFs5kX3MZhwbx5OoQHfPGWf86MJg0TrGDlfTZpzDFJRLa+Pu2tuGYgsDrA
FyR3H0vZAD/hhmEpdiT+yhAKBswu8vdxG823iCb9K279seh0s2/M7ZiLVMk8n3ogKkhIV9xRGldH
E5VS47HTT1gQJvXxlxOoi6e557/YBmVN8uw1FNpS0cn4tWPmdG9vQFp7UwDFVnFUKCQZbjdwAcuu
nQ7EmKNzCVPr3Uarz5rSZ384/ZEG87+IftWwF3x5VPQFuqjua1gCPktYstPjrGfuckm9YMw9XzZU
AuWdyRz0ODh1w4upkPIb1X/uFhQTQJYRXrruqWno0su/Y9HAGTM4FSWbevHdeVHSd+13/KnSC+ui
F4f3iQeyw9NQBi1/OAy1RLYs4eJS39XNWwc1RnSvUZP+xAkW19eGkYZQ5Z20cbeT3scPfXP8iyYn
dl7wABec3dVAR+sEczctWzU1jGlxwXkY49r40yB11Uvis83BAQBIxOArAiBR5IVlASqpX84XT/5/
uzj6TfwG1Kh9RLM64p3DZOHgYWAJQnrJ2a/MwlevRQoTkU5cEi7Mdg3oV58PZKt7hTrDRpsqcg5x
b9ay2Y5lHooBtyNgjNO2QfmvArUZxd7IwFHeiPdMds2h+zFJ+f/wiJWJcAKvIrUPMW/a36IbrB5i
8oHwownzmKcKsGgZUOhNF3ryFAEFjZwqCycxhdYEd+6DnkUlt0854xHadU8gBSM/HREImii+ElYL
8PCZaWpi8+iQTtKiozRtOyDSG36GWh1PmChqgSg4n+fUDqmD6qIbQek0J1G5AYtXYrT2WRC+4hGg
EMGnaOuN6MC8XjTocx3uS7Lx9Ys+MkjbQkR4keMa1QL2svouuLlcTkyEsyqYOzWWal/KiGTBaUhi
9k/QsEcJA5nQZ7QURQriJ3fjHry2N9vP7Y/7oXy5T2lZ3stgsgMYb7vIglX6L9zJQ6Kb6wraMuNT
/aRXuX2cm7G+TV+iwzMFtHj7sW4QO3N6adMdlM+D+AThOCT8inK9muUEU6JLUBrhP8Drr2UqK/0C
pomdNSwZf4l64nIiXFPRNxzYsLt2YiemZ15/37e6YakQUN2KYzaadBY89UFtrNCz84PdNKcbWfeB
tyiRx+hVIPgBZoJESMI0An1NbWKJG4St6GL+jTIBPHVBo8eacBTvu0edpY5Vwn+sqZ32VFQASHbl
DfvpnhPbF2vQMCcBmjGRGDMVKkq6kulM9t3KPCa6s2X8Atki+cz6xPdVb8s7Nz0MkLyBQRW8btnD
ebIKmh7D+kW6qBb5bDNJ74ZWDLLG5vckP5IIs+8SdKN9xvyvEw6aZh6SscXJviSV2YUMkv/hpMN/
DAUV7Sm5EecewQV1c/oaoSbVM9d9ktQ+reCaahK+PajlccnItDTSIzjBIy5IoHw2xKbaPi1jXx9+
IwF1ehRwiHJsjDLNNW11ghrCr6FhdABwBunOA6EKljA8CmrLVa/qei4y2jYk8gtMyttw8hkJr2KE
WiP+pKabZz7VZGgM3o3XQpACI8EF1aAvZ1tNsZf5GN35Mc1bhclP1W8f+thpk7GwI9NSUhI/5HZ6
E+vTdMhaUQnTf6vHU04fUuKQWuoas9xbglmIN0VfxATL+l6dXw5Zp27PjLBUhrhFlW9Iy5bitPgC
6KUhfBgTn6lCGzXD4N3u3Efh4sw2oBqPnjbncr4jD80XlQt05GHT6avXWL5gNEvsEKpTjSIklxRe
bkpxdKZp2n8nbHivF6xTGBo1CSHZy7jfQUTpIQdYtG8e5VD7c2i+SlcPhysavAPi6wBVubSbyD+R
Q5p1pjpdhOM8YfAo/wwh6kqw7ln80o69PVHaBzcqguMvwPyYZEiSFpt4RNRb6KWu7KGoJssa5oK6
PaJmG4prFAee/ri7dfG+7ejmeJ9N2dFF8jTDJNTX4Ht1lVdb1DMp4cDf59mJL2CpEYRBQq8oXEQl
j94j84vLvwkilyX0cznOqvj2E8eiFcIOgsCKxHVNZ6xwCidEiaRakPkXPipzlOVJmcrf9GjQOx77
Ye4LEbS0ju+aWQ9vgUKpg/i/U1446BEZPp8vO2UIyOqjQfnxdM/A4CjhLNyhUM2Q1e/B4RF0g18S
QNDSKendz52ttBLL+j19Fr6XHvr7UA3NiUWXxb62I349ATwU2dc8QUaUpoh7y6iOidNl2DacJLRX
EjheRoKMGenJbehLn5R7TV3DlZxnw7tuvbLyQ57nkFIq7FIFCOjCtWv26Eh+QxLEIzVoe8CJJa3F
muOZQTHOsRyvzQnNwFbYOtdSrs4gBGdUUsr0VONOYdL/vDtbC8n8kP7BAYmsEy5wRalx0Lp7knbX
3Z0VRt3qyKNq6jDBYIFN166c0Koe3GlzQmsEUXb2UzweVmDhA6iPQ4Zdqml163/kohY0l+B8COYc
YZg2W9L4a9qqwMQ5wI3+QSI2d25eLvwc+5m0qKl9AUNOzXTIvHH/8sl3aG2CxhHGjJkjkUAAj8kC
njCixz1aHcyH3taO4GgVG6TDVFjjMXTeaJSRB5JF1959DLdtkAgXU58Sy352FdkTqoQXwu87U0dx
jURxLvSgAhfOYbIb4P3ciBAPtMKFwNoWNRUomhwJ15PTQm7LdIGqtN7fSciTtkMsoYOuyCEArUq3
/fWzKNlZ0G6+XYWguHo6dfjYDvp3ZHNO/5uQwts7PTr3siDxMeNwSvz4ThHvO89+sqzUvJjW0W+u
gUGUkI8j5hzoBsKnYYcLY5g/vNFJziszU5CokTF4ndAi9a0Vd+Fnsy6pYXX3DNbpqIVgHAXEffCj
evxmzgSPWOpdUYUufCcofw943z+vaWsY1JfiZtSc05ItO/aZzOF1XKLirS/Su8IQob3mqf+pUNtq
Vult9j2EDyVPhr1O7mzTR/pDhTlsGwFYlUkiXNnh0TQ0R/jgFmhLqNdfxvozABEamwEGYwZDnnsa
/GzjXyctALFX4TGS6UhsJxHN4/jV/rt6F4xnsqt53wOUZnsrgDacDpqEnOPt1kNG8SXsd4Etm+Oe
ClqWBvDI73sH7YLgC9rAcolNdeZrCaTulQc7ZPBLFwsPjgwWGb50oroQzKIZXTABC/6I3QWX8vdh
lODkCJmhHqCWTTLRYB7A8/nlD/qfPna/h+wwAMK7uEwwuaHXaPr0nifqkoqXmHGIAInn6zt8FCsp
VN4vcsl3f7TuvnYUHLhGA0ViVcye8aayovQ4Idn4sfChU9C0Wslxz2ka7fkuZnFL45CO0RPAS6Mj
mYwKwFfjTP4ZStCxsBHlsI4aJuVZfH2Dw+aQDRQ4ljOIaamqcWs9CQTeyjH37MA7vGXBEYetcZSC
v8yZGJgkoKghzhrPMdVJuRI7iLq703/DtEeevrs18I5PiggytvSb1wJh7JjjryiMUfQR7S+1rHU8
sDnxvQq1CxaCoV5qHpk5JRvGnuv+hSrK2VRMCwIJ536iotIaLhzGXpn6kntfKPyGAVaM5HyZraKS
tJH0YMvl9/QnSWF6S0Q9FFsoTLMSvKGOtlm36NrtY9IWXrikkDJ5qmGHhRDsu70FuoRu9sCVmGGu
hTHgR68+onAgjBhm4RDSWgdaLQczhIDvc/EcPsV/UFwYIPzX3dtKYE/Da7ea0zt5ZUv7D4ueKUcF
4nCYxrl1R6RX1rern2q8HroBuBc4jLVNEEyx/AzVhrZKBYQTxdQvET6ZvIQ5xM7QLASv5sGzasJJ
YFHWB8kkGY9KGbXNFeeDdBm9JmOlMxmxRcNBhgUOFNtsX3LfsqDf8pxMMx8HGcTnctc4yQ1Mv4xt
YCB6ckCYWVWjL/BzmsNz65wT9HH9XQPYit36gYqp11h4C43wX4KQEQF69/A90agBYqs/04d5bm04
/vba9IQbYhVuYXlsIxM0tWIHsdgAS5+wQDs1hUqDYOsIxI2/nnVgaakQd00aPZmyD2hG1YU/5JcQ
NSNjMZT8L2aOkQV1DpCV5A69nmEPSOWtgY8/mW53V2tC9CUR7RcSRQJVASzG9CtrxKPOg8cEYHMr
8q1pZ5rNWmGPKzk6cA92rwwva1VEfnmFKZiTk2hQELScEUUXGh5kwZfAuMgnj5wpc5Avi9Xm5yQS
TCsydoeGR4RxAZDf1YBbkakzi6UFTDfnYPCtxcTSrZ9vfUR3uu+OCaLqx1yEoJn9fn0FqRnQ5ELr
xHcm0G48b93csp4ZmLp2AKK42sEoaMbKV4m0mbHJCyUY2DK1L/a0tRF7h4GTg0IA/Yr4OKalzoIG
rqGoZEjPRL+t1KmZzF5rI2I4E2DvhxmP11MtCEUC6xlYUigh88BXgLdF/u2Wbx9IxPLOCEWhT9cO
KgbClLKw/lHhzIXv6Ub9wx5mRXbw3tON9sjpcXey53kNHWRA98m/S1ORvicufhlWb0C+28h0/Plg
oJ/BkqEYq1F7Rf9Sbda57JoiaRF4mfg/1be9IEkK+kUuE/IUmYE3RvwiOhhFOapo4gpB1Ywu+44t
6IWaaU0DCm+lm6h1MwssBYMjFWt5DaLKC5isJVDly3nTulJ72Ein8YloDWJzj5QVfRGJYqZApzxt
m/NYuUJijVUSN5aeBDHboJkGkE8A5Mfa2+SaCpWbGFsTcBYu7Z1URMnD3844N6L2ATFKQbhmN4bd
b4137SX3qKZh3MxjJV1DSIPIZUr5EskOnTfnnP3XyVl++eO9odqcdS8HY/W0g0wRlyrvzn5TTRbL
BaTREzC4T2tP6WIRbjTwiQuBKc9dZCC+lh4BAeAq/IvQ4LkdTXpyfxI2wVIM7owhXEC6Wano7spr
U67HurC5UROgR0AamUYYOXHFCZDsNQOkH8yEUO2AIOsw+3qGDjwg2WtojVKsLFV8pXfO5mDvw74w
5k/wWIDE+R1A5BvUvvRPyVXqw3kNtTE8Kt8nkhV1+o4QuBjBAFOTVmbC+fQqeSwqCgB2ujteUQNy
nJ2DgPX+DS+Nh+qC2LsnSqHYuiqsMby1A5UVVpQOI1O/y0/AP3foqz+kMIg6qBJO3g4zB+Iq/839
5yRYhUBoshBfPpABeUP7hWnHLUK+kLEekzeAyQHmD/HjsHPNXDo/Dge6w1ZsZ2iGDwUpooCZLse1
bA3DTDxaQ/sJMOK4V4f9GkVuXwBfLyHBlXpaP0T2GqvAsySmhMYY55dV6ncqts/O/0tMUoQVfnPp
mR7bcxdbIKBo310K8qPk5UFnUKfjQmxtWRYGUpqVKuBjRd65BTyQq9XYV6pUsB0yE/kkC5Pm58pp
mg1VstTW6mB98FaOLd1ajtPPNU1NvnIyvGP/rFrTjLLh2PgO/IDS/fLbL/QdL4JBjnR/RzTSCshX
aEx75XYN3Q0KTwFmq0U2LtzLABp+NHOZNUWP4z+l6doX+Lj18u3g/00X4/NOIctlYxR31BO4+5pB
/ucvT55bFVTvrcf18TKsr+fDitulIV31bXQJpa1HXAh3YbFEtyCFO4CDPPf4NjyS8uGy19mnhlN3
dKdcG/ovO7KQ3o5+biiAxsFwAck8j8XshHRSzDW7nZlQJqha4IbKXd976NwfiJc4iyYLlpVRlR49
+X32NYLtT0/Drw/WdoK6prnheWe5KVMkg7qM2/MSo+81I6IXqWIXQlgI7/CpmwFgDCdDMFwupe1d
CgFPPun8DMWNoIdNmNWe5kdNVnsaxmPQbmbOUN+U+ytI2K/RZE1Pp/Xp+6VaVgI/anDzgXQEso2q
rhuNFd89LQ6/k3r/51eSkdXCKrNA1/QDtbJ5Q7MKTOtFtRUANI+yoYoAsWDQD/rADl5E8Tu14fnW
nlL5cEmaOqnMtC24l/0DoEQA1E5WXtbUlR7dJJdWbNfbOwQHbPoRMfOEhQT5CmamhbycKB793DcH
thydN1lQdSb7x3aR9CToCPqbv2ZhzVsupCpIEl4tCA5qOjaSEeBLpW9+PZ1CGLEZc7azhsolzU36
+RVoKyKSqRaWc3gsrOSy8DOkxjOsbCefcUoTk94tSjIm54LY5xrRMCANwOLWAFCS25BiG3+PgraC
WV7snojExVNBqp8v0nuxVi/3dl+Hn6d01Kkz0SvilbbUTy/oEytHhN38dAYNzaQjTJw68RlxYNNs
HNpU4rsA5Sa3qoBuzBWdCd8S1f12xfM0Nm+XjcdyoRDLcL7r1x7DkZLKGdF03Aa9W2pmNOJwgzm1
hixL/VX5l/KlVusB83PsmLhxEw8pgb84q8Mfkz/rHGwwC5GifUvupjw+FMgtboc9ZYvGalH45xhg
ETCtqRdUH/UQZ/cmvc5QgTxnNr0AAOf5yoTbDC0x7ahHu/DOQlstKamHDshBpXVLzPYX/FHtLz01
dmkH0NXGfSkLoP0h8wcUG3YAkVrl+2qPwa4TH1uMhBTkwN5QXe9pJ8w+9LFOhptvantR8MGoQX0K
4pf9awHwld8K7wlx8WJLVCx/GVP4Aw5ddKj487VU48j0l6bq1ZXGVRsKDl532mteTAB8QieR9J0s
ANw9p30SwSZKFqnRGm/3uxMdACuQrUzsBP1CPZ+dHk7RChH89azv8IvAlznblrNvb84t1D6wuUOL
EXG+MoU219G0B4svnStvJz0FDiL9j80hYOs35RFDUJFPTBX2jICVpHqRIdqXgpeyiwic8yHE0cq5
s6OjKFL8Oh41f0nqfn3XSNflFuJuH1SDvvtzvC4BQ1yFGxvfh6aTWCV+wJ0gFaVZvNc3GRdX3RF6
IY7Ck46fbGve/M6eZLSBRIoZzDl20578PpuPCjPYwL485tXwL2Gt5LDuuSAzHhIR04uMycqA11UU
F+C5PmOgBbfAgzg2GT2Zpc+F0Icjf07B0wyacpwEPwUtp76tH6PqRXhP/c7PRHa3QD+9wbxER98g
mq21g2GY3WrKMpevvxCYv2mThvjfkjVfoUueSu1R0djk4MY5+ZkVqgpoJpGku+YqcBmwd3COjMUH
m38F5o4iV5t4ejX4MYcyK4idLlA5ect8r9iW/xCYSLwlTPgECnFeKB0WbUkWcwsn+013/ZdVPiY8
IiUoV+hgNGizRVgKLa7u63Pjh4X4xrCdoup79Cj2IW6OBTyF0r+0BF7LYwYhGzur4mB9+aZDh80f
zH3tx2wVnKWWGOS7cHve20QifOZem6UF7FTRODqzzWAlj0PSNQuUsD2uh/P0QCTay2a4AUEJTrs6
WzgBqiE/a/kyfoDVPH9ZLc/rJzoQbDhv+bV/3ykFM8L2LNeLw6Ja4+r/3ZWi//xXcSSCImSSA3Md
lAd8hdNlVcldVG3vkGNwuP/G7G9v6aMJXjVcFmZiqhBbAN3h5ef01nMyTh6G447wTZTeLGwA0Y6Y
9oaXti3E+zgtQZMgSkQrTEQbj+qG5qc1PJEJjcToGcPcDWpM5YopvWwx2pVKKihLg4a6JEfurY9a
9xhvUL4GEApeYVrK6nQotnrQxvnDGOIF9nRmpOPNqG7V2/e/E/0DsmxrYI3DG5c0hXNj7bd6vg98
wd+Ba4sxQPSWLQv1h+v11EV3lRyyL0hF7gsIonKDnJ4KZ36vjbRAfs2wBpOSbiyuTITddZIlNQFq
aGc/Ph8kFigwgu2RsY2W/t9kT3Erjjsac8Wpj7hL2+/aFg2yHAwzNEndqJb/lRT/thiX1vvmt5EC
hCuC99MFHNv7X1h0YpcaqSWqKTJ/rPk6BDhV/eKZE08EHE4ltD4+fuD+UzaGmLTKin3cOmk1xgxS
wJX3FkwCLZu3AWfaiLbZ7hATLjppRkvAyfx2SIOaDKn4GQyzMRPp28J6xfkM5haV+LKvCVNiMCxO
70QL9phe6MFhGDB7bHvHAN8EAJRyU8npSt40I17yVqxZRqJEPULy+wkDG1uIKBBwlAZPcyyBGDEw
aEhdZyOQabugodJuK5k7pqjICUAMkQYFYEZAVmbqcaaLntwSXKn2tYw31EqHoSdhI4MLV5RWkrSb
bH/G9Wxu16wo7FreBbzU7DY6QQDaep06YhUgDBImSJDMcjqnWoH2ZUNmGkYtPkqeZAhU6Z2wdT3D
SNbE2qU/eZre7S2odx9dw9tvCLzyxp1A33i8kTcD/tHXbfkF1rQ1wrWpFkOLMQgZzZdkZ67MkUO2
mArjpWWy9ctdMh/8o6J/IW7j4ib1407e4T8V91rr2VdzE8I+Z7qt2QCXgV4JLlEmNIY6NtlQlTjz
w7KppRb69ojqkse9welGMBkUhqqCT2Xn/Ebs/KoUO0QUdHi0zsCjOvlBYsNUMVoCKrZmjwXokDm6
nkVMzk23H32+zTOapYvPscE3Sy9wmKoxkmegMHFGd4leCXEyxLIsKrZrNatXHKo7EHHA+7JWJr42
wlnvKzAPjZYjpVPErI53HXQTfrllgIPmlZzubWFwFf3LLGxMPQImEsgEghZfgPJ+xzZbY75CEwKg
nzDSHDqftSvUCydSYh8yJzY9gNKhjGYgfGb0ZXpeu7MPsfcxZqbuCr8muExFnetXTXgAHGdbm9/w
b2jam0L9m1zw+kK4UpJuejRPD3QDHmi1qGebZzcLaZ8FSmTWHH2eW/9wvb9Qpd41nKF2zTREAE3I
jAh19Q8Q9PVXRABZw6IcYTFLFDtnShu7P81N9WFJN7UYelVZzECMmDlHGRQHDpcD1LG6u4HisP7G
4TKgzqMuNREhaMsYXTtD6gqhfFY8oHvgHaWc0amk+Suy992U8JVNmPr3eyqIm1AlgeG50EGpU4jf
5KUKMuY3uqITb+a1KAF7Rl6Lk1nT0O0KQQr6klIO6LvDatX5+pidOsE7hz0/s9azexXH5fuQLB2B
UhQERtdJot8itXfto2TUHx2vhxo67lXITI8AEq8IXQxlGQ5gQ6qT8gTcgVa4HKr0sHFEqPfg4VtP
MLDcD7a+LnpGxA0elhFTtyTwr+fzvlvCuo/NZyB1O/A5CRZGN46ziXVqOjXjEKT2dmw9BF79BWRH
KxkNOirA8E3MPd+1Zq7p9PRqmy2XUhnfCraUx3fr6HnTEGRdhpc8ohDR0s8vdE9r+c/k8paRv922
VU/6f+y5xwOJWSGB0G6RJ9Xw0uj3FuGM57n3Ul3YDtSjzDEIati28G5shvHF1Oq3OZO/jps549GT
vZaa3sKxt77vgDhqlLCKtqH3lkQH6lmN6Dg//0UBgUb61FFF8L9RuTjPtRDdXPfXn/jfJbvzKGKh
4DSjUNYBZYbLhEg3+nB5DvOrXl65L1O8gXb5YiX7toz0DJh4MswhmqPNQfqc0ZTYy7WRTJFOaNTx
nsRZ2acXUZM36JYnpovp061WOJXr/3xSfo8kkJ7mKIBXqVbtK9aPNjSfL9fNQ8UABdB9BwIYpF4Y
b/D4YYIdUrXuTgzXlr5aPLUWW5tSz1y9nJ9B/nTXlCbk2CTeQVOlc7DQyQgN0bQM+2z4tk8gyxsI
hHs2CViv3WttRxwCPm2bBgcZpAw71EoTU0mbgl1aQ3mQcgdSQ8zJMQTw35s90OZ3v+b7GvbDSg/Z
aJ5YQPvFUQVYXlFz7qqrVK5XeHPxEBp7vMgH5xejHcFCwl0CWbqUwyI0n8xhavq1rAaBdClRqUAN
Ioi/2f9xWT4fgYXtQm6gF1DpNOInBY3Mqe95krduLOsLwqd/9Yb9xMNwfLFcBwGRO0SWX9a5evTB
brRaBmzzB74HTVqKf7zoarwDoQULLlPEN8RFkb+YO0TA5vQbs3vW8WRmwgmmWr6FgLHgDxhdyhQt
eYT7pS73lwLLHe0LtBm0rIZUuyA6n0F6Yw3N7VPlSZTQb6vlVBfCctmB50fGm5wcKMqFkNSp9lwh
No18r0gJlJDOVVZ6fGsh8VH+mCGIs7rfQnJYvH5CBa0VsxWz9R8lT1c/J3m6SSijTRAhmz814Hl3
r6KDCXYm1aPUerpbA91tyn5GPsmsj9ogyInXCMtLwUX7d+OCuns7+nFvD3aKjdIOCykyLhM4Gmq2
qnMNtyBFYinICpYlEHXdFu27CE2J7daVRE1bt0VglN5grnl6o5qkyNSsGDr5+LIqo0lX4igwt8jX
U5g55vZijEtTuZYtjhXpEAr+/Fg7xj3w07GxB9lOW6QmGrEbbdY81ouVs2WX3kE3huJs30zPUKSf
t1QPcSDxKynDunMA5+29errMT/CWBQ1VGXuwHJxRfBkYlI4k6ayTZwCs+GT1owvMEJM9D9qsoTAc
1coNqUENAZ4C3gLp8zDseOlqqKg+rtUrDG+1wwrB3cQd70Ydb6c8c9plRxJy1VbTgClz5Vc8i2PP
TAvjPzXtvyd3MOuBWfLTaYFJfVGByEJMAKkYO/nfSiEH/mdJ+wVTDYi1R9TzQHCJYFjedZ0MDSHb
3Zl0DgdNfv7WwX8gvj2r9jDK0CDkAQjMjdB9MotM18zaDv9g/UI9hKk44JcvCklGgU8C6LnxB4+x
1nIfw5KA9DA7CWFY2ze82eidCc76iod1Z/wLNPuB7Q9S5002wivNigs1gifcT7V4t5yp0FU2V1Ub
xlVr++TNBTlh7bW70W+KPJyucIBJlwTTmokLqry843hdUiuPZY2Tc6aRpXa3Y1ytsbd+n3zchE73
Lapi5REsXDtJ2ZCtKg7HR2s0cvJ92xLC5llg0hCNIfFueEHoCLUG+4VO1lSdQI9g8lC+TQlVkKi4
PyvvUHSTkjlMb+vFXsVLmq3ub0Y1x5QtHF8nSEMhPT7wEjOA9RZT+wUfVhSfqChO7x9IG5mMZ6nf
Z+TVUlIbOnIitGLkaUtNkChuSggaGaMWbOKZP8hvGp+4FnSjAv+mFLbA+6C2KoykU4Dyw1n9WcPe
NtzEYvzF4GgojvKL/Z8PrnTfEdPvVEnGm2MPXyiPhdqMZcSYiEk0THfleMyO/LdNoO1BS1petTtJ
imAlQbK7qn8tr7rxCPe1UYfsl7FjcldRR3Iw0w4hQK0C1xufi/AoOeXRS8S3aSo0lcwk54VN23r8
Kv9BS4ScB7rfbuygAMFjJTiYXmKqSpoKG71XbuSSfkVjQDV0M9dXZKEhUN25A4RpTEc8zRRDpPIe
xt4UxApHp4FO/SThmuQU4qulmhVeq9LIQ4ts+99zdB2yHVZU/L66tLKiwgaqvBc8+bC+d46PhFDt
knbVs3eVn0Icjes87pETKUnAyWZTx/lAR493S39oMCK/5SSdi7dVlIYCkvQjkKhY04IQftnzlFLA
Y+RC1zjS7W/R+189CIO/Cf8v6eu5ZSRQixUBI2YsNVktp+hrwwOzq78W9C7HR45jXYtwuWqV7VOz
4o/liWtKQWfx3NkAMIs7Y69swtVQMmbWU+O2la1lLMnLpEWzzdFVRRzO/pqnaEIAVisvc1ViTkvF
0LZvWrPxaYRksq3UEI0jPrjgrjIsAxUkEyJyPy44zP8F8OCwmVIoL0p5JrsyDbJchFrzSLeBOnZJ
3ubXAVJ+YK7xv8/BjU+YlSNcZTW+82o3o/7vI5wkoCxn+FzZAumfLkA5XX75F9TciBEdwCSPLhtD
CmxfMkWSZ4rNEpfT68aiZbcZZ7gmIH522zgs5pYfIbqpKlqYgFn2klVntGfrIG53p3BQxNPrk3op
Wt+g/QShxeaNtF32r8m5pJtbnIN8QG8rKpMNVKVfDSl3Xb70gblqLUa4mA1jXDyJeXmWU+lmE9Wh
JdAU/od8LR9UFHCmWlFywNoswbj+bCqteFyLhWTDOklnd2RhNddWaV/0RH/mLgKD7XAMLKNzcF0C
RtpTpXgiXCYmKamIsui1a0i5L5zCJSu5MZ9arz5az8m/yzFTiaOdhT4h+E31Bz6CRFURVo21tsGD
rqAnjuX9CU8JTYGzWMf71aR+9vn7NwVMCMxaRtYN3zUBLvDkquo8VgQSUE+3JuL3wPdWbDf/Zy+W
cfvumdxuLLdk6qIJoFItuf76DCZncReK5XzLLiIdVJkiTbJqBkz+bpdnV/8cSMUPO13ELzKQmfnR
MaHy7VtBmgrt1WGe/eXWoePlOxs+n9Q4qxSIcnK6nXmnj5Mcdn70cz5sq/57B5iMLIqzoF5WWEOW
CXSteWKbumQSZ9RUi6Y8kaHXoX9dRN1uuHYgrkADaAWqfLkI0C9Sc2A3J35eg6DlPIphA10ORW5b
81uO10DNgFBRGKeq4gliqY7tg5Io1cS+jYmnFV9VnqTGG3FARwNp/fwnAgPuoaNlnNaeJNSdneJx
R9ZAdb/stnM3rvTJY7uZQ5YbL3oTahfFkybBb4QhuILrYToGZmwpGPF90THcjD9IYfYdPZSEbLOa
TuIPS7VFNrQtok6/B0B3+1mwGkP6YeTAwEMqfvAEqxEgKTUjFXSup6NR1BAiTvNLHTcc4V0HjIY+
OVXPg0+aN2iCXY4XDocvcPRQnc5H2k+1LsKKZhgY6LJFftL15KY0dwl5v8eO0j244+rrcrXNq1gQ
jQJgFi4KOmcsrhaAgIOLX3Oyj5AaSsv5hR+56rf05wOnv8wMb1mA5ZgXoioaan6/lp8eFLhk4ZKH
jTaJkMXaKZqUQsdEZniwXvODMqJtaRmHox77BWQkuanOdNWkPVSUfBd6Pm8OQmjNQ6PjPVNNygWo
GFdmqphFOspe1orT12ux6T9QcgaD0145KI5574K+JSbso3d5VnU6DVyN9kXe6zZNGEaYM65YeI03
FLndxS45Hf/5F7yPt/7X2Bjkp40+sgchEgqduyL1YgYYuk099mmKkp2Kxr4gQFTqvDvOjI72YomS
kyFjIOfIJOFVImqcYvvkWY/O0aMk3BT1B6Oonb6KvVjz3p9q7qNsC6YPwB9p8sjmdBYtxtfoiSMG
ru6Yf0Qct/ldUs1BLbN7YrSpx4pgm7qShuVc97O4mZVryl/UAYJJdaNPgeF8ST6xCu1uhWf8qosU
TfJDiWVReLxqvz8phH4DGr0ZN6+YaZTJELfD2vMTqJRtsT7hXMhcolt2ydFORP6yxL2wcrhpyUty
a8Oct8Ey8dF4OYxhj8QqkEPBhcPps3iEDiaFiszQsyixVYasRPx7IyHtQbsl58lhhz9G35gEPkMX
uZyX/4C6vPmZjwqLzJq+Jv6ZO99IPN6oLVduJNIB0cMFu8ZPN8Axib2r+84Mz2EO98UV/VmvTPPl
4IOJ56WaI+B+LlDEBqrSLHWjyArL9z62CuAl9ZdVUecEk7QNAADdMenAQDQRV7kPGOFWjJ6KNFfI
VMTEHxHMkfMckz9WUEVVnCBwbcOHwexasCxY0+lTijQJVTiQ37ojW39mTVl3eLxDv4WCl7xn1Emp
ecUcI1rNIvq4WZGpbunE/rNk0sCwwe+2xbmR2IMnCnlzjI8I0Iox7z7hwvp/s+mbRbqIMMOumZab
yGExlivD9YvMUzb01S4h5Ln9InDmFZiiI4scpA35GhFfIeOGZXRqjV6ZqairNEJ4GCr+VTMBOxTv
pxA2VbxphzTlcGPaFq+jEto8zbkJFQFbS4TQCCaoTCEGJpTsV7leYNUAmDOjGgaKSfmiknKtMV0Q
n8S0+8sX2l62r5VagH6ed4icyAJwI+cj8mUeqVvRCfEOPfeBKFqCKZVIKVXYi1wEhh9aWzpjVNGn
0uYoqjNGvxumdmKvtQGq5zWY6zAHGf0wrOAEEz8Q2jZM8zNcWR25qJMJuz944FC0+LVAg6Ul/iB/
Om6oKZWB1UXRQM1xCkXLw3xyk+EpSatk9lPFbLugrizjLRVNguloNYv2hKjwxiJSgPooyQEMqpxI
uo+IGJc/dnMjjAXVu659VKsfN5UMH2OfM6gdA10sv1VeHNqaFFK8ZlN+qlGd3L9s8gIhtv9cUnJB
C0Ebm5HqE44V+Et4euzWfYsm8hR8gCN+Tet5HCkGhbtclqapGoceJOcBSqQoP8WvE2+Squ15NtnA
XXnjydzOCxvYaEW+BG0apnyV6BJRRsN59G0i+Ey/fOzPQA2V6CdE07YfNosq7VMcwh41BrTsE58L
d4qO1uaZJimzIqYYPzZFmAcKXUmQFM7aD/XKyCsxv382i/cTa+B20obD6JBv+mGk7ZafZPpUI/fV
ne/DdbEn2HYd+/ehExpeGrOOAr/Fc03SRx1YXwNzf4xQIA5IQiu7WEncd2Ptt95edFTQweoMhN6k
wTrgvlI9SWaHS+VtSea5JzaAQZJtgZuFUnpPwoSH0nFfr8K5E0iTi34ZzvtwZdEz9EqIGTb0Fngn
2F6aFOm5XC2BGqm4Eoa8J23ii8xVFIkdhKVNgSbnWmUv+cHVXRWFlbB8okRssFzEB8AJGsNCrzb3
3byLhAFp2il0oqRjMTqzdVlMvCT9Ld2XquyC0hf+CG/x4Bg5MbLX6m463z4YWMDeQSGdeub2PVm+
1rEBLDjiPm9ib0140Bb71Cyy7iRD0ku3cbIkRv49a/Bwoo+mrDe0QegEWveDqQj3nU4WvVB+B32f
cj/KwkbX+dx6SWuZh5nSeMPqgq7YtceE1FrolSmvQl+/1skalFE9//EjaZ15egjtZ9U/N5wjwCM+
yCqkwHXzz3TNhrVMgcN7YBvlyxsJJlwxMumhAQLGaVIv30zfNJIO8q3AdrnPiYimvwdo7738StCW
kDWGH+yPJtdKuA3UbBdtaE2LTpjUFfLZOIVKt20up6/WljMkZ4/b45XP3s28B5ibN7QknxUFSyK0
BrYGj90u5xvrz2CPgwBOlrNO3S/6LgPORYrvCslYfP7C5jXw7UF7uIOUNDMGcynln4gSuKyeHx8W
A6PfNplEOlzbhgNycyw2Fbt4c8CR3Tnetxi0WcGT/r+K6EZvSii5bxX3eog4/Jtn9xwdJZqAGAHs
NjqdOrDDuq52KeoFiIYGGaaQvjVZVcjhgFmywAeHei6M1SrFXWRoHzNQodJvCPPA1KnE6MpVDOs9
GK1GZeW+C7vbYpqN4lhCsRGZ3YlhixprA4+7h3rTTMKjU0lQ8WHuI3M6/RQcrEZMnqf/ahtq73Jr
86maDR4Yt6FxVW1enRfVxvPa7sSu3TfeqKfbiMa9Z8iG2+FlNPlrfvtkhy7tTp4uxih2lvg0dc9N
C5k0gEa22M4R/WzWHCCvojYXkD/U5c6rh/Nk0wEay4VivGJ5M38mILa6FZRiU9hFrQu4znvY+0ER
zsE51fB2EJT6I/M5ZybaiTPHjJTN12Y4iNpKRI6cwMgSL5HW/L+a0H9aE4dQ/e+BQqRGSmwLJTbI
hjgzY3m63eZV6d5K2MQH2QYBwIMUCZlEfTCAMvMO1OP6//SxUGo210Jj4WJGpmSeIsMTCZRLFyMk
8fML/8aTNY4sNcd6XbnkPNfnciRnJ9UeattQqEFVM1jN30DsfJg/9OpWZJn1zabL0lwJYmT25zXj
Uc2tZmF+mSotivxEfx2RHp25JCmESXeEkjQBL3aP6komk46aT8RzveNATFOZ9zpfzbgQ4kdV8cmt
vqshNUAuFijDZOHdtvEFCdgoWNE9lczHkuF4jskmgH2ZQxQ+zpRChEfm60bTHwfc8/q3s6W+l85y
p1LgdKzUi/gAklgZ1ZsCWTq7xBISr8RHz1cQTeEM0hWUGFr9Gf6gFsOWZ38ueskTR+v/RN5X+/j5
GlO/ygtFvImBgJtMcNq0OCVkHYwPCyhIAkDmXO6lhvMSJN+Oik5fz/XpwD2xSJX9zKAs/nngvTFZ
7vkyWAnGiWbJsL7BPdSuGU2L2XQID8UjzLs+ptONVNWcVhQNnvSk5nQhxvExVndDRYopTXX0lrLy
N1nIytmNm/t0wFgqam7kKW1OCs9fEkM6AGqQGymGo8o+jPcHKdJwB6evcpbCZk+mbGR14300qfOk
wGkEXm9LiiBuF6igyST1uhqGobdI2RFTxuM3muWFNFh3alHmUGKGcP3MnW2UchAkrB7jroAgUCZJ
FzgcJPtUb85FXK40j91VTDOzbhaf/LA2N33914AdUc77P0ZDTHhqjCngScnapIAmRQjOmWsRolGI
tZA1ffGV8t8GMVStHk731PyHShS843qVqr633zZ4WwptPEdmz9oaeeYVPoyP0JUFMvphPBF9eQQh
Uolh+72WcoBmXzmpbqWoXmR+wG1RO1KUOskubFg19oZXBXbj90NaRTtHwrpAUKyn32Sq7QDB0uGa
R8ahhhiG2HYRQVSVBWnR7zwZfL5GVYOYKT+xLlyKLPGTANiSG3JU0wwXRn0psNwcuTDyMZmWLMew
63sFtTNUy8Wf9ODf/447D5Ktv71m+BgCrxxr3N1RE3v3WqRr0zsJFT3mNpj45xQVUBxR2/HF8nu3
6aTgBvY3+pb08YVykYoEMTJ6pKDVCyBk9wFW4AC4LNqa2xv3pRyHsXdLwphsLLC1hqYGxWCHkT5F
fPEYiSgqYXzAKy0RZcsIzDks4sYpnzWoU69XF9IxblutuZyP0KCW7MVM+jRStgK3Wqjc8/ejh0rF
vl3CGisS8De9zxikX3Sv/BKO/57TAKro8Asu8Wf5QFv3v2Ek1vRxcTXKv8WMAOyVfeQXskJTv1nx
bHja8219BnMTQqlg/Pl/j8hdplwmVWb1b/L0aGd6KTTMQiUF3kFGoTfPIc/5LQeYt2fMCywTQOjC
xf98hicm5d28/f3QuDsILaFxGNF6VvKS+QIxThAYvMoBuH+PipabjPLA80h+oftMVo7hQAEaM8PA
6N6VCqBO+qgXtfQ8l6bIFpyXh4vYCZN/S96tjXdi1fGmwyYCodCAtC6oEF9Iojgh+qnWxTwc3VzV
OwzlDxj5INwY31GNdubk/Uzlid6JAf14cfrJtSa1vMG+0TVTcvogrCCUw1OdzTrsZGiVxx0XPo8J
eN99oI6kFOEIBQ0HLXNOdmHFn92Vr6C0VLxovUhnO5EFyve75gf9GVyhlgoj46SfeW3XkFN+UZhW
wXEdI1qFgfuCvWOwYiPZgeQNS/iSFOgPtHGLwuAV9a1tuPAEKQSugU7G11MKtMnEeBsj+LaHnPpn
YP+Gn94tYdWGYdbyEpDPpZQjtF4+X8HA3af52/RCmAfayIfTk1d6jn4SpZdFugBTi3zblhHCGzkL
swmsnVOaw1AtZig/BtUeypAIk+e5CbtN5ffoyoeJUA8v95z9TrJxbSY0jgfm9DokQ7Hr2ttg4eeh
AV4me4O7sCmnGOeh9jYhkWAAbtL0Ko+Fr2f05YtzAqBPsC1d1U2cUqdMbyapeuMNifHyufrYq0NR
mKKvNPWPDBzOWsPq7K2PUkKm3vtyEfB5V8mRdWu/taVCj3q8DONJbq9WIpcACAZYJ6lC3vZ1hHlb
PDqI80lGv7Y6ssPrqsEM5qt9fEEnGEc4ERu3IGQ+5RwG5NYTZDlLJXssXFAMpu8rkrmoL16MrPOk
JrEUhPhYzhm4ow1/QarU6cNVu28VbG1NL0IJbTwSQaEfCA5PSz7xkGJ1AMsmuP5ShMyR5exQGb3v
wdnkbL8iBjvtyZYhVlo6imBHwQdhwbJGdjSTAgwJHDJgjKfNnvIh7imvutG0uWUMfVzh7l4WcpGL
pjVoYtlGfeGag9GRuC7/YCy4DlrP2TARrO5EHModb4H2Q8LdHcByzZq2M6f1a11r3EUDkvXoYx85
hCvrM54qeR5VNdyrIs0vDNvNHp7n4v/KAYxftGB8uEKbyADEx4qfj4LK6iLC06LwF+pQSMTWKWV5
UP9LTYQA4w94uHUxShv2s3aV4B4Xc1XC2mril1O0jpvhszMeKfjov9nQdyWJFaXGn67cwdys+3gm
cBsfhkJNWSEBfuLB/13295OiS10zTjjYxgYEU6J450R6e6GOxaSXYVyr7LEMQPzCOYODEERvOsYz
qfTASCr5XliLjK7cynDIZWzG0Y4xC3SioO3BNwqP1Dm3h35uW0RhqN++2TLqlE1TmMvicoBkLLFV
1RXKUM7/5aBJcK57LRTkIfBpUASIj5aSHbM3RGtN1DeSLihr++bzeTHNos0XTDZHtI/xQfn0YXyE
Rh6oCK6SyzGqCmzy6Fh85Z/kCD8xPrI/IhgFUrZdXdnee5Mvf/wtHija/GzNZx0keYtNOLGd4zHF
St4dZaicsiDpWSSJFjUEY8/K2N3of7OFyM9sCIYHG8KXyt4AsDv3udqQPZn1HvPTi+PEIEGW/nIJ
MrC1AJ58KOXJfpMeVtu8zlwtQOOKeK86bO3WDQMigtHwP33Qlyld0fxbgK/rWGMHeGhh98pcrr6V
sYZq//n682EH/kchm3BmygSI0QakofjO/177L2SNwBvtbmBd2g8k+vI5c3KeNt6Uv+d3aR5gIRg1
7meXex0O9o6RyB9eyX2YZM52P5WdPgdaAj7BwJDV1ZP2HwM0F9aDIuRngUOKtF1LBcVd2TVK2nVG
1F0vw8/cR5S1lbuxq42a6Om9M52Cc38de5VwlQLsVXYbwXTU9/dh3B0PUPmCxp+pTEi1yhWPLVdn
8qeLGhdrb3TWRF/Leik7+0f2sWGBsTtgq8NzI4i53MnYyNjDEK+syXgpJKdvCynYN1yw0jkxuv8K
i/YZ87UXfMGUwcsRycY2apglVwZzP30+pRK9ZX0PoFD5oAkmT4atn/ifWjN0w6I2A70IozrjYvOH
aIym/2Ccybhlja3JFKVsPF3NngLRb2FNBSPqJ6ktUdsIGpgPZxfm81rOa2v08E9CS2C99ED3h/l0
mRLqd8HlVUDYty9XoECnj1A22B6DLhZHELivQLtvF+4yjAkjSfKbAk+lgXFbIB7J6YKbDeJ/07wg
W72mdmIVIzv6it0VgUx6QrXgArfjhivJ4md8MGV/ph06hI1z8nX6gsD1qJl14/gl7CDD2Rna8DXp
YYVrJfCO7qzMaZTjPWYpnfKX8bf43QmfwrVDYEA1/LZBWXoxfxWT1kVCRPS0SXE22hgEnMJjeHPx
QdxGHJbltt/H/iCPOLiGjGZPfxLfgkfcC4l9kQO1BxzRNyXV6ny/r4S9imTFbFnGuqtcykD1u4uq
S1Co133zzBFCqvuztkiJjFZh2qKY3q0oTek8JM6+jLQlM7+Wto3Q1n3Msb0DBSVCvCgfBg+xGs0U
aErhhvqfmn+pZ+2FO5xmaOTmPM9BBelICCtvhxgmFKXYx1sPe8I053cQsSFkViCYbOnRLwDSquya
DiWix1CZMsEq4Z4l9UcR16usBKnzo4oq09K3UhyPslfkpxOGjubpUSwMQoPOOCiWcyRGBQSAgFnQ
ea2Y03RyL1DM7RwhL4gVPMy1HYgTxDYmdpn7bbnLeMgxK4CKGVnWGT7CNibg1fYAtk9xVW68q4fb
J84RSoYcPAZZBoz6n2aTnp1BRtodGCYQabEQyfOE9IsolRS67lskUBQhwJaIFO/5l2wOgSOcHBc9
rbg3piG8o/fQdpuiY7ldH97hZPWVKbvj+bP3ycpSsHOrdqZHddO3dHpRH3FWo1OemKkmQYlJFi53
46/J+mVViEFwuItgVHcQ2ArAaiIdAtDrG0Zc8pRH0QfVFZf4yY0AS3yuSsdoHfv6pkh/EWjBgh72
ffF24Qf/k1JFGQVff6Zm+Obbere0kvfNQ0AJ1fiiAm0mgs63M4CowuEWbMBUJnskO+/wknMGKV4F
gOXb3Nt43LhVMYSANwE8OveiotS2yp8aqpoFWHkVU39r5dFJZl6qeeIxJ1aKtAR/iO6QuTIl
`protect end_protected
| mit | b2d341f4e7cf92a2736849cd9ac35b31 | 0.955058 | 1.8323 | false | false | false | false |
tgingold/ghdl | testsuite/synth/func02/func01.vhdl | 1 | 528 | library ieee;
use ieee.std_logic_1164.all;
entity func01 is
port (a : std_logic_vector (7 downto 0);
b : out std_logic_vector (7 downto 0));
end func01;
architecture behav of func01 is
function gen_and (v : std_logic_vector (7 downto 0);
len : natural := 6) return std_logic_vector is
variable res : std_logic_vector (7 downto 0);
begin
res := (others => '0');
res (len - 1 downto 0) := (others => '1');
return res and v;
end gen_and;
begin
b <= gen_and (a);
end behav;
| gpl-2.0 | bf005fdc13ce0e4d5fbaa32892cf23a8 | 0.602273 | 3.2 | false | false | false | false |
Darkin47/Zynq-TX-UTT | Vivado_HLS/image_contrast_adj/solution1/sim/vhdl/ip/xbip_dsp48_wrapper_v3_0_4/xbip_dsp48_wrapper_v3_0_vh_rfs.vhd | 9 | 142,613 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Rb6OdOK5N2kns0OPFJ+v++CzW8nfRqW9kd0J9AvFumoKiqRN9RHtgeg+p+kC5+qKBEeV8v2CM3Mx
xfOLSM2Cbw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
k+/wkBwU+75iNI7Pd10nZcfLz44mnsMTesDjGv76vFtqR9MdNa8H8rqfcawbc0HbSX7oNM6fXhzb
ZIl25X3rGOfwr0205uzvk8cI8UM31Lilzi8gh1sXYNzAv8MUxqbzKQuW2XpCt8tyVJ5kUhhrvFpU
7URPhIc62Py3y1k/gfo=
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
pgfafIGGp1tHNQPDXi+OQpZbcu2upcV93o63NRGqNNXWpUk0deitz7Tr2tFq2IAmDb9e5cDGzosN
wc2HwV4SZrznPMxa5rnP4UZLSClctZgURi4Som//iIktCWSStO+jq5SZyuVvMYghufzLjPqnSq9U
1bj9vnfPyo8Q2hlqXWg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
heJdJqnqzjVrY/S+XNH4QV3QihEwi8x4Ix2h9aqH6V7ViDMghYArPkAxVO6Vx9Htmx+sZ2yLq4Cz
x8ynQe3IaPqHbBNdi9n+KbU2uCHWUpGKFGmU/LYOmNMRLKMEyqEUfJLzc8NpaFHn85hzZraBmUO4
aGpuvZoUNP+bwT2kd44TT7MOnaC7QDOjmY0xtsBie6UH37DZd62dHCPksfoaABt20PcFMr2srBib
bLhzlGOJLjsebEcXRnzCco4XEuikFgWWWB2pd+Mj8elgDokgaXws6I5912Ez8ZdNcrsdgzgJk1m6
EDRohn4BMUWNyQVs+GvfHBB2PAQOdskUT25CmA==
`protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ED3v4CpU6j53PGNeXye1tx8ABSsZBn1OT/PYmlGlCDLQWHFrax6zuosDPcbkRx4O0w8xZyqIx8qV
KnDW2Yswv3W9fR4qN0GyXRMeKa+xMdkgZUUArUiO5lf9vj6LZ3u+aXwsnqxmsXiB9OnreyM4GXxt
AzZFYMsq1DelvjZYOisn+enipfIbo2tP6XhUbXjFf3aO8343PJE65BOL/Sm+1kkXLmp2rExSv2yw
CH9WEhCgxwZWiNrZTwvbtrvO7OiGhZyJio96Fab5AFAh46qJeZByJX7ChjpmGHZzT4hfRMoFVTa2
HnR8MBxXiDFiitNSYVZxqv4PJ9Wk0dc0caQSbg==
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2015_12", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
sAEfgBC7wl78iHUrQ5nqTxEZCq4XxeaYI6sEE8/WhkwSsP6fFnv8OTNLY3nlkTA1Obw33hRKTUh8
7H3QGRWw9iSpyBdAVJNfebnlxdlN3SK1DndaeQ6WO84fmlb6xuChGfvKTBETQkCjrnAkGaoZwvU2
ShutfHn0cGMI4uzcpXayP7dAC33r53NF5tGx8wdw2vpgtIDOWkayFtB6AQOd3rv53Ah0xYQJv2t4
yYdSgZIWSiNjwZl9Rz7N9iL0wtgDTxt0VJ3hM8YlwWx1u1C+FLCq+RodrQbXSF5NNOf7dLU8F7pM
WF4rmsr5wf6XsSsJ/x5zCzBpjFLhipB9vnUQEg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 103440)
`protect data_block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`protect end_protected
| gpl-3.0 | 1365df4c2f733d35afae0c86a90a611f | 0.952957 | 1.834369 | false | false | false | false |
tgingold/ghdl | testsuite/gna/issue1051/psi_common_i2c_master.vhd | 1 | 21,002 | ------------------------------------------------------------------------------
-- Copyright (c) 2019 by Paul Scherrer Institute, Switzerland
-- All rights reserved.
-- Authors: Oliver Bruendler
------------------------------------------------------------------------------
------------------------------------------------------------------------------
-- Description
------------------------------------------------------------------------------
-- This entity implements a simple I2C-master (multi master capable)
------------------------------------------------------------------------------
-- Libraries
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;
library work;
use work.psi_common_math_pkg.all;
use work.psi_common_logic_pkg.all;
------------------------------------------------------------------------------
-- Package for Interface Simplification
------------------------------------------------------------------------------
package psi_common_i2c_master_pkg is
constant CMD_START : std_logic_vector(2 downto 0) := "000";
constant CMD_STOP : std_logic_vector(2 downto 0) := "001";
constant CMD_REPSTART : std_logic_vector(2 downto 0) := "010";
constant CMD_SEND : std_logic_vector(2 downto 0) := "011";
constant CMD_REC : std_logic_vector(2 downto 0) := "100";
end package;
------------------------------------------------------------------------------
-- Libraries
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;
library work;
use work.psi_common_math_pkg.all;
use work.psi_common_logic_pkg.all;
use work.psi_common_i2c_master_pkg.all;
------------------------------------------------------------------------------
-- Entity Declaration
------------------------------------------------------------------------------
-- $$ processes=stim,i2c $$
-- $$ tbpkg=work.psi_tb_compare_pkg,work.psi_tb_activity_pkg,work.psi_tb_txt_util,work.psi_tb_i2c_pkg $$
entity psi_common_i2c_master is
generic (
ClockFrequency_g : real := 125.0e6; -- in Hz $$ constant=125.0e6 $$
I2cFrequency_g : real := 100.0e3; -- in Hz $$ constant=1.0e6 $$
BusBusyTimeout_g : real := 1.0e-3; -- in sec $$ constant=100.0e-6 $$
CmdTimeout_g : real := 100.0e-6; -- in sec $$ constant=10.0e-6 $$
InternalTriState_g : boolean := true; -- $$ constant=true $$
DisableAsserts_g : boolean := false
);
port (
-- Control Signals
Clk : in std_logic; -- $$ type=clk; freq=125e6 $$
Rst : in std_logic; -- $$ type=rst; clk=Clk $$
-- Command Interface
CmdRdy : out std_logic;
CmdVld : in std_logic;
CmdType : in std_logic_vector(2 downto 0);
CmdData : in std_logic_vector(7 downto 0);
CmdAck : in std_logic;
-- Response Interface
RspVld : out std_logic;
RspType : out std_logic_vector(2 downto 0);
RspData : out std_logic_vector(7 downto 0);
RspAck : out std_logic;
RspArbLost : out std_logic;
RspSeq : out std_logic;
-- Status Interface
BusBusy : out std_logic;
TimeoutCmd : out std_logic;
-- I2c Interface with internal Tri-State (InternalTriState_g = true)
I2cScl : inout std_logic := 'Z';
I2cSda : inout std_logic := 'Z';
-- I2c Interface with external Tri-State (InternalTriState_g = false)
I2cScl_I : in std_logic := '0';
I2cScl_O : out std_logic;
I2cScl_T : out std_logic;
I2cSda_I : in std_logic := '0';
I2cSda_O : out std_logic;
I2cSda_T : out std_logic
);
end entity;
------------------------------------------------------------------------------
-- Architecture Declaration
------------------------------------------------------------------------------
architecture rtl of psi_common_i2c_master is
-- *** Constants ***
constant BusyTimoutLimit_c : integer := integer(ClockFrequency_g*BusBusyTimeout_g)-1;
constant QuarterPeriodLimit_c : integer := integer(ceil(ClockFrequency_g/I2cFrequency_g/4.0))-1;
constant CmdTimeoutLimit_c : integer := integer(ClockFrequency_g*CmdTimeout_g)-1;
-- *** Types ***
type Fsm_t is ( BusIdle_s, BusBusy_s, MinIdle_s, Start1_s, Start2_s, WaitCmd_s, WaitLowCenter_s, Stop1_s, Stop2_s, Stop3_s, RepStart1_s,
DataBit1_s, DataBit2_s, DataBit3_s, DataBit4_s, ArbitLost_s);
-- *** Two Process Method ***
type two_process_r is record
BusBusy : std_logic;
CmdRdy : std_logic;
SclLast : std_logic;
SdaLast : std_logic;
BusBusyToCnt : unsigned(log2ceil(BusyTimoutLimit_c+1)-1 downto 0);
TimeoutCmdCnt : unsigned(log2ceil(CmdTimeoutLimit_c+1)-1 downto 0);
QuartPeriodCnt : unsigned(log2ceil(QuarterPeriodLimit_c+1)-1 downto 0);
QPeriodTick : std_logic;
CmdTypeLatch : std_logic_vector(CmdType'range);
CmdAckLatch : std_logic;
Fsm : Fsm_t;
SclOut : std_logic;
SdaOut : std_logic;
RspVld : std_logic;
RspAck : std_logic;
RspSeq : std_logic;
RspData : std_logic_vector(7 downto 0);
RspArbLost : std_logic;
BitCnt : unsigned(3 downto 0); -- 8 Data + 1 Ack = 9 = 4 bits
ShReg : std_logic_vector(8 downto 0);
CmdTimeout : std_logic;
TimeoutCmd : std_logic;
end record;
signal r, r_next : two_process_r;
attribute dont_touch : string;
attribute dont_touch of r : signal is "true"; -- Required to Fix Vivado 2018.2 Synthesis Bug! Is fixed in Vivado 2019.1 according to Xilinx.
-- Tri-state buffer muxing
signal I2cScl_Input : std_logic;
signal I2cSda_Input : std_logic;
signal I2cScl_Sync : std_logic;
signal I2cSda_Sync : std_logic;
begin
--------------------------------------------------------------------------
-- Combinatorial Proccess
--------------------------------------------------------------------------
p_comb : process( Clk, r, I2cScl_Sync, I2cSda_Sync,
CmdVld, CmdType, CmdData, CmdAck)
variable v : two_process_r;
variable SclRe_v, SclFe_v, SdaRe_v, SdaFe_v : std_logic;
variable I2cStart_v, I2cStop_v : std_logic;
begin
-- *** hold variables stable ***
v := r;
-- *** Edge Detection ***
SclRe_v := not r.SclLast and I2cScl_Sync;
SclFe_v := r.SclLast and not I2cScl_Sync;
SdaRe_v := not r.SdaLast and I2cSda_Sync;
SdaFe_v := r.SdaLast and not I2cSda_Sync;
v.SclLast := I2cScl_Sync;
v.SdaLast := I2cSda_Sync;
-- *** Start/Stop Detection ***
I2cStart_v := r.SclLast and I2cScl_Sync and SdaFe_v;
I2cStop_v := r.SclLast and I2cScl_Sync and SdaRe_v;
-- *** Quarter Period Counter ***
-- The FSM may overwrite the counter in some cases!
v.QPeriodTick := '0';
if (r.Fsm = BusIdle_s) or (r.Fsm = BusBusy_s) then
v.QuartPeriodCnt := (others => '0');
elsif r.QuartPeriodCnt = QuarterPeriodLimit_c then
v.QuartPeriodCnt := (others => '0');
v.QPeriodTick := '1';
else
v.QuartPeriodCnt := r.QuartPeriodCnt + 1;
end if;
-- *** Command Timeout Detection ***
if r.Fsm = WaitCmd_s then
-- Timeout
if r.TimeoutCmdCnt = CmdTimeoutLimit_c then
v.CmdTimeout := '1';
-- Count
else
v.TimeoutCmdCnt := r.TimeoutCmdCnt + 1;
end if;
-- In all states except waiting for command, reset the timer
else
v.TimeoutCmdCnt := (others => '0');
end if;
-- *** Latch Command ***
if (r.CmdRdy = '1') and (CmdVld = '1') then
v.CmdTypeLatch := CmdType;
v.CmdAckLatch := CmdAck;
end if;
-- *** Default Values ***
v.RspVld := '0';
v.RspAck := not r.ShReg(0);
v.RspData := r.ShReg(8 downto 1);
v.RspSeq := '0';
v.RspArbLost := '0';
v.TimeoutCmd := '0';
v.CmdRdy := '0';
-- *** FSM ***
case r.Fsm is
-- **********************************************************************************************
-- Bus Idle
-- **********************************************************************************************
when BusIdle_s =>
-- Default Outputs
v.CmdRdy := '1';
v.BusBusyToCnt := (others => '0');
v.SclOut := '1';
v.SdaOut := '1';
v.CmdTimeout := '0';
-- Detect Bus Busy by Start Command
if (r.CmdRdy = '1') and (CmdVld = '1') then
-- Everyting else than START commands is ignored and an error is printed in this case
assert (CmdType = CMD_START) or DisableAsserts_g
report "###ERROR###: psi_common_i2c_master: In idle state, only CMD_START commands are allowed!"
severity error;
if CmdType = CMD_START then
v.Fsm := Start1_s;
v.CmdRdy := '0';
v.CmdTypeLatch := CmdType;
else
v.RspVld := '1';
v.RspSeq := '1';
end if;
-- Detect Busy from other master
elsif (I2cScl_Sync = '0') or (I2cStart_v = '1') then
v.Fsm := BusBusy_s;
v.CmdRdy := '0';
end if;
-- **********************************************************************************************
-- Bus Busy by other master
-- **********************************************************************************************
when BusBusy_s =>
-- Bus released
if I2cStop_v = '1' then
v.Fsm := MinIdle_s;
end if;
-- Timeout Handling
if I2cScl_Sync = '0' then
v.BusBusyToCnt := (others => '0');
elsif r.BusBusyToCnt = BusyTimoutLimit_c then
v.Fsm := BusIdle_s;
else
v.BusBusyToCnt := r.BusBusyToCnt + 1;
end if;
v.SclOut := '1';
v.SdaOut := '1';
-- Ensure that SDA stays low for at least half a clock period
when MinIdle_s =>
if r.QPeriodTick = '1' then
v.Fsm := BusIdle_s;
end if;
v.SclOut := '1';
v.SdaOut := '1';
-- **********************************************************************************************
-- Start Condition
-- **********************************************************************************************
-- State BusBusy_s Start1_s Start2_s WaitCmd_s
-- __________________________________
-- Scl ... |___________ ...
-- _______________________
-- SDA ... |______________________ ...
-- **********************************************************************************************
when Start1_s =>
if r.QPeriodTick = '1' then
v.Fsm := Start2_s;
end if;
-- Handle Clock Stretching in case of a repeated start (slave keeps SCL low)
if I2cScl_Sync = '0' and r.CmdTypeLatch = CMD_REPSTART then
v.QuartPeriodCnt := (others => '0');
end if;
-- Handle Arbitration (other master transmits start condition first)
if I2cSda_Sync = '0' then
v.Fsm := ArbitLost_s;
end if;
v.SclOut := '1';
v.SdaOut := '1';
when Start2_s =>
if r.QPeriodTick = '1' then
v.Fsm := WaitCmd_s;
v.RspVld := '1';
end if;
v.SclOut := '1';
v.SdaOut := '0';
-- **********************************************************************************************
-- Wait for user command (in first half of SCL low phase)
-- **********************************************************************************************
when WaitCmd_s =>
-- Default Outputs
v.CmdRdy := '1';
v.SclOut := '0';
-- All commands except START are allowed, START commands are ignored
if (r.CmdRdy = '1') and (CmdVld = '1') then
assert (CmdType = CMD_STOP) or (CmdType = CMD_REPSTART) or (CmdType = CMD_SEND) or (CmdType = CMD_REC) or DisableAsserts_g
report "###ERROR###: psi_common_i2c_master: In WaitCmd_s state, CMD_START commands are not allowed!"
severity error;
if (CmdType = CMD_STOP) or (CmdType = CMD_REPSTART) or (CmdType = CMD_SEND) or (CmdType = CMD_REC) then
v.Fsm := WaitLowCenter_s;
v.CmdRdy := '0';
else
v.RspVld := '1';
v.RspSeq := '1';
end if;
-- Latch data (used for SEND)
v.ShReg := CmdData & '0';
-- Command timeout - In this case send a STOP to free the bus
elsif r.CmdTimeout = '1' then
v.Fsm := WaitLowCenter_s;
v.CmdRdy := '0';
v.TimeoutCmd := '1';
end if;
-- **********************************************************************************************
-- Wait for center of SCL low phase (after user command arrived)
-- **********************************************************************************************
when WaitLowCenter_s =>
-- State Handling
v.SclOut := '0';
v.BitCnt := (others => '0');
-- Switch to commands
if r.QPeriodTick = '1' then
-- In timeout case, send a STOP to free the bus
if r.CmdTimeout = '1' then
v.Fsm := Stop1_s;
-- Else, go to requested command
else
case r.CmdTypeLatch is
when CMD_STOP => v.Fsm := Stop1_s;
when CMD_REPSTART => v.Fsm := RepStart1_s;
when CMD_SEND => v.Fsm := DataBit1_s;
when CMD_REC => v.Fsm := DataBit1_s;
when others => null;
end case;
end if;
end if;
-- **********************************************************************************************
-- Start Condition
-- **********************************************************************************************
-- State RepStart1_s Start1_s Start2_s WaitCmd_s
-- _____________________
-- Scl ..._________________| |___________ ...
-- __________________________
-- SDA ...XXX |_____________________ ...
-- **********************************************************************************************
-- States after RepStart1_s are shared with normal start condition
when RepStart1_s =>
if r.QPeriodTick = '1' then
-- The rest of the sequence is same as for START
v.Fsm := Start1_s;
-- Handle Arbitration other master prvents repeating start by transmitting 0
if I2cSda_Sync = '0' then
v.Fsm := ArbitLost_s;
end if;
end if;
v.SclOut := '0';
v.SdaOut := '1';
-- **********************************************************************************************
-- Start Condition
-- **********************************************************************************************
-- State DataBit1_s DataBit2_s DataBit3_s WaitCmd_s / DataBit4_s
-- _________________________
-- Scl ...___________| |___________ ...
--
-- SDA ...XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
-- **********************************************************************************************
-- The DataBit1_s is the second half of the SCL low period. So the
-- SDA Line is set at the beginning of DataBit1_s. After the SCL high period
-- of the last bit, the state is changed to WaitCmd_s. Otherwise the first half of the SCL low
-- period is executed (DataBit4_s) before the next bit starts (DataBit1_s)
when DataBit1_s =>
if r.QPeriodTick = '1' then
v.Fsm := DataBit2_s;
end if;
v.SclOut := '0';
-- Send Operation
if r.CmdTypeLatch = CMD_SEND then
-- For Ack, receive data
if r.BitCnt = 8 then
v.SdaOut := '1';
-- .. else send data
else
v.SdaOut := r.ShReg(8);
end if;
-- Receive Operatiom
else
-- Ack Handling
if r.BitCnt = 8 then
if r.CmdAckLatch = '1' then
v.SdaOut := '0';
else
v.SdaOut := '1';
end if;
-- .. else tri-state for receiving
else
v.SdaOut := '1';
end if;
end if;
when DataBit2_s =>
if r.QPeriodTick = '1' then
v.Fsm := DataBit3_s;
-- Shift register in the middle of the CLK pulse
v.ShReg := r.ShReg(7 downto 0) & I2cSda_Sync;
end if;
v.SclOut := '1';
-- Handle Clock Stretching (slave keeps SCL low)
if I2cScl_Sync = '0' then
v.QuartPeriodCnt := (others => '0');
end if;
-- Handle Arbitration for Sending (only databits, not ack)
if (r.CmdTypeLatch = CMD_SEND) and (r.BitCnt /= 8) then
if I2cSda_Sync /= r.SdaOut then
v.Fsm := ArbitLost_s;
end if;
-- Receiving does not need arbitration since slave addresses are unique
end if;
when DataBit3_s =>
if r.QPeriodTick = '1' then
-- Command Done after 9 bits (8 Data + 1 Ack)
if r.BitCnt = 8 then
v.Fsm := WaitCmd_s;
v.RspVld := '1';
-- Else goto next bit
else
v.Fsm := DataBit4_s;
end if;
end if;
v.SclOut := '1';
-- Handle Arbitration for Sending (only databits, not ack)
if (r.CmdTypeLatch = CMD_SEND) and (r.BitCnt /= 8) then
if I2cSda_Sync /= r.SdaOut then
v.Fsm := ArbitLost_s;
end if;
-- Receiving does not need arbitration since slave addresses are unique
end if;
when DataBit4_s =>
if r.QPeriodTick = '1' then
v.Fsm := DataBit1_s;
v.BitCnt:= r.BitCnt + 1;
end if;
v.SclOut := '0';
-- **********************************************************************************************
-- Stop Condition
-- **********************************************************************************************
-- State WaitCmd_s Stop1_s Stop2_s Stop3_s BusIdle_s
-- _____________________
-- Scl ..._____________________| |__________ ...
-- _____________________
-- SDA ...XXXXXXXXXXXX____________________| ...
-- **********************************************************************************************
when Stop1_s =>
if r.QPeriodTick = '1' then
v.Fsm := Stop2_s;
end if;
v.SclOut := '0';
v.SdaOut := '0';
when Stop2_s =>
if r.QPeriodTick = '1' then
v.Fsm := Stop3_s;
end if;
v.SclOut := '1';
v.SdaOut := '0';
-- Handle Clock Stretching (slave keeps SCL low)
if I2cScl_Sync = '0' then
v.QuartPeriodCnt := (others => '0');
end if;
when Stop3_s =>
if r.QPeriodTick = '1' then
-- Handle Arbitration
if I2cSda_Sync = '0' then
v.Fsm := ArbitLost_s;
-- Else the STOP was successful
else
v.Fsm := BusIdle_s;
v.RspVld := '1';
end if;
end if;
v.SclOut := '1';
v.SdaOut := '1';
-- **********************************************************************************************
-- Send Response in case the arbitration was lost
-- **********************************************************************************************
when ArbitLost_s =>
v.Fsm := BusBusy_s;
v.RspVld := '1';
v.RspAck := '0';
v.RspArbLost := '1';
v.SclOut := '1';
v.SdaOut := '1';
when others => null;
end case;
-- TODO: FSM Stuck detection timeout!
-- *** Bus Busy ***
if r.Fsm = BusIdle_s then
v.BusBusy := '0';
else
v.BusBusy := '1';
end if;
-- *** assign signal ***
r_next <= v;
end process;
--------------------------------------------------------------------------
-- Outputs
--------------------------------------------------------------------------
BusBusy <= r.BusBusy;
CmdRdy <= r.CmdRdy;
RspVld <= r.RspVld;
RspType <= r.CmdTypeLatch;
RspArbLost <= r.RspArbLost;
RspAck <= r.RspAck;
RspData <= r.RspData;
RspSeq <= r.RspSeq;
TimeoutCmd <= r.TimeoutCmd;
g_intTristate : if InternalTriState_g generate
I2cScl <= 'Z' when r.SclOut = '1' else '0';
I2cSda <= 'Z' when r.SdaOut = '1' else '0';
I2cScl_O <= '0';
I2cSda_O <= '0';
I2cScl_T <= '1';
I2cSda_T <= '1';
end generate;
g_extTristatte : if not InternalTriState_g generate
I2cScl_O <= r.SclOut;
I2cSda_O <= r.SdaOut;
I2cScl_T <= r.SclOut;
I2cSda_T <= r.SdaOut;
I2cScl <= 'Z';
I2cSda <= 'Z';
end generate;
--------------------------------------------------------------------------
-- Sequential Proccess
--------------------------------------------------------------------------
p_seq : process(Clk)
begin
if rising_edge(Clk) then
r <= r_next;
if Rst = '1' then
r.BusBusy <= '0';
r.CmdRdy <= '0';
r.SclLast <= '1';
r.SdaLast <= '1';
r.BusBusyToCnt <= (others => '0');
r.Fsm <= BusIdle_s;
r.SclOut <= '1';
r.SdaOut <= '1';
r.RspVld <= '0';
end if;
end if;
end process;
--------------------------------------------------------------------------
-- Component Instantiations
--------------------------------------------------------------------------
I2cScl_Input <= To01X(I2cScl) when InternalTriState_g else I2cScl_I;
I2cSda_Input <= To01X(I2cSda) when InternalTriState_g else I2cSda_I;
i_sync : entity work.psi_common_bit_cc
generic map (
NumBits_g => 2
)
port map (
BitsA(0) => I2cScl_Input,
BitsA(1) => I2cSda_Input,
ClkB => Clk,
BitsB(0) => I2cScl_Sync,
BitsB(1) => I2cSda_Sync
);
end;
| gpl-2.0 | dafe7247c1dc9153b4f5bdb7c451a0d8 | 0.461432 | 3.440131 | false | false | false | false |
tgingold/ghdl | libraries/openieee/math_real.vhdl | 2 | 5,356 | -- This -*- vhdl -*- file is part of GHDL.
-- IEEE 1076.2 math_real package.
-- Copyright (C) 2015 Tristan Gingold
--
-- GHDL is free software; you can redistribute it and/or modify it under
-- the terms of the GNU General Public License as published by the Free
-- Software Foundation; either version 2, or (at your option) any later
-- version.
--
-- GHDL is distributed in the hope that it will be useful, but WITHOUT ANY
-- WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with GCC; see the file COPYING2. If not see
-- <http://www.gnu.org/licenses/>.
package MATH_REAL is
-- The values were computed with at least 40 digits and rounded to
-- 20 digits after the dot. They were checked with the original ieee
-- specification (log2_of_e has an extra digit from the spec).
constant math_e : real := 2.71828_18284_59045_23536;
constant math_1_over_e : real := 0.36787_94411_71442_321596;
constant math_pi : real := 3.14159_26535_89793_23846;
constant math_2_pi : real := 6.28318_53071_79586_47693;
constant math_pi_over_2 : real := 1.57079_63267_94896_61923;
constant math_pi_over_3 : real := 1.04719_75511_96597_74615;
constant math_pi_over_4 : real := 0.78539_81633_97448_30962;
constant math_3_pi_over_2 : real := 4.71238_89803_84689_85769;
constant math_log_of_2 : real := 0.69314_71805_59945_30942;
constant math_log_of_10 : real := 2.30258_50929_94045_68402;
constant math_log2_of_e : real := 1.44269_50408_88963_40736;
constant math_log10_of_e : real := 0.43429_44819_03251_82765;
constant math_sqrt_2 : real := 1.41421_35623_73095_04880;
constant math_1_over_sqrt_2 : real := 0.70710_67811_86547_52440;
constant math_sqrt_pi : real := 1.77245_38509_05516_02730;
constant math_deg_to_rad : real := 0.01745_32925_19943_29577;
constant math_rad_to_deg : real := 57.29577_95130_82320_87680;
function SIGN (X : REAL) return REAL;
function CEIL (X : REAL) return REAL;
attribute foreign of ceil : function is "VHPIDIRECT ceil";
function FLOOR (X : REAL) return REAL;
attribute foreign of floor : function is "VHPIDIRECT floor";
function ROUND (X : REAL) return REAL;
attribute foreign of round : function is "VHPIDIRECT round";
function TRUNC (X : REAL) return REAL;
attribute foreign of trunc : function is "VHPIDIRECT trunc";
function "mod" (X, Y : REAL) return REAL;
-- Contrary to fmod, the sign of the result is the sign of Y.
function REALMAX (X, Y : REAL) return REAL;
attribute foreign of REALMAX : function is "VHPIDIRECT fmax";
function REALMIN (X, Y : REAL) return REAL;
attribute foreign of REALMIN : function is "VHPIDIRECT fmin";
procedure UNIFORM (SEED1, SEED2 : inout POSITIVE; X : out REAL);
-- Algorithm from: Pierre L'Ecuyer, CACM June 1988 Volume 31 Number 6
-- page 747 figure 3.
function SQRT (X : REAL) return REAL;
attribute foreign of SQRT : function is "VHPIDIRECT sqrt";
function CBRT (X : REAL) return REAL;
attribute foreign of CBRT : function is "VHPIDIRECT cbrt";
function "**" (X : INTEGER; Y : REAL) return REAL;
function "**" (X : REAL; Y : REAL) return REAL;
attribute foreign of "**" [ REAL, REAL return REAL ]: function is
"VHPIDIRECT pow";
function EXP (X : REAL) return REAL;
attribute foreign of EXP : function is "VHPIDIRECT exp";
function LOG (X : REAL) return REAL;
attribute foreign of LOG [ REAL return REAL ] : function is "VHPIDIRECT log";
function LOG2 (X : REAL) return REAL;
attribute foreign of LOG2 : function is "VHPIDIRECT log2";
function LOG10 (X : REAL) return REAL;
attribute foreign of LOG10 : function is "VHPIDIRECT log10";
function LOG (X : REAL; BASE : REAL) return REAL;
function SIN (X : REAL) return REAL;
attribute foreign of SIN : function is "VHPIDIRECT sin";
function COS (X : REAL) return REAL;
attribute foreign of COS : function is "VHPIDIRECT cos";
function TAN (X : REAL) return REAL;
attribute foreign of TAN : function is "VHPIDIRECT tan";
function ARCSIN (X : REAL) return REAL;
attribute foreign of ARCSIN : function is "VHPIDIRECT asin";
function ARCCOS (X : REAL) return REAL;
attribute foreign of ARCCOS : function is "VHPIDIRECT acos";
function ARCTAN (Y : REAL) return REAL;
attribute foreign of ARCTAN [ REAL return REAL ]: function is
"VHPIDIRECT atan";
function ARCTAN (Y, X : REAL) return REAL;
attribute foreign of ARCTAN [ REAL, REAL return REAL ]: function is
"VHPIDIRECT atan2";
function SINH (X : REAL) return REAL;
attribute foreign of SINH : function is "VHPIDIRECT sinh";
function COSH (X : REAL) return REAL;
attribute foreign of COSH : function is "VHPIDIRECT cosh";
function TANH (X : REAL) return REAL;
attribute foreign of TANH : function is "VHPIDIRECT tanh";
function ARCSINH (X : REAL) return REAL;
attribute foreign of ARCSINH : function is "VHPIDIRECT asinh";
function ARCCOSH (X : REAL) return REAL;
attribute foreign of ARCCOSH : function is "VHPIDIRECT acosh";
function ARCTANH (Y : REAL) return REAL;
attribute foreign of ARCTANH : function is "VHPIDIRECT atanh";
end MATH_REAL;
| gpl-2.0 | f911e70279a540b8c04af001c231874f | 0.699589 | 3.688705 | false | false | false | false |
stanford-ppl/spatial-lang | spatial/core/resources/chiselgen/template-level/fringeArria10/build/ip/ghrd_10as066n2/ghrd_10as066n2_f2sdram0_m/ghrd_10as066n2_f2sdram0_m_inst.vhd | 1 | 2,111 | component ghrd_10as066n2_f2sdram0_m is
port (
clk_clk : in std_logic := 'X'; -- clk
clk_reset_reset : in std_logic := 'X'; -- reset
master_address : out std_logic_vector(31 downto 0); -- address
master_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata
master_read : out std_logic; -- read
master_write : out std_logic; -- write
master_writedata : out std_logic_vector(31 downto 0); -- writedata
master_waitrequest : in std_logic := 'X'; -- waitrequest
master_readdatavalid : in std_logic := 'X'; -- readdatavalid
master_byteenable : out std_logic_vector(3 downto 0); -- byteenable
master_reset_reset : out std_logic -- reset
);
end component ghrd_10as066n2_f2sdram0_m;
u0 : component ghrd_10as066n2_f2sdram0_m
port map (
clk_clk => CONNECTED_TO_clk_clk, -- clk.clk
clk_reset_reset => CONNECTED_TO_clk_reset_reset, -- clk_reset.reset
master_address => CONNECTED_TO_master_address, -- master.address
master_readdata => CONNECTED_TO_master_readdata, -- .readdata
master_read => CONNECTED_TO_master_read, -- .read
master_write => CONNECTED_TO_master_write, -- .write
master_writedata => CONNECTED_TO_master_writedata, -- .writedata
master_waitrequest => CONNECTED_TO_master_waitrequest, -- .waitrequest
master_readdatavalid => CONNECTED_TO_master_readdatavalid, -- .readdatavalid
master_byteenable => CONNECTED_TO_master_byteenable, -- .byteenable
master_reset_reset => CONNECTED_TO_master_reset_reset -- master_reset.reset
);
| mit | 6d178409dd310d12bae96f5353f83c90 | 0.500237 | 4.044061 | false | false | false | false |
lfmunoz/vhdl | templates/sip_cmd/ip_block_ctrl.vhd | 2 | 8,370 | -------------------------------------------------------------------------------------
-- FILE NAME : .vhd
-- AUTHOR :
-- COMPANY : 4DSP
-- ITEM : 1
-- UNITS : Entity -
-- architecture -
-- LANGUAGE : VHDL
--
-------------------------------------------------------------------------------------
--
-------------------------------------------------------------------------------------
-- DESCRIPTION
-- ===========
--
--
-------------------------------------------------------------------------------------
-------------------------------------------------------------------------------------
-- Specified libraries
-------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_misc.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_1164.all;
library unisim;
use unisim.vcomponents.all;
-------------------------------------------------------------------------------------
-- Entity declaration
-------------------------------------------------------------------------------------
entity ip_block_ctrl is
generic (
START_ADDR : std_logic_vector(27 downto 0) := x"0000000";
STOP_ADDR : std_logic_vector(27 downto 0) := x"00000FF"
);
port (
rst : in std_logic;
-- Command Interface
clk_cmd : in std_logic;
in_cmd_val : in std_logic;
in_cmd : in std_logic_vector(63 downto 0);
out_cmd_val : out std_logic;
out_cmd : out std_logic_vector(63 downto 0);
cmd_busy : out std_logic;
reg0 : out std_logic_vector(31 downto 0);
reg1 : out std_logic_vector(31 downto 0);
reg2 : in std_logic_vector(31 downto 0);
reg3 : in std_logic_vector(31 downto 0);
reg4 : in std_logic_vector(31 downto 0);
reg5 : in std_logic_vector(31 downto 0);
reg6 : in std_logic_vector(31 downto 0);
mbx_in_reg : in std_logic_vector(31 downto 0);--value of the mailbox to send
mbx_in_val : in std_logic --pulse to indicate mailbox is valid
);
end ip_block_ctrl;
-------------------------------------------------------------------------------------
-- Architecture declaration
-------------------------------------------------------------------------------------
architecture Behavioral of ip_block_ctrl is
----------------------------------------------------------------------------------------------------
-- Constants
----------------------------------------------------------------------------------------------------
constant ADDR_REG0 : std_logic_vector(31 downto 0) := x"00000000";
constant ADDR_REG1 : std_logic_vector(31 downto 0) := x"00000001";
constant ADDR_REG2 : std_logic_vector(31 downto 0) := x"00000002";
constant ADDR_REG3 : std_logic_vector(31 downto 0) := x"00000003";
constant ADDR_REG4 : std_logic_vector(31 downto 0) := x"00000004";
constant ADDR_REG5 : std_logic_vector(31 downto 0) := x"00000005";
constant ADDR_REG6 : std_logic_vector(31 downto 0) := x"00000006";
constant ADDR_REG7 : std_logic_vector(31 downto 0) := x"00000007";
constant ADDR_REG8 : std_logic_vector(31 downto 0) := x"00000008";
constant ADDR_REG9 : std_logic_vector(31 downto 0) := x"00000009";
constant ADDR_REGA : std_logic_vector(31 downto 0) := x"0000000A";
constant ADDR_REGB : std_logic_vector(31 downto 0) := x"0000000B";
constant ADDR_REGC : std_logic_vector(31 downto 0) := x"0000000C";
constant ADDR_REGD : std_logic_vector(31 downto 0) := x"0000000D";
constant ADDR_REGE : std_logic_vector(31 downto 0) := x"0000000E";
constant ADDR_REGF : std_logic_vector(31 downto 0) := x"0000000F";
----------------------------------------------------------------------------------------------------
-- Signals
----------------------------------------------------------------------------------------------------
signal out_reg_val : std_logic;
signal out_reg_addr : std_logic_vector(27 downto 0);
signal out_reg : std_logic_vector(31 downto 0);
signal in_reg_req : std_logic;
signal in_reg_addr : std_logic_vector(27 downto 0);
signal in_reg_val : std_logic;
signal in_reg : std_logic_vector(31 downto 0);
signal register0 : std_logic_vector(31 downto 0);
signal register1 : std_logic_vector(31 downto 0);
signal register2 : std_logic_vector(31 downto 0);
signal register3 : std_logic_vector(31 downto 0);
signal register4 : std_logic_vector(31 downto 0);
signal register5 : std_logic_vector(31 downto 0);
signal register6 : std_logic_vector(31 downto 0);
signal register7 : std_logic_vector(31 downto 0);
signal register8 : std_logic_vector(31 downto 0);
signal register9 : std_logic_vector(31 downto 0);
signal registerA : std_logic_vector(31 downto 0);
--*************************************************************************************************
begin
--*************************************************************************************************
reg0 <= register0;
reg1 <= register1;
----------------------------------------------------------------------------------------------------
-- Stellar Command Interface
----------------------------------------------------------------------------------------------------
stellar_cmd_inst:
entity work.stellar_generic_cmd
generic map (
START_ADDR => START_ADDR,
STOP_ADDR => STOP_ADDR
)
port map (
reset => rst,
clk_cmd => clk_cmd,
in_cmd_val => in_cmd_val,
in_cmd => in_cmd,
out_cmd_val => out_cmd_val,
out_cmd => out_cmd,
clk_reg => clk_cmd,
out_reg_val => out_reg_val,
out_reg_addr => out_reg_addr,
out_reg => out_reg,
in_reg_req => in_reg_req,
in_reg_addr => in_reg_addr,
in_reg_val => in_reg_val,
in_reg => in_reg,
mbx_in_val => mbx_in_val,
mbx_in_reg => mbx_in_reg
);
cmd_busy <= '0';
----------------------------------------------------------------------------------------------------
-- Registers
----------------------------------------------------------------------------------------------------
process (rst, clk_cmd)
begin
if (rst = '1') then
in_reg_val <= '0';
in_reg <= (others => '0');
register0 <= (others=>'0');
register1 <= (others=>'0');
elsif (rising_edge(clk_cmd)) then
------------------------------------------------------------
-- Write
------------------------------------------------------------
if (out_reg_val = '1' and out_reg_addr = ADDR_REG0) then
register0 <= out_reg;
end if;
if (out_reg_val = '1' and out_reg_addr = ADDR_REG1) then
register1 <= out_reg;
end if;
------------------------------------------------------------
-- Read
------------------------------------------------------------
if (in_reg_req = '1' and in_reg_addr = ADDR_REG0) then
in_reg_val <= '1';
in_reg <= register0;
elsif (in_reg_req = '1' and in_reg_addr = ADDR_REG1) then
in_reg_val <= '1';
in_reg <= register1;
elsif (in_reg_req = '1' and in_reg_addr = ADDR_REG2) then
in_reg_val <= '1';
in_reg <= reg2;
elsif (in_reg_req = '1' and in_reg_addr = ADDR_REG3) then
in_reg_val <= '1';
in_reg <= reg3;
elsif (in_reg_req = '1' and in_reg_addr = ADDR_REG4) then
in_reg_val <= '1';
in_reg <= reg4;
elsif (in_reg_req = '1' and in_reg_addr = ADDR_REG5) then
in_reg_val <= '1';
in_reg <= reg5;
elsif (in_reg_req = '1' and in_reg_addr = ADDR_REG6) then
in_reg_val <= '1';
in_reg <= reg6;
else
in_reg_val <= '0';
in_reg <= in_reg;
end if;
end if;
end process;
--*************************************************************************************************
end Behavioral;
--*************************************************************************************************
| mit | eb04f85a02f446fceab2243db627840e | 0.406571 | 4.21875 | false | false | false | false |
nickg/nvc | test/regress/buffer1.vhd | 1 | 606 | entity sub is
port ( x : buffer natural );
end entity;
architecture test of sub is
begin
test: process is
begin
x <= 1;
wait for 1 ns;
x <= 2;
wait for 1 ns;
assert x = 2;
wait;
end process;
end architecture;
entity buffer1 is
end entity;
architecture test of buffer1 is
signal x : natural;
begin
uut: entity work.sub port map ( x );
main: process is
begin
assert x = 0;
wait for 1 ns;
assert x = 1;
wait for 1 ns;
assert x = 2;
wait;
end process;
end architecture;
| gpl-3.0 | a1dbf4230cdb12c5eded2aa9a6c0fea7 | 0.544554 | 3.811321 | false | true | false | false |
tgingold/ghdl | testsuite/vests/vhdl-93/billowitch/compliant/tc1327.vhd | 4 | 6,674 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1327.vhd,v 1.2 2001-10-26 16:29:40 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s04b01x00p03n02i01327ent IS
END c08s04b01x00p03n02i01327ent;
ARCHITECTURE c08s04b01x00p03n02i01327arch OF c08s04b01x00p03n02i01327ent IS
-- enumerated types.
type SWITCH_LEVEL is ('0', '1', 'X');
subtype LOGIC_SWITCH is SWITCH_LEVEL range '0' to '1';
-- integer types.
type POSITIVE is range 0 to INTEGER'HIGH;
-- user defined physical types.
type DISTANCE is range 0 to 1E9
units
-- Base units.
A; -- angstrom
-- Metric lengths.
nm = 10 A; -- nanometer
um = 1000 nm; -- micrometer (or micron)
mm = 1000 um; -- millimeter
cm = 10 mm; -- centimeter
-- English lengths.
mil = 254000 A; -- mil
inch = 1000 mil; -- inch
end units;
-- floating point types.
type POSITIVE_R is range 0.0 to REAL'HIGH;
-- array types.
type MEMORY is array(INTEGER range <>) of BIT;
type WORD is array(0 to 31) of BIT;
type BYTE is array(7 downto 0) of BIT;
-- record types.
type DATE is
record
DAY : INTEGER range 1 to 31;
MONTH : INTEGER range 1 to 12;
YEAR : INTEGER range -10000 to 1988;
end record;
-- Signals with no resolution function.
signal SWITCHSIG : SWITCH_LEVEL;
signal LOGICSIG : LOGIC_SWITCH;
signal CHARSIG : CHARACTER;
signal BOOLSIG : BOOLEAN;
signal SEVERSIG : SEVERITY_LEVEL;
signal INTSIG : INTEGER;
signal POSSIG : POSITIVE;
signal DISTSIG : DISTANCE;
signal TIMESIG : TIME;
signal REALSIG : REAL;
signal POSRSIG : POSITIVE_R;
signal BYTESIG : BYTE;
signal RECSIG : DATE;
-- Composite signals with resolution functions on the scalar subelements.
BEGIN
TESTING: PROCESS
-- local variables
variable ShouldBeTime : TIME := 0 ns;
variable k : integer := 0;
BEGIN
-- Test each signal assignment.
SWITCHSIG <= '1' after 10 ns;
ShouldBeTime := NOW + 10 ns;
wait on SWITCHSIG;
if (ShouldBeTime /= now or switchsig /= '1') then
k := 1;
end if;
assert (ShouldBeTime = NOW);
assert (SWITCHSIG = '1');
LOGICSIG <= '1' after 10 ns;
ShouldBeTime := NOW + 10 ns;
wait on LOGICSIG;
if (ShouldBeTime /= now or logicsig /= '1') then
k := 1;
end if;
assert (ShouldBeTime = NOW);
assert (LOGICSIG = '1');
CHARSIG <= '1' after 10 ns;
ShouldBeTime := NOW + 10 ns;
wait on CHARSIG;
if (ShouldBeTime /= now or charsig /= '1') then
k := 1;
end if;
assert (ShouldBeTime = NOW);
assert (CHARSIG = '1');
BOOLSIG <= TRUE after 10 ns;
ShouldBeTime := NOW + 10 ns;
wait on BOOLSIG;
if (ShouldBeTime /= now or boolsig /= true) then
k := 1;
end if;
assert (ShouldBeTime = NOW);
assert (BOOLSIG = TRUE);
SEVERSIG <= ERROR after 10 ns;
ShouldBeTime := NOW + 10 ns;
wait on SEVERSIG;
if (ShouldBeTime /= now or seversig /= error) then
k := 1;
end if;
assert (ShouldBeTime = NOW);
assert (SEVERSIG = ERROR);
INTSIG <= 47 after 10 ns;
ShouldBeTime := NOW + 10 ns;
wait on INTSIG;
if (ShouldBeTime /= now or intsig /= 47) then
k := 1;
end if;
assert (ShouldBeTime = NOW);
assert (INTSIG = 47);
POSSIG <= 47 after 10 ns;
ShouldBeTime := NOW + 10 ns;
wait on POSSIG;
if (ShouldBeTime /= now or possig /= 47) then
k := 1;
end if;
assert (ShouldBeTime = NOW);
assert (POSSIG = 47);
DISTSIG <= 1 A after 10 ns;
ShouldBeTime := NOW + 10 ns;
wait on DISTSIG;
if (ShouldBeTime /= now or distsig /= 1 A) then
k := 1;
end if;
assert (ShouldBeTime = NOW);
assert (DISTSIG = 1 A);
TIMESIG <= 10 ns after 10 ns;
ShouldBeTime := NOW + 10 ns;
wait on TIMESIG;
if (ShouldBeTime /= now or timesig /= 10 ns) then
k := 1;
end if;
assert (ShouldBeTime = NOW);
assert (TIMESIG = 10 ns);
REALSIG <= 47.0 after 10 ns;
ShouldBeTime := NOW + 10 ns;
wait on REALSIG;
if (ShouldBeTime /= now or realsig /= 47.0) then
k := 1;
end if;
assert (ShouldBeTime = NOW);
assert (REALSIG = 47.0);
POSRSIG <= 47.0 after 10 ns;
ShouldBeTime := NOW + 10 ns;
wait on POSRSIG;
if (ShouldBeTime /= now or posrsig /= 47.0) then
k := 1;
end if;
assert (ShouldBeTime = NOW);
assert (POSRSIG = 47.0);
BYTESIG <= B"10101010" after 10 ns;
ShouldBeTime := NOW + 10 ns;
wait on BYTESIG;
if (ShouldBeTime /= now or bytesig /= B"10101010") then
k := 1;
end if;
assert (ShouldBeTime = NOW);
assert (BYTESIG = B"10101010");
RECSIG <= ( DAY => 14, MONTH => 2, YEAR => 1988 ) after 10 ns;
ShouldBeTime := NOW + 10 ns;
wait on RECSIG;
if (ShouldBeTime /= now or recsig.day /= 14 or recsig.month /= 2 or recsig.year /= 1988) then
k := 1;
end if;
assert (ShouldBeTime = NOW);
assert (RECSIG.DAY = 14);
assert (RECSIG.MONTH = 2);
assert (RECSIG.YEAR = 1988);
assert NOT( k=0 )
report "***PASSED TEST: c08s04b01x00p03n02i01327"
severity NOTE;
assert ( k=0 )
report "***FAILED TEST: c08s04b01x00p03n02i01327 - Evaluation of waveform elements is used to specify that driver is to assign a particular value to a target at the specified time."
severity ERROR;
wait;
END PROCESS TESTING;
END c08s04b01x00p03n02i01327arch;
| gpl-2.0 | 3df772f80eeff12befb77f3f718e8792 | 0.595595 | 3.720178 | false | false | false | false |
DE5Amigos/SylvesterTheDE2Bot | DE2Botv3Fall16Main/lpm_constant_oe0.vhd | 1 | 3,564 | -- megafunction wizard: %LPM_CONSTANT%
-- GENERATION: STANDARD
-- VERSION: WM1.0
-- MODULE: lpm_constant
-- ============================================================
-- File Name: lpm_constant_oe0.vhd
-- Megafunction Name(s):
-- lpm_constant
--
-- Simulation Library Files(s):
-- lpm
-- ============================================================
-- ************************************************************
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
--
-- 9.1 Build 350 03/24/2010 SP 2 SJ Web Edition
-- ************************************************************
--Copyright (C) 1991-2010 Altera Corporation
--Your use of Altera Corporation's design tools, logic functions
--and other software and tools, and its AMPP partner logic
--functions, and any output files from any of the foregoing
--(including device programming or simulation files), and any
--associated documentation or information are expressly subject
--to the terms and conditions of the Altera Program License
--Subscription Agreement, Altera MegaCore Function License
--Agreement, or other applicable license agreement, including,
--without limitation, that your use is for the sole purpose of
--programming logic devices manufactured by Altera and sold by
--Altera or its authorized distributors. Please refer to the
--applicable agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
LIBRARY lpm;
USE lpm.all;
ENTITY lpm_constant_oe0 IS
PORT
(
result : OUT STD_LOGIC_VECTOR (4 DOWNTO 0)
);
END lpm_constant_oe0;
ARCHITECTURE SYN OF lpm_constant_oe0 IS
SIGNAL sub_wire0 : STD_LOGIC_VECTOR (4 DOWNTO 0);
COMPONENT lpm_constant
GENERIC (
lpm_cvalue : NATURAL;
lpm_hint : STRING;
lpm_type : STRING;
lpm_width : NATURAL
);
PORT (
result : OUT STD_LOGIC_VECTOR (4 DOWNTO 0)
);
END COMPONENT;
BEGIN
result <= sub_wire0(4 DOWNTO 0);
lpm_constant_component : lpm_constant
GENERIC MAP (
lpm_cvalue => 10,
lpm_hint => "ENABLE_RUNTIME_MOD=NO",
lpm_type => "LPM_CONSTANT",
lpm_width => 5
)
PORT MAP (
result => sub_wire0
);
END SYN;
-- ============================================================
-- CNX file retrieval info
-- ============================================================
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone II"
-- Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0"
-- Retrieval info: PRIVATE: JTAG_ID STRING "NONE"
-- Retrieval info: PRIVATE: Radix NUMERIC "10"
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
-- Retrieval info: PRIVATE: Value NUMERIC "10"
-- Retrieval info: PRIVATE: nBit NUMERIC "5"
-- Retrieval info: CONSTANT: LPM_CVALUE NUMERIC "10"
-- Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=NO"
-- Retrieval info: CONSTANT: LPM_TYPE STRING "LPM_CONSTANT"
-- Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "5"
-- Retrieval info: USED_PORT: result 0 0 5 0 OUTPUT NODEFVAL result[4..0]
-- Retrieval info: CONNECT: result 0 0 5 0 @result 0 0 5 0
-- Retrieval info: LIBRARY: lpm lpm.lpm_components.all
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_constant_oe0.vhd TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_constant_oe0.inc FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_constant_oe0.cmp TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_constant_oe0.bsf TRUE FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_constant_oe0_inst.vhd FALSE
-- Retrieval info: LIB_FILE: lpm
| mit | 4be13d1f11b408cdb11dd7746e15e4cd | 0.625421 | 3.739769 | false | false | false | false |
tgingold/ghdl | testsuite/synth/issue1243/issue.vhdl | 1 | 381 | library ieee;
use ieee.std_logic_1164.all;
entity issue is
end issue;
architecture beh of issue is
signal foo : std_logic_vector (10 downto 0) := (others=>'0');
signal bar1 : std_logic_vector (10 downto 0) := (others=>'0');
signal bar2 : std_logic_vector (10 downto 0) := (others=>'0');
begin
bar1 <= foo or x"40";
bar2 <= foo or "1";
end architecture beh;
| gpl-2.0 | 8a50f7759ed2d2b6ed3973f54c07a3cc | 0.635171 | 3.14876 | false | false | false | false |
tgingold/ghdl | testsuite/vests/vhdl-93/ashenden/compliant/ch_13_fg_13_01.vhd | 4 | 3,158 |
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_13_fg_13_01.vhd,v 1.2 2001-10-26 16:29:35 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
entity edge_triggered_Dff is
generic ( Tprop, Tsetup, Thold : delay_length );
port ( clk : in bit; clr : in bit; d : in bit;
q : out bit );
end entity edge_triggered_Dff;
architecture basic of edge_triggered_Dff is
begin
state_change : process (clk, clr) is
begin
if clr = '1' then
q <= '0' after Tprop;
elsif clk'event and clk = '1' then
q <= d after Tprop;
end if;
end process state_change;
end architecture basic;
architecture hi_fanout of edge_triggered_Dff is
begin
state_change : process (clk, clr) is
begin
if clr = '1' then
q <= '0' after Tprop;
elsif clk'event and clk = '1' then
q <= d after Tprop;
end if;
end process state_change;
end architecture hi_fanout;
-- code from book
entity reg4 is
port ( clk, clr : in bit; d : in bit_vector(0 to 3);
q : out bit_vector(0 to 3) );
end entity reg4;
--------------------------------------------------
architecture struct of reg4 is
component flipflop is
generic ( Tprop, Tsetup, Thold : delay_length );
port ( clk : in bit; clr : in bit; d : in bit;
q : out bit );
end component flipflop;
begin
bit0 : component flipflop
generic map ( Tprop => 2 ns, Tsetup => 2 ns, Thold => 1 ns )
port map ( clk => clk, clr => clr, d => d(0), q => q(0) );
bit1 : component flipflop
generic map ( Tprop => 2 ns, Tsetup => 2 ns, Thold => 1 ns )
port map ( clk => clk, clr => clr, d => d(1), q => q(1) );
bit2 : component flipflop
generic map ( Tprop => 2 ns, Tsetup => 2 ns, Thold => 1 ns )
port map ( clk => clk, clr => clr, d => d(2), q => q(2) );
bit3 : component flipflop
generic map ( Tprop => 2 ns, Tsetup => 2 ns, Thold => 1 ns )
port map ( clk => clk, clr => clr, d => d(3), q => q(3) );
end architecture struct;
-- end code from book
configuration fg_13_01 of reg4 is
for struct
-- code from book (in text)
for bit0, bit1 : flipflop
use entity work.edge_triggered_Dff(basic);
end for;
-- end code from book
end for;
end configuration fg_13_01;
| gpl-2.0 | 432f2166e091e013d64d5b3e7212fbdf | 0.586764 | 3.684947 | false | false | false | false |
nickg/nvc | test/eopt/issue427.vhd | 1 | 2,776 | package SYNC is
type std_ulogic is ('0', '1', 'Z', 'H', 'U');
type std_ulogic_vector is array (natural range <>) of std_ulogic;
function resolved (x : in std_ulogic_vector) return std_ulogic;
subtype std_logic is resolved std_ulogic;
type std_logic_vector is array (natural range <>) of std_logic;
constant SYNC_MAX_PLUG_SIZE : integer := 32;
subtype SYNC_PLUG_NUM_TYPE is integer range 1 to SYNC_MAX_PLUG_SIZE;
alias SYNC_SIG_TYPE is std_logic;
subtype SYNC_REQ_TYPE is integer;
subtype SYNC_ACK_TYPE is std_logic;
type SYNC_REQ_VECTOR is array (INTEGER range <>) of SYNC_REQ_TYPE;
type SYNC_ACK_VECTOR is array (INTEGER range <>) of SYNC_ACK_TYPE;
component SYNC_SIG_DRIVER
generic (
PLUG_NUM : SYNC_PLUG_NUM_TYPE := 1
);
port (
SYNC : inout SYNC_SIG_TYPE;
REQ : in SYNC_REQ_TYPE;
ACK : out SYNC_ACK_TYPE
);
end component;
end package;
package body sync is
function resolved (x : in std_ulogic_vector) return std_ulogic is
begin
return x(x'left);
end function;
end package body;
library WORK;
use WORK.SYNC.all;
entity SYNC_SIG_DRIVER is
generic (
PLUG_NUM : SYNC_PLUG_NUM_TYPE := 1
);
port (
SYNC : inout SYNC_SIG_TYPE;
REQ : in SYNC_REQ_TYPE;
ACK : out SYNC_ACK_TYPE
);
end SYNC_SIG_DRIVER;
architecture MODEL of SYNC_SIG_DRIVER is
begin
process begin
SYNC <= 'Z';
ACK <= '0';
SYNC_LOOP: loop
if (REQ > 0) then
SYNC <= 'H';
wait until (SYNC = '1' or SYNC = '0');
SYNC <= '0';
ACK <= '1';
wait until (REQ = 0);
ACK <= '0';
elsif (REQ = 0) then
SYNC <= '0';
else
SYNC <= 'Z';
end if;
wait on REQ;
end loop;
end process;
end MODEL;
library WORK;
use WORK.SYNC.all;
entity TEST_NG is
end TEST_NG;
architecture MODEL of TEST_NG is
constant PLUG_SIZE : integer := 2;
signal SYNC : SYNC_SIG_TYPE;
signal REQ : SYNC_REQ_VECTOR(1 to PLUG_SIZE);
signal ACK : SYNC_ACK_VECTOR(1 to PLUG_SIZE);
begin
PLUG : for i in 1 to PLUG_SIZE generate
DRIVER : SYNC_SIG_DRIVER
generic map (PLUG_NUM => i)
port map (SYNC => SYNC,REQ => REQ(i),ACK => ACK(i));
end generate;
process begin
REQ(1) <= 0;
wait;
end process;
process begin
REQ(2) <= 0;
wait;
end process;
end MODEL;
| gpl-3.0 | 13ef0f47b99cc9e7562d78065fed6b32 | 0.516571 | 3.652632 | false | false | false | false |
nickg/nvc | test/bounds/aggregate.vhd | 1 | 1,319 | entity aggregate is
end entity;
architecture test of aggregate is
type my_enum is (A, B, C);
type my_enum_map is array (my_enum) of integer;
constant c1 : my_enum_map := (A => 1, A => 2, C => 3); -- Error
constant c2 : my_enum_map := my_enum_map'(A => 1, B => 2); -- Error
constant c3 : my_enum_map := (b => 1, a to c => 2); -- Error
subtype my_bit_vec is bit_vector(3 downto 1);
type my_int is range 10 downto 5;
type my_int_map is array (my_int) of integer;
constant c4 : my_bit_vec := (3 => '1', 2 => '0'); -- Error
constant c5 : my_int_map := (7 => 2); -- Error
constant c6 : integer_vector(1 to 3) := (1, 2, 3); -- OK
constant c7 : integer_vector(1 to 4) := (c6, 4); -- OK
constant c8 : integer_vector(1 to 3) := (c6, 4); -- Error
constant c9 : integer_vector(1 to 5) := (c6, 4); -- Error
constant c10 : integer_vector(1 to 3) := (1 to 3 => c6); -- OK
constant c11 : integer_vector(1 to 3) := (1 to 2 => c6(1 to 2)); -- Error
constant c12 : integer_vector(1 to 4) := (1 to 4 => c6); -- Error
constant c13 : integer_vector(1 to 4) :=
( integer_vector'(1, 2), integer_vector'(3, 4) ); -- OK
constant c14 : bit_vector(7 downto 0) :=
(3 downto 0 => "111", others => '0'); -- Error
begin
end architecture;
| gpl-3.0 | 2a72052875267619a5dcd7ae6479a6d3 | 0.55345 | 2.873638 | false | false | false | false |
tgingold/ghdl | testsuite/vests/vhdl-93/billowitch/compliant/tc1389.vhd | 4 | 1,901 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1389.vhd,v 1.2 2001-10-26 16:29:41 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s05b00x00p04n02i01389ent IS
END c08s05b00x00p04n02i01389ent;
ARCHITECTURE c08s05b00x00p04n02i01389arch OF c08s05b00x00p04n02i01389ent IS
subtype C2 is BIT_VECTOR(1 to 2);
BEGIN
TESTING: PROCESS
variable S1 : BIT;
variable T1 : BIT;
variable BIT2 : C2 := B"11";
BEGIN
(S1, T1) := BIT2;
assert NOT((S1 = '1') and (T1 = '1'))
report "***PASSED TEST: c08s05b00x00p04n02i01389"
severity NOTE;
assert ((S1 = '1') and (T1 = '1'))
report "***FAILED TEST: c08s05b00x00p04n02i01389 - Base types of the expression on the right hand side is the same as the base type of the aggregate."
severity ERROR;
wait;
END PROCESS TESTING;
END c08s05b00x00p04n02i01389arch;
| gpl-2.0 | 5a93244dd34db8cbab809f3aa9f98e77 | 0.659127 | 3.533457 | false | true | false | false |
tgingold/ghdl | testsuite/vests/vhdl-93/billowitch/compliant/tc478.vhd | 4 | 3,246 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc478.vhd,v 1.2 2001-10-26 16:29:55 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY model IS
PORT
(
F1: OUT integer := 3;
F2: INOUT integer := 3;
F3: IN integer
);
END model;
architecture model of model is
begin
process
begin
wait for 1 ns;
assert F3= 3
report"wrong initialization of F3 through type conversion" severity failure;
assert F2 = 3
report"wrong initialization of F2 through type conversion" severity failure;
wait;
end process;
end;
ENTITY c03s02b01x01p19n01i00478ent IS
END c03s02b01x01p19n01i00478ent;
ARCHITECTURE c03s02b01x01p19n01i00478arch OF c03s02b01x01p19n01i00478ent IS
function resolution4(i:in string) return character is
variable temp : character := 's' ;
begin
return temp;
end resolution4;
subtype character_state is resolution4 character;
constant C66 : character_state := 's';
function complex_scalar(s : character_state) return integer is
begin
return 3;
end complex_scalar;
function scalar_complex(s : integer) return character_state is
begin
return C66;
end scalar_complex;
component model1
PORT
(
F1: OUT integer;
F2: INOUT integer;
F3: IN integer
);
end component;
for T1 : model1 use entity work.model(model);
signal S1 : character_state;
signal S2 : character_state;
signal S3 : character_state:= C66;
BEGIN
T1: model1
port map (
scalar_complex(F1) => S1,
scalar_complex(F2) => complex_scalar(S2),
F3 => complex_scalar(S3)
);
TESTING: PROCESS
BEGIN
wait for 1 ns;
assert NOT((S1 = C66) and (S2 = C66))
report "***PASSED TEST: c03s02b01x01p19n01i00478"
severity NOTE;
assert ((S1 = C66) and (S2 = C66))
report "***FAILED TEST: c03s02b01x01p19n01i00478 - For an interface object of mode out, buffer, inout, or linkage, if the formal part includes a type conversion function, then the parameter subtype of that function must be a constrained array subtype."
severity ERROR;
wait;
END PROCESS TESTING;
END c03s02b01x01p19n01i00478arch;
| gpl-2.0 | b33d6acb9aab2ca37f1b2ed5c2ed249b | 0.658041 | 3.731034 | false | true | false | false |
tgingold/ghdl | testsuite/gna/issue376/fx3_model_modified.vhdl | 1 | 7,751 | -- Copyright (c) 2013 Nuand LLC
--
-- Permission is hereby granted, free of charge, to any person obtaining a copy
-- of this software and associated documentation files (the "Software"), to deal
-- in the Software without restriction, including without limitation the rights
-- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
-- copies of the Software, and to permit persons to whom the Software is
-- furnished to do so, subject to the following conditions:
--
-- The above copyright notice and this permission notice shall be included in
-- all copies or substantial portions of the Software.
--
-- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
-- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
-- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
-- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
-- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
-- OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
-- THE SOFTWARE.
library ieee ;
use ieee.std_logic_1164.all ;
use ieee.numeric_std.all ;
use ieee.math_real.all ;
use ieee.math_complex.all ;
library nuand ;
use nuand.util.all ;
entity fx3_model is
port (
fx3_pclk : buffer std_logic := '1' ;
fx3_gpif : inout std_logic_vector(31 downto 0) ;
fx3_ctl : inout std_logic_vector(12 downto 0) ;
fx3_uart_rxd : in std_logic ;
fx3_uart_txd : buffer std_logic ;
fx3_uart_cts : buffer std_logic ;
fx3_rx_en : in std_logic ;
fx3_rx_meta_en : in std_logic ;
fx3_tx_en : in std_logic ;
fx3_tx_meta_en : in std_logic
) ;
end entity ; -- fx3_model
architecture dma of fx3_model is
constant PCLK_HALF_PERIOD : time := 1 sec * (1.0/100.0e6/2.0) ;
-- Control mapping
-- alias dma0_rx_ack is fx3_ctl( 0) ;
-- alias dma1_rx_ack is fx3_ctl( 1) ;
-- alias dma2_tx_ack is fx3_ctl( 2) ;
-- alias dma3_tx_ack is fx3_ctl( 3) ;
-- alias dma_rx_enable is fx3_ctl( 4) ;
-- alias dma_tx_enable is fx3_ctl( 5) ;
-- alias dma_idle is fx3_ctl( 6) ;
-- alias system_reset is fx3_ctl( 7) ;
-- alias dma0_rx_reqx is fx3_ctl( 8) ;
-- alias dma1_rx_reqx is fx3_ctl(12) ; -- due to 9 being connected to dclk
-- alias dma2_tx_reqx is fx3_ctl(10) ;
-- alias dma3_tx_reqx is fx3_ctl(11) ;
type gpif_state_t is (IDLE, TX_SAMPLES, RX_SAMPLES) ;
signal gpif_state : gpif_state_t ;
begin
-- DCLK which isn't used
fx3_ctl(9) <= '0' ;
-- Create a 100MHz clock output
fx3_pclk <= not fx3_pclk after PCLK_HALF_PERIOD ;
rx_sample_stream : process
constant BLOCK_SIZE : natural := 512 ;
variable count : natural := 0 ;
begin
-- dma0_rx_reqx <= '1' ;
fx3_ctl (8) <= '1' ;
-- dma1_rx_reqx <= '1' ;
fx3_ctl (12) <= '1' ;
-- dma_rx_enable <= '0' ;
fx3_ctl (4) <= '0' ;
-- wait until rising_edge(fx3_pclk) and system_reset = '0' ;
wait until rising_edge(fx3_pclk) and fx3_ctl (7) = '0' ;
for i in 1 to 10 loop
wait until rising_edge( fx3_pclk ) ;
end loop ;
if( fx3_rx_en = '0' ) then
wait;
end if;
wait for 30 us;
-- dma_rx_enable <= '1' ;
fx3_ctl (4) <= '1' ;
while true loop
for i in 0 to 2 loop
-- dma0_rx_reqx <= '0' ;
fx3_ctl (8) <= '0' ;
-- wait until rising_edge( fx3_pclk ) and dma0_rx_ack = '1' ;
wait until rising_edge( fx3_pclk ) and fx3_ctl (0) = '1' ;
wait until rising_edge( fx3_pclk ) ;
wait until rising_edge( fx3_pclk ) ;
-- dma0_rx_reqx <= '1' ;
fx3_ctl (8) <= '1' ;
for i in 1 to BLOCK_SIZE loop
wait until rising_edge( fx3_pclk ) ;
end loop ;
end loop ;
-- dma_rx_enable <= '0' ;
fx3_ctl (4) <= '0' ;
for i in 0 to 5000 loop
wait until rising_edge(fx3_pclk) ;
end loop ;
-- dma_rx_enable <= '1' ;
fx3_ctl (4) <= '1' ;
for i in 0 to 10 loop
wait until rising_edge(fx3_pclk);
end loop ;
end loop ;
report "Done with RX sample stream" ;
wait ;
end process ;
tx_sample_stream : process
constant BLOCK_SIZE : natural := 512 ;
variable count : natural := 0 ;
variable timestamp_cntr : natural := 80;
variable header_len : natural := 0;
begin
-- dma2_tx_reqx <= '1' ;
fx3_ctl (10) <= '1' ;
-- dma3_tx_reqx <= '1' ;
fx3_ctl (11) <= '1' ;
-- dma_tx_enable <= '0' ;
fx3_ctl (5) <= '0' ;
fx3_gpif <= (others =>'Z') ;
-- wait until system_reset = '0' ;
wait until fx3_ctl (7) = '0' ;
for i in 0 to 1000 loop
wait until rising_edge( fx3_pclk ) ;
end loop ;
if( fx3_tx_en = '0' ) then
wait;
end if;
wait for 120 us;
-- dma_tx_enable <= '1' ;
fx3_ctl (5) <= '1' ;
for i in 0 to 3 loop
-- dma3_tx_reqx <= '0' ;
fx3_ctl (11) <= '0' ;
-- wait until rising_edge( fx3_pclk ) and dma3_tx_ack = '1' ;
wait until rising_edge( fx3_pclk ) and fx3_ctl (3) = '1' ;
wait until rising_edge( fx3_pclk ) ;
wait until rising_edge( fx3_pclk ) ;
-- dma3_tx_reqx <= '1' ;
fx3_ctl (11) <= '1' ;
if( fx3_tx_meta_en = '1') then
for i in 1 to 4 loop
if (i = 1 ) then
fx3_gpif <= x"12341234";
elsif (i = 3 ) then
fx3_gpif <= (others => '0');
elsif(i = 4) then
fx3_gpif <= (others => '1');
elsif (i = 2) then
fx3_gpif(31 downto 0) <= std_logic_vector(to_signed(timestamp_cntr, 32));
timestamp_cntr := timestamp_cntr + 508 * 2;
end if;
wait until rising_edge( fx3_pclk );
end loop;
header_len := 4;
else
header_len := 0;
end if;
for i in 1 to BLOCK_SIZE - header_len loop
fx3_gpif(31 downto 16) <= std_logic_vector(to_signed(count, 16)) ;
fx3_gpif(15 downto 0) <= std_logic_vector(to_signed(-count, 16)) ;
count := (count + 1) mod 2048 ;
wait until rising_edge( fx3_pclk );
end loop ;
fx3_gpif <= (others =>'Z');
for i in 1 to 10 loop
wait until rising_edge( fx3_pclk );
end loop ;
end loop ;
report "Done with TX sample stream" ;
wait ;
end process ;
reset_system : process
begin
-- system_reset <= '1' ;
fx3_ctl (7) <= '1' ;
-- dma_idle <= '0' ;
fx3_ctl (6) <= '0' ;
nop( fx3_pclk, 100 ) ;
-- system_reset <= '0' ;
fx3_ctl (7) <= '0' ;
nop( fx3_pclk, 10 ) ;
-- dma_idle <= '1' ;
fx3_ctl (6) <= '1' ;
wait ;
end process ;
-- TODO: UART Interface
fx3_uart_txd <= '1' ;
fx3_uart_cts <= '1' ;
end architecture ; -- dma
architecture inband_scheduler of fx3_model is
begin
end architecture ; -- inband_scheduler
| gpl-2.0 | 406e181c074272362e54e9ff1c8b0071 | 0.501226 | 3.426614 | false | false | false | false |
nickg/nvc | test/regress/ename1.vhd | 1 | 1,070 | entity bot is
end entity;
architecture test of bot is
signal x, y : natural;
begin
p1: process (y) is
begin
x <= y + 5;
end process;
end architecture;
-------------------------------------------------------------------------------
entity ename1 is
end entity;
architecture test of ename1 is
begin
uut: entity work.bot;
p2: process is
begin
assert <<signal uut.x : natural>> = 0;
wait for 1 ns;
<<signal uut.y : natural>> <= force 5;
wait for 0 ns;
assert <<signal uut.x : natural>> = 5;
wait for 0 ns;
assert <<signal .ename1.uut.x : natural>> = 10;
<<signal uut.y : natural>> <= release;
wait for 1 ns;
assert <<signal .ename1.uut.x : natural>> = 5;
wait for 10 ns;
assert <<signal .ename1.uut.x : natural>> = 25;
wait;
end process;
p3: process is
alias y is <<signal uut.y : natural>>;
begin
y <= 0;
wait for 10 ns;
y <= 20;
wait;
end process;
end architecture;
| gpl-3.0 | ce188f0992ac1684a728c4e168e53c30 | 0.497196 | 3.848921 | false | false | false | false |
tgingold/ghdl | testsuite/vests/vhdl-93/billowitch/compliant/tc743.vhd | 4 | 3,730 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc743.vhd,v 1.2 2001-10-26 16:29:59 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
package c01s01b01x01p04n01i00743pkg is
type arrtype is array (1 to 5) of bit;
constant defcon1 : bit;
constant defcon2 : integer;
constant defcon3 : arrtype;
constant defcon4 : boolean;
component comp1
generic (
constant dgc1 : bit := defcon1;
constant dgc2 : integer := defcon2;
constant dgc3 : arrtype := defcon3;
constant dgc4 : boolean := defcon4
);
port ( signal dcent1 : inout bit := dgc1;
signal dcent2 : inout integer := dgc2;
signal dcent3 : inout arrtype := dgc3;
signal dcent4 : inout boolean := dgc4
);
end component;
end c01s01b01x01p04n01i00743pkg;
package body c01s01b01x01p04n01i00743pkg is
constant defcon1 : bit := '1';
constant defcon2 : integer := 113;
constant defcon3 : arrtype := ('1','0','1','0','1');
constant defcon4 : boolean := TRUE;
end c01s01b01x01p04n01i00743pkg;
use work.c01s01b01x01p04n01i00743pkg.all;
entity c01s01b01x01p04n01i00743ent_a is
generic (
constant gc1 : bit;
constant gc2 : integer;
constant gc3 : arrtype;
constant gc4 : boolean
);
port ( signal cent1 : inout bit;
signal cent2 : inout integer;
signal cent3 : inout arrtype;
signal cent4 : inout boolean
);
end c01s01b01x01p04n01i00743ent_a;
architecture c01s01b01x01p04n01i00743arch_a of c01s01b01x01p04n01i00743ent_a is
begin
p0: process
begin
wait for 1 ns;
if (gc1='1') and (gc2=113) and (gc3=('1','0','1','0','1')) and (gc4) then
assert FALSE
report "***PASSED TEST: c01s01b01x01p04n01i00743"
severity NOTE;
else
assert FALSE
report "***FAILED TEST: c01s01b01x01p04n01i00743 - Generic default to deferred constants."
severity ERROR;
end if;
wait;
end process;
end c01s01b01x01p04n01i00743arch_a;
use work.c01s01b01x01p04n01i00743pkg.all;
ENTITY c01s01b01x01p04n01i00743ent IS
generic ( constant gen_con : integer := 1334 );
port ( signal ee1 : inout boolean := TRUE;
signal ee2 : inout bit;
signal ee3 : inout integer;
signal ee4 : inout arrtype
);
END c01s01b01x01p04n01i00743ent;
ARCHITECTURE c01s01b01x01p04n01i00743arch OF c01s01b01x01p04n01i00743ent IS
for u1 : comp1 use
entity work.c01s01b01x01p04n01i00743ent_a(c01s01b01x01p04n01i00743arch_a)
generic map ( dgc1, dgc2, dgc3, dgc4 )
port map ( dcent1, dcent2, dcent3, dcent4 );
BEGIN
u1 : comp1;
END c01s01b01x01p04n01i00743arch;
| gpl-2.0 | 701697d9c338b5aeaba4223eccd7eecb | 0.652815 | 3.387829 | false | false | false | false |
tgingold/ghdl | testsuite/vests/vhdl-93/billowitch/disputed/tc3135.vhd | 4 | 2,716 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc3135.vhd,v 1.2 2001-10-26 16:30:04 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c05s02b01x02p12n01i03135ent_a IS
generic ( g1 : integer := 0 );
END c05s02b01x02p12n01i03135ent_a;
ARCHITECTURE c05s02b01x02p12n01i03135arch_a OF c05s02b01x02p12n01i03135ent_a IS
BEGIN
TESTING: PROCESS
BEGIN
assert g1 /= 0 report "g1 = 0 " severity FAILURE;
assert g1 /= 1 report "g1 = 1 " severity FAILURE;
assert g1 = -1 report "g1 /= -1 " severity FAILURE;
assert NOT( g1 /= 0 and
g1 /= 1 and
g1 = -1 )
report "***PASSED TEST: c05s02b01x02p12n01i03135"
severity NOTE;
assert ( g1 /= 0 and
g1 /= 1 and
g1 = -1 )
report "***FAILED TEST: c05s02b01x02p12n01i03135 - An actual associated with a formal generic in a generic map aspect be an expression test failed."
severity ERROR;
wait;
END PROCESS TESTING;
END c05s02b01x02p12n01i03135arch_a;
ENTITY c05s02b01x02p12n01i03135ent IS
generic ( test_g : integer := -1 );
END c05s02b01x02p12n01i03135ent;
ARCHITECTURE c05s02b01x02p12n01i03135arch OF c05s02b01x02p12n01i03135ent IS
component ic_socket
generic ( local_g1 : integer := 1 );
end component;
for instance : ic_socket use entity work.c05s02b01x02p12n01i03135ent_a (c05s02b01x02p12n01i03135arch_a)
generic map (test_g);
BEGIN
instance : ic_socket;
END c05s02b01x02p12n01i03135arch;
configuration c05s02b01x02p12n01i03135cfg of c05s02b01x02p12n01i03135ent is
for c05s02b01x02p12n01i03135arch
end for;
end c05s02b01x02p12n01i03135cfg;
| gpl-2.0 | 9ad65837d0b98370ea570fa8ccb0f3f4 | 0.667526 | 3.272289 | false | true | false | false |
tgingold/ghdl | testsuite/vests/vhdl-93/billowitch/compliant/tc1785.vhd | 4 | 5,788 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1785.vhd,v 1.2 2001-10-26 16:29:43 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
Package c09s06b00x00p04n07i01785pkg is
type info is record
field_1 : integer;
field_2 : real;
end record;
type stuff is array (Integer range 1 to 2) of info;
end c09s06b00x00p04n07i01785pkg;
use work.c09s06b00x00p04n07i01785pkg.all;
entity c09s06b00x00p04n07i01785ent_a is
port (
port_0 : in Boolean ;
port_1 : in Bit ;
port_2 : in Character ;
port_3 : in SEVERITY_LEVEL ;
port_4 : in Integer ;
port_5 : in Real ;
port_6 : in TIME ;
port_7 : in Natural ;
port_8 : in Positive ;
port_9 : in String ;
port_A : in Bit_vector ;
port_B : in stuff
);
end c09s06b00x00p04n07i01785ent_a;
use work.c09s06b00x00p04n07i01785pkg.all;
architecture c09s06b00x00p04n07i01785arch_a of c09s06b00x00p04n07i01785ent_a is
-- Check that the data was passed...
begin
TESTING: PROCESS(port_0,port_1,port_2,port_3,port_4,port_5,port_6,port_7,port_8)
BEGIN
assert NOT( port_0 = True and
port_1 = '0' and
port_2 = '@' and
port_3 = NOTE and
port_4 = 123456789 and
port_5 = 987654321.5 and
port_6 = 110 ns and
port_7 = 12312 and
port_8 = 3423 and
port_9 = "16 characters OK" and
port_A = B"01010010100101010010101001010100" and
port_B = ((123, 456.7), (890, 135.7)))
report "***PASSED TEST: c09s06b00x00p04n07i01785"
severity NOTE;
assert ( port_0 = True and
port_1 = '0' and
port_2 = '@' and
port_3 = NOTE and
port_4 = 123456789 and
port_5 = 987654321.5 and
port_6 = 110 ns and
port_7 = 12312 and
port_8 = 3423 and
port_9 = "16 characters OK" and
port_A = B"01010010100101010010101001010100" and
port_B = ((123, 456.7), (890, 135.7)))
report "***FAILED TEST: c09s06b00x00p04n07i01785 - Port map aspect associates a single actual with each local port in the corresponding component declaration test failed."
severity ERROR;
END PROCESS TESTING;
end c09s06b00x00p04n07i01785arch_a;
-----------------------------------------------------------------------
ENTITY c09s06b00x00p04n07i01785ent IS
END c09s06b00x00p04n07i01785ent;
use work.c09s06b00x00p04n07i01785pkg.all;
ARCHITECTURE c09s06b00x00p04n07i01785arch OF c09s06b00x00p04n07i01785ent IS
subtype reg32 is Bit_vector ( 31 downto 0 );
subtype string16 is String ( 1 to 16 );
signal sig_0 : Boolean := TRUE;
signal sig_1 : Bit := '0';
signal sig_2 : Character := '@';
signal sig_3 : SEVERITY_LEVEL := NOTE;
signal sig_4 : Integer := 123456789;
signal sig_5 : Real := 987654321.5;
signal sig_6 : TIME := 110 NS;
signal sig_7 : Natural := 12312;
signal sig_8 : Positive := 3423;
signal sig_9 : String16 := "16 characters OK";
signal sig_A : REG32 := B"0101_0010_1001_0101_0010_1010_0101_0100";
signal sig_B : stuff := (( 123, 456.7 ), ( 890, 135.7 ));
component MultiType
port (
port_0 : in Boolean ;
port_1 : in Bit ;
port_2 : in Character ;
port_3 : in SEVERITY_LEVEL ;
port_4 : in Integer ;
port_5 : in Real ;
port_6 : in TIME ;
port_7 : in Natural ;
port_8 : in Positive ;
port_9 : in String ;
port_A : in Bit_vector ;
port_B : in stuff
);
end component;
for u1 : MultiType use entity work.c09s06b00x00p04n07i01785ent_a (c09s06b00x00p04n07i01785arch_a);
BEGIN
u1 : MultiType
port map (
port_0 => sig_0,
port_1 => sig_1,
port_2 => sig_2,
port_3 => sig_3,
port_4 => sig_4,
port_5 => sig_5,
port_6 => sig_6,
port_7 => sig_7,
port_8 => sig_8,
port_9 => sig_9,
port_A => sig_A,
port_B => sig_B
);
END c09s06b00x00p04n07i01785arch;
| gpl-2.0 | 23680b2a4d73beb7d4d61c45b84d278f | 0.52246 | 3.564039 | false | false | false | false |
makestuff/comm-fpga | epp/vhdl/tb_unit/comm_fpga_epp_tb.vhdl | 1 | 6,313 | --
-- Copyright (C) 2009-2012 Chris McClelland
--
-- This program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU Lesser General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU Lesser General Public License for more details.
--
-- You should have received a copy of the GNU Lesser General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_textio.all;
use std.textio.all;
entity comm_fpga_epp_tb is
end entity;
architecture behavioural of comm_fpga_epp_tb is
-- Clocks
signal sysClk : std_logic; -- main system clock
signal dispClk : std_logic; -- display version of sysClk, which leads it by 4ns
-- External interface ---------------------------------------------------------------------------
signal eppClk : std_logic;
signal eppData : std_logic_vector(7 downto 0);
signal eppAddrStb : std_logic;
signal eppDataStb : std_logic;
signal eppWrite : std_logic;
signal eppWait : std_logic;
-- Channel read/write interface -----------------------------------------------------------------
signal chanAddr : std_logic_vector(6 downto 0); -- comm_fpga_epp selects one of 128 channels to access
-- Host >> FPGA pipe:
signal h2fData : std_logic_vector(7 downto 0); -- data to be read from the selected channel
signal h2fValid : std_logic; -- comm_fpga_epp drives h2fValid='1' when it wants to write to the selected channel
signal h2fReady : std_logic; -- this must be driven high if the selected channel has room for data to be written to it
-- Host << FPGA pipe:
signal f2hData : std_logic_vector(7 downto 0); -- data to be written to the selected channel
signal f2hValid : std_logic; -- this must be asserted if the selected channel has data available for reading
signal f2hReady : std_logic; -- comm_fpga_epp drives f2hReady='1' when it wants to read from the selected channel
begin
-- Instantiate comm_fpga_epp for testing
uut: entity work.comm_fpga_epp
port map(
clk_in => sysClk,
reset_in => '0',
-- EPP interface --------------------------------------------------------------------------
eppData_io => eppData,
eppAddrStb_in => eppAddrStb,
eppDataStb_in => eppDataStb,
eppWrite_in => eppWrite,
eppWait_out => eppWait,
-- Channel read/write interface -----------------------------------------------------------
chanAddr_out => chanAddr, -- which channel to connect the pipes to
f2hData_in => f2hData, -- \
f2hValid_in => f2hValid, -- Host >> FPGA pipe
f2hReady_out => f2hReady, -- /
h2fData_out => h2fData, -- \
h2fValid_out => h2fValid, -- Host << FPGA pipe
h2fReady_in => h2fReady -- /
);
-- Drive the clocks. In simulation, sysClk lags 4ns behind dispClk, to give a visual hold time for
-- signals in GTKWave.
process
begin
sysClk <= '0';
dispClk <= '1';
wait for 10 ns;
dispClk <= '0';
wait for 10 ns;
loop
dispClk <= '1';
wait for 4 ns;
sysClk <= '1';
wait for 6 ns;
dispClk <= '0';
wait for 4 ns;
sysClk <= '0';
wait for 6 ns;
end loop;
end process;
-- Drive the EPP side
process
begin
eppData <= (others => 'Z');
eppAddrStb <= '1';
eppDataStb <= '1';
eppWrite <= '1';
wait for 10 ns;
eppWrite <= '0'; -- bring it out of RESET
wait for 45 ns;
-- Do address write
eppData <= x"55";
wait for 5 ns;
eppAddrStb <= '0';
wait until eppWait = '1';
wait for 5 ns;
eppAddrStb <= '1';
wait for 5 ns;
eppData <= (others => 'Z');
wait until eppWait = '0';
wait for 5 ns;
-- Do data write 1
eppData <= x"12";
wait for 5 ns;
eppDataStb <= '0';
wait until eppWait = '1';
wait for 5 ns;
eppDataStb <= '1';
wait for 5 ns;
eppData <= (others => 'Z');
wait until eppWait = '0';
wait for 5 ns;
-- Do data write 2
eppData <= x"34";
wait for 5 ns;
eppDataStb <= '0';
wait until eppWait = '1';
wait for 5 ns;
eppDataStb <= '1';
wait for 5 ns;
eppData <= (others => 'Z');
wait until eppWait = '0';
wait for 5 ns;
-- Do data write 3
eppData <= x"56";
wait for 5 ns;
eppDataStb <= '0';
wait until eppWait = '1';
wait for 5 ns;
eppDataStb <= '1';
wait for 5 ns;
eppData <= (others => 'Z');
wait until eppWait = '0';
wait for 5 ns;
-- Do data write 4
eppData <= x"78";
wait for 5 ns;
eppDataStb <= '0';
wait until eppWait = '1';
wait for 5 ns;
eppDataStb <= '1';
wait for 5 ns;
eppData <= (others => 'Z');
wait until eppWait = '0';
wait for 5 ns;
eppWrite <= '1'; -- reading
-- Do data read 1
eppDataStb <= '0';
wait until eppWait = '1';
wait for 5 ns;
eppDataStb <= '1';
wait until eppWait = '0';
wait for 5 ns;
-- Do data read 2
eppDataStb <= '0';
wait until eppWait = '1';
wait for 5 ns;
eppDataStb <= '1';
wait until eppWait = '0';
wait for 5 ns;
-- Do data read 3
eppDataStb <= '0';
wait until eppWait = '1';
wait for 5 ns;
eppDataStb <= '1';
wait until eppWait = '0';
wait for 5 ns;
-- Do data read 4
eppDataStb <= '0';
wait until eppWait = '1';
wait for 5 ns;
eppDataStb <= '1';
wait until eppWait = '0';
eppWrite <= '0'; -- writing again
wait;
end process;
-- Drive the internal side
process
begin
f2hValid <= '0';
h2fReady <= '1';
f2hData <= (others => 'Z');
wait until h2fValid = '1';
wait until h2fValid = '0';
h2fReady <= '0';
wait for 120 ns;
h2fReady <= '1';
wait for 400 ns;
f2hValid <= '1';
f2hData <= x"87";
wait until f2hReady = '1';
f2hData <= x"65";
wait until f2hReady = '0';
wait until f2hReady = '1';
f2hData <= x"43";
wait until f2hReady = '0';
wait until f2hReady = '1';
f2hData <= x"21";
wait until f2hReady = '0';
wait until f2hReady = '1';
f2hData <= (others => 'Z');
f2hValid <= '0';
wait;
end process;
end architecture;
| gpl-3.0 | 4c687ab37fb057e5aed68e4365c6c68f | 0.595438 | 3.220918 | false | false | false | false |
tgingold/ghdl | testsuite/gna/bug090/crash6.vhdl | 1 | 1,708 | library ieee;
use ieee.s_1164.all;
entity dff is
generic (len : natural := 8);
port (clk : in std_logic;
t_n : in std_logic;
d : c_vector (len - 1 downto 0);
q : out stdector (len - 1 downto 0));
end dff;
architecture behav of dff is
begin
p: process (clk)
begin
if rising_edge (clk) then
if rst_n then
q <= (others => '0');
else
q <= d;
end if;
end if;
end process p;
end behav;
entity hello is
end hello;
architecture behav of hello is
signal clk : s;
signal rst_n : std_logic;
signal din, dout, dout2 : std_loor (7 downto 0);
component dff is
generic (len : natural := 8);
port (clk : in std_logic;
st_n : in std_logic;
d : std_ltor (len - 1 downto 0);
q : out std_logic_vector (len - 1 downto 0));
end component;
begin
mydff : entity work.dff
generic map (l => 8)
port map (clk => clk, rst_n => rst_n, d => din, q => dout);
dff2 : dff
generic map (l => 8)
port map (clk => clk, rst_n => rst_n, d => din, q => dout2);
rst_n <= '0' after 0 ns, '1' after 4 ns;
process
begin
clk <= '0';
wait for 1 ns;
clk <= '1';
wait for 1 ns;
end process;
chkr: process (clk)
begin
if rst_n = '0' then
null;
elsif rising_edge (clk) then
assert dout = dout2 report 2incoherence" severity failure;
[nd if;
end process chkr;
process
variable v : natural := 0;
begin
wait until rst_n = '1';
wait until clk = '0';
report "start of tb" severity note;
for i in din'range loop
din(i) <= '0';
end loop;
wait until clk = '0';
end process;
assert false report "Hello world" severity note;
end behav;
| gpl-2.0 | 235795885e3d76dba7c8e652944a2f31 | 0.568501 | 3.174721 | false | false | false | false |
tgingold/ghdl | testsuite/synth/func01/tb_func06.vhdl | 1 | 581 | entity tb_func06 is
end tb_func06;
library ieee;
use ieee.std_logic_1164.all;
architecture behav of tb_func06 is
signal r : std_logic_vector(15 downto 0);
signal s : natural;
begin
dut: entity work.func06
port map (s, r);
process
begin
s <= 2;
wait for 1 ns;
assert r = x"1234" severity failure;
s <= 0;
wait for 1 ns;
assert r = x"0000" severity failure;
s <= 3;
wait for 1 ns;
assert r = x"5678" severity failure;
s <= 4;
wait for 1 ns;
assert r = x"0000" severity failure;
wait;
end process;
end behav;
| gpl-2.0 | 988670785121a5ae637767769657b63e | 0.612737 | 3.192308 | false | false | false | false |
nickg/nvc | test/regress/record31.vhd | 1 | 844 | library ieee;
use ieee.std_logic_1164.all;
package pack is
type rec is record
f : std_logic_vector;
end record;
subtype rec4 is rec(f(1 to 4));
end package;
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.pack.all;
entity record31 is
port ( r : inout rec4 );
end entity;
architecture test of record31 is
begin
p1: process is
begin
r.f <= "ZZZZ";
wait for 1 ns;
assert r.f = "1010";
r.f <= "Z1ZZ";
wait for 1 ns;
assert r.f = "1X10";
wait;
end process;
p2: process is
begin
r.f <= "1010";
wait for 1 ns;
assert r.f = "1010";
wait for 1 ns;
assert r.f = "1X10";
wait;
end process;
end architecture;
| gpl-3.0 | 2a8e96c323c6618d5a21765224f8bf73 | 0.492891 | 3.531381 | false | false | false | false |
tgingold/ghdl | testsuite/synth/dispin01/tb_rec01.vhdl | 1 | 596 | entity tb_rec01 is
end tb_rec01;
library ieee;
use ieee.std_logic_1164.all;
use work.rec01_pkg.all;
architecture behav of tb_rec01 is
signal inp : myrec;
signal r : std_logic;
begin
dut: entity work.rec01
port map (inp => inp, o => r);
process
constant av : std_logic_vector := b"11001";
constant bv : std_logic_vector := b"01011";
constant rv : std_logic_vector := b"11011";
begin
for i in av'range loop
inp.a <= av (i);
inp.b <= bv (i);
wait for 1 ns;
assert r = rv(i) severity failure;
end loop;
wait;
end process;
end behav;
| gpl-2.0 | 24c24587722d1561a4c633796efb5afd | 0.61745 | 3.104167 | false | false | false | false |
nickg/nvc | test/regress/concat7.vhd | 1 | 421 | entity concat7 is
end entity;
architecture test of concat7 is
type rec is record
f : string;
end record;
constant c1 : rec := ( f => ('a' & "bc") );
begin
p: process is
variable v : string(1 to 4);
begin
report "c1.f = " & c1.f;
v := ' ' & c1.f;
wait for 1 ns;
report v;
assert v = " abc";
wait;
end process;
end architecture;
| gpl-3.0 | 95035f561401500cb86ae0c8bed4dffc | 0.498812 | 3.395161 | false | false | false | false |
nickg/nvc | test/regress/textio7.vhd | 1 | 1,237 | --
-- Test READ for real types
--
entity textio7 is
end entity;
use std.textio.all;
architecture test of textio7 is
procedure check(value, expect : real) is
variable l : line;
begin
assert abs(value - expect) < 0.0001
report "value=" & real'image(value) & " expect=" & real'image(expect)
severity failure;
write(l, value);
writeline(output, l);
deallocate(l);
end procedure;
begin
main: process is
variable r : real;
variable l : line;
begin
l := new string'("1.23");
read(l, r);
check(r, 1.23);
deallocate(l);
l := new string'("+4");
read(l, r);
check(r, 4.0);
deallocate(l);
l := new string'("-0.001");
read(l, r);
check(r, -0.001);
deallocate(l);
l := new string'("1.23e2");
read(l, r);
check(r, 123.0);
deallocate(l);
l := new string'("1.994500e+03");
read(l, r);
check(r, 1994.5);
deallocate(l);
l := new string'(" 1.994500e+03");
read(l, r);
check(r, 1994.5);
deallocate(l);
wait;
end process;
end architecture;
| gpl-3.0 | 4ce43aad101fbdcb3ee0f7f36c170b8e | 0.48747 | 3.544413 | false | false | false | false |
Darkin47/Zynq-TX-UTT | Vivado/image_conv_2D/image_conv_2D.srcs/sources_1/bd/design_1/ipshared/xilinx.com/axi_timer_v2_0/hdl/src/vhdl/mux_onehot_f.vhd | 3 | 12,555 | -- mux_onehot_f - arch and entity
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2005-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: mux_onehot_f.vhd
--
-- Description: Parameterizable multiplexer with one hot select lines.
--
-- Please refer to the entity interface while reading the
-- remainder of this description.
--
-- If n is the index of the single select line of S(0 to C_NB-1)
-- that is asserted, then
--
-- Y(0 to C_DW-1) <= D(n*C_DW to n*C_DW + C_DW -1)
--
-- That is, Y selects the nth group of C_DW consecutive
-- bits of D.
--
-- Note that C_NB = 1 is handled as a special case in which
-- Y <= D, without regard to the select line, S.
--
-- The Implementation depends on the C_FAMILY parameter.
-- If the target family supports the needed primitives,
-- a carry-chain structure will be implemented. Otherwise,
-- an implementation dependent on synthesis inferral will
-- be generated.
--
-------------------------------------------------------------------------------
-- Structure:
-- mux_onehot_f
-- family_support
--------------------------------------------------------------------------------
-- Author: FLO
-- History:
-- FLO 11/30/05 -- First version derived from mux_onehot.vhd
-- -- by BLT and ALS.
--
-- ~~~~~~
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Changed proc_common library version to v4_0
-- - Incorporated new disclaimer header
-- ^^^^^^
--
---------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
-------------------------------------------------------------------------------
-- Generic and Port Declaration
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Definition of Generics and Ports
--
-- C_DW: Data width of buses entering the mux. Valid range is 1 to 256.
-- C_NB: Number of data buses entering the mux. Valid range is 1 to 64.
--
-- input D -- input data bus
-- input S -- input select bus
-- output Y -- output bus
--
-- The input data is represented by a one-dimensional bus that is made up
-- of all of the data buses concatenated together. For example, a 4 to 1
-- mux with 2 bit data buses (C_DW=2,C_NB=4) is represented by:
--
-- D = (Bus0Data0, Bus0Data1, Bus1Data0, Bus1Data1, Bus2Data0, Bus2Data1,
-- Bus3Data0, Bus3Data1)
--
-- Y = (Bus0Data0, Bus0Data1) if S(0)=1 else
-- (Bus1Data0, Bus1Data1) if S(1)=1 else
-- (Bus2Data0, Bus2Data1) if S(2)=1 else
-- (Bus3Data0, Bus3Data1) if S(3)=1
--
-- Only one bit of S should be asserted at a time.
--
-------------------------------------------------------------------------------
--library proc_common_v4_0_2;
--use proc_common_v4_0_2.family_support.all; -- 'supported' function, etc.
--
entity mux_onehot_f is
generic( C_DW: integer := 32;
C_NB: integer := 5;
C_FAMILY : string := "virtexe");
port(
D: in std_logic_vector(0 to C_DW*C_NB-1);
S: in std_logic_vector(0 to C_NB-1);
Y: out std_logic_vector(0 to C_DW-1));
end mux_onehot_f;
library unisim;
use unisim.all; -- Make unisim entities available for default binding.
architecture imp of mux_onehot_f is
--constant NLS : natural := native_lut_size(fam_as_string => C_FAMILY,
constant NLS : natural := 6; --native_lut_size(fam_as_string => C_FAMILY,
-- no_lut_return_val => 2*C_NB);
function lut_val(D, S : std_logic_vector) return std_logic is
variable rn : std_logic := '0';
begin
for i in D'range loop
rn := rn or (S(i) and D(i));
end loop;
return not rn;
end;
function min(i, j : integer) return integer is
begin
if i < j then return i; else return j; end if;
end;
-----------------------------------------------------------------------------
-- Signal and Type Declarations
-------------------------------------------------------------------------------
signal Dreord: std_logic_vector(0 to C_DW*C_NB-1);
signal sel: std_logic_vector(0 to C_DW*C_NB-1);
-------------------------------------------------------------------------------
-- Component Declarations
-------------------------------------------------------------------------------
component MUXCY
port
(
O : out std_ulogic;
CI : in std_ulogic;
DI : in std_ulogic;
S : in std_ulogic
);
end component;
begin
-- Reorder data buses
WA_GEN : if C_DW > 0 generate -- XST WA
REORD: process( D )
variable m,n: integer;
begin
for m in 0 to C_DW-1 loop
for n in 0 to C_NB-1 loop
Dreord( m*C_NB+n) <= D( n*C_DW+m );
end loop;
end loop;
end process REORD;
end generate;
-------------------------------------------------------------------------------
-- REPSELS_PROCESS
-------------------------------------------------------------------------------
-- The one-hot select bus contains 1-bit for each bus. To more easily
-- parameterize the carry chains and reduce loading on the select bus, these
-- signals are replicated into a bus that replicates the select bits for the
-- data width of the busses
-------------------------------------------------------------------------------
REPSELS_PROCESS : process ( S )
variable i, j : integer;
begin
-- loop through all data bits and busses
for i in 0 to C_DW-1 loop
for j in 0 to C_NB-1 loop
sel(i*C_NB+j) <= S(j);
end loop;
end loop;
end process REPSELS_PROCESS;
GEN: if C_NB > 1 generate
constant BPL : positive := NLS / 2; -- Buses per LUT is the native lut
-- size divided by two.signals per bus.
constant NUMLUTS : positive := (C_NB+(BPL-1))/BPL;
begin
DATA_WIDTH_GEN: for i in 0 to C_DW-1 generate
signal cyout : std_logic_vector(0 to NUMLUTS);
signal lutout : std_logic_vector(0 to NUMLUTS-1);
begin
cyout(0) <= '0';
NUM_BUSES_GEN: for j in 0 to NUMLUTS - 1 generate
constant BTL : positive := min(BPL, C_NB - j*BPL);
-- Number of Buses This Lut (for last LUT this may be less than BPL)
begin
lutout(j) <= lut_val(D => Dreord(i*C_NB+j*BPL to i*C_NB+j*BPL+BTL-1),
S => sel(i*C_NB+j*BPL to i*C_NB+j*BPL+BTL-1)
);
MUXCY_GEN : if NUMLUTS > 1 generate
MUXCY_I : component MUXCY
port map (CI=>cyout(j),
DI=> '1',
S=>lutout(j),
O=>cyout(j+1));
end generate;
end generate;
Y(i) <= cyout(NUMLUTS) when NUMLUTS > 1 else not lutout(0); -- If just one
-- LUT, then take value from
-- lutout rather than cyout.
end generate;
end generate;
ONE_GEN: if C_NB = 1 generate
Y <= D;
end generate;
end imp;
| gpl-3.0 | f06da7798d56ef90247ed2e61de19bd7 | 0.441736 | 4.730595 | false | false | false | false |
nickg/nvc | test/simp/osvvm4.vhd | 1 | 1,189 | package ScoreboardGenericPkg is
generic (
type ExpectedType ;
type ActualType ;
function Match(Actual : ActualType ; -- defaults
Expected : ExpectedType) return boolean ; -- is "=" ;
function expected_to_string(A : ExpectedType) return string ; -- is to_string ;
function actual_to_string (A : ActualType) return string -- is to_string ;
) ;
end package;
-------------------------------------------------------------------------------
package AlertLogPkg is
function MetaMatch (l, r : bit_vector) return boolean ;
function MetaMatch (l, r : integer) return boolean ;
end package;
-------------------------------------------------------------------------------
package ScoreBoardPkg_slv is new work.ScoreboardGenericPkg
generic map (
ExpectedType => bit_vector,
ActualType => bit_vector,
Match => work.AlertLogPkg.MetaMatch, -- "=", [std_logic_vector, std_logic_vector return boolean]
expected_to_string => to_hstring, -- [std_logic_vector return string]
actual_to_string => to_hstring -- [std_logic_vector return string]
) ;
| gpl-3.0 | e3199129049ac79c2f83ed6d6c064562 | 0.541632 | 4.794355 | false | false | false | false |
nickg/nvc | test/regress/guard3.vhd | 1 | 564 | entity guard3 is
end entity;
library ieee;
use ieee.std_logic_1164.all;
architecture test of guard3 is
signal s : std_logic bus := 'H';
begin
p1: process is
begin
assert s = 'H';
s <= '0';
wait for 1 ns;
assert s = '0';
s <= null after 5 ns;
wait for 1 ns;
assert s = '0';
wait for 5 ns;
assert s = 'Z';
s <= '1' after 1 ns;
wait for 0 ns;
assert s = 'Z';
wait for 1 ns;
assert s = '1';
wait;
end process;
end architecture;
| gpl-3.0 | 6ed2c9fe31bfa923a99a3de63dd8083d | 0.485816 | 3.439024 | false | false | false | false |
tgingold/ghdl | testsuite/vests/vhdl-93/billowitch/compliant/tc995.vhd | 3 | 10,182 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc995.vhd,v 1.2 2001-10-26 16:30:02 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
PACKAGE c06s03b00x00p08n01i00995pkg IS
--
-- This packages contains declarations of User attributes
--
-- ----------------------------------------------------------------------------------
--
TYPE RESISTANCE IS RANGE 0 TO 1E9
UNITS
pf;
nf = 1000 pf;
mf = 1000 nf;
END UNITS;
TYPE t_logic IS (
U, D,
Z0, Z1, ZDX, DZX, ZX,
W0, W1, WZ0, WZ1, WDX, DWX, WZX, ZWX, WX,
R0, R1, RW0, RW1, RZ0, RZ1, RDX, DRX, RZX, ZRX, RWX, WRX, RX,
F0, F1, FR0, FR1, FW0, FW1, FZ0, FZ1, FDX, DFX, FZX, ZFX, FWX, WFX, FRX, RFX, FX
);
--
-- Scalar types Declarations
--
SUBTYPE st_scl1 IS BOOLEAN;
SUBTYPE st_scl2 IS BIT;
SUBTYPE st_scl3 IS CHARACTER;
SUBTYPE st_scl4 IS INTEGER;
SUBTYPE st_scl5 IS REAL;
SUBTYPE st_scl6 IS TIME;
SUBTYPE st_scl7 IS RESISTANCE;
SUBTYPE st_scl8 IS t_logic;
--
-- character string types
--
SUBTYPE st_str1 IS STRING;
SUBTYPE st_str2 IS STRING (1 TO 4);
--
-- Scalar types with a range constraint
--
SUBTYPE cst_scl1 IS BOOLEAN RANGE TRUE TO TRUE;
SUBTYPE cst_scl2 IS BIT RANGE '0' TO '0';
SUBTYPE cst_scl3 IS CHARACTER RANGE 'a' TO 'z';
SUBTYPE cst_scl4 IS INTEGER RANGE 10 DOWNTO 0;
SUBTYPE cst_scl5 IS REAL RANGE 0.0 TO 10.0;
SUBTYPE cst_scl6 IS TIME RANGE 0 fs TO 10 ns;
SUBTYPE cst_scl7 IS RESISTANCE RANGE 0 pf TO 10000 pf;
SUBTYPE cst_scl8 IS t_logic RANGE F0 TO FX;
-- ------------------------------------------------------------------------------------
-- Attribute Declarations
-- ------------------------------------------------------------------------------------
--
ATTRIBUTE atr_scl1 : st_scl1;
ATTRIBUTE atr_scl2 : st_scl2;
ATTRIBUTE atr_scl3 : st_scl3;
ATTRIBUTE atr_scl4 : st_scl4;
ATTRIBUTE atr_scl5 : st_scl5;
ATTRIBUTE atr_scl6 : st_scl6;
ATTRIBUTE atr_scl7 : st_scl7;
ATTRIBUTE atr_scl8 : st_scl8;
ATTRIBUTE atr_str1 : st_str1;
ATTRIBUTE atr_str2 : st_str2;
ATTRIBUTE cat_scl1 : cst_scl1;
ATTRIBUTE cat_scl2 : cst_scl2;
ATTRIBUTE cat_scl3 : cst_scl3;
ATTRIBUTE cat_scl4 : cst_scl4;
ATTRIBUTE cat_scl5 : cst_scl5;
ATTRIBUTE cat_scl6 : cst_scl6;
ATTRIBUTE cat_scl7 : cst_scl7;
ATTRIBUTE cat_scl8 : cst_scl8;
END;
USE WORK.c06s03b00x00p08n01i00995pkg.all;
ENTITY c06s03b00x00p08n01i00995ent IS
ATTRIBUTE atr_scl1 OF c06s03b00x00p08n01i00995ent: ENTITY IS TRUE;
ATTRIBUTE atr_scl2 OF c06s03b00x00p08n01i00995ent: ENTITY IS '0';
ATTRIBUTE atr_scl3 OF c06s03b00x00p08n01i00995ent: ENTITY IS 'z';
ATTRIBUTE atr_scl4 OF c06s03b00x00p08n01i00995ent: ENTITY IS 0;
ATTRIBUTE atr_scl5 OF c06s03b00x00p08n01i00995ent: ENTITY IS 10.0;
-- ATTRIBUTE atr_scl6 OF c06s03b00x00p08n01i00995ent: ENTITY IS 10 ns;
ATTRIBUTE atr_scl7 OF c06s03b00x00p08n01i00995ent: ENTITY IS 10000 pf;
ATTRIBUTE atr_scl8 OF c06s03b00x00p08n01i00995ent: ENTITY IS FX;
ATTRIBUTE atr_str1 OF c06s03b00x00p08n01i00995ent: ENTITY IS "entity";
ATTRIBUTE atr_str2 OF c06s03b00x00p08n01i00995ent: ENTITY IS "enty";
ATTRIBUTE cat_scl1 OF c06s03b00x00p08n01i00995ent: ENTITY IS TRUE;
ATTRIBUTE cat_scl2 OF c06s03b00x00p08n01i00995ent: ENTITY IS '0';
ATTRIBUTE cat_scl3 OF c06s03b00x00p08n01i00995ent: ENTITY IS 'z';
ATTRIBUTE cat_scl4 OF c06s03b00x00p08n01i00995ent: ENTITY IS 0;
ATTRIBUTE cat_scl5 OF c06s03b00x00p08n01i00995ent: ENTITY IS 10.0;
-- ATTRIBUTE cat_scl6 OF c06s03b00x00p08n01i00995ent: ENTITY IS 10 ns;
ATTRIBUTE cat_scl7 OF c06s03b00x00p08n01i00995ent: ENTITY IS 10000 pf;
ATTRIBUTE cat_scl8 OF c06s03b00x00p08n01i00995ent: ENTITY IS FX;
END c06s03b00x00p08n01i00995ent;
ARCHITECTURE c06s03b00x00p08n01i00995arch OF c06s03b00x00p08n01i00995ent IS
BEGIN
TESTING: PROCESS
BEGIN
ASSERT c06s03b00x00p08n01i00995ent'atr_scl1 = TRUE
REPORT "ERROR: Wrong value for 'atr_scl1" SEVERITY FAILURE;
ASSERT c06s03b00x00p08n01i00995ent'atr_scl2 = '0'
REPORT "ERROR: Wrong value for 'atr_scl2" SEVERITY FAILURE;
ASSERT c06s03b00x00p08n01i00995ent'atr_scl3 = 'z'
REPORT "ERROR: Wrong value for 'atr_scl3" SEVERITY FAILURE;
ASSERT c06s03b00x00p08n01i00995ent'atr_scl4 = 0
REPORT "ERROR: Wrong value for 'atr_scl4" SEVERITY FAILURE;
ASSERT c06s03b00x00p08n01i00995ent'atr_scl5 = 10.0
REPORT "ERROR: Wrong value for 'atr_scl5" SEVERITY FAILURE;
-- ASSERT c06s03b00x00p08n01i00995ent'atr_scl6 = 10 ns
-- REPORT "ERROR: Wrong value for 'atr_scl6" SEVERITY FAILURE;
ASSERT c06s03b00x00p08n01i00995ent'atr_scl7 = 10000 pf
REPORT "ERROR: Wrong value for 'atr_scl7" SEVERITY FAILURE;
ASSERT c06s03b00x00p08n01i00995ent'atr_scl8 = FX
REPORT "ERROR: Wrong value for 'atr_scl8" SEVERITY FAILURE;
ASSERT c06s03b00x00p08n01i00995ent'atr_str1 = "entity"
REPORT "ERROR: Wrong value for 'atr_str1" SEVERITY FAILURE;
ASSERT c06s03b00x00p08n01i00995ent'atr_str2 = "enty"
REPORT "ERROR: Wrong value for 'atr_str2" SEVERITY FAILURE;
ASSERT c06s03b00x00p08n01i00995ent'cat_scl1 = TRUE
REPORT "ERROR: Wrong value for 'cat_scl1" SEVERITY FAILURE;
ASSERT c06s03b00x00p08n01i00995ent'cat_scl2 = '0'
REPORT "ERROR: Wrong value for 'cat_scl2" SEVERITY FAILURE;
ASSERT c06s03b00x00p08n01i00995ent'cat_scl3 = 'z'
REPORT "ERROR: Wrong value for 'cat_scl3" SEVERITY FAILURE;
ASSERT c06s03b00x00p08n01i00995ent'cat_scl4 = 0
REPORT "ERROR: Wrong value for 'cat_scl4" SEVERITY FAILURE;
ASSERT c06s03b00x00p08n01i00995ent'cat_scl5 = 10.0
REPORT "ERROR: Wrong value for 'cat_scl5" SEVERITY FAILURE;
-- ASSERT c06s03b00x00p08n01i00995ent'cat_scl6 = 10 ns
-- REPORT "ERROR: Wrong value for 'cat_scl6" SEVERITY FAILURE;
ASSERT c06s03b00x00p08n01i00995ent'cat_scl7 = 10000 pf
REPORT "ERROR: Wrong value for 'cat_scl7" SEVERITY FAILURE;
ASSERT c06s03b00x00p08n01i00995ent'cat_scl8 = FX
REPORT "ERROR: Wrong value for 'cat_scl8" SEVERITY FAILURE;
assert NOT( c06s03b00x00p08n01i00995ent'atr_scl1 = TRUE
and c06s03b00x00p08n01i00995ent'atr_scl2 = '0'
and c06s03b00x00p08n01i00995ent'atr_scl3 = 'z'
and c06s03b00x00p08n01i00995ent'atr_scl4 = 0
and c06s03b00x00p08n01i00995ent'atr_scl5 = 10.0
-- and c06s03b00x00p08n01i00995ent'atr_scl6 = 10 ns
and c06s03b00x00p08n01i00995ent'atr_scl7 = 10000 pf
and c06s03b00x00p08n01i00995ent'atr_scl8 = FX
and c06s03b00x00p08n01i00995ent'atr_str1 = "entity"
and c06s03b00x00p08n01i00995ent'atr_str2 = "enty"
and c06s03b00x00p08n01i00995ent'cat_scl1 = TRUE
and c06s03b00x00p08n01i00995ent'cat_scl2 = '0'
and c06s03b00x00p08n01i00995ent'cat_scl3 = 'z'
and c06s03b00x00p08n01i00995ent'cat_scl4 = 0
and c06s03b00x00p08n01i00995ent'cat_scl5 = 10.0
-- and c06s03b00x00p08n01i00995ent'cat_scl6 = 10 ns
and c06s03b00x00p08n01i00995ent'cat_scl7 = 10000 pf
and c06s03b00x00p08n01i00995ent'cat_scl8 = FX )
report "***PASSED TEST: c06s03b00x00p08n01i00995"
severity NOTE;
assert ( c06s03b00x00p08n01i00995ent'atr_scl1 = TRUE
and c06s03b00x00p08n01i00995ent'atr_scl2 = '0'
and c06s03b00x00p08n01i00995ent'atr_scl3 = 'z'
and c06s03b00x00p08n01i00995ent'atr_scl4 = 0
and c06s03b00x00p08n01i00995ent'atr_scl5 = 10.0
-- and c06s03b00x00p08n01i00995ent'atr_scl6 = 10 ns
and c06s03b00x00p08n01i00995ent'atr_scl7 = 10000 pf
and c06s03b00x00p08n01i00995ent'atr_scl8 = FX
and c06s03b00x00p08n01i00995ent'atr_str1 = "entity"
and c06s03b00x00p08n01i00995ent'atr_str2 = "enty"
and c06s03b00x00p08n01i00995ent'cat_scl1 = TRUE
and c06s03b00x00p08n01i00995ent'cat_scl2 = '0'
and c06s03b00x00p08n01i00995ent'cat_scl3 = 'z'
and c06s03b00x00p08n01i00995ent'cat_scl4 = 0
and c06s03b00x00p08n01i00995ent'cat_scl5 = 10.0
-- and c06s03b00x00p08n01i00995ent'cat_scl6 = 10 ns
and c06s03b00x00p08n01i00995ent'cat_scl7 = 10000 pf
and c06s03b00x00p08n01i00995ent'cat_scl8 = FX )
report "***FAILED TEST: c06s03b00x00p08n01i00995 - Expanded name denotes a primary unit contained in design library test failed."
severity ERROR;
wait;
END PROCESS TESTING;
END c06s03b00x00p08n01i00995arch;
| gpl-2.0 | 37c7b70eabf486f84e616df826166503 | 0.639167 | 2.94874 | false | false | false | false |
tgingold/ghdl | testsuite/vests/vhdl-ams/ashenden/compliant/frequency-modeling/tb_mosfet_noisy.vhd | 4 | 2,082 |
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
library IEEE_proposed;
use IEEE_proposed.electrical_systems.all;
entity tb_mosfet_noisy is
end tb_mosfet_noisy ;
architecture TB_mosfet_noisy of tb_mosfet_noisy is
-- Component declarations
-- Signal declarations
terminal d : electrical;
terminal g : electrical;
begin
-- Signal assignments
-- Component instances
mosfet1 : entity work.nmos_transistor_wa(noisy)
port map(
gate => g,
drain => d,
source => ELECTRICAL_REF
);
v1 : entity work.v_constant(ideal)
generic map(
level => 4.0
)
port map(
pos => g,
neg => ELECTRICAL_REF
);
mosfet2 : entity work.nmos_transistor_wa(noisy)
port map(
gate => g,
drain => ELECTRICAL_REF,
source => d
);
v4 : entity work.v_pulse(ideal)
generic map(
initial => 0.0,
pulse => 5.0,
ti2p => 1 ms,
tp2i => 1 ms,
delay => 1 us,
width => 1 us,
period => 2.002 ms
)
port map(
pos => d,
neg => ELECTRICAL_REF
);
end TB_mosfet_noisy ;
| gpl-2.0 | f0a5c9528d3acd1614d408a3d31ae46c | 0.600865 | 4.042718 | false | false | false | false |
Darkin47/Zynq-TX-UTT | Vivado_HLS/image_histogram/solution1/sim/vhdl/doHist.autotb.vhd | 1 | 49,190 | -- ==============================================================
-- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2016.1
-- Copyright (C) 1986-2016 Xilinx, Inc. All Rights Reserved.
--
-- ==============================================================
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
use IEEE.std_logic_arith.all;
use IEEE.numeric_std.all;
use ieee.std_logic_textio.all;
use std.textio.all;
entity apatb_doHist_top is
generic (
AUTOTB_CLOCK_PERIOD_DIV2 : TIME := 5.00 ns;
AUTOTB_TVIN_inStream_V_data_V : STRING := "../tv/cdatafile/c.doHist.autotvin_inStream_V_data_V.dat";
AUTOTB_TVIN_inStream_V_keep_V : STRING := "../tv/cdatafile/c.doHist.autotvin_inStream_V_keep_V.dat";
AUTOTB_TVIN_inStream_V_strb_V : STRING := "../tv/cdatafile/c.doHist.autotvin_inStream_V_strb_V.dat";
AUTOTB_TVIN_inStream_V_user_V : STRING := "../tv/cdatafile/c.doHist.autotvin_inStream_V_user_V.dat";
AUTOTB_TVIN_inStream_V_last_V : STRING := "../tv/cdatafile/c.doHist.autotvin_inStream_V_last_V.dat";
AUTOTB_TVIN_inStream_V_id_V : STRING := "../tv/cdatafile/c.doHist.autotvin_inStream_V_id_V.dat";
AUTOTB_TVIN_inStream_V_dest_V : STRING := "../tv/cdatafile/c.doHist.autotvin_inStream_V_dest_V.dat";
AUTOTB_TVIN_histo : STRING := "../tv/cdatafile/c.doHist.autotvin_histo.dat";
AUTOTB_TVIN_inStream_V_data_V_out_wrapc : STRING := "../tv/rtldatafile/rtl.doHist.autotvin_inStream_V_data_V.dat";
AUTOTB_TVIN_inStream_V_keep_V_out_wrapc : STRING := "../tv/rtldatafile/rtl.doHist.autotvin_inStream_V_keep_V.dat";
AUTOTB_TVIN_inStream_V_strb_V_out_wrapc : STRING := "../tv/rtldatafile/rtl.doHist.autotvin_inStream_V_strb_V.dat";
AUTOTB_TVIN_inStream_V_user_V_out_wrapc : STRING := "../tv/rtldatafile/rtl.doHist.autotvin_inStream_V_user_V.dat";
AUTOTB_TVIN_inStream_V_last_V_out_wrapc : STRING := "../tv/rtldatafile/rtl.doHist.autotvin_inStream_V_last_V.dat";
AUTOTB_TVIN_inStream_V_id_V_out_wrapc : STRING := "../tv/rtldatafile/rtl.doHist.autotvin_inStream_V_id_V.dat";
AUTOTB_TVIN_inStream_V_dest_V_out_wrapc : STRING := "../tv/rtldatafile/rtl.doHist.autotvin_inStream_V_dest_V.dat";
AUTOTB_TVIN_histo_out_wrapc : STRING := "../tv/rtldatafile/rtl.doHist.autotvin_histo.dat";
AUTOTB_TVOUT_histo : STRING := "../tv/cdatafile/c.doHist.autotvout_histo.dat";
AUTOTB_TVOUT_histo_out_wrapc : STRING := "../tv/rtldatafile/rtl.doHist.autotvout_histo.dat";
AUTOTB_LAT_RESULT_FILE : STRING := "doHist.result.lat.rb";
AUTOTB_PER_RESULT_TRANS_FILE : STRING := "doHist.performance.result.transaction.xml";
LENGTH_inStream_V_data_V : INTEGER := 76800;
LENGTH_inStream_V_keep_V : INTEGER := 76800;
LENGTH_inStream_V_strb_V : INTEGER := 76800;
LENGTH_inStream_V_user_V : INTEGER := 76800;
LENGTH_inStream_V_last_V : INTEGER := 76800;
LENGTH_inStream_V_id_V : INTEGER := 76800;
LENGTH_inStream_V_dest_V : INTEGER := 76800;
LENGTH_histo : INTEGER := 256;
AUTOTB_TRANSACTION_NUM : INTEGER := 1
);
end apatb_doHist_top;
architecture behav of apatb_doHist_top is
signal AESL_clock : STD_LOGIC := '0';
signal rst : STD_LOGIC;
signal start : STD_LOGIC := '0';
signal ce : STD_LOGIC;
signal continue : STD_LOGIC := '0';
signal AESL_reset : STD_LOGIC := '0';
signal AESL_start : STD_LOGIC := '0';
signal AESL_ce : STD_LOGIC := '0';
signal AESL_continue : STD_LOGIC := '0';
signal AESL_ready : STD_LOGIC := '0';
signal AESL_idle : STD_LOGIC := '0';
signal AESL_done : STD_LOGIC := '0';
signal AESL_done_delay : STD_LOGIC := '0';
signal AESL_done_delay2 : STD_LOGIC := '0';
signal AESL_ready_delay : STD_LOGIC := '0';
signal ready : STD_LOGIC := '0';
signal ready_wire : STD_LOGIC := '0';
signal CTRL_BUS_AWADDR: STD_LOGIC_VECTOR (3 DOWNTO 0);
signal CTRL_BUS_AWVALID: STD_LOGIC;
signal CTRL_BUS_AWREADY: STD_LOGIC;
signal CTRL_BUS_WVALID: STD_LOGIC;
signal CTRL_BUS_WREADY: STD_LOGIC;
signal CTRL_BUS_WDATA: STD_LOGIC_VECTOR (31 DOWNTO 0);
signal CTRL_BUS_WSTRB: STD_LOGIC_VECTOR (3 DOWNTO 0);
signal CTRL_BUS_ARADDR: STD_LOGIC_VECTOR (3 DOWNTO 0);
signal CTRL_BUS_ARVALID: STD_LOGIC;
signal CTRL_BUS_ARREADY: STD_LOGIC;
signal CTRL_BUS_RVALID: STD_LOGIC;
signal CTRL_BUS_RREADY: STD_LOGIC;
signal CTRL_BUS_RDATA: STD_LOGIC_VECTOR (31 DOWNTO 0);
signal CTRL_BUS_RRESP: STD_LOGIC_VECTOR (1 DOWNTO 0);
signal CTRL_BUS_BVALID: STD_LOGIC;
signal CTRL_BUS_BREADY: STD_LOGIC;
signal CTRL_BUS_BRESP: STD_LOGIC_VECTOR (1 DOWNTO 0);
signal CTRL_BUS_INTERRUPT: STD_LOGIC;
signal ap_clk : STD_LOGIC;
signal ap_rst_n : STD_LOGIC;
signal inStream_TDATA: STD_LOGIC_VECTOR (7 DOWNTO 0);
signal inStream_TVALID: STD_LOGIC;
signal inStream_TREADY: STD_LOGIC;
signal inStream_TKEEP: STD_LOGIC_VECTOR (0 DOWNTO 0);
signal inStream_TSTRB: STD_LOGIC_VECTOR (0 DOWNTO 0);
signal inStream_TUSER: STD_LOGIC_VECTOR (1 DOWNTO 0);
signal inStream_TLAST: STD_LOGIC_VECTOR (0 DOWNTO 0);
signal inStream_TID: STD_LOGIC_VECTOR (4 DOWNTO 0);
signal inStream_TDEST: STD_LOGIC_VECTOR (5 DOWNTO 0);
signal histo_ADDR_A: STD_LOGIC_VECTOR (31 DOWNTO 0);
signal histo_EN_A: STD_LOGIC;
signal histo_WEN_A: STD_LOGIC_VECTOR (3 DOWNTO 0);
signal histo_DIN_A: STD_LOGIC_VECTOR (31 DOWNTO 0);
signal histo_DOUT_A: STD_LOGIC_VECTOR (31 DOWNTO 0);
signal histo_CLK_A: STD_LOGIC;
signal histo_RST_A: STD_LOGIC;
signal ready_cnt : STD_LOGIC_VECTOR(31 DOWNTO 0);
signal done_cnt : STD_LOGIC_VECTOR(31 DOWNTO 0);
signal ready_initial : STD_LOGIC;
signal ready_initial_n : STD_LOGIC;
signal ready_last_n : STD_LOGIC;
signal ready_delay_last_n : STD_LOGIC;
signal done_delay_last_n : STD_LOGIC;
signal interface_done : STD_LOGIC := '0';
-- Subtype for random state number, to prevent confusing it with true integers
-- Top of range should be (2**31)-1 but this literal calculation causes overflow on 32-bit machines
subtype T_RANDINT is integer range 1 to integer'high;
type latency_record is array(0 to AUTOTB_TRANSACTION_NUM + 1) of INTEGER;
shared variable AESL_mLatCnterIn : latency_record;
shared variable AESL_mLatCnterOut : latency_record;
shared variable AESL_mLatCnterIn_addr : INTEGER;
shared variable AESL_mLatCnterOut_addr : INTEGER;
shared variable AESL_clk_counter : INTEGER;
signal reported_stuck : STD_LOGIC := '0';
shared variable reported_stuck_cnt : INTEGER := 0;
component doHist is
port (
ap_clk : IN STD_LOGIC;
ap_rst_n : IN STD_LOGIC;
inStream_TDATA : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
inStream_TVALID : IN STD_LOGIC;
inStream_TREADY : OUT STD_LOGIC;
inStream_TKEEP : IN STD_LOGIC_VECTOR (0 DOWNTO 0);
inStream_TSTRB : IN STD_LOGIC_VECTOR (0 DOWNTO 0);
inStream_TUSER : IN STD_LOGIC_VECTOR (1 DOWNTO 0);
inStream_TLAST : IN STD_LOGIC_VECTOR (0 DOWNTO 0);
inStream_TID : IN STD_LOGIC_VECTOR (4 DOWNTO 0);
inStream_TDEST : IN STD_LOGIC_VECTOR (5 DOWNTO 0);
histo_Addr_A : OUT STD_LOGIC_VECTOR (31 DOWNTO 0);
histo_EN_A : OUT STD_LOGIC;
histo_WEN_A : OUT STD_LOGIC_VECTOR (3 DOWNTO 0);
histo_Din_A : OUT STD_LOGIC_VECTOR (31 DOWNTO 0);
histo_Dout_A : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
histo_Clk_A : OUT STD_LOGIC;
histo_Rst_A : OUT STD_LOGIC;
s_axi_CTRL_BUS_AWVALID : IN STD_LOGIC;
s_axi_CTRL_BUS_AWREADY : OUT STD_LOGIC;
s_axi_CTRL_BUS_AWADDR : IN STD_LOGIC_VECTOR (3 DOWNTO 0);
s_axi_CTRL_BUS_WVALID : IN STD_LOGIC;
s_axi_CTRL_BUS_WREADY : OUT STD_LOGIC;
s_axi_CTRL_BUS_WDATA : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
s_axi_CTRL_BUS_WSTRB : IN STD_LOGIC_VECTOR (3 DOWNTO 0);
s_axi_CTRL_BUS_ARVALID : IN STD_LOGIC;
s_axi_CTRL_BUS_ARREADY : OUT STD_LOGIC;
s_axi_CTRL_BUS_ARADDR : IN STD_LOGIC_VECTOR (3 DOWNTO 0);
s_axi_CTRL_BUS_RVALID : OUT STD_LOGIC;
s_axi_CTRL_BUS_RREADY : IN STD_LOGIC;
s_axi_CTRL_BUS_RDATA : OUT STD_LOGIC_VECTOR (31 DOWNTO 0);
s_axi_CTRL_BUS_RRESP : OUT STD_LOGIC_VECTOR (1 DOWNTO 0);
s_axi_CTRL_BUS_BVALID : OUT STD_LOGIC;
s_axi_CTRL_BUS_BREADY : IN STD_LOGIC;
s_axi_CTRL_BUS_BRESP : OUT STD_LOGIC_VECTOR (1 DOWNTO 0);
interrupt : OUT STD_LOGIC);
end component;
signal bramhisto_Clk_A, bramhisto_Clk_B : STD_LOGIC;
signal bramhisto_Rst_A, bramhisto_Rst_B : STD_LOGIC;
signal bramhisto_EN_A, bramhisto_EN_B : STD_LOGIC;
signal bramhisto_WEN_A, bramhisto_WEN_B : STD_LOGIC_VECTOR(4 - 1 downto 0);
signal bramhisto_Addr_A, bramhisto_Addr_B : STD_LOGIC_VECTOR(31 downto 0);
signal bramhisto_Din_A, bramhisto_Din_B : STD_LOGIC_VECTOR(31 downto 0);
signal bramhisto_Dout_A, bramhisto_Dout_B : STD_LOGIC_VECTOR(31 downto 0);
signal bramhisto_ready : STD_LOGIC;
signal bramhisto_done : STD_LOGIC;
component AESL_autobram_histo is
port(
Clk_A : IN STD_LOGIC;
Rst_A : IN STD_LOGIC;
EN_A : IN STD_LOGIC;
WEN_A : IN STD_LOGIC_VECTOR;
Addr_A : IN STD_LOGIC_VECTOR;
Din_A : IN STD_LOGIC_VECTOR;
Dout_A : OUT STD_LOGIC_VECTOR;
Clk_B : IN STD_LOGIC;
Rst_B : IN STD_LOGIC;
EN_B : IN STD_LOGIC;
WEN_B : IN STD_LOGIC_VECTOR;
Addr_B : IN STD_LOGIC_VECTOR;
Din_B : IN STD_LOGIC_VECTOR;
Dout_B : OUT STD_LOGIC_VECTOR;
ready : IN STD_LOGIC;
done : IN STD_LOGIC
);
end component;
signal inStream_ready : STD_LOGIC := '0';
signal inStream_done : STD_LOGIC := '0';
signal axi_s_inStream_TVALID : STD_LOGIC := '0';
signal axi_s_inStream_TREADY : STD_LOGIC := '0';
signal reg_inStream_TVALID : STD_LOGIC := '0';
signal reg_inStream_TREADY : STD_LOGIC := '0';
signal ap_c_n_tvin_trans_num_inStream_V_data_V : STD_LOGIC_VECTOR(31 DOWNTO 0) := conv_std_logic_vector(1, 32);
signal ap_c_n_tvin_trans_num_inStream_V_keep_V : STD_LOGIC_VECTOR(31 DOWNTO 0) := conv_std_logic_vector(1, 32);
signal ap_c_n_tvin_trans_num_inStream_V_strb_V : STD_LOGIC_VECTOR(31 DOWNTO 0) := conv_std_logic_vector(1, 32);
signal ap_c_n_tvin_trans_num_inStream_V_user_V : STD_LOGIC_VECTOR(31 DOWNTO 0) := conv_std_logic_vector(1, 32);
signal ap_c_n_tvin_trans_num_inStream_V_last_V : STD_LOGIC_VECTOR(31 DOWNTO 0) := conv_std_logic_vector(1, 32);
signal ap_c_n_tvin_trans_num_inStream_V_id_V : STD_LOGIC_VECTOR(31 DOWNTO 0) := conv_std_logic_vector(1, 32);
signal ap_c_n_tvin_trans_num_inStream_V_dest_V : STD_LOGIC_VECTOR(31 DOWNTO 0) := conv_std_logic_vector(1, 32);
signal inStream_ready_reg : STD_LOGIC := '0';
component AESL_axi_s_inStream is
port(
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
TRAN_inStream_TDATA : OUT STD_LOGIC_VECTOR;
inStream_TDATA_trans_num : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
TRAN_inStream_TKEEP : OUT STD_LOGIC_VECTOR;
inStream_TKEEP_trans_num : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
TRAN_inStream_TSTRB : OUT STD_LOGIC_VECTOR;
inStream_TSTRB_trans_num : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
TRAN_inStream_TUSER : OUT STD_LOGIC_VECTOR;
inStream_TUSER_trans_num : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
TRAN_inStream_TLAST : OUT STD_LOGIC_VECTOR;
inStream_TLAST_trans_num : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
TRAN_inStream_TID : OUT STD_LOGIC_VECTOR;
inStream_TID_trans_num : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
TRAN_inStream_TDEST : OUT STD_LOGIC_VECTOR;
inStream_TDEST_trans_num : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
TRAN_inStream_TVALID : OUT STD_LOGIC;
TRAN_inStream_TREADY : IN STD_LOGIC;
ready : IN STD_LOGIC;
done : IN STD_LOGIC
);
end component;
signal AESL_slave_output_done : STD_LOGIC;
signal AESL_slave_start : STD_LOGIC;
signal AESL_slave_write_start_in : STD_LOGIC;
signal AESL_slave_write_start_finish : STD_LOGIC;
signal AESL_slave_ready : STD_LOGIC;
signal slave_start_status : STD_LOGIC := '0';
signal start_rise : STD_LOGIC := '0';
signal ready_rise : STD_LOGIC := '0';
signal slave_done_status : STD_LOGIC := '0';
component AESL_AXI_SLAVE_CTRL_BUS is
port(
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
TRAN_s_axi_CTRL_BUS_AWADDR : OUT STD_LOGIC_VECTOR;
TRAN_s_axi_CTRL_BUS_AWVALID : OUT STD_LOGIC;
TRAN_s_axi_CTRL_BUS_AWREADY : IN STD_LOGIC;
TRAN_s_axi_CTRL_BUS_WVALID : OUT STD_LOGIC;
TRAN_s_axi_CTRL_BUS_WREADY : IN STD_LOGIC;
TRAN_s_axi_CTRL_BUS_WDATA : OUT STD_LOGIC_VECTOR;
TRAN_s_axi_CTRL_BUS_WSTRB : OUT STD_LOGIC_VECTOR;
TRAN_s_axi_CTRL_BUS_ARADDR : OUT STD_LOGIC_VECTOR;
TRAN_s_axi_CTRL_BUS_ARVALID : OUT STD_LOGIC;
TRAN_s_axi_CTRL_BUS_ARREADY : IN STD_LOGIC;
TRAN_s_axi_CTRL_BUS_RVALID : IN STD_LOGIC;
TRAN_s_axi_CTRL_BUS_RREADY : OUT STD_LOGIC;
TRAN_s_axi_CTRL_BUS_RDATA : IN STD_LOGIC_VECTOR;
TRAN_s_axi_CTRL_BUS_RRESP : IN STD_LOGIC_VECTOR;
TRAN_s_axi_CTRL_BUS_BVALID : IN STD_LOGIC;
TRAN_s_axi_CTRL_BUS_BREADY : OUT STD_LOGIC;
TRAN_s_axi_CTRL_BUS_BRESP : IN STD_LOGIC_VECTOR;
TRAN_CTRL_BUS_interrupt : IN STD_LOGIC;
TRAN_CTRL_BUS_ready_out : OUT STD_LOGIC;
TRAN_CTRL_BUS_ready_in : IN STD_LOGIC;
TRAN_CTRL_BUS_done_out : OUT STD_LOGIC;
TRAN_CTRL_BUS_idle_out : OUT STD_LOGIC;
TRAN_CTRL_BUS_write_start_in : IN STD_LOGIC;
TRAN_CTRL_BUS_write_start_finish : OUT STD_LOGIC;
TRAN_CTRL_BUS_transaction_done_in : IN STD_LOGIC;
TRAN_CTRL_BUS_start_in : IN STD_LOGIC
);
end component;
procedure esl_read_token (file textfile: TEXT; textline: inout LINE; token: out STRING; token_len: out INTEGER) is
variable whitespace : CHARACTER;
variable i : INTEGER;
variable ok: BOOLEAN;
variable buff: STRING(1 to token'length);
begin
ok := false;
i := 1;
loop_main: while not endfile(textfile) loop
if textline = null or textline'length = 0 then
readline(textfile, textline);
end if;
loop_remove_whitespace: while textline'length > 0 loop
if textline(textline'left) = ' ' or
textline(textline'left) = HT or
textline(textline'left) = CR or
textline(textline'left) = LF then
read(textline, whitespace);
else
exit loop_remove_whitespace;
end if;
end loop;
loop_aesl_read_token: while textline'length > 0 and i <= buff'length loop
if textline(textline'left) = ' ' or
textline(textline'left) = HT or
textline(textline'left) = CR or
textline(textline'left) = LF then
exit loop_aesl_read_token;
else
read(textline, buff(i));
i := i + 1;
end if;
ok := true;
end loop;
if ok = true then
exit loop_main;
end if;
end loop;
buff(i) := ' ';
token := buff;
token_len:= i-1;
end procedure esl_read_token;
procedure esl_read_token (file textfile: TEXT;
textline: inout LINE;
token: out STRING) is
variable i : INTEGER;
begin
esl_read_token (textfile, textline, token, i);
end procedure esl_read_token;
function esl_str2lv_hex (RHS : STRING; data_width : INTEGER) return STD_LOGIC_VECTOR is
variable ret : STD_LOGIC_VECTOR(data_width - 1 downto 0);
variable idx : integer := 3;
begin
ret := (others => '0');
if(RHS(1) /= '0' and (RHS(2) /= 'x' or RHS(2) /= 'X')) then
report "Error! The format of hex number is not initialed by 0x";
end if;
while true loop
if (data_width > 4) then
case RHS(idx) is
when '0' => ret := ret(data_width - 5 downto 0) & "0000";
when '1' => ret := ret(data_width - 5 downto 0) & "0001";
when '2' => ret := ret(data_width - 5 downto 0) & "0010";
when '3' => ret := ret(data_width - 5 downto 0) & "0011";
when '4' => ret := ret(data_width - 5 downto 0) & "0100";
when '5' => ret := ret(data_width - 5 downto 0) & "0101";
when '6' => ret := ret(data_width - 5 downto 0) & "0110";
when '7' => ret := ret(data_width - 5 downto 0) & "0111";
when '8' => ret := ret(data_width - 5 downto 0) & "1000";
when '9' => ret := ret(data_width - 5 downto 0) & "1001";
when 'a' | 'A' => ret := ret(data_width - 5 downto 0) & "1010";
when 'b' | 'B' => ret := ret(data_width - 5 downto 0) & "1011";
when 'c' | 'C' => ret := ret(data_width - 5 downto 0) & "1100";
when 'd' | 'D' => ret := ret(data_width - 5 downto 0) & "1101";
when 'e' | 'E' => ret := ret(data_width - 5 downto 0) & "1110";
when 'f' | 'F' => ret := ret(data_width - 5 downto 0) & "1111";
when 'x' | 'X' => ret := ret(data_width - 5 downto 0) & "XXXX";
when ' ' => return ret;
when others => report "Wrong hex char " & RHS(idx); return ret;
end case;
elsif (data_width = 4) then
case RHS(idx) is
when '0' => ret := "0000";
when '1' => ret := "0001";
when '2' => ret := "0010";
when '3' => ret := "0011";
when '4' => ret := "0100";
when '5' => ret := "0101";
when '6' => ret := "0110";
when '7' => ret := "0111";
when '8' => ret := "1000";
when '9' => ret := "1001";
when 'a' | 'A' => ret := "1010";
when 'b' | 'B' => ret := "1011";
when 'c' | 'C' => ret := "1100";
when 'd' | 'D' => ret := "1101";
when 'e' | 'E' => ret := "1110";
when 'f' | 'F' => ret := "1111";
when 'x' | 'X' => ret := "XXXX";
when ' ' => return ret;
when others => report "Wrong hex char " & RHS(idx); return ret;
end case;
elsif (data_width = 3) then
case RHS(idx) is
when '0' => ret := "000";
when '1' => ret := "001";
when '2' => ret := "010";
when '3' => ret := "011";
when '4' => ret := "100";
when '5' => ret := "101";
when '6' => ret := "110";
when '7' => ret := "111";
when 'x' | 'X' => ret := "XXX";
when ' ' => return ret;
when others => report "Wrong hex char " & RHS(idx); return ret;
end case;
elsif (data_width = 2) then
case RHS(idx) is
when '0' => ret := "00";
when '1' => ret := "01";
when '2' => ret := "10";
when '3' => ret := "11";
when 'x' | 'X' => ret := "XX";
when ' ' => return ret;
when others => report "Wrong hex char " & RHS(idx); return ret;
end case;
elsif (data_width = 1) then
case RHS(idx) is
when '0' => ret := "0";
when '1' => ret := "1";
when 'x' | 'X' => ret := "X";
when ' ' => return ret;
when others => report "Wrong hex char " & RHS(idx); return ret;
end case;
else
report string'("Wrong data_width.");
return ret;
end if;
idx := idx + 1;
end loop;
return ret;
end function;
function esl_str_dec2int (RHS : STRING) return INTEGER is
variable ret : integer;
variable idx : integer := 1;
begin
ret := 0;
while true loop
case RHS(idx) is
when '0' => ret := ret * 10 + 0;
when '1' => ret := ret * 10 + 1;
when '2' => ret := ret * 10 + 2;
when '3' => ret := ret * 10 + 3;
when '4' => ret := ret * 10 + 4;
when '5' => ret := ret * 10 + 5;
when '6' => ret := ret * 10 + 6;
when '7' => ret := ret * 10 + 7;
when '8' => ret := ret * 10 + 8;
when '9' => ret := ret * 10 + 9;
when ' ' => return ret;
when others => report "Wrong dec char " & RHS(idx); return ret;
end case;
idx := idx + 1;
end loop;
return ret;
end esl_str_dec2int;
function esl_conv_string_hex (lv : STD_LOGIC_VECTOR) return STRING is
constant str_len : integer := (lv'length + 3)/4;
variable ret : STRING (1 to str_len);
variable i, tmp: INTEGER;
variable normal_lv : STD_LOGIC_VECTOR(lv'length - 1 downto 0);
variable tmp_lv : STD_LOGIC_VECTOR(3 downto 0);
begin
normal_lv := lv;
for i in 1 to str_len loop
if(i = 1) then
if((lv'length mod 4) = 3) then
tmp_lv(2 downto 0) := normal_lv(lv'length - 1 downto lv'length - 3);
case tmp_lv(2 downto 0) is
when "000" => ret(i) := '0';
when "001" => ret(i) := '1';
when "010" => ret(i) := '2';
when "011" => ret(i) := '3';
when "100" => ret(i) := '4';
when "101" => ret(i) := '5';
when "110" => ret(i) := '6';
when "111" => ret(i) := '7';
when others => ret(i) := 'X';
end case;
elsif((lv'length mod 4) = 2) then
tmp_lv(1 downto 0) := normal_lv(lv'length - 1 downto lv'length - 2);
case tmp_lv(1 downto 0) is
when "00" => ret(i) := '0';
when "01" => ret(i) := '1';
when "10" => ret(i) := '2';
when "11" => ret(i) := '3';
when others => ret(i) := 'X';
end case;
elsif((lv'length mod 4) = 1) then
tmp_lv(0 downto 0) := normal_lv(lv'length - 1 downto lv'length - 1);
case tmp_lv(0 downto 0) is
when "0" => ret(i) := '0';
when "1" => ret(i) := '1';
when others=> ret(i) := 'X';
end case;
elsif((lv'length mod 4) = 0) then
tmp_lv(3 downto 0) := normal_lv(lv'length - 1 downto lv'length - 4);
case tmp_lv(3 downto 0) is
when "0000" => ret(i) := '0';
when "0001" => ret(i) := '1';
when "0010" => ret(i) := '2';
when "0011" => ret(i) := '3';
when "0100" => ret(i) := '4';
when "0101" => ret(i) := '5';
when "0110" => ret(i) := '6';
when "0111" => ret(i) := '7';
when "1000" => ret(i) := '8';
when "1001" => ret(i) := '9';
when "1010" => ret(i) := 'a';
when "1011" => ret(i) := 'b';
when "1100" => ret(i) := 'c';
when "1101" => ret(i) := 'd';
when "1110" => ret(i) := 'e';
when "1111" => ret(i) := 'f';
when others => ret(i) := 'X';
end case;
end if;
else
tmp_lv(3 downto 0) := normal_lv((str_len - i) * 4 + 3 downto (str_len - i) * 4);
case tmp_lv(3 downto 0) is
when "0000" => ret(i) := '0';
when "0001" => ret(i) := '1';
when "0010" => ret(i) := '2';
when "0011" => ret(i) := '3';
when "0100" => ret(i) := '4';
when "0101" => ret(i) := '5';
when "0110" => ret(i) := '6';
when "0111" => ret(i) := '7';
when "1000" => ret(i) := '8';
when "1001" => ret(i) := '9';
when "1010" => ret(i) := 'a';
when "1011" => ret(i) := 'b';
when "1100" => ret(i) := 'c';
when "1101" => ret(i) := 'd';
when "1110" => ret(i) := 'e';
when "1111" => ret(i) := 'f';
when others => ret(i) := 'X';
end case;
end if;
end loop;
return ret;
end function;
-- purpose: initialise the random state variable based on an integer seed
function init_rand(seed : integer) return T_RANDINT is
variable result : T_RANDINT;
begin
-- If the seed is smaller than the minimum value of the random state variable, use the minimum value
if seed < T_RANDINT'low then
result := T_RANDINT'low;
-- If the seed is larger than the maximum value of the random state variable, use the maximum value
elsif seed > T_RANDINT'high then
result := T_RANDINT'high;
-- If the seed is within the range of the random state variable, just use the seed
else
result := seed;
end if;
-- Return the result
return result;
end init_rand;
-- purpose: generate a random integer between min and max limits
procedure rand_int(variable rand : inout T_RANDINT;
constant minval : in integer;
constant maxval : in integer;
variable result : out integer
) is
variable k, q : integer;
variable real_rand : real;
variable res : integer;
begin
-- Create a new random integer in the range 1 to 2**31-1 and put it back into rand VARIABLE
-- Based on an example from Numerical Recipes in C, 2nd Edition, page 279
k := rand/127773;
q := 16807*(rand-k*127773)-2836*k;
if q < 0 then
q := q + 2147483647;
end if;
rand := init_rand(q);
-- Convert this integer to a real number in the range 0 to 1
real_rand := (real(rand - T_RANDINT'low)) / real(T_RANDINT'high - T_RANDINT'low);
-- Convert this real number to an integer in the range minval to maxval
-- The +1 and -0.5 are to get equal probability of minval and maxval as other values
res := integer((real_rand * real(maxval+1-minval)) - 0.5) + minval;
-- VHDL real to integer conversion doesn't define what happens for x.5 so deal with this
if res < minval then
res := minval;
elsif res > maxval then
res := maxval;
end if;
-- assign output
result := res;
end rand_int;
begin
AESL_inst_doHist : doHist port map (
s_axi_CTRL_BUS_AWADDR => CTRL_BUS_AWADDR,
s_axi_CTRL_BUS_AWVALID => CTRL_BUS_AWVALID,
s_axi_CTRL_BUS_AWREADY => CTRL_BUS_AWREADY,
s_axi_CTRL_BUS_WVALID => CTRL_BUS_WVALID,
s_axi_CTRL_BUS_WREADY => CTRL_BUS_WREADY,
s_axi_CTRL_BUS_WDATA => CTRL_BUS_WDATA,
s_axi_CTRL_BUS_WSTRB => CTRL_BUS_WSTRB,
s_axi_CTRL_BUS_ARADDR => CTRL_BUS_ARADDR,
s_axi_CTRL_BUS_ARVALID => CTRL_BUS_ARVALID,
s_axi_CTRL_BUS_ARREADY => CTRL_BUS_ARREADY,
s_axi_CTRL_BUS_RVALID => CTRL_BUS_RVALID,
s_axi_CTRL_BUS_RREADY => CTRL_BUS_RREADY,
s_axi_CTRL_BUS_RDATA => CTRL_BUS_RDATA,
s_axi_CTRL_BUS_RRESP => CTRL_BUS_RRESP,
s_axi_CTRL_BUS_BVALID => CTRL_BUS_BVALID,
s_axi_CTRL_BUS_BREADY => CTRL_BUS_BREADY,
s_axi_CTRL_BUS_BRESP => CTRL_BUS_BRESP,
interrupt => CTRL_BUS_INTERRUPT,
ap_clk => ap_clk,
ap_rst_n => ap_rst_n,
inStream_TDATA => inStream_TDATA,
inStream_TVALID => inStream_TVALID,
inStream_TREADY => inStream_TREADY,
inStream_TKEEP => inStream_TKEEP,
inStream_TSTRB => inStream_TSTRB,
inStream_TUSER => inStream_TUSER,
inStream_TLAST => inStream_TLAST,
inStream_TID => inStream_TID,
inStream_TDEST => inStream_TDEST,
histo_Addr_A => histo_ADDR_A,
histo_EN_A => histo_EN_A,
histo_WEN_A => histo_WEN_A,
histo_Din_A => histo_DIN_A,
histo_Dout_A => histo_DOUT_A,
histo_Clk_A => histo_CLK_A,
histo_Rst_A => histo_RST_A
);
-- Assignment for control signal
ap_clk <= AESL_clock;
ap_rst_n <= AESL_reset;
AESL_reset <= rst;
AESL_start <= start;
AESL_ce <= ce;
AESL_continue <= continue;
AESL_slave_write_start_in <= slave_start_status ;
AESL_slave_start <= AESL_slave_write_start_finish;
AESL_done <= slave_done_status ;
slave_start_proc : process(AESL_clock)
begin
if (AESL_clock'event and AESL_clock = '1') then
if(AESL_reset = '0') then
slave_start_status <= '1';
else
if (AESL_start = '1' ) then
start_rise <= '1';
end if;
if (start_rise = '1' and AESL_done = '1' ) then
slave_start_status <= '1';
end if;
if (AESL_slave_write_start_in = '1') then
slave_start_status <= '0';
start_rise <= '0';
end if;
end if;
end if;
end process;
slave_ready_proc : process(AESL_clock)
begin
if (AESL_clock'event and AESL_clock = '1') then
if(AESL_reset = '0') then
AESL_slave_ready <= '0';
ready_rise <= '0';
else
if (AESL_ready = '1' ) then
ready_rise <= '1';
end if;
if (ready_rise = '1' and AESL_done_delay = '1' ) then
AESL_slave_ready <= '1';
end if;
if (AESL_slave_ready = '1') then
AESL_slave_ready <= '0';
ready_rise <= '0';
end if;
end if;
end if;
end process;
slave_done_proc : process(AESL_clock)
begin
if (AESL_clock'event and AESL_clock = '1') then
if (AESL_done = '1') then
slave_done_status <= '0';
elsif (AESL_slave_output_done = '1' ) then
slave_done_status <= '1';
end if;
end if;
end process;
AESL_inst_histo : AESL_autobram_histo port map (
Clk_A => bramhisto_Clk_A,
Rst_A => bramhisto_Rst_A,
EN_A => bramhisto_EN_A,
WEN_A => bramhisto_WEN_A,
Addr_A => bramhisto_Addr_A,
Din_A => bramhisto_Din_A,
Dout_A => bramhisto_Dout_A,
Clk_B => bramhisto_Clk_B,
Rst_B => bramhisto_Rst_B,
EN_B => bramhisto_EN_B,
WEN_B => bramhisto_WEN_B,
Addr_B => bramhisto_Addr_B,
Din_B => bramhisto_Din_B,
Dout_B => bramhisto_Dout_B,
ready => bramhisto_ready,
done => bramhisto_done
);
-- Assignment between dut and bramhisto
bramhisto_Clk_A <= histo_CLK_A;
bramhisto_Rst_A <= histo_RST_A;
bramhisto_Addr_A <= histo_ADDR_A;
bramhisto_EN_A <= histo_EN_A;
histo_DOUT_A <= bramhisto_Dout_A;
bramhisto_WEN_A <= histo_WEN_A;
bramhisto_Din_A <= histo_DIN_A;
bramhisto_WEN_B <= (others => '0');
bramhisto_Din_B <= (others => '0');
bramhisto_ready <= ready;
bramhisto_done <= interface_done;
AESL_axi_s_inst_inStream : AESL_axi_s_inStream port map (
clk => AESL_clock,
reset => AESL_reset,
TRAN_inStream_TDATA => inStream_TDATA,
inStream_TDATA_trans_num => ap_c_n_tvin_trans_num_inStream_V_data_V,
TRAN_inStream_TKEEP => inStream_TKEEP,
inStream_TKEEP_trans_num => ap_c_n_tvin_trans_num_inStream_V_keep_V,
TRAN_inStream_TSTRB => inStream_TSTRB,
inStream_TSTRB_trans_num => ap_c_n_tvin_trans_num_inStream_V_strb_V,
TRAN_inStream_TUSER => inStream_TUSER,
inStream_TUSER_trans_num => ap_c_n_tvin_trans_num_inStream_V_user_V,
TRAN_inStream_TLAST => inStream_TLAST,
inStream_TLAST_trans_num => ap_c_n_tvin_trans_num_inStream_V_last_V,
TRAN_inStream_TID => inStream_TID,
inStream_TID_trans_num => ap_c_n_tvin_trans_num_inStream_V_id_V,
TRAN_inStream_TDEST => inStream_TDEST,
inStream_TDEST_trans_num => ap_c_n_tvin_trans_num_inStream_V_dest_V,
TRAN_inStream_TVALID => axi_s_inStream_TVALID,
TRAN_inStream_TREADY => axi_s_inStream_TREADY,
ready => inStream_ready,
done => inStream_done
);
inStream_ready <= inStream_ready_reg or ready_initial;
inStream_done <= '0';
gen_reg_inStream_TVALID_proc : process
variable rand : T_RANDINT := init_rand(0);
variable rint : INTEGER;
begin
reg_inStream_TVALID <= axi_s_inStream_TVALID;
while(true) loop
wait until axi_s_inStream_TVALID'event;
if(axi_s_inStream_TVALID = '1') then
end if;
reg_inStream_TVALID <= axi_s_inStream_TVALID;
end loop;
end process;
inStream_TVALID <= reg_inStream_TVALID;
axi_s_inStream_TREADY <= inStream_TREADY;
AESL_axi_slave_inst_CTRL_BUS : AESL_AXI_SLAVE_CTRL_BUS port map (
clk => AESL_clock,
reset => AESL_reset,
TRAN_s_axi_CTRL_BUS_AWADDR => CTRL_BUS_AWADDR,
TRAN_s_axi_CTRL_BUS_AWVALID => CTRL_BUS_AWVALID,
TRAN_s_axi_CTRL_BUS_AWREADY => CTRL_BUS_AWREADY,
TRAN_s_axi_CTRL_BUS_WVALID => CTRL_BUS_WVALID,
TRAN_s_axi_CTRL_BUS_WREADY => CTRL_BUS_WREADY,
TRAN_s_axi_CTRL_BUS_WDATA => CTRL_BUS_WDATA,
TRAN_s_axi_CTRL_BUS_WSTRB => CTRL_BUS_WSTRB,
TRAN_s_axi_CTRL_BUS_ARADDR => CTRL_BUS_ARADDR,
TRAN_s_axi_CTRL_BUS_ARVALID => CTRL_BUS_ARVALID,
TRAN_s_axi_CTRL_BUS_ARREADY => CTRL_BUS_ARREADY,
TRAN_s_axi_CTRL_BUS_RVALID => CTRL_BUS_RVALID,
TRAN_s_axi_CTRL_BUS_RREADY => CTRL_BUS_RREADY,
TRAN_s_axi_CTRL_BUS_RDATA => CTRL_BUS_RDATA,
TRAN_s_axi_CTRL_BUS_RRESP => CTRL_BUS_RRESP,
TRAN_s_axi_CTRL_BUS_BVALID => CTRL_BUS_BVALID,
TRAN_s_axi_CTRL_BUS_BREADY => CTRL_BUS_BREADY,
TRAN_s_axi_CTRL_BUS_BRESP => CTRL_BUS_BRESP,
TRAN_CTRL_BUS_interrupt => CTRL_BUS_INTERRUPT,
TRAN_CTRL_BUS_ready_out => AESL_ready,
TRAN_CTRL_BUS_ready_in => AESL_slave_ready,
TRAN_CTRL_BUS_done_out => AESL_slave_output_done,
TRAN_CTRL_BUS_idle_out => AESL_idle,
TRAN_CTRL_BUS_write_start_in => AESL_slave_write_start_in,
TRAN_CTRL_BUS_write_start_finish => AESL_slave_write_start_finish,
TRAN_CTRL_BUS_transaction_done_in => AESL_done_delay,
TRAN_CTRL_BUS_start_in => AESL_slave_start
);
generate_ready_cnt_proc : process(ready_initial, AESL_clock)
begin
if(AESL_clock'event and AESL_clock = '0') then
if(ready_initial = '1') then
ready_cnt <= conv_std_logic_vector(1, 32);
end if;
elsif(AESL_clock'event and AESL_clock = '1') then
if(ready_cnt /= AUTOTB_TRANSACTION_NUM) then
if(AESL_ready = '1') then
ready_cnt <= ready_cnt + 1;
end if;
end if;
end if;
end process;
generate_done_cnt_proc : process(AESL_reset, AESL_clock)
begin
if(AESL_reset = '0') then
done_cnt <= (others => '0');
elsif(AESL_clock'event and AESL_clock = '1') then
if(done_cnt /= AUTOTB_TRANSACTION_NUM) then
if(AESL_done = '1') then
done_cnt <= done_cnt + 1;
end if;
end if;
end if;
end process;
generate_sim_done_proc : process
begin
while(done_cnt /= AUTOTB_TRANSACTION_NUM) loop
wait until AESL_clock'event and AESL_clock = '1';
end loop;
wait until AESL_clock'event and AESL_clock = '1';
wait until AESL_clock'event and AESL_clock = '1';
wait until AESL_clock'event and AESL_clock = '1';
assert false report "simulation done!" severity note;
assert false report "NORMAL EXIT (note: failure is to force the simulator to stop)" severity failure;
wait;
end process;
gen_clock_proc : process
begin
AESL_clock <= '0';
while(true) loop
wait for AUTOTB_CLOCK_PERIOD_DIV2;
AESL_clock <= not AESL_clock;
end loop;
wait;
end process;
gen_reset_proc : process
variable rand : T_RANDINT := init_rand(0);
variable rint : INTEGER;
begin
rst <= '0';
wait for 100 ns;
for i in 1 to 3 loop
wait until AESL_clock'event and AESL_clock = '1';
end loop;
rst <= '1';
wait;
end process;
gen_start_proc : process
variable rand : T_RANDINT := init_rand(0);
variable rint : INTEGER;
begin
start <= '0';
ce <= '1';
wait until AESL_reset = '1';
wait until (AESL_clock'event and AESL_clock = '1');
start <= '1';
while(ready_cnt /= AUTOTB_TRANSACTION_NUM + 1) loop
wait until (AESL_clock'event and AESL_clock = '1');
if(AESL_ready = '1') then
start <= '0';
start <= '1';
end if;
end loop;
start <= '0';
wait;
end process;
gen_continue_proc : process(AESL_done)
begin
continue <= AESL_done;
end process;
gen_AESL_ready_delay_proc : process(AESL_clock)
begin
if (AESL_clock'event and AESL_clock = '1') then
if(AESL_reset = '0') then
AESL_ready_delay <= '0';
else
AESL_ready_delay <= AESL_ready;
end if;
end if;
end process;
gen_ready_initial_proc : process
begin
ready_initial <= '0';
wait until AESL_start = '1';
ready_initial <= '1';
wait until AESL_clock'event and AESL_clock = '1';
ready_initial <= '0';
wait;
end process;
ready_last_n_proc : process
begin
ready_last_n <= '1';
while(ready_cnt /= AUTOTB_TRANSACTION_NUM) loop
wait until AESL_clock'event and AESL_clock = '1';
end loop;
ready_last_n <= '0';
wait;
end process;
gen_ready_delay_n_last_proc : process(AESL_clock)
begin
if (AESL_clock'event and AESL_clock = '1') then
if(AESL_reset = '0') then
ready_delay_last_n <= '0';
else
ready_delay_last_n <= ready_last_n;
end if;
end if;
end process;
ready <= (ready_initial or AESL_ready_delay);
ready_wire <= ready_initial or AESL_ready_delay;
done_delay_last_n <= '0' when done_cnt = AUTOTB_TRANSACTION_NUM else '1';
gen_done_delay_proc : process(AESL_clock)
begin
if (AESL_clock'event and AESL_clock = '1') then
if(AESL_reset = '0') then
AESL_done_delay <= '0';
AESL_done_delay2 <= '0';
else
AESL_done_delay <= AESL_done and done_delay_last_n;
AESL_done_delay2 <= AESL_done_delay;
end if;
end if;
end process;
gen_interface_done : process(ready, AESL_ready_delay, AESL_done_delay)
begin
if(ready_cnt > 0 and ready_cnt < AUTOTB_TRANSACTION_NUM) then
interface_done <= AESL_ready_delay;
elsif(ready_cnt = AUTOTB_TRANSACTION_NUM) then
interface_done <= AESL_done_delay;
else
interface_done <= '0';
end if;
end process;
proc_gen_inStream_internal_ready : process
variable internal_trans_num : INTEGER;
begin
wait until AESL_reset = '1';
wait until ready_initial = '1';
inStream_ready_reg <= '0';
wait until AESL_clock'event and AESL_clock = '1';
internal_trans_num := 1;
while(internal_trans_num /= AUTOTB_TRANSACTION_NUM + 1) loop
if (true
and ap_c_n_tvin_trans_num_inStream_V_data_V > internal_trans_num
and ap_c_n_tvin_trans_num_inStream_V_keep_V > internal_trans_num
and ap_c_n_tvin_trans_num_inStream_V_strb_V > internal_trans_num
and ap_c_n_tvin_trans_num_inStream_V_user_V > internal_trans_num
and ap_c_n_tvin_trans_num_inStream_V_last_V > internal_trans_num
and ap_c_n_tvin_trans_num_inStream_V_id_V > internal_trans_num
and ap_c_n_tvin_trans_num_inStream_V_dest_V > internal_trans_num
) then
inStream_ready_reg <= '1';
wait until AESL_clock'event and AESL_clock = '1';
inStream_ready_reg <= '0';
internal_trans_num := internal_trans_num + 1;
else
wait until AESL_clock'event and AESL_clock = '1';
end if;
end loop;
inStream_ready_reg <= '0';
wait;
end process;
-- Write "[[[runtime]]]" and "[[[/runtime]]]" for output transactor
write_output_transactor_histo_runtime_proc : process
file fp : TEXT;
variable fstatus : FILE_OPEN_STATUS;
variable token_line : LINE;
variable token : STRING(1 to 1024);
begin
file_open(fstatus, fp, AUTOTB_TVOUT_histo_out_wrapc, WRITE_MODE);
if(fstatus /= OPEN_OK) then
assert false report "Open file " & AUTOTB_TVOUT_histo_out_wrapc & " failed!!!" severity note;
assert false report "ERROR: Simulation using HLS TB failed." severity failure;
end if;
write(token_line, string'("[[[runtime]]]"));
writeline(fp, token_line);
file_close(fp);
while done_cnt /= AUTOTB_TRANSACTION_NUM loop
wait until AESL_clock'event and AESL_clock = '1';
end loop;
wait until AESL_clock'event and AESL_clock = '1';
wait until AESL_clock'event and AESL_clock = '1';
file_open(fstatus, fp, AUTOTB_TVOUT_histo_out_wrapc, APPEND_MODE);
if(fstatus /= OPEN_OK) then
assert false report "Open file " & AUTOTB_TVOUT_histo_out_wrapc & " failed!!!" severity note;
assert false report "ERROR: Simulation using HLS TB failed." severity failure;
end if;
write(token_line, string'("[[[/runtime]]]"));
writeline(fp, token_line);
file_close(fp);
wait;
end process;
gen_clock_counter_proc : process(AESL_clock)
begin
if (AESL_clock'event and AESL_clock = '0') then
if(AESL_reset = '0') then
AESL_clk_counter := 0;
else
AESL_clk_counter := AESL_clk_counter + 1;
end if;
end if;
end process;
gen_mLatcnterout_proc : process(AESL_clock)
begin
if (AESL_clock'event and AESL_clock = '1') then
if(AESL_reset = '0') then
AESL_mLatCnterOut_addr := 0;
AESL_mLatCnterOut(AESL_mLatCnterOut_addr) := AESL_clk_counter + 1 ;
reported_stuck_cnt := 0;
else
if (AESL_done = '1' and AESL_mLatCnterOut_addr < AUTOTB_TRANSACTION_NUM + 1) then
AESL_mLatCnterOut(AESL_mLatCnterOut_addr) := AESL_clk_counter;
AESL_mLatCnterOut_addr := AESL_mLatCnterOut_addr + 1;
reported_stuck <= '0';
end if;
end if;
end if;
end process;
gen_mLatcnterin_proc : process(AESL_clock)
begin
if (AESL_clock'event and AESL_clock = '1') then
if(AESL_reset = '0') then
AESL_mLatCnterIn_addr := 0;
else
if (AESL_slave_write_start_finish = '1' and AESL_mLatCnterIn_addr < AUTOTB_TRANSACTION_NUM + 1) then
AESL_mLatCnterIn(AESL_mLatCnterIn_addr) := AESL_clk_counter;
AESL_mLatCnterIn_addr := AESL_mLatCnterIn_addr + 1;
end if;
end if;
end if;
end process;
gen_performance_check_proc : process
variable transaction_counter : INTEGER;
variable i : INTEGER;
file fp : TEXT;
variable fstatus : FILE_OPEN_STATUS;
variable token_line : LINE;
variable token : STRING(1 to 1024);
variable latthistime : INTEGER;
variable lattotal : INTEGER;
variable latmax : INTEGER;
variable latmin : INTEGER;
variable thrthistime : INTEGER;
variable thrtotal : INTEGER;
variable thrmax : INTEGER;
variable thrmin : INTEGER;
variable lataver : INTEGER;
variable thraver : INTEGER;
type latency_record is array(0 to AUTOTB_TRANSACTION_NUM + 1) of INTEGER;
variable lat_array : latency_record;
variable thr_array : latency_record;
begin
i := 0;
lattotal := 0;
latmax := 0;
latmin := 16#7fffffff#;
lataver := 0;
thrtotal := 0;
thrmax := 0;
thrmin := 16#7fffffff#;
thraver := 0;
wait until (AESL_clock'event and AESL_clock = '1');
wait until (AESL_reset = '1');
while (done_cnt /= AUTOTB_TRANSACTION_NUM) loop
wait until (AESL_clock'event and AESL_clock = '1');
end loop;
wait for 0.001 ns;
for i in 0 to AUTOTB_TRANSACTION_NUM - 1 loop
latthistime := AESL_mLatCnterOut(i) - AESL_mLatCnterIn(i);
lat_array(i) := latthistime;
if (latthistime > latmax) then
latmax := latthistime;
end if;
if (latthistime < latmin) then
latmin := latthistime;
end if;
lattotal := lattotal + latthistime;
if (AUTOTB_TRANSACTION_NUM = 1) then
thrthistime := latthistime;
else
thrthistime := AESL_mLatCnterIn(i + 1) - AESL_mLatCnterIn(i);
end if;
thr_array(i) := thrthistime;
if (thrthistime > thrmax) then
thrmax := thrthistime;
end if;
if (thrthistime < thrmin) then
thrmin := thrthistime;
end if;
thrtotal := thrtotal + thrthistime;
end loop;
lataver := lattotal / AUTOTB_TRANSACTION_NUM;
thraver := thrtotal / AUTOTB_TRANSACTION_NUM;
file_open(fstatus, fp, AUTOTB_LAT_RESULT_FILE, WRITE_MODE);
if (fstatus /= OPEN_OK) then
assert false report "Open file " & AUTOTB_LAT_RESULT_FILE & " failed!!!" severity note;
assert false report "ERROR: Simulation using HLS TB failed." severity failure;
end if;
if (AUTOTB_TRANSACTION_NUM = 1) then
thrmax := 0;
thrmin := 0;
thraver := 0;
write(token_line, "$MAX_LATENCY = " & '"' & integer'image(latmax) & '"');
writeline(fp, token_line);
write(token_line, "$MIN_LATENCY = " & '"' & integer'image(latmin) & '"');
writeline(fp, token_line);
write(token_line, "$AVER_LATENCY = " & '"' & integer'image(lataver) & '"');
writeline(fp, token_line);
write(token_line, "$MAX_THROUGHPUT = " & '"' & integer'image(thrmax) & '"');
writeline(fp, token_line);
write(token_line, "$MIN_THROUGHPUT = " & '"' & integer'image(thrmin) & '"');
writeline(fp, token_line);
write(token_line, "$AVER_THROUGHPUT = " & '"' & integer'image(thraver) & '"');
writeline(fp, token_line);
else
write(token_line, "$MAX_LATENCY = " & '"' & integer'image(latmax) & '"');
writeline(fp, token_line);
write(token_line, "$MIN_LATENCY = " & '"' & integer'image(latmin) & '"');
writeline(fp, token_line);
write(token_line, "$AVER_LATENCY = " & '"' & integer'image(lataver) & '"');
writeline(fp, token_line);
write(token_line, "$MAX_THROUGHPUT = " & '"' & integer'image(latmax) & '"');
writeline(fp, token_line);
write(token_line, "$MIN_THROUGHPUT = " & '"' & integer'image(latmin) & '"');
writeline(fp, token_line);
write(token_line, "$AVER_THROUGHPUT = " & '"' & integer'image(lataver) & '"');
writeline(fp, token_line);
end if;
file_close(fp);
file_open(fstatus, fp, AUTOTB_PER_RESULT_TRANS_FILE, WRITE_MODE);
if(fstatus /= OPEN_OK) then
assert false report "Open file " & AUTOTB_PER_RESULT_TRANS_FILE & " failed!!!" severity note;
assert false report "ERROR: Simulation using HLS TB failed." severity failure;
end if;
write(token_line,string'(" latency interval"));
writeline(fp, token_line);
if (AUTOTB_TRANSACTION_NUM = 1) then
i := 0;
thr_array(i) := 0;
write(token_line,"transaction " & integer'image(i) & " " & integer'image(lat_array(i) ) & " " & integer'image(thr_array(i) ) );
writeline(fp, token_line);
else
for i in 0 to AESL_mLatCnterOut_addr - 1 loop
write(token_line,"transaction " & integer'image(i) & " " & integer'image(lat_array(i) ) & " " & integer'image(thr_array(i) ) );
writeline(fp, token_line);
end loop;
end if;
file_close(fp);
wait;
end process;
end behav;
| gpl-3.0 | da6fcc59881eae6821d37bc394c5a95b | 0.55247 | 3.39663 | false | false | false | false |
tgingold/ghdl | testsuite/gna/bug084/func_test2.vhdl | 1 | 1,721 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity func_test2 is
generic (NBITS: natural := 6);
end entity;
architecture fum of func_test2 is
signal dividend: std_logic_vector (NBITS - 1 downto 0);
function mod5 (dividend: std_logic_vector) return std_logic is
type remains is (r0, r1, r2, r3, r4); -- remainder values
type remain_array is array (NBITS downto 0) of remains;
type branch is array (remains, bit) of remains;
constant br_table: branch := ( r0 => ('0' => r0, '1' => r1),
r1 => ('0' => r2, '1' => r3),
r2 => ('0' => r4, '1' => r0),
r3 => ('0' => r1, '1' => r2),
r4 => ('0' => r3, '1' => r4)
);
variable remaind: remain_array := (others => r0);
variable tbit: bit_vector (NBITS - 1 downto 0);
begin
tbit := to_bitvector(dividend); -- little endian
for i in dividend'length - 1 downto 0 loop
remaind(i) := br_table(remaind(i + 1),tbit(i));
end loop;
if remaind(0) = r0 then
return '1';
else
return '0';
end if;
end function;
begin
process
variable errors: natural;
begin
errors := 0;
for i in 0 to 2 ** NBITS - 1 loop
dividend <= std_logic_vector(to_unsigned(i, NBITS));
wait for 0 ns;
report "mod5(" & integer'image(i) &") = " &
std_ulogic'image(mod5(dividend));
end loop;
wait;
end process;
end architecture;
| gpl-2.0 | 2890cb2c0b80118e874cb7b3f5c56120 | 0.476467 | 3.782418 | false | false | false | false |
tgingold/ghdl | testsuite/gna/issue718/bug_repro.vhdl | 1 | 1,768 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.numeric_std_unsigned.all;
use std.textio.all;
package rom is
generic (
word_bits: positive;
address_bits: positive;
rom_filename: string
);
subtype word_type is std_logic_vector(word_bits-1 downto 0);
impure function read_at(address: in integer range 0 to 2**address_bits-1) return word_type;
end package rom;
package body rom is
impure function read_at(
address: in integer range 0 to 2**address_bits-1
) return word_type is
type rom_type is array(0 to 2**address_bits-1) of word_type;
impure function init_rom_from_file (filename: in string) return rom_type is
file rom_file: text;
variable file_line : line;
variable rom_array: rom_type;
begin
file_open(rom_file, filename, read_mode);
for i in rom_type'range loop
readline(rom_file, file_line);
read(file_line, rom_array(i));
end loop;
file_close(rom_file);
return rom_array;
end function;
constant rom_array: rom_type := init_rom_from_file(rom_filename);
begin
return rom_array(address);
end function read_at;
end package body rom;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.numeric_std_unsigned.all;
use std.textio.all;
entity test is
end test;
architecture dataflow of test is
package p is new work.rom generic map(
word_bits => 2,
address_bits => 2,
rom_filename => "rom.txt"
);
signal word: std_logic_vector(1 downto 0);
begin
process (all)
begin
word <= p.read_at(0);
end process;
end dataflow;
| gpl-2.0 | 4d369e433f2790dd806cb3ef4e59a15f | 0.624434 | 3.578947 | false | false | false | false |
tgingold/ghdl | testsuite/vests/vhdl-93/billowitch/compliant/tc392.vhd | 4 | 1,913 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc392.vhd,v 1.2 2001-10-26 16:29:53 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c03s02b01x01p06n01i00392ent IS
END c03s02b01x01p06n01i00392ent;
ARCHITECTURE c03s02b01x01p06n01i00392arch OF c03s02b01x01p06n01i00392ent IS
type M1 is array (positive range <>) of integer;
signal S1 : M1(3 to 30) ; -- No_failure_here
BEGIN
TESTING: PROCESS
BEGIN
S1(3) <= 3 after 3 ns;
S1(30) <= 30 after 3 ns;
wait for 10 ns;
assert NOT(S1(3)=3 and S1(30)=30)
report "***PASSED TEST: c03s02b01x01p06n01i00392"
severity NOTE;
assert (S1(3)=3 and S1(30)=30)
report "***FAILED TEST: c03s02b01x01p06n01i00392 - Subtype indication of array object declaration must denote a constrained array."
severity ERROR;
wait;
END PROCESS TESTING;
END c03s02b01x01p06n01i00392arch;
| gpl-2.0 | 6976b5f6d612a1a645dc1f2da22eec8d | 0.666492 | 3.52302 | false | true | false | false |
tgingold/ghdl | testsuite/gna/issue50/idct.d/output_split2.vhd | 2 | 1,410 | library ieee;
use ieee.std_logic_1164.all;
library ieee;
use ieee.numeric_std.all;
entity output_split2 is
port (
wa0_data : in std_logic_vector(7 downto 0);
wa0_addr : in std_logic_vector(2 downto 0);
ra0_data : out std_logic_vector(7 downto 0);
ra0_addr : in std_logic_vector(2 downto 0);
wa0_en : in std_logic;
clk : in std_logic
);
end output_split2;
architecture augh of output_split2 is
-- Embedded RAM
type ram_type is array (0 to 7) of std_logic_vector(7 downto 0);
signal ram : ram_type := (others => (others => '0'));
-- Little utility functions to make VHDL syntactically correct
-- with the syntax to_integer(unsigned(vector)) when 'vector' is a std_logic.
-- This happens when accessing arrays with <= 2 cells, for example.
function to_integer(B: std_logic) return integer is
variable V: std_logic_vector(0 to 0);
begin
V(0) := B;
return to_integer(unsigned(V));
end;
function to_integer(V: std_logic_vector) return integer is
begin
return to_integer(unsigned(V));
end;
begin
-- Sequential process
-- It handles the Writes
process (clk)
begin
if rising_edge(clk) then
-- Write to the RAM
-- Note: there should be only one port.
if wa0_en = '1' then
ram( to_integer(wa0_addr) ) <= wa0_data;
end if;
end if;
end process;
-- The Read side (the outputs)
ra0_data <= ram( to_integer(ra0_addr) );
end architecture;
| gpl-2.0 | 5c5c87507c88ee951365d85bd1ab4220 | 0.673759 | 2.895277 | false | false | false | false |
tgingold/ghdl | testsuite/vests/vhdl-ams/ashenden/compliant/analog-modeling/moving_mass.vhd | 4 | 1,484 |
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
library ieee_proposed; use ieee_proposed.mechanical_systems.all;
entity moving_mass is
port ( terminal external_attachment : translational );
end entity moving_mass;
----------------------------------------------------------------
architecture behavioral of moving_mass is
constant mass : real := 10.0;
constant stiffness : real := 2.0;
constant damping : real := 5.0;
quantity position across driving_force through external_attachment;
quantity velocity : real;
begin
position == velocity'integ;
mass * velocity'dot == driving_force - stiffness * velocity'integ - damping * velocity
tolerance velocity'tolerance;
end architecture behavioral;
| gpl-2.0 | e42ad0552d71fb60b46136b680de5f0d | 0.703504 | 4.443114 | false | false | false | false |
tgingold/ghdl | testsuite/gna/issue810/my_time_pkg.vhdl | 1 | 257 | package my_time_pkg is
type my_time is range -integer'low to integer'high units
fs;
ps = 1000 fs;
ns = 1000 ps;
us = 1000 ns;
ms = 1000 us;
sec = 1000 ms;
min = 60 sec;
hr = 60 min;
end units;
end package my_time_pkg;
| gpl-2.0 | 9b64e04ffeb9021db77715d68ee99736 | 0.575875 | 3.059524 | false | false | false | false |
tgingold/ghdl | testsuite/vests/vhdl-ams/ashenden/compliant/composite-data/inline_07a.vhd | 4 | 2,100 |
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
entity inline_07a is
end entity inline_07a;
----------------------------------------------------------------
library ieee_proposed; use ieee_proposed.thermal_systems.all;
architecture test of inline_07a is
-- code from book:
type A is array (1 to 4, 31 downto 0) of boolean;
nature B is array (1 to 10, 19 downto 0) of thermal;
-- end of code from book
begin
process_1_i : process is
variable free_map : bit_vector(1 to 10) := "0011010110";
variable count : natural;
begin
-- code from book (just the conditions):
assert A'low(1) = 1; assert B'left(1) = 1;
assert A'high(2) = 31; assert B'right(2) = 0;
-- assert A'reverse_range(2) is 0 to 31; assert B'range(1) is 1 to 10;
assert A'length(2) = 32; assert B'length(1) = 10;
assert A'ascending(2) = false; assert B'ascending(1) = true;
assert A'low = 1; assert A'length = 4;
assert B'high = 10; assert B'length = 10;
--
count := 0;
for index in free_map'range loop
if free_map(index) = '1' then
count := count + 1;
end if;
end loop;
-- end of code from book
wait;
end process process_1_i;
end architecture test;
| gpl-2.0 | 4b8bdf139fbaa93472d5dacd27e554eb | 0.610952 | 3.818182 | false | false | false | false |
tgingold/ghdl | testsuite/gna/bug040/huff_make_dhuff_tb_dc_huffsize.vhd | 2 | 1,519 | library ieee;
use ieee.std_logic_1164.all;
library ieee;
use ieee.numeric_std.all;
entity huff_make_dhuff_tb_dc_huffsize is
port (
wa0_data : in std_logic_vector(31 downto 0);
wa0_addr : in std_logic_vector(8 downto 0);
clk : in std_logic;
ra0_addr : in std_logic_vector(8 downto 0);
ra0_data : out std_logic_vector(31 downto 0);
wa0_en : in std_logic
);
end huff_make_dhuff_tb_dc_huffsize;
architecture augh of huff_make_dhuff_tb_dc_huffsize is
-- Embedded RAM
type ram_type is array (0 to 256) of std_logic_vector(31 downto 0);
signal ram : ram_type := (others => (others => '0'));
-- Little utility functions to make VHDL syntactically correct
-- with the syntax to_integer(unsigned(vector)) when 'vector' is a std_logic.
-- This happens when accessing arrays with <= 2 cells, for example.
function to_integer(B: std_logic) return integer is
variable V: std_logic_vector(0 to 0);
begin
V(0) := B;
return to_integer(unsigned(V));
end;
function to_integer(V: std_logic_vector) return integer is
begin
return to_integer(unsigned(V));
end;
begin
-- Sequential process
-- It handles the Writes
process (clk)
begin
if rising_edge(clk) then
-- Write to the RAM
-- Note: there should be only one port.
if wa0_en = '1' then
ram( to_integer(wa0_addr) ) <= wa0_data;
end if;
end if;
end process;
-- The Read side (the outputs)
ra0_data <= ram( to_integer(ra0_addr) ) when to_integer(ra0_addr) < 257 else (others => '-');
end architecture;
| gpl-2.0 | 4773bd6680b3917dedf9bb9aaa7241dd | 0.676103 | 2.893333 | false | false | false | false |
tgingold/ghdl | testsuite/gna/issue256/testcase2_testbench.vhd | 2 | 704 | entity testcase2_testbench is
end entity testcase2_testbench;
architecture bench of testcase2_testbench is
signal clk: bit;
begin
dut: entity work.testcase2(empty) port map(clk => clk);
stimulus: process is
begin
-- Valid low and high pulses
clk <= '0';
wait for 10 ns;
clk <= '1';
wait for 10 ns;
-- Confirm that we're timing events, not transactions
clk <= '1';
wait for 5 ns;
-- Now send a short pulse to make the assertion fire
clk <= '0';
wait for 5 ns;
-- Assertion should fire here, at 30ns
clk <= '1';
wait;
end process stimulus;
end architecture bench;
| gpl-2.0 | 9a27fd0bfd95d112c6470894b0931bde | 0.578125 | 4.266667 | false | true | false | false |
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.