repo_name
stringlengths
6
79
path
stringlengths
5
236
copies
stringclasses
54 values
size
stringlengths
1
8
content
stringlengths
0
1.04M
license
stringclasses
15 values
6769/VHDL
Lab_6/UnitSingular/Control/simulation/qsim/work/@control_unit/_primary.vhd
1
766
library verilog; use verilog.vl_types.all; entity Control_unit is port( IRset : in vl_logic_vector(0 to 8); IRin : out vl_logic; Riout : out vl_logic_vector(0 to 7); Gout : out vl_logic; DINout : out vl_logic; Rin : out vl_logic_vector(0 to 7); Ain : out vl_logic; Gin : out vl_logic; AddSub : out vl_logic; Tstep_Q : in vl_logic_vector(1 downto 0); Clear : out vl_logic; Run : in vl_logic; Resetn : in vl_logic; Done : out vl_logic ); end Control_unit;
gpl-2.0
6769/VHDL
Lab_1_partB/adjustAdder4.vhd
1
631
entity adjustAdder4 is port(origin:in bit_vector(3 downto 0); adjusted:out bit_vector(3 downto 0); carryIn:in bit; carryAdjusted:out bit); end adjustAdder4; architecture conversion of adjustAdder4 is component Adder4 port(A,B:in bit_vector (3 downto 0); cin:in bit ; S:out bit_vector(3 downto 0); cout:buffer bit); end component; signal z:bit:='0'; signal never_use:bit; signal S_mid:bit_vector(3 downto 0); begin z<=carryIn or (origin(3)and (origin(2) or origin(1)) ); carryAdjusted<=z; FA4:Adder4 port map (origin,"0110",'0',S_mid,never_use); adjusted<=origin when z='0' else s_mid ; end conversion;
gpl-2.0
6769/VHDL
Lab_3/Part2/simulation/qsim/work/@view_input_vlg_vec_tst/_primary.vhd
1
104
library verilog; use verilog.vl_types.all; entity View_input_vlg_vec_tst is end View_input_vlg_vec_tst;
gpl-2.0
6769/VHDL
Lab_3/Part1/FSM_core.vhd
1
1965
--lab3-Part1 --FSM with 0-8 state entity FSM_core is port(X:in bit; CLK:in bit; reset:in bit; stateout:out integer range 0 to 8; Z:out bit); end entity FSM_core; architecture Behavior of FSM_core is signal State,nextState:integer range 0 to 8; begin stateout<=state; process(X,State) begin case State is when 0=> Z<='0'; if X='0' then nextState<=5; else nextState<=1; end if; when 1=> Z<='0'; if X='0' then nextState<=5; else nextState<=2; end if; when 2=> Z<='0'; if X='0' then nextState<=5; else nextState<=3; end if; when 3=> Z<='0'; if X='0' then nextState<=5; else nextState<=4; end if; when 4=> Z<='1'; if X='0' then nextState<=5; else nextState<=4; end if; when 5=> Z<='0'; if X='0' then nextState<=6; else nextState<=1; end if; when 6=> Z<='0'; if X='0' then nextState<=7; else nextState<=1; end if; when 7=> Z<='0'; if X='0' then nextState<=8; else nextState<=1; end if; when 8=> Z<='1'; if X='0' then nextState<=8; else nextState<=1; end if; when others=>null; end case; end process; --nextStateRegister process(CLK,reset) begin if reset='0' then State<=0; elsif CLK'event and CLK='1' then State<=nextState; end if; end process; end architecture Behavior;
gpl-2.0
6769/VHDL
Lab_6/TheFinalCodeVersion/upcount.vhd
2
476
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_signed.all; entity upcount is port ( Clear, Clock : in STD_LOGIC; Q : out STD_LOGIC_VECTOR(1 downto 0) ); end upcount; architecture Behavior of upcount is signal Count : STD_LOGIC_VECTOR(1 downto 0); begin process (Clock) begin if (Clock'EVENT and Clock = '1') then if Clear = '1' then Count <= "00"; else Count <= Count + 1; end if; end if; end process; Q <= Count; end Behavior;
gpl-2.0
6769/VHDL
Lab_2_part2/clock/simulation/qsim/work/clock_second_vlg_vec_tst/_primary.vhd
1
108
library verilog; use verilog.vl_types.all; entity clock_second_vlg_vec_tst is end clock_second_vlg_vec_tst;
gpl-2.0
gregani/la16fw
clock.vhd
1
3843
-- -- This file is part of the la16fw project. -- -- Copyright (C) 2014-2015 Gregor Anich -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clock is generic( CLK_FAST_DIV : integer; CLK_FAST_MUL : integer; STARTUP_WAIT : boolean := false ); port( clk_in : in std_logic; reset : in std_logic; clk : out std_logic; clk_fb : in std_logic; clk_fast : out std_logic; locked : out std_logic ); end clock; architecture behavioral of clock is begin -- DCM_SP: Digital Clock Manager Circuit -- Spartan-3A -- Xilinx HDL Language Template, version 14.7 DCM_SP_inst : DCM_SP generic map( CLKDV_DIVIDE => 12.0, -- 4MHz on CLKDV CLKFX_DIVIDE => CLK_FAST_DIV, CLKFX_MULTIPLY => CLK_FAST_MUL, -- 100MHz on CLKFX CLKIN_DIVIDE_BY_2 => FALSE, CLKIN_PERIOD => 20.83333333333333333, -- 48MHz CLKOUT_PHASE_SHIFT => "NONE", -- Specify phase shift of "NONE", "FIXED" or "VARIABLE" CLK_FEEDBACK => "1X", -- Specify clock feedback of "NONE", "1X" or "2X" DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", -- "SOURCE_SYNCHRONOUS", "SYSTEM_SYNCHRONOUS" or an integer from 0 to 15 DLL_FREQUENCY_MODE => "LOW", -- "HIGH" or "LOW" frequency mode for DLL DUTY_CYCLE_CORRECTION => TRUE, -- Duty cycle correction, TRUE or FALSE PHASE_SHIFT => 0, -- Amount of fixed phase shift from -255 to 255 STARTUP_WAIT => TRUE--STARTUP_WAIT -- Delay configuration DONE until DCM_SP LOCK, TRUE/FALSE ) port map( CLKIN => clk_in, -- 48MHz clock input (from IBUFG, BUFG or DCM) RST => reset, -- DCM asynchronous reset input CLK0 => clk, -- 0 degree DCM CLK ouptput CLK90 => open, -- 90 degree DCM CLK output CLK180 => open, -- 180 degree DCM CLK output CLK270 => open, -- 270 degree DCM CLK output CLK2X => open, -- 2X DCM CLK output CLK2X180 => open, -- 2X, 180 degree DCM CLK out CLKDV => open, -- Divided DCM CLK out (CLKDV_DIVIDE) CLKFX => clk_fast, -- DCM CLK synthesis out (M/D) CLKFX180 => open, -- 180 degree CLK synthesis out LOCKED => locked, -- DCM LOCK status output STATUS => open, -- 8-bit DCM status bits output CLKFB => clk_fb, -- DCM clock feedback PSCLK => '0', -- Dynamic phase adjust clock input PSEN => '0', -- Dynamic phase adjust enable input PSINCDEC => '0', -- Dynamic phase adjust increment/decrement PSDONE => open -- Dynamic phase adjust done output ); end behavioral;
gpl-2.0
sorgelig/SAMCoupe_MIST
t80/T80_ALU.vhd
2
12080
-------------------------------------------------------------------------------- -- **** -- T80(c) core. Attempt to finish all undocumented features and provide -- accurate timings. -- Version 350. -- Copyright (c) 2018 Sorgelig -- Test passed: ZEXDOC, ZEXALL, Z80Full(*), Z80memptr -- (*) Currently only SCF and CCF instructions aren't passed X/Y flags check as -- correct implementation is still unclear. -- -- **** -- T80(b) core. In an effort to merge and maintain bug fixes .... -- -- Ver 301 parity flag is just parity for 8080, also overflow for Z80, by Sean Riddle -- Ver 300 started tidyup -- MikeJ March 2005 -- Latest version from www.fpgaarcade.com (original www.opencores.org) -- -- **** -- Z80 compatible microprocessor core -- -- Version : 0247 -- Copyright (c) 2001-2002 Daniel Wallner ([email protected]) -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t80/ -- -- Limitations : -- -- File history : -- -- 0214 : Fixed mostly flags, only the block instructions now fail the zex regression test -- 0238 : Fixed zero flag for 16 bit SBC and ADC -- 0240 : Added GB operations -- 0242 : Cleanup -- 0247 : Cleanup -- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity T80_ALU is generic( Mode : integer := 0; Flag_C : integer := 0; Flag_N : integer := 1; Flag_P : integer := 2; Flag_X : integer := 3; Flag_H : integer := 4; Flag_Y : integer := 5; Flag_Z : integer := 6; Flag_S : integer := 7 ); port( Arith16 : in std_logic; Z16 : in std_logic; WZ : in std_logic_vector(15 downto 0); XY_State : in std_logic_vector(1 downto 0); ALU_Op : in std_logic_vector(3 downto 0); IR : in std_logic_vector(5 downto 0); ISet : in std_logic_vector(1 downto 0); BusA : in std_logic_vector(7 downto 0); BusB : in std_logic_vector(7 downto 0); F_In : in std_logic_vector(7 downto 0); Q : out std_logic_vector(7 downto 0); F_Out : out std_logic_vector(7 downto 0) ); end T80_ALU; architecture rtl of T80_ALU is procedure AddSub(A : std_logic_vector; B : std_logic_vector; Sub : std_logic; Carry_In : std_logic; signal Res : out std_logic_vector; signal Carry : out std_logic) is variable B_i : unsigned(A'length - 1 downto 0); variable Res_i : unsigned(A'length + 1 downto 0); begin if Sub = '1' then B_i := not unsigned(B); else B_i := unsigned(B); end if; Res_i := unsigned("0" & A & Carry_In) + unsigned("0" & B_i & "1"); Carry <= Res_i(A'length + 1); Res <= std_logic_vector(Res_i(A'length downto 1)); end; -- AddSub variables (temporary signals) signal UseCarry : std_logic; signal Carry7_v : std_logic; signal Overflow_v : std_logic; signal HalfCarry_v : std_logic; signal Carry_v : std_logic; signal Q_v : std_logic_vector(7 downto 0); signal BitMask : std_logic_vector(7 downto 0); begin with IR(5 downto 3) select BitMask <= "00000001" when "000", "00000010" when "001", "00000100" when "010", "00001000" when "011", "00010000" when "100", "00100000" when "101", "01000000" when "110", "10000000" when others; UseCarry <= not ALU_Op(2) and ALU_Op(0); AddSub(BusA(3 downto 0), BusB(3 downto 0), ALU_Op(1), ALU_Op(1) xor (UseCarry and F_In(Flag_C)), Q_v(3 downto 0), HalfCarry_v); AddSub(BusA(6 downto 4), BusB(6 downto 4), ALU_Op(1), HalfCarry_v, Q_v(6 downto 4), Carry7_v); AddSub(BusA(7 downto 7), BusB(7 downto 7), ALU_Op(1), Carry7_v, Q_v(7 downto 7), Carry_v); -- bug fix - parity flag is just parity for 8080, also overflow for Z80 process (Carry_v, Carry7_v, Q_v) begin if(Mode=2) then OverFlow_v <= not (Q_v(0) xor Q_v(1) xor Q_v(2) xor Q_v(3) xor Q_v(4) xor Q_v(5) xor Q_v(6) xor Q_v(7)); else OverFlow_v <= Carry_v xor Carry7_v; end if; end process; process (Arith16, ALU_OP, F_In, BusA, BusB, IR, Q_v, Carry_v, HalfCarry_v, OverFlow_v, BitMask, ISet, Z16, WZ, XY_State) variable Q_t : std_logic_vector(7 downto 0); variable DAA_Q : unsigned(8 downto 0); begin Q_t := "--------"; F_Out <= F_In; DAA_Q := "---------"; case ALU_Op is when "0000" | "0001" | "0010" | "0011" | "0100" | "0101" | "0110" | "0111" => F_Out(Flag_N) <= '0'; F_Out(Flag_C) <= '0'; case ALU_OP(2 downto 0) is when "000" | "001" => -- ADD, ADC Q_t := Q_v; F_Out(Flag_C) <= Carry_v; F_Out(Flag_H) <= HalfCarry_v; F_Out(Flag_P) <= OverFlow_v; when "010" | "011" | "111" => -- SUB, SBC, CP Q_t := Q_v; F_Out(Flag_N) <= '1'; F_Out(Flag_C) <= not Carry_v; F_Out(Flag_H) <= not HalfCarry_v; F_Out(Flag_P) <= OverFlow_v; when "100" => -- AND Q_t(7 downto 0) := BusA and BusB; F_Out(Flag_H) <= '1'; when "101" => -- XOR Q_t(7 downto 0) := BusA xor BusB; F_Out(Flag_H) <= '0'; when others => -- OR "110" Q_t(7 downto 0) := BusA or BusB; F_Out(Flag_H) <= '0'; end case; if ALU_Op(2 downto 0) = "111" then -- CP F_Out(Flag_X) <= BusB(3); F_Out(Flag_Y) <= BusB(5); else F_Out(Flag_X) <= Q_t(3); F_Out(Flag_Y) <= Q_t(5); end if; if Q_t(7 downto 0) = "00000000" then F_Out(Flag_Z) <= '1'; if Z16 = '1' then F_Out(Flag_Z) <= F_In(Flag_Z); -- 16 bit ADC,SBC end if; else F_Out(Flag_Z) <= '0'; end if; F_Out(Flag_S) <= Q_t(7); case ALU_Op(2 downto 0) is when "000" | "001" | "010" | "011" | "111" => -- ADD, ADC, SUB, SBC, CP when others => F_Out(Flag_P) <= not (Q_t(0) xor Q_t(1) xor Q_t(2) xor Q_t(3) xor Q_t(4) xor Q_t(5) xor Q_t(6) xor Q_t(7)); end case; if Arith16 = '1' then F_Out(Flag_S) <= F_In(Flag_S); F_Out(Flag_Z) <= F_In(Flag_Z); F_Out(Flag_P) <= F_In(Flag_P); end if; when "1100" => -- DAA F_Out(Flag_H) <= F_In(Flag_H); F_Out(Flag_C) <= F_In(Flag_C); DAA_Q(7 downto 0) := unsigned(BusA); DAA_Q(8) := '0'; if F_In(Flag_N) = '0' then -- After addition -- Alow > 9 or H = 1 if DAA_Q(3 downto 0) > 9 or F_In(Flag_H) = '1' then if (DAA_Q(3 downto 0) > 9) then F_Out(Flag_H) <= '1'; else F_Out(Flag_H) <= '0'; end if; DAA_Q := DAA_Q + 6; end if; -- new Ahigh > 9 or C = 1 if DAA_Q(8 downto 4) > 9 or F_In(Flag_C) = '1' then DAA_Q := DAA_Q + 96; -- 0x60 end if; else -- After subtraction if DAA_Q(3 downto 0) > 9 or F_In(Flag_H) = '1' then if DAA_Q(3 downto 0) > 5 then F_Out(Flag_H) <= '0'; end if; DAA_Q(7 downto 0) := DAA_Q(7 downto 0) - 6; end if; if unsigned(BusA) > 153 or F_In(Flag_C) = '1' then DAA_Q := DAA_Q - 352; -- 0x160 end if; end if; F_Out(Flag_X) <= DAA_Q(3); F_Out(Flag_Y) <= DAA_Q(5); F_Out(Flag_C) <= F_In(Flag_C) or DAA_Q(8); Q_t := std_logic_vector(DAA_Q(7 downto 0)); if DAA_Q(7 downto 0) = "00000000" then F_Out(Flag_Z) <= '1'; else F_Out(Flag_Z) <= '0'; end if; F_Out(Flag_S) <= DAA_Q(7); F_Out(Flag_P) <= not (DAA_Q(0) xor DAA_Q(1) xor DAA_Q(2) xor DAA_Q(3) xor DAA_Q(4) xor DAA_Q(5) xor DAA_Q(6) xor DAA_Q(7)); when "1101" | "1110" => -- RLD, RRD Q_t(7 downto 4) := BusA(7 downto 4); if ALU_Op(0) = '1' then Q_t(3 downto 0) := BusB(7 downto 4); else Q_t(3 downto 0) := BusB(3 downto 0); end if; F_Out(Flag_H) <= '0'; F_Out(Flag_N) <= '0'; F_Out(Flag_X) <= Q_t(3); F_Out(Flag_Y) <= Q_t(5); if Q_t(7 downto 0) = "00000000" then F_Out(Flag_Z) <= '1'; else F_Out(Flag_Z) <= '0'; end if; F_Out(Flag_S) <= Q_t(7); F_Out(Flag_P) <= not (Q_t(0) xor Q_t(1) xor Q_t(2) xor Q_t(3) xor Q_t(4) xor Q_t(5) xor Q_t(6) xor Q_t(7)); when "1001" => -- BIT Q_t(7 downto 0) := BusB and BitMask; F_Out(Flag_S) <= Q_t(7); if Q_t(7 downto 0) = "00000000" then F_Out(Flag_Z) <= '1'; F_Out(Flag_P) <= '1'; else F_Out(Flag_Z) <= '0'; F_Out(Flag_P) <= '0'; end if; F_Out(Flag_H) <= '1'; F_Out(Flag_N) <= '0'; if IR(2 downto 0) = "110" or XY_State /= "00" then F_Out(Flag_X) <= WZ(11); F_Out(Flag_Y) <= WZ(13); else F_Out(Flag_X) <= BusB(3); F_Out(Flag_Y) <= BusB(5); end if; when "1010" => -- SET Q_t(7 downto 0) := BusB or BitMask; when "1011" => -- RES Q_t(7 downto 0) := BusB and not BitMask; when "1000" => -- ROT case IR(5 downto 3) is when "000" => -- RLC Q_t(7 downto 1) := BusA(6 downto 0); Q_t(0) := BusA(7); F_Out(Flag_C) <= BusA(7); when "010" => -- RL Q_t(7 downto 1) := BusA(6 downto 0); Q_t(0) := F_In(Flag_C); F_Out(Flag_C) <= BusA(7); when "001" => -- RRC Q_t(6 downto 0) := BusA(7 downto 1); Q_t(7) := BusA(0); F_Out(Flag_C) <= BusA(0); when "011" => -- RR Q_t(6 downto 0) := BusA(7 downto 1); Q_t(7) := F_In(Flag_C); F_Out(Flag_C) <= BusA(0); when "100" => -- SLA Q_t(7 downto 1) := BusA(6 downto 0); Q_t(0) := '0'; F_Out(Flag_C) <= BusA(7); when "110" => -- SLL (Undocumented) / SWAP if Mode = 3 then Q_t(7 downto 4) := BusA(3 downto 0); Q_t(3 downto 0) := BusA(7 downto 4); F_Out(Flag_C) <= '0'; else Q_t(7 downto 1) := BusA(6 downto 0); Q_t(0) := '1'; F_Out(Flag_C) <= BusA(7); end if; when "101" => -- SRA Q_t(6 downto 0) := BusA(7 downto 1); Q_t(7) := BusA(7); F_Out(Flag_C) <= BusA(0); when others => -- SRL Q_t(6 downto 0) := BusA(7 downto 1); Q_t(7) := '0'; F_Out(Flag_C) <= BusA(0); end case; F_Out(Flag_H) <= '0'; F_Out(Flag_N) <= '0'; F_Out(Flag_X) <= Q_t(3); F_Out(Flag_Y) <= Q_t(5); F_Out(Flag_S) <= Q_t(7); if Q_t(7 downto 0) = "00000000" then F_Out(Flag_Z) <= '1'; else F_Out(Flag_Z) <= '0'; end if; F_Out(Flag_P) <= not (Q_t(0) xor Q_t(1) xor Q_t(2) xor Q_t(3) xor Q_t(4) xor Q_t(5) xor Q_t(6) xor Q_t(7)); if ISet = "00" then F_Out(Flag_P) <= F_In(Flag_P); F_Out(Flag_S) <= F_In(Flag_S); F_Out(Flag_Z) <= F_In(Flag_Z); end if; when others => null; end case; Q <= Q_t; end process; end;
gpl-2.0
6769/VHDL
Lab_5/SingluarUnit/controller/simulation/qsim/work/@controller/_primary.vhd
1
674
library verilog; use verilog.vl_types.all; entity Controller is port( Rb : in vl_logic; Reset : in vl_logic; Eq : in vl_logic; D7 : in vl_logic; D711 : in vl_logic; D2312 : in vl_logic; CLK : in vl_logic; State_debug : out vl_logic_vector(1 downto 0); Sp : out vl_logic; Roll : out vl_logic; Win : out vl_logic; Lose : out vl_logic; Clear : out vl_logic ); end Controller;
gpl-2.0
6769/VHDL
Lab_4/Part1/View_output.vhd
1
1270
library ieee; use ieee.numeric_bit.all; entity View_output is port(clk:in bit; reset:in bit; hex0_out:out bit_vector(7 downto 0); hex1_out:out bit_vector(7 downto 0); hex2_out:out bit_vector(7 downto 0)); end entity View_output; architecture combination_of_View of View_output is --type component Threebit_BCD_counter is port( clk:in bit;reset:in bit; Counter_Result:out unsigned(11 downto 0) ); end component; component Segment7Decoder is port (bcd : in bit_vector(3 downto 0); --BCD input segment7 : out bit_vector(7 downto 1) -- 7 bit decoded output. ); end component; signal mid_12bit_result:unsigned(11 downto 0); alias mid_hex0:unsigned(3 downto 0) is mid_12bit_result(3 downto 0); alias mid_hex1:unsigned(3 downto 0) is mid_12bit_result(7 downto 4); alias mid_hex2:unsigned(3 downto 0) is mid_12bit_result(11 downto 8); begin Synthesis:Threebit_BCD_counter port map(clk,reset,mid_12bit_result); hex0_out(0)<='1'; hex1_out(0)<='1'; hex2_out(0)<='1'; hex0_display:Segment7Decoder port map(bit_vector(mid_hex0),hex0_out(7 downto 1)); hex1_display:Segment7Decoder port map(bit_vector(mid_hex1),hex1_out(7 downto 1)); hex2_display:Segment7Decoder port map(bit_vector(mid_hex2),hex2_out(7 downto 1)); end architecture combination_of_View;
gpl-2.0
gregani/la16fw
test_mainmodule.vhd
1
4742
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity test_main is end test_main; architecture behavior of test_main is -- Component Declaration for the Unit Under Test (UUT) component mainmodule -- generic( -- tick_1M_div : integer -- ); port( clk_in : in std_logic; spi_ss_n : in std_logic; spi_sclk : in std_logic; spi_mosi : in std_logic; spi_miso : out std_logic; led_out : out std_logic; fifo_clk : in std_logic; fifo_empty : out std_logic; fifo_read_n : in std_logic; fifo_data : out std_logic_vector(15 downto 0); logic_data : in std_logic_vector(15 downto 0) -- logic_data : in std_logic_vector(15 downto 2); -- debug, debug2 : out std_logic ); end component; --Inputs signal clk : std_logic := '0'; signal spi_ss_n : std_logic := '1'; signal spi_sclk : std_logic := '0'; signal spi_mosi : std_logic := '0'; signal fifo_read_n : std_logic := '1'; signal logic_data : std_logic_vector(15 downto 0) := (others=>'0'); --Outputs signal spi_miso : std_logic; signal led_out : std_logic; signal fifo_empty : std_logic; signal fifo_data : std_logic_vector(15 downto 0); -- internal signals -- Clock period definitions constant clk_period : time := 20.83 ns; constant sclk_period : time := 100 ns; begin -- Instantiate the Unit Under Test (UUT) uut: mainmodule -- generic map( -- tick_1M_div => 48 -- ) port map( clk_in => clk, spi_ss_n => spi_ss_n, spi_sclk => spi_sclk, spi_mosi => spi_mosi, spi_miso => spi_miso, led_out => led_out, fifo_clk => clk, fifo_empty => fifo_empty, fifo_read_n => fifo_read_n, fifo_data => fifo_data, logic_data => logic_data(15 downto 2) ); -- Clock process definitions clk_process: process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; -- Stimulus process stim_proc: process -- send spi data procedure spi_start is begin wait for 2*sclk_period; spi_ss_n <= '0'; wait for 2*sclk_period; end spi_start; procedure spi_stop is begin wait for 2*sclk_period; spi_ss_n <= '1'; wait for 2*sclk_period; end spi_stop; procedure spi_send(data: in unsigned(7 downto 0)) is begin for i in 0 to 7 loop spi_mosi <= data(7-i); wait for sclk_period/2; spi_sclk <= '1'; wait for sclk_period/2; spi_sclk <= '0'; end loop; end spi_send; begin -- wait for internal reset wait for clk_period*50; -- insert stimulus here -- -- read adress 0x00 (fpga bitstream version) -- spi_start; -- spi_send('1' & to_unsigned(0, 7)); -- spi_send(to_unsigned(0, 8)); -- spi_stop; -- -- -- write adress 0x05, data 0x80 (set led pwm to 50%) -- spi_start; -- spi_send('0' & to_unsigned(5, 7)); -- spi_send(to_unsigned(128, 8)); -- spi_stop; -- -- -- select channels -- spi_start; -- spi_send('0' & to_unsigned(2, 7)); -- spi_send(to_unsigned(255, 8)); -- spi_stop; -- spi_start; -- spi_send('0' & to_unsigned(3, 7)); -- spi_send(to_unsigned(255, 8)); -- spi_stop; -- -- -- set base clock to 100MHz -- spi_start; -- spi_send('0' & to_unsigned(10, 7)); -- spi_send(to_unsigned(0, 8)); -- spi_stop; -- -- -- set sample rate to 5Mhz => n = 20-1 -- spi_start; -- spi_send('0' & to_unsigned(4, 7)); -- spi_send(to_unsigned(20 - 1, 8)); -- spi_stop; -- -- -- start sampling -- spi_start; -- spi_send('0' & to_unsigned(1, 7)); -- spi_send(to_unsigned(1, 8)); -- spi_stop; -- -- -- read some values from fifo wait until fifo_empty = '0'; -- wait for 100 us; -- wait for 50*clk_period; -- wait until falling_edge(clk); -- wait until rising_edge(clk); -- for i in 1 to 1000 loop -- fifo_read_n <= '0'; -- wait for clk_period; -- fifo_read_n <= '1'; -- wait for clk_period; -- end loop; wait; end process; end;
gpl-2.0
brandonpollack23/VHDL_pong
PONG/clk_refresh_gen.vhd
1
913
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; entity clk_refresh_gen is port ( clk25Mhz,rst : in std_logic; clk_refresh : out std_logic ); end clk_refresh_gen; architecture bhv of clk_refresh_gen is constant THIS_WIDTH : integer := integer(ceil(log2(real(208333)))); constant overflow : unsigned := to_unsigned(208333,THIS_WIDTH); signal count,next_count : unsigned(THIS_WIDTH-1 downto 0); signal clk,next_clk : std_logic; begin process(clk25Mhz) begin if(rst = '1') then count <= (others => '0'); clk <= '0'; elsif(rising_edge(clk25Mhz)) then count <= next_count; clk <= next_clk; end if; end process; process(clk25Mhz) begin if(count /= overflow) then next_count <= count + 1; next_clk <= clk; else next_count <= to_unsigned(0,THIS_WIDTH); next_clk <= not clk; end if; end process; clk_refresh <= clk; end bhv;
gpl-2.0
hwstar/PCIRADIO
urx.vhd
1
6267
-- -- urx.vhd: VHDL module for Zapata Telephony PCI Radio Card, Rev. A -- Author: Stephen A. Rodgers -- -- Copyright (c) 2005, Stephen A. Rodgers -- -- Steve Rodgers <[email protected]> -- -- This program is free software, and the design, schematics, layout, -- and artwork for the hardware on which it runs is free, and all are -- distributed under the terms of the GNU General Public License. -- -- -- UART receiver -- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity urx is port ( arn : in std_logic; clk : in std_logic; rxd : in std_logic; bclken16: in std_logic; rxce : out std_logic; rxbyte : out std_logic_vector(7 downto 0) ); end urx; architecture rtl of urx is type rxstate is (s0,s1,s2,s3,s4,s5,s6,s7,s8,s9,s10,s11,s12); signal cur_state, next_state : rxstate; signal samplectren : std_logic; signal initialsample: std_logic; signal samplebit : std_logic; signal shiftena : std_logic; signal urxregister : std_logic_vector(7 downto 0); signal samplectr : std_logic_vector(4 downto 0); signal rxdbits : std_logic_vector(1 downto 0); begin -- synchronize RX data to our system clock rxdsync : process(arn, clk) begin if(arn = '0') then rxdbits <= "11"; elsif(clk'event) and (clk = '1') then rxdbits(0) <= rxd; rxdbits(1) <= rxdbits(0); end if; end process rxdsync; -- count at 16x baudrate when enabled -- This generates the bit sample signal at the right place in the bit cell. cntsamples : process(arn, clk) begin if(arn = '0') then samplectr <= "00000"; samplebit <= '0'; elsif(clk'event) and (clk = '1') then samplebit <= '0'; if(samplectren= '1') then if(bclken16 = '1') then samplectr <= samplectr + 1; if(initialsample = '1') then if(samplectr = 7) then -- 1/2 a bit cell samplebit <= '1'; samplectr <= "00000"; end if; else if(samplectr = 15) then -- a full bit cell samplebit <= '1'; samplectr <= "00000"; end if; end if; end if; else samplectr <= "00000"; end if; end if; end process cntsamples; -- receive shift register rcv_sr : process(arn, clk) begin if(arn = '0') then urxregister <= "00000000"; elsif(clk'event) and (clk = '1') then if(samplebit = '1') and (shiftena = '1') then urxregister <= rxdbits(1) & urxregister(7 downto 1); end if; end if; end process rcv_sr; -- async. part of receiver state machine sm_async : process(rxdbits(1), bclken16, samplebit, cur_state) begin rxce <= '0'; shiftena <= '0'; samplectren <= '0'; initialsample <= '0'; case cur_state is when s0 => -- start bit edge detect if(bclken16 = '1') then if(rxdbits(1) = '0') then samplectren <= '1'; initialsample <= '1'; next_state <= s1; else next_state <= s0; end if; else next_state <= s0; end if; when s1 => -- start bit detect, center of bit cell samplectren <= '1'; initialsample <= '1'; if(samplebit = '1') then if(rxdbits(1) = '0') then shiftena <= '1'; initialsample <= '0'; next_state <= s2; else initialsample <= '0'; samplectren <= '0'; next_state <= s11; -- noise/glitch? end if; else next_state <= s1; end if; when s2 => -- wait for bit cell center on bit 0 shiftena <= '1'; samplectren <= '1'; if(samplebit = '1') then next_state <= s3; else next_state <= s2; end if; when s3 => -- wait for bit cell center on bit 1 shiftena <= '1'; samplectren <= '1'; if(samplebit = '1') then next_state <= s4; else next_state <= s3; end if; when s4 => -- wait for bit cell center on bit 2 shiftena <= '1'; samplectren <= '1'; if(samplebit = '1') then next_state <= s5; else next_state <= s4; end if; when s5 => -- wait for bit cell center on bit 3 shiftena <= '1'; samplectren <= '1'; if(samplebit = '1') then next_state <= s6; else next_state <= s5; end if; when s6 => -- wait for bit cell center on bit 4 shiftena <= '1'; samplectren <= '1'; if(samplebit = '1') then next_state <= s7; else next_state <= s6; end if; when s7 => -- wait for bit cell center on bit 5 shiftena <= '1'; samplectren <= '1'; if(samplebit = '1') then next_state <= s8; else next_state <= s7; end if; when s8 => -- wait for bit cell center on bit 6 shiftena <= '1'; samplectren <= '1'; if(samplebit = '1') then next_state <= s9; else next_state <= s8; end if; when s9 => -- wait for bit cell center on bit 7 shiftena <= '1'; samplectren <= '1'; if(samplebit = '1') then next_state <= s10; else next_state <= s9; end if; when s10 => -- stop bit detect samplectren <= '1'; if(samplebit = '1') then if(rxdbits(1) = '1') then next_state <= s12; -- done else samplectren <= '0'; next_state <= s11; -- framing err? end if; else next_state <= s10; end if; when s11 => -- 0 detected for stop bit, framing err? Wait till line goes high if(bclken16 = '1') then if(rxdbits(1) = '1') then next_state <= s0; else next_state <= s11; end if; else next_state <= s11; end if; when s12 => -- pulse the rxce high for one clock to indicate a byte is ready rxce <= '1'; next_state <= s0; when others => next_state <= s0; end case; end process sm_async; -- sync part of state machine sm_sync : process(arn, clk) begin if(arn = '0') then cur_state <= s0; elsif(clk'event) and (clk = '1') then cur_state <= next_state; end if; end process sm_sync; rxbyte <= urxregister; end rtl;
gpl-2.0
artic92/sistemi-embedded-task2
src/ip_core2/complex_abs/moltiplicatore_booth/moltiplicatore_booth.vhd
1
4209
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 19:39:58 11/23/2015 -- Design Name: -- Module Name: moltiplicatore_booth - Structural -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.std_logic_unsigned.all; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; -- Moltilplicando A, moltplicatore B entity moltiplicatore_booth is generic ( n : natural := 4; m : natural := 4); Port ( A : in STD_LOGIC_VECTOR (n-1 downto 0); B : in STD_LOGIC_VECTOR (m-1 downto 0); enable : in STD_LOGIC; reset_n : in STD_LOGIC; clock : in STD_LOGIC; done : out STD_LOGIC; P : out STD_LOGIC_VECTOR (n+m-1 downto 0)); end moltiplicatore_booth; architecture Structural of moltiplicatore_booth is COMPONENT parte_controllo generic ( n : natural := 4; m : natural := 4); PORT( clock : in STD_LOGIC; reset_n_all : in STD_LOGIC; q0 : in STD_LOGIC; q_1 : in STD_LOGIC; enable : in STD_LOGIC; conteggio : in STD_LOGIC; load_a : out STD_LOGIC; load_m : out STD_LOGIC; load_q : out STD_LOGIC; reset_n : out STD_LOGIC; shift : out STD_LOGIC; sub : out STD_LOGIC; count_en : out STD_LOGIC; done : out STD_LOGIC); END COMPONENT; COMPONENT parte_operativa generic ( n : natural := 4; m : natural := 4); PORT( X : in STD_LOGIC_VECTOR (n-1 downto 0); Y : in STD_LOGIC_VECTOR (m-1 downto 0); load_a : in STD_LOGIC; load_q : in STD_LOGIC; load_m : in STD_LOGIC; reset_n : in STD_LOGIC; shift : in STD_LOGIC; sub : in STD_LOGIC; clock : in STD_LOGIC; q0 : out STD_LOGIC; q_1 : out STD_LOGIC; P : out STD_LOGIC_VECTOR (n+m-1 downto 0) ); END COMPONENT; COMPONENT contatore_modulo_n generic (n : natural := 4); PORT ( clock : in STD_LOGIC; reset_n : in STD_LOGIC; count_en : in STD_LOGIC; up_down : in STD_LOGIC; mod_n : out STD_LOGIC); END COMPONENT; signal reset_n_sig, q0_sig, load_a_sig, load_q_sig, load_m_sig, q_1_sig, shift_sig, sub_sig, cnt_en_sig, mod_n_sig, done_sig : std_logic := '0'; signal p_sig : std_logic_vector(n+m-1 downto 0); signal sign : std_logic := '0'; begin -- L'assegnazione condizionata viene utilizzata per risolvere il problema del segno dello zero (la prima) -- e della moltiplicazione per il massimo numero rappresentabile con n bit quando questo è il moltiplicatore -- (seconda riga: risolto complementando a 2 il risultato) es. 1*(-8), sign <= '0' when (A = (A'range => '0')) or (B = (B'range => '0')) else (A(n-1) xor B(m-1)); P <= ((not p_sig) + 1) and (p_sig'range => done_sig) when ((A(n-1) = '1') and (unsigned(A(n-2 downto 0)) = 0)) else (sign & p_sig(n+m-2 downto 0)) and (p_sig'range => done_sig); done <= done_sig; PC: parte_controllo generic map(n,m) PORT MAP( clock => clock, reset_n_all => reset_n, q0 => q0_sig, q_1 => q_1_sig, enable => enable, conteggio => mod_n_sig, load_a => load_a_sig, load_m => load_m_sig, load_q => load_q_sig, reset_n => reset_n_sig, shift => shift_sig, sub => sub_sig, count_en => cnt_en_sig, done => done_sig ); PO: parte_operativa generic map(n,m) PORT MAP( X => A, Y => B, sub => sub_sig, load_a => load_a_sig, load_q => load_q_sig, load_m => load_m_sig, reset_n => reset_n_sig, shift => shift_sig, clock => clock, q0 => q0_sig, q_1 => q_1_sig, P => p_sig ); contatore: contatore_modulo_n generic map(m) PORT MAP( clock => clock, reset_n => reset_n_sig, count_en => cnt_en_sig, up_down => '0', mod_n => mod_n_sig ); end Structural;
gpl-2.0
artic92/sistemi-embedded-task2
src/ip_core2/compute_max/comparatore.vhd
1
1295
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 16:10:11 07/01/2017 -- Design Name: -- Module Name: comparatore - DataFlow -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity comparatore is Generic ( width : natural := 31 ); Port ( enable : in STD_LOGIC; A : in STD_LOGIC_VECTOR (31 downto 0); B : in STD_LOGIC_VECTOR (31 downto 0); AbiggerB : out STD_LOGIC); end comparatore; architecture DataFlow of comparatore is signal AbiggerB_sig : std_logic; begin AbiggerB_sig <= '1' when A > B else '0'; AbiggerB <= AbiggerB_sig and enable; end DataFlow;
gpl-2.0
artic92/sistemi-embedded-task2
src/tb_ipcore_uniti.vhd
1
6754
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 03.07.2017 14:41:37 -- Design Name: -- Module Name: tb_ipcore_uniti - Behavioral -- Project Name: -- Target Devices: -- Tool Versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.NUMERIC_STD.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use ieee.math_real.all; use ieee.numeric_std.all; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. --library UNISIM; --use UNISIM.VComponents.all; entity tb_ipcore_uniti is end tb_ipcore_uniti; architecture Behavioral of tb_ipcore_uniti is component test_dds_wrapper generic ( campioni : natural := 20460 ); port ( clock : in STD_LOGIC; reset_n : in STD_LOGIC; valid_in : in STD_LOGIC; ready_in : in STD_LOGIC; poff_pinc : in STD_LOGIC_VECTOR(47 downto 0); sine_cosine : out STD_LOGIC_VECTOR(31 downto 0); valid_out : out STD_LOGIC; ready_out : out STD_LOGIC; done : out STD_LOGIC ); end component test_dds_wrapper; component complex_max generic ( sample_width : natural := 32; s : natural := 5; d : natural := 4; c : natural := 5 ); port ( clock : in STD_LOGIC; reset_n : in STD_LOGIC; valid_in : in STD_LOGIC; ready_in : in STD_LOGIC; sample : in STD_LOGIC_VECTOR(sample_width-1 downto 0); sample_max : out STD_LOGIC_VECTOR(sample_width-1 downto 0); pos_campione : out STD_LOGIC_VECTOR(natural(ceil(log2(real(c))))-1 downto 0); pos_doppler : out STD_LOGIC_VECTOR(natural(ceil(log2(real(d))))-1 downto 0); pos_satellite : out STD_LOGIC_VECTOR(natural(ceil(log2(real(s))))-1 downto 0); max : out STD_LOGIC_VECTOR(sample_width-1 downto 0); valid_out : out STD_LOGIC; ready_out : out STD_LOGIC ); end component complex_max; constant clock_period : time := 200 ns; -- 5 MHz constant sample_width : natural:= 32; constant s : natural:= 2; constant d : natural:= 11; constant c : natural:= 6; --Inputs signal clock : STD_LOGIC := '0'; signal reset_n : STD_LOGIC := '0'; signal valid_in : STD_LOGIC := '0'; signal poff_pinc : STD_LOGIC_VECTOR ( 47 downto 0 ); signal poff : STD_LOGIC_VECTOR (23 downto 0) := (others => '0'); signal pinc : STD_LOGIC_VECTOR (23 downto 0) := (others => '0'); --Outputs signal sample_max : std_logic_vector(sample_width-1 downto 0); signal ready_out : STD_LOGIC := '0'; signal done : std_logic; signal pos_campione : std_logic_vector(natural(ceil(log2(real(c))))-1 downto 0); signal pos_doppler : std_logic_vector(natural(ceil(log2(real(d))))-1 downto 0); signal pos_satellite : std_logic_vector(natural(ceil(log2(real(s))))-1 downto 0); signal max : std_logic_vector(sample_width-1 downto 0); signal valid_out : STD_lOGIC := '0'; signal complex_max_ready_sig : std_logic; signal dds_valid_out : std_logic; signal sample_sig : std_logic_vector(sample_width-1 downto 0); begin poff_pinc(47 downto 24) <= poff; poff_pinc(23 downto 0) <= pinc; test_dds_wrapper_i : test_dds_wrapper generic map ( campioni => c ) port map ( clock => clock, reset_n => reset_n, valid_in => valid_in, ready_in => complex_max_ready_sig, poff_pinc => poff_pinc, sine_cosine => sample_sig, valid_out => dds_valid_out, ready_out => ready_out, done => done ); complex_max_i : complex_max generic map ( sample_width => sample_width, s => s, d => d, c => c ) port map ( clock => clock, reset_n => reset_n, valid_in => dds_valid_out, ready_in => '0', sample => sample_sig, sample_max => sample_max, pos_campione => pos_campione, pos_doppler => pos_doppler, pos_satellite => pos_satellite, max => max, valid_out => valid_out, ready_out => complex_max_ready_sig ); clock_process: process begin clock <= '0'; wait for clock_period/2; clock <= '1'; wait for clock_period/2; end process; stimuli: process begin --LISTA DOPPLERS --FFF597 --FFF797 --FFF998 --FFFB98 --FFFD99 --FFFF99 --19A --39B --59B --79C --99C wait for clock_period*10; reset_n <= '1'; ciclo_satelliti : for i in 0 to s-1 loop --PRIMA DOPPLER poff <= x"000000"; pinc <= x"FFF597"; valid_in <= '1'; wait for clock_period*2; valid_in <= '0'; wait until done = '1'; --SECONDA DOPPLER poff <= x"000000"; pinc <= x"FFF797"; valid_in <= '1'; wait for clock_period*2; valid_in <= '0'; wait until done = '1'; --TERZA DOPPLER poff <= x"000FFF"; pinc <= x"FFF998"; valid_in <= '1'; wait for clock_period*2; valid_in <= '0'; wait until done = '1'; --QUARTA DOPPLER poff <= x"FFF000"; pinc <= x"FFFB98"; valid_in <= '1'; wait for clock_period*2; valid_in <= '0'; wait until done = '1'; --QUINTA DOPPLER poff <= x"0F0F0F"; pinc <= x"FFFD99"; valid_in <= '1'; wait for clock_period*2; valid_in <= '0'; wait until done = '1'; --SESTA DOPPLER (che presenta il massimo) poff <= x"F0F0F0"; --poff <= x"00000F"; pinc <= x"FFFF99"; valid_in <= '1'; wait for clock_period*2; valid_in <= '0'; wait until done = '1'; --SETTIMA DOPPLER poff <= x"FF0000"; pinc <= x"00019A"; valid_in <= '1'; wait for clock_period*2; valid_in <= '0'; wait until done = '1'; --OTTAVA DOPPLER poff <= x"00FF00"; pinc <= x"00039B"; valid_in <= '1'; wait for clock_period*2; valid_in <= '0'; wait until done = '1'; --NONA DOPPLER poff <= x"0000DC"; pinc <= x"00059B"; valid_in <= '1'; wait for clock_period*2; valid_in <= '0'; wait until done = '1'; --DECIMA DOPPLER poff <= x"003400"; pinc <= x"00079C"; valid_in <= '1'; wait for clock_period*2; valid_in <= '0'; wait until done = '1'; --UNDICESIMA DOPPLER poff <= x"220000"; pinc <= x"00099C"; valid_in <= '1'; wait for clock_period*2; valid_in <= '0'; wait until done = '1'; end loop; wait until valid_out = '1'; -- METTERE QUI L'ASSERT PER LA VERIFICA DEL MAX ASSOLUTO wait; end process; end Behavioral;
gpl-2.0
artic92/sistemi-embedded-task2
src/ip_core2/complex_abs/add_sub/full_adder.vhd
1
1542
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 08:05:40 11/13/2015 -- Design Name: -- Module Name: full_adder - Structural -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity full_adder is Port ( a : in STD_LOGIC; b : in STD_LOGIC; c_in : in STD_LOGIC; c_out : out STD_LOGIC; s : out STD_LOGIC); end full_adder; architecture Structural of full_adder is COMPONENT half_adder PORT( a : IN std_logic; b : IN std_logic; c : OUT std_logic; s : OUT std_logic ); END COMPONENT; signal internal_sig : std_logic_vector (2 downto 0); begin half_adder1: half_adder port map(a => a, b => b, c=> internal_sig(1), s => internal_sig(0)); half_adder2: half_adder port map(a => internal_sig(0), b => c_in, c=> internal_sig(2), s => s); c_out <= internal_sig(2) or internal_sig(1); end Structural;
gpl-2.0
artic92/sistemi-embedded-task2
src/ip_core2/complex_abs/moltiplicatore_booth/parte_controllo.vhd
1
3464
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 10:36:01 11/23/2015 -- Design Name: -- Module Name: parte_controllo - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity parte_controllo is generic ( n : natural := 4; m : natural := 4); Port ( clock : in STD_LOGIC; reset_n_all : in STD_LOGIC; q0 : in STD_LOGIC; q_1 : in STD_LOGIC; enable : in STD_LOGIC; conteggio : in STD_LOGIC; load_a : out STD_LOGIC; load_m : out STD_LOGIC; load_q : out STD_LOGIC; reset_n : out STD_LOGIC; shift : out STD_LOGIC; sub : out STD_LOGIC; count_en : out STD_LOGIC; done : out STD_LOGIC); end parte_controllo; architecture Behavioral of parte_controllo is type state is (reset, init, scan, add, subtract, rshift, output, load); signal current_state, next_state : state := reset; begin registro_stato : process(clock, reset_n_all) begin if(reset_n_all = '0') then current_state <= reset; elsif(clock = '1' and clock'event) then current_state <= next_state; end if; end process; f_stato_prossimo : process(current_state, reset_n_all, q0, q_1, enable, conteggio) begin case current_state is when reset => if(enable = '1') then next_state <= init; else next_state <= reset; end if; when init => next_state <= scan; when scan => if(conteggio = '0') then if(q0 = q_1) then next_state <= rshift; elsif(q0 = '0') then next_state <= add; elsif(q0 = '1') then next_state <= subtract; end if; else next_state <= output; end if; when add => next_state <= load; when subtract => next_state <= load; when rshift => next_state <= scan; when output => next_state <= output; when load => -- Stato fittizio incluso per far commutare l'uscita sh_out in maniera corretta -- non so xkè ma questa si aggiorna un ciclo di clock dopo l'op di add o sub next_state <= rshift; end case; end process; f_uscita : process(current_state) --MOORE begin load_a <= '0'; load_m <= '0'; load_q <= '0'; reset_n <= '1'; shift <= '0'; sub <= '0'; count_en <= '0'; done <= '0'; case current_state is when reset => reset_n <= '0'; when init => load_m <= '1'; load_q <= '1'; when scan => when add => load_a <= '1'; when subtract => load_a <= '1'; sub <= '1'; when rshift => shift <= '1'; count_en <= '1'; when output => done <= '1'; when load => end case; end process; end Behavioral;
gpl-2.0
praveendath92/securePUF
ipcore_dir/blk_mem_gen_outputMem_ste/example_design/bmg_wrapper.vhd
1
10219
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v6.2 Core - Top-level wrapper -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -------------------------------------------------------------------------------- -- -- Filename: bmg_wrapper.vhd -- -- Description: -- This is the top-level BMG wrapper (over BMG core). -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: August 31, 2005 - First Release -------------------------------------------------------------------------------- -- -- Configured Core Parameter Values: -- (Refer to the SIM Parameters table in the datasheet for more information on -- the these parameters.) -- C_FAMILY : virtex5 -- C_XDEVICEFAMILY : virtex5 -- C_INTERFACE_TYPE : 0 -- C_AXI_TYPE : 1 -- C_AXI_SLAVE_TYPE : 0 -- C_AXI_ID_WIDTH : 4 -- C_MEM_TYPE : 1 -- C_BYTE_SIZE : 9 -- C_ALGORITHM : 1 -- C_PRIM_TYPE : 1 -- C_LOAD_INIT_FILE : 0 -- C_INIT_FILE_NAME : no_coe_file_loaded -- C_USE_DEFAULT_DATA : 0 -- C_DEFAULT_DATA : 0 -- C_RST_TYPE : SYNC -- C_HAS_RSTA : 0 -- C_RST_PRIORITY_A : CE -- C_RSTRAM_A : 0 -- C_INITA_VAL : 0 -- C_HAS_ENA : 0 -- C_HAS_REGCEA : 0 -- C_USE_BYTE_WEA : 0 -- C_WEA_WIDTH : 1 -- C_WRITE_MODE_A : WRITE_FIRST -- C_WRITE_WIDTH_A : 8 -- C_READ_WIDTH_A : 8 -- C_WRITE_DEPTH_A : 8192 -- C_READ_DEPTH_A : 8192 -- C_ADDRA_WIDTH : 13 -- C_HAS_RSTB : 0 -- C_RST_PRIORITY_B : CE -- C_RSTRAM_B : 0 -- C_INITB_VAL : 0 -- C_HAS_ENB : 0 -- C_HAS_REGCEB : 0 -- C_USE_BYTE_WEB : 0 -- C_WEB_WIDTH : 1 -- C_WRITE_MODE_B : WRITE_FIRST -- C_WRITE_WIDTH_B : 8 -- C_READ_WIDTH_B : 8 -- C_WRITE_DEPTH_B : 8192 -- C_READ_DEPTH_B : 8192 -- C_ADDRB_WIDTH : 13 -- C_HAS_MEM_OUTPUT_REGS_A : 0 -- C_HAS_MEM_OUTPUT_REGS_B : 0 -- C_HAS_MUX_OUTPUT_REGS_A : 0 -- C_HAS_MUX_OUTPUT_REGS_B : 0 -- C_HAS_SOFTECC_INPUT_REGS_A : 0 -- C_HAS_SOFTECC_OUTPUT_REGS_B : 0 -- C_MUX_PIPELINE_STAGES : 0 -- C_USE_ECC : 0 -- C_USE_SOFTECC : 0 -- C_HAS_INJECTERR : 0 -- C_SIM_COLLISION_CHECK : ALL -- C_COMMON_CLK : 0 -- C_DISABLE_WARN_BHV_COLL : 1 -- C_DISABLE_WARN_BHV_RANGE : 1 -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY UNISIM; USE UNISIM.VCOMPONENTS.ALL; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- ENTITY bmg_wrapper IS PORT ( --Port A CLKA : IN STD_LOGIC; RSTA : IN STD_LOGIC; --opt port ENA : IN STD_LOGIC; --optional port REGCEA : IN STD_LOGIC; --optional port WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(12 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(7 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); --Port B CLKB : IN STD_LOGIC; RSTB : IN STD_LOGIC; --opt port ENB : IN STD_LOGIC; --optional port REGCEB : IN STD_LOGIC; --optional port WEB : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRB : IN STD_LOGIC_VECTOR(12 DOWNTO 0); DINB : IN STD_LOGIC_VECTOR(7 DOWNTO 0); DOUTB : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); --ECC INJECTSBITERR : IN STD_LOGIC; --optional port INJECTDBITERR : IN STD_LOGIC; --optional port SBITERR : OUT STD_LOGIC; --optional port DBITERR : OUT STD_LOGIC; --optional port RDADDRECC : OUT STD_LOGIC_VECTOR(12 DOWNTO 0); --optional port -- AXI BMG Input and Output Port Declarations -- AXI Global Signals S_ACLK : IN STD_LOGIC; S_AXI_AWID : IN STD_LOGIC_VECTOR(3 DOWNTO 0); S_AXI_AWADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0); S_AXI_AWLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0); S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0); S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_AWVALID : IN STD_LOGIC; S_AXI_AWREADY : OUT STD_LOGIC; S_AXI_WDATA : IN STD_LOGIC_VECTOR(7 DOWNTO 0); S_AXI_WSTRB : IN STD_LOGIC_VECTOR(0 DOWNTO 0); S_AXI_WLAST : IN STD_LOGIC; S_AXI_WVALID : IN STD_LOGIC; S_AXI_WREADY : OUT STD_LOGIC; S_AXI_BID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0'); S_AXI_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_BVALID : OUT STD_LOGIC; S_AXI_BREADY : IN STD_LOGIC; -- AXI Full/Lite Slave Read (Write side) S_AXI_ARID : IN STD_LOGIC_VECTOR(3 DOWNTO 0); S_AXI_ARADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0); S_AXI_ARLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0); S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0); S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_ARVALID : IN STD_LOGIC; S_AXI_ARREADY : OUT STD_LOGIC; S_AXI_RID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0'); S_AXI_RDATA : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); S_AXI_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_RLAST : OUT STD_LOGIC; S_AXI_RVALID : OUT STD_LOGIC; S_AXI_RREADY : IN STD_LOGIC; -- AXI Full/Lite Sideband Signals S_AXI_INJECTSBITERR : IN STD_LOGIC; S_AXI_INJECTDBITERR : IN STD_LOGIC; S_AXI_SBITERR : OUT STD_LOGIC; S_AXI_DBITERR : OUT STD_LOGIC; S_AXI_RDADDRECC : OUT STD_LOGIC_VECTOR(12 DOWNTO 0); S_ARESETN : IN STD_LOGIC ); END bmg_wrapper; ARCHITECTURE xilinx OF bmg_wrapper IS COMPONENT blk_mem_gen_outputMem_top IS PORT ( --Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(12 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(7 DOWNTO 0); CLKA : IN STD_LOGIC; --Port B ADDRB : IN STD_LOGIC_VECTOR(12 DOWNTO 0); DOUTB : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); CLKB : IN STD_LOGIC ); END COMPONENT; BEGIN bmg0 : blk_mem_gen_outputMem_top PORT MAP ( --Port A WEA => WEA, ADDRA => ADDRA, DINA => DINA, CLKA => CLKA, --Port B ADDRB => ADDRB, DOUTB => DOUTB, CLKB => CLKB ); END xilinx;
gpl-2.0
praveendath92/securePUF
ipcore_dir/blk_mem_gen_outputMem_ste/example_design/blk_mem_gen_outputMem_top.vhd
1
5018
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v6.2 Core - Top-level core wrapper -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006-2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: bmg_wrapper.vhd -- -- Description: -- This is the actual BMG core wrapper. -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: August 31, 2005 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY UNISIM; USE UNISIM.VCOMPONENTS.ALL; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- ENTITY blk_mem_gen_outputMem_top IS PORT ( --Inputs - Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(12 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(7 DOWNTO 0); CLKA : IN STD_LOGIC; --Inputs - Port B ADDRB : IN STD_LOGIC_VECTOR(12 DOWNTO 0); DOUTB : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); CLKB : IN STD_LOGIC ); END blk_mem_gen_outputMem_top; ARCHITECTURE xilinx OF blk_mem_gen_outputMem_top IS COMPONENT BUFG IS PORT ( I : IN STD_ULOGIC; O : OUT STD_ULOGIC ); END COMPONENT; COMPONENT blk_mem_gen_outputMem IS PORT ( --Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(12 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(7 DOWNTO 0); CLKA : IN STD_LOGIC; --Port B ADDRB : IN STD_LOGIC_VECTOR(12 DOWNTO 0); DOUTB : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); CLKB : IN STD_LOGIC ); END COMPONENT; SIGNAL CLKA_buf : STD_LOGIC; SIGNAL CLKB_buf : STD_LOGIC; SIGNAL S_ACLK_buf : STD_LOGIC; BEGIN bufg_A : BUFG PORT MAP ( I => CLKA, O => CLKA_buf ); bufg_B : BUFG PORT MAP ( I => CLKB, O => CLKB_buf ); bmg0 : blk_mem_gen_outputMem PORT MAP ( --Port A WEA => WEA, ADDRA => ADDRA, DINA => DINA, CLKA => CLKA_buf, --Port B ADDRB => ADDRB, DOUTB => DOUTB, CLKB => CLKB_buf ); END xilinx;
gpl-2.0
praveendath92/securePUF
ipcore_dir/RMEM/simulation/checker.vhd
69
5607
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Checker -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: checker.vhd -- -- Description: -- Checker -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.BMG_TB_PKG.ALL; ENTITY CHECKER IS GENERIC ( WRITE_WIDTH : INTEGER :=32; READ_WIDTH : INTEGER :=32 ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; DATA_IN : IN STD_LOGIC_VECTOR (READ_WIDTH-1 DOWNTO 0); --OUTPUT VECTOR STATUS : OUT STD_LOGIC:= '0' ); END CHECKER; ARCHITECTURE CHECKER_ARCH OF CHECKER IS SIGNAL EXPECTED_DATA : STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL DATA_IN_R: STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL EN_R : STD_LOGIC := '0'; SIGNAL EN_2R : STD_LOGIC := '0'; --DATA PART CNT DEFINES THE ASPECT RATIO AND GIVES THE INFO TO THE DATA GENERATOR TO PROVIDE THE DATA EITHER IN PARTS OR COMPLETE DATA IN ONE SHOT --IF READ_WIDTH > WRITE_WIDTH DIVROUNDUP RESULTS IN '1' AND DATA GENERATOR GIVES THE DATAOUT EQUALS TO MAX OF (WRITE_WIDTH, READ_WIDTH) --IF READ_WIDTH < WRITE-WIDTH DIVROUNDUP RESULTS IN > '1' AND DATA GENERATOR GIVES THE DATAOUT IN TERMS OF PARTS(EG 4 PARTS WHEN WRITE_WIDTH 32 AND READ WIDTH 8) CONSTANT DATA_PART_CNT: INTEGER:= DIVROUNDUP(WRITE_WIDTH,READ_WIDTH); CONSTANT MAX_WIDTH: INTEGER:= IF_THEN_ELSE((WRITE_WIDTH>READ_WIDTH),WRITE_WIDTH,READ_WIDTH); SIGNAL ERR_HOLD : STD_LOGIC :='0'; SIGNAL ERR_DET : STD_LOGIC :='0'; BEGIN PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST= '1') THEN EN_R <= '0'; EN_2R <= '0'; DATA_IN_R <= (OTHERS=>'0'); ELSE EN_R <= EN; EN_2R <= EN_R; DATA_IN_R <= DATA_IN; END IF; END IF; END PROCESS; EXPECTED_DATA_GEN_INST:ENTITY work.DATA_GEN GENERIC MAP ( DATA_GEN_WIDTH =>MAX_WIDTH, DOUT_WIDTH => READ_WIDTH, DATA_PART_CNT => DATA_PART_CNT, SEED => 2 ) PORT MAP ( CLK => CLK, RST => RST, EN => EN_2R, DATA_OUT => EXPECTED_DATA ); PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(EN_2R='1') THEN IF(EXPECTED_DATA = DATA_IN_R) THEN ERR_DET<='0'; ELSE ERR_DET<= '1'; END IF; END IF; END IF; END PROCESS; PROCESS(CLK,RST) BEGIN IF(RST='1') THEN ERR_HOLD <= '0'; ELSIF(RISING_EDGE(CLK)) THEN ERR_HOLD <= ERR_HOLD OR ERR_DET ; END IF; END PROCESS; STATUS <= ERR_HOLD; END ARCHITECTURE;
gpl-2.0
praveendath92/securePUF
ipcore_dir/RMEM/simulation/data_gen.vhd
69
5024
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Data Generator -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: data_gen.vhd -- -- Description: -- Data Generator -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.BMG_TB_PKG.ALL; ENTITY DATA_GEN IS GENERIC ( DATA_GEN_WIDTH : INTEGER := 32; DOUT_WIDTH : INTEGER := 32; DATA_PART_CNT : INTEGER := 1; SEED : INTEGER := 2 ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; DATA_OUT : OUT STD_LOGIC_VECTOR (DOUT_WIDTH-1 DOWNTO 0) --OUTPUT VECTOR ); END DATA_GEN; ARCHITECTURE DATA_GEN_ARCH OF DATA_GEN IS CONSTANT LOOP_COUNT : INTEGER := DIVROUNDUP(DATA_GEN_WIDTH,8); SIGNAL RAND_DATA : STD_LOGIC_VECTOR(8*LOOP_COUNT-1 DOWNTO 0); SIGNAL LOCAL_DATA_OUT : STD_LOGIC_VECTOR(DATA_GEN_WIDTH-1 DOWNTO 0); SIGNAL LOCAL_CNT : INTEGER :=1; SIGNAL DATA_GEN_I : STD_LOGIC :='0'; BEGIN LOCAL_DATA_OUT <= RAND_DATA(DATA_GEN_WIDTH-1 DOWNTO 0); DATA_OUT <= LOCAL_DATA_OUT(((DOUT_WIDTH*LOCAL_CNT)-1) DOWNTO ((DOUT_WIDTH*LOCAL_CNT)-DOUT_WIDTH)); DATA_GEN_I <= '0' WHEN (LOCAL_CNT < DATA_PART_CNT) ELSE EN; PROCESS(CLK) BEGIN IF(RISING_EDGE (CLK)) THEN IF(EN ='1' AND (DATA_PART_CNT =1)) THEN LOCAL_CNT <=1; ELSIF(EN='1' AND (DATA_PART_CNT>1)) THEN IF(LOCAL_CNT = 1) THEN LOCAL_CNT <= LOCAL_CNT+1; ELSIF(LOCAL_CNT < DATA_PART_CNT) THEN LOCAL_CNT <= LOCAL_CNT+1; ELSE LOCAL_CNT <= 1; END IF; ELSE LOCAL_CNT <= 1; END IF; END IF; END PROCESS; RAND_GEN:FOR N IN LOOP_COUNT-1 DOWNTO 0 GENERATE RAND_GEN_INST:ENTITY work.RANDOM GENERIC MAP( WIDTH => 8, SEED => (SEED+N) ) PORT MAP( CLK => CLK, RST => RST, EN => DATA_GEN_I, RANDOM_NUM => RAND_DATA(8*(N+1)-1 DOWNTO 8*N) ); END GENERATE RAND_GEN; END ARCHITECTURE;
gpl-2.0
freecores/minimips
miniMIPS/src/pps_ei.vhd
1
4736
------------------------------------------------------------------------------------ -- -- -- Copyright (c) 2004, Hangouet Samuel -- -- , Jan Sebastien -- -- , Mouton Louis-Marie -- -- , Schneider Olivier all rights reserved -- -- -- -- This file is part of miniMIPS. -- -- -- -- miniMIPS is free software; you can redistribute it and/or modify -- -- it under the terms of the GNU Lesser General Public License as published by -- -- the Free Software Foundation; either version 2.1 of the License, or -- -- (at your option) any later version. -- -- -- -- miniMIPS is distributed in the hope that it will be useful, -- -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- -- GNU Lesser General Public License for more details. -- -- -- -- You should have received a copy of the GNU Lesser General Public License -- -- along with miniMIPS; if not, write to the Free Software -- -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- -- -- ------------------------------------------------------------------------------------ -- If you encountered any problem, please contact : -- -- [email protected] -- [email protected] -- [email protected] -- -------------------------------------------------------------------------- -- -- -- -- -- miniMIPS Processor : Instruction extraction stage -- -- -- -- -- -- -- -- Authors : Hangouet Samuel -- -- Jan Sébastien -- -- Mouton Louis-Marie -- -- Schneider Olivier -- -- -- -- june 2003 -- -------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library work; use work.pack_mips.all; entity pps_ei is port ( clock : in std_logic; reset : in std_logic; clear : in std_logic; -- Clear the pipeline stage stop_all : in std_logic; -- Evolution locking signal -- Asynchronous inputs stop_ei : in std_logic; -- Lock the EI_adr and Ei_instr registers -- Bus controler interface CTE_instr : in bus32; -- Instruction from the memory ETC_adr : out bus32; -- Address to read in memory -- Synchronous inputs from PF stage PF_pc : in bus32; -- Current value of the pc -- Synchronous outputs to DI stage EI_instr : out bus32; -- Read interface EI_adr : out bus32; -- Address from the read instruction EI_it_ok : out std_logic -- Allow hardware interruptions ); end pps_ei; architecture rtl of pps_ei is begin ETC_adr <= PF_pc; -- Connexion of the PC to the memory address bus -- Set the results process (clock) begin if (clock='1' and clock'event) then if reset='1' then EI_instr <= INS_NOP; EI_adr <= (others => '0'); EI_it_ok <= '0'; elsif stop_all='0' then if clear='1' then -- Clear the stage EI_instr <= INS_NOP; EI_it_ok <= '0'; elsif stop_ei='0' then -- Normal evolution EI_adr <= PF_pc; EI_instr <= CTE_instr; EI_it_ok <= '1'; end if; end if; end if; end process; end rtl;
gpl-2.0
freecores/minimips
miniMIPS/bench/bench_minimips.vhd
1
5393
------------------------------------------------------------------------------------ -- -- -- Copyright (c) 2004, Hangouet Samuel -- -- , Jan Sebastien -- -- , Mouton Louis-Marie -- -- , Schneider Olivier all rights reserved -- -- -- -- This file is part of miniMIPS. -- -- -- -- miniMIPS is free software; you can redistribute it and/or modify -- -- it under the terms of the GNU Lesser General Public License as published by -- -- the Free Software Foundation; either version 2.1 of the License, or -- -- (at your option) any later version. -- -- -- -- miniMIPS is distributed in the hope that it will be useful, -- -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- -- GNU Lesser General Public License for more details. -- -- -- -- You should have received a copy of the GNU Lesser General Public License -- -- along with miniMIPS; if not, write to the Free Software -- -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- -- -- ------------------------------------------------------------------------------------ -- If you encountered any problem, please contact : -- -- [email protected] -- [email protected] -- [email protected] -- library IEEE; use IEEE.std_logic_1164.all; library std; use std.textio.all; library work; use work.pack_mips.all; entity sim_minimips is end; architecture bench of sim_minimips is component minimips is port ( clock : in std_logic; reset : in std_logic; ram_req : out std_logic; ram_adr : out bus32; ram_r_w : out std_logic; ram_data : inout bus32; ram_ack : in std_logic; it_mat : in std_logic ); end component; component ram is generic (mem_size : natural := 256; latency : time := 10 ns); port( req : in std_logic; adr : in bus32; data_inout : inout bus32; r_w : in std_logic; ready : out std_logic ); end component; component rom is generic (mem_size : natural := 256; start : natural := 0; latency : time := 10 ns); port( adr : in bus32; donnee : out bus32; ack : out std_logic; load : in std_logic; fname : in string ); end component; signal clock : std_logic := '0'; signal reset : std_logic; signal it_mat : std_logic := '0'; -- Connexion with the code memory signal load : std_logic; signal fichier : string(1 to 7); -- Connexion with the Ram signal ram_req : std_logic; signal ram_adr : bus32; signal ram_r_w : std_logic; signal ram_data : bus32; signal ram_rdy : std_logic; begin U_minimips : minimips port map ( clock => clock, reset => reset, ram_req => ram_req, ram_adr => ram_adr, ram_r_w => ram_r_w, ram_data => ram_data, ram_ack => ram_rdy, it_mat => it_mat ); U_ram : ram port map ( req => ram_req, adr => ram_adr, data_inout => ram_data, r_w => ram_r_w, ready => ram_rdy ); U_rom : rom port map ( adr => ram_adr, donnee => ram_data, ack => ram_rdy, load => load, fname => fichier ); clock <= not clock after 20 ns; reset <= '0', '1' after 5 ns, '0' after 70 ns; ram_data <= (others => 'L'); process variable command : line; variable nomfichier : string(1 to 3); begin write (output, "Enter the filename : "); readline(input, command); read(command, nomfichier); fichier <= nomfichier & ".bin"; load <= '1'; wait; end process; -- Memory Mapping -- 0000 - 00FF ROM process (ram_adr, ram_r_w, ram_data) begin -- Emulation of an I/O controller ram_data <= (others => 'Z'); case ram_adr is when X"00001000" => -- declenche une lecture avec interruption it_mat <= '1' after 1000 ns; ram_rdy <= '1' after 5 ns; when X"00001001" => -- fournit la donnee et lache l'it it_mat <= '0'; ram_data <= X"FFFFFFFF"; ram_rdy <= '1' after 5 ns; when others => ram_rdy <= 'L'; end case; end process; end bench;
gpl-2.0
techwoes/sump
logic-analyzer-orig/fpga/sram_bram.vhd
4
2555
---------------------------------------------------------------------------------- -- sram_bram.vhd -- -- Copyright (C) 2007 Jonas Diemer -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- -- This program is distributed in the hope that it will be useful, but -- WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU -- General Public License for more details. -- -- You should have received a copy of the GNU General Public License along -- with this program; if not, write to the Free Software Foundation, Inc., -- 51 Franklin St, Fifth Floor, Boston, MA 02110, USA -- ---------------------------------------------------------------------------------- -- -- Details: http://www.sump.org/projects/analyzer/ -- -- Simple BlockRAM interface. -- -- This module should be used instead of sram.vhd if no external SRAM is present. -- Instead, it will use internal BlockRAM (16 Blocks). -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity sram_bram is GENERIC ( ADDRESS_WIDTH : integer := 13 ); Port ( clock : in STD_LOGIC; output : out std_logic_vector(31 downto 0); input : in std_logic_vector(31 downto 0); read : in std_logic; write : in std_logic ); end sram_bram; architecture Behavioral of sram_bram is signal address : std_logic_vector (ADDRESS_WIDTH - 1 downto 0); signal bramIn, bramOut : std_logic_vector (31 downto 0); COMPONENT BRAM8k32bit--SampleRAM PORT( WE : IN std_logic; DIN : IN std_logic_vector(31 downto 0); ADDR : IN std_logic_vector(ADDRESS_WIDTH - 1 downto 0); DOUT : OUT std_logic_vector(31 downto 0); CLK : IN std_logic ); END COMPONENT; begin -- assign signals output <= bramOut; -- memory io interface state controller bramIn <= input; -- memory address controller process(clock) begin if rising_edge(clock) then if write = '1' then address <= address + 1; elsif read = '1' then address <= address - 1; end if; end if; end process; -- sample block ram Inst_SampleRAM: BRAM8k32bit PORT MAP( ADDR => address, DIN => bramIn, WE => write, CLK => clock, DOUT => bramOut ); end Behavioral;
gpl-2.0
techwoes/sump
logic_analyzer/BRAM8k32bit.vhd
4
2519
---------------------------------------------------------------------------------- -- BRAM8k32bit.vhd -- -- Copyright (C) 2007 Jonas Diemer -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- -- This program is distributed in the hope that it will be useful, but -- WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU -- General Public License for more details. -- -- You should have received a copy of the GNU General Public License along -- with this program; if not, write to the Free Software Foundation, Inc., -- 51 Franklin St, Fifth Floor, Boston, MA 02110, USA -- ---------------------------------------------------------------------------------- -- -- Details: http://www.sump.org/projects/analyzer/ -- -- Single Ported RAM, 32bit wide, 8k deep. -- -- Instantiates 16 BRAM, each being 8k deep and 2 bit wide. These are -- concatenated to form a 32bit wide, 8k deep RAM. -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. library UNISIM; use UNISIM.VComponents.all; entity BRAM8k32bit is Port ( CLK : in STD_LOGIC; ADDR : in STD_LOGIC_VECTOR (12 downto 0); WE : in STD_LOGIC; DOUT : out STD_LOGIC_VECTOR (31 downto 0); DIN : in STD_LOGIC_VECTOR (31 downto 0)); end BRAM8k32bit; architecture Behavioral of BRAM8k32bit is begin BlockRAMS: for i in 0 to 15 generate RAMB16_S2_inst : RAMB16_S2 generic map ( INIT => X"0", -- Value of output RAM registers at startup SRVAL => X"0", -- Ouput value upon SSR assertion WRITE_MODE => "WRITE_FIRST" -- WRITE_FIRST, READ_FIRST or NO_CHANGE ) port map ( DO => DOUT(2*i+1 downto 2*i), -- 2-bit Data Output ADDR => ADDR, -- 13-bit Address Input CLK => CLK, -- Clock DI => DIN(2*i+1 downto 2*i), -- 2-bit Data Input EN => '1', -- RAM Enable Input SSR => '0', -- Synchronous Set/Reset Input WE => WE -- Write Enable Input ); end generate; end Behavioral;
gpl-2.0
techwoes/sump
logic-analyzer-orig/fpga/flags.vhd
4
1796
---------------------------------------------------------------------------------- -- flags.vhd -- -- Copyright (C) 2006 Michael Poppitz -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- -- This program is distributed in the hope that it will be useful, but -- WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU -- General Public License for more details. -- -- You should have received a copy of the GNU General Public License along -- with this program; if not, write to the Free Software Foundation, Inc., -- 51 Franklin St, Fifth Floor, Boston, MA 02110, USA -- ---------------------------------------------------------------------------------- -- -- Details: http://www.sump.org/projects/analyzer/ -- -- Flags register. -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity flags is Port ( data : in STD_LOGIC_VECTOR(8 downto 0); clock : in STD_LOGIC; write : in STD_LOGIC; demux : out STD_LOGIC; filter : out STD_LOGIC; external : out std_logic; inverted : out std_logic; rle : out std_logic ); end flags; architecture Behavioral of flags is begin -- write flags process (clock) begin if rising_edge(clock) and write = '1' then demux <= data(0); filter <= data(1); external <= data(6); inverted <= data(7); rle <= data(8); end if; end process; end Behavioral;
gpl-2.0
techwoes/sump
logic_analyzer2/core.vhd
4
7374
---------------------------------------------------------------------------------- -- core.vhd -- -- Copyright (C) 2006 Michael Poppitz -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- -- This program is distributed in the hope that it will be useful, but -- WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU -- General Public License for more details. -- -- You should have received a copy of the GNU General Public License along -- with this program; if not, write to the Free Software Foundation, Inc., -- 51 Franklin St, Fifth Floor, Boston, MA 02110, USA -- ---------------------------------------------------------------------------------- -- -- Details: http://www.sump.org/projects/analyzer/ -- -- The core contains all "platform independent" modules and provides a -- simple interface to those components. The core makes the analyzer -- memory type and computer interface independent. -- -- This module also provides a better target for test benches as commands can -- be sent to the core easily. -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; library UNISIM; use UNISIM.VComponents.all; entity core is Port ( clock : in STD_LOGIC; extReset : in STD_LOGIC; cmd : in STD_LOGIC_VECTOR (39 downto 0); execute : in STD_LOGIC; input : in STD_LOGIC_VECTOR (31 downto 0); inputClock : in STD_LOGIC; sampleReady50 : out STD_LOGIC; output : out STD_LOGIC_VECTOR (31 downto 0); outputSend : out STD_LOGIC; outputBusy : in STD_LOGIC; memoryIn : in STD_LOGIC_VECTOR (31 downto 0); memoryOut : out STD_LOGIC_VECTOR (31 downto 0); memoryRead : out STD_LOGIC; memoryWrite : out STD_LOGIC ); end core; architecture Behavioral of core is COMPONENT decoder PORT ( opcode : in STD_LOGIC_VECTOR (7 downto 0); execute : in std_logic; clock : in std_logic; wrtrigmask : out std_logic_vector(3 downto 0); wrtrigval : out std_logic_vector(3 downto 0); wrtrigcfg : out std_logic_vector(3 downto 0); wrspeed : out STD_LOGIC; wrsize : out std_logic; wrFlags : out std_logic; arm : out std_logic; reset : out std_logic ); END COMPONENT; COMPONENT flags PORT( data : IN std_logic_vector(8 downto 0); clock : IN std_logic; write : IN std_logic; demux : OUT std_logic; filter : OUT std_logic; external : out std_logic; inverted : out std_logic; rle : out std_logic ); END COMPONENT; COMPONENT sync is PORT ( input : in STD_LOGIC_VECTOR (31 downto 0); clock : in STD_LOGIC; enableFilter : in STD_LOGIC; enableDemux : in STD_LOGIC; falling : in STD_LOGIC; output : out STD_LOGIC_VECTOR (31 downto 0) ); END COMPONENT; COMPONENT sampler PORT( input : IN std_logic_vector(31 downto 0); clock : IN std_logic; exClock : in std_logic; external : in std_logic; data : IN std_logic_vector(23 downto 0); wrDivider : IN std_logic; sample : OUT std_logic_vector(31 downto 0); ready : OUT std_logic; ready50 : out std_logic ); END COMPONENT; COMPONENT trigger PORT( input : IN std_logic_vector(31 downto 0); inputReady : in std_logic; data : IN std_logic_vector(31 downto 0); clock : in std_logic; reset : in std_logic; wrMask : IN std_logic_vector(3 downto 0); wrValue : IN std_logic_vector(3 downto 0); wrConfig : IN std_logic_vector(3 downto 0); arm : IN std_logic; demuxed : in std_logic; run : out STD_LOGIC ); END COMPONENT; COMPONENT controller PORT( clock : IN std_logic; reset : in std_logic; input : IN std_logic_vector(31 downto 0); inputReady : in std_logic; data : in std_logic_vector(31 downto 0); wrSize : in std_logic; run : in std_logic; busy : in std_logic; send : out std_logic; output : out std_logic_vector(31 downto 0); memoryIn : in STD_LOGIC_VECTOR (31 downto 0); memoryOut : out STD_LOGIC_VECTOR (31 downto 0); memoryRead : out STD_LOGIC; memoryWrite : out STD_LOGIC ); END COMPONENT; COMPONENT rle_enc PORT( clock : IN std_logic; reset : IN std_logic; dataIn : IN std_logic_vector(31 downto 0); validIn : IN std_logic; enable : IN std_logic; dataOut : OUT std_logic_vector(31 downto 0); validOut : OUT std_logic ); END COMPONENT; signal opcode : std_logic_vector (7 downto 0); signal data, rleOut : std_logic_vector (31 downto 0); signal sample, syncedInput : std_logic_vector (31 downto 0); signal sampleClock, run, reset, rleValid, rleEnable : std_logic; signal wrtrigmask, wrtrigval, wrtrigcfg : std_logic_vector(3 downto 0); signal wrDivider, wrsize, arm, resetCmd: std_logic; signal flagDemux, flagFilter, flagExternal, flagInverted, wrFlags, sampleReady: std_logic; begin data <= cmd(39 downto 8); opcode <= cmd(7 downto 0); reset <= extReset or resetCmd; -- select between internal and external sampling clock BUFGMUX_intex: BUFGMUX port map ( O => sampleClock, -- Clock MUX output I0 => clock, -- Clock0 input I1 => inputClock, -- Clock1 input S => flagExternal -- Clock select input ); Inst_decoder: decoder PORT MAP( opcode => opcode, execute => execute, clock => clock, wrtrigmask => wrtrigmask, wrtrigval => wrtrigval, wrtrigcfg => wrtrigcfg, wrspeed => wrDivider, wrsize => wrsize, wrFlags => wrFlags, arm => arm, reset => resetCmd ); Inst_flags: flags PORT MAP( data => data(8 downto 0), clock => clock, write => wrFlags, demux => flagDemux, filter => flagFilter, external => flagExternal, inverted => flagInverted, rle => rleEnable ); Inst_sync: sync PORT MAP( input => input, clock => sampleClock, enableFilter => flagFilter, enableDemux => flagDemux, falling => flagInverted, output => syncedInput ); Inst_sampler: sampler PORT MAP( input => syncedInput, clock => clock, exClock => inputClock, -- use sampleClock? external => flagExternal, data => data(23 downto 0), wrDivider => wrDivider, sample => sample, ready => sampleReady, ready50 => sampleReady50 ); Inst_trigger: trigger PORT MAP( input => sample, inputReady => sampleReady, data => data, clock => clock, reset => reset, wrMask => wrtrigmask, wrValue => wrtrigval, wrConfig => wrtrigcfg, arm => arm, demuxed => flagDemux, run => run ); Inst_controller: controller PORT MAP( clock => clock, reset => reset, input => rleOut, inputReady => rleValid, data => data, wrSize => wrsize, run => run, busy => outputBusy, send => outputSend, output => output, memoryIn => memoryIn, memoryOut => memoryOut, memoryRead => memoryRead, memoryWrite => memoryWrite ); Inst_rle_enc: rle_enc PORT MAP( clock => clock, reset => reset, dataIn => sample, validIn => sampleReady, enable => rleEnable, dataOut => rleOut, validOut => rleValid ); end Behavioral;
gpl-2.0
techwoes/sump
logic_analyzer/core.vhd
4
7374
---------------------------------------------------------------------------------- -- core.vhd -- -- Copyright (C) 2006 Michael Poppitz -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- -- This program is distributed in the hope that it will be useful, but -- WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU -- General Public License for more details. -- -- You should have received a copy of the GNU General Public License along -- with this program; if not, write to the Free Software Foundation, Inc., -- 51 Franklin St, Fifth Floor, Boston, MA 02110, USA -- ---------------------------------------------------------------------------------- -- -- Details: http://www.sump.org/projects/analyzer/ -- -- The core contains all "platform independent" modules and provides a -- simple interface to those components. The core makes the analyzer -- memory type and computer interface independent. -- -- This module also provides a better target for test benches as commands can -- be sent to the core easily. -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; library UNISIM; use UNISIM.VComponents.all; entity core is Port ( clock : in STD_LOGIC; extReset : in STD_LOGIC; cmd : in STD_LOGIC_VECTOR (39 downto 0); execute : in STD_LOGIC; input : in STD_LOGIC_VECTOR (31 downto 0); inputClock : in STD_LOGIC; sampleReady50 : out STD_LOGIC; output : out STD_LOGIC_VECTOR (31 downto 0); outputSend : out STD_LOGIC; outputBusy : in STD_LOGIC; memoryIn : in STD_LOGIC_VECTOR (31 downto 0); memoryOut : out STD_LOGIC_VECTOR (31 downto 0); memoryRead : out STD_LOGIC; memoryWrite : out STD_LOGIC ); end core; architecture Behavioral of core is COMPONENT decoder PORT ( opcode : in STD_LOGIC_VECTOR (7 downto 0); execute : in std_logic; clock : in std_logic; wrtrigmask : out std_logic_vector(3 downto 0); wrtrigval : out std_logic_vector(3 downto 0); wrtrigcfg : out std_logic_vector(3 downto 0); wrspeed : out STD_LOGIC; wrsize : out std_logic; wrFlags : out std_logic; arm : out std_logic; reset : out std_logic ); END COMPONENT; COMPONENT flags PORT( data : IN std_logic_vector(8 downto 0); clock : IN std_logic; write : IN std_logic; demux : OUT std_logic; filter : OUT std_logic; external : out std_logic; inverted : out std_logic; rle : out std_logic ); END COMPONENT; COMPONENT sync is PORT ( input : in STD_LOGIC_VECTOR (31 downto 0); clock : in STD_LOGIC; enableFilter : in STD_LOGIC; enableDemux : in STD_LOGIC; falling : in STD_LOGIC; output : out STD_LOGIC_VECTOR (31 downto 0) ); END COMPONENT; COMPONENT sampler PORT( input : IN std_logic_vector(31 downto 0); clock : IN std_logic; exClock : in std_logic; external : in std_logic; data : IN std_logic_vector(23 downto 0); wrDivider : IN std_logic; sample : OUT std_logic_vector(31 downto 0); ready : OUT std_logic; ready50 : out std_logic ); END COMPONENT; COMPONENT trigger PORT( input : IN std_logic_vector(31 downto 0); inputReady : in std_logic; data : IN std_logic_vector(31 downto 0); clock : in std_logic; reset : in std_logic; wrMask : IN std_logic_vector(3 downto 0); wrValue : IN std_logic_vector(3 downto 0); wrConfig : IN std_logic_vector(3 downto 0); arm : IN std_logic; demuxed : in std_logic; run : out STD_LOGIC ); END COMPONENT; COMPONENT controller PORT( clock : IN std_logic; reset : in std_logic; input : IN std_logic_vector(31 downto 0); inputReady : in std_logic; data : in std_logic_vector(31 downto 0); wrSize : in std_logic; run : in std_logic; busy : in std_logic; send : out std_logic; output : out std_logic_vector(31 downto 0); memoryIn : in STD_LOGIC_VECTOR (31 downto 0); memoryOut : out STD_LOGIC_VECTOR (31 downto 0); memoryRead : out STD_LOGIC; memoryWrite : out STD_LOGIC ); END COMPONENT; COMPONENT rle_enc PORT( clock : IN std_logic; reset : IN std_logic; dataIn : IN std_logic_vector(31 downto 0); validIn : IN std_logic; enable : IN std_logic; dataOut : OUT std_logic_vector(31 downto 0); validOut : OUT std_logic ); END COMPONENT; signal opcode : std_logic_vector (7 downto 0); signal data, rleOut : std_logic_vector (31 downto 0); signal sample, syncedInput : std_logic_vector (31 downto 0); signal sampleClock, run, reset, rleValid, rleEnable : std_logic; signal wrtrigmask, wrtrigval, wrtrigcfg : std_logic_vector(3 downto 0); signal wrDivider, wrsize, arm, resetCmd: std_logic; signal flagDemux, flagFilter, flagExternal, flagInverted, wrFlags, sampleReady: std_logic; begin data <= cmd(39 downto 8); opcode <= cmd(7 downto 0); reset <= extReset or resetCmd; -- select between internal and external sampling clock BUFGMUX_intex: BUFGMUX port map ( O => sampleClock, -- Clock MUX output I0 => clock, -- Clock0 input I1 => inputClock, -- Clock1 input S => flagExternal -- Clock select input ); Inst_decoder: decoder PORT MAP( opcode => opcode, execute => execute, clock => clock, wrtrigmask => wrtrigmask, wrtrigval => wrtrigval, wrtrigcfg => wrtrigcfg, wrspeed => wrDivider, wrsize => wrsize, wrFlags => wrFlags, arm => arm, reset => resetCmd ); Inst_flags: flags PORT MAP( data => data(8 downto 0), clock => clock, write => wrFlags, demux => flagDemux, filter => flagFilter, external => flagExternal, inverted => flagInverted, rle => rleEnable ); Inst_sync: sync PORT MAP( input => input, clock => sampleClock, enableFilter => flagFilter, enableDemux => flagDemux, falling => flagInverted, output => syncedInput ); Inst_sampler: sampler PORT MAP( input => syncedInput, clock => clock, exClock => inputClock, -- use sampleClock? external => flagExternal, data => data(23 downto 0), wrDivider => wrDivider, sample => sample, ready => sampleReady, ready50 => sampleReady50 ); Inst_trigger: trigger PORT MAP( input => sample, inputReady => sampleReady, data => data, clock => clock, reset => reset, wrMask => wrtrigmask, wrValue => wrtrigval, wrConfig => wrtrigcfg, arm => arm, demuxed => flagDemux, run => run ); Inst_controller: controller PORT MAP( clock => clock, reset => reset, input => rleOut, inputReady => rleValid, data => data, wrSize => wrsize, run => run, busy => outputBusy, send => outputSend, output => output, memoryIn => memoryIn, memoryOut => memoryOut, memoryRead => memoryRead, memoryWrite => memoryWrite ); Inst_rle_enc: rle_enc PORT MAP( clock => clock, reset => reset, dataIn => sample, validIn => sampleReady, enable => rleEnable, dataOut => rleOut, validOut => rleValid ); end Behavioral;
gpl-2.0
techwoes/sump
logic_analyzer2/prescaler.vhd
3
2076
---------------------------------------------------------------------------------- -- prescaler.vhd -- -- Copyright (C) 2006 Michael Poppitz -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- -- This program is distributed in the hope that it will be useful, but -- WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU -- General Public License for more details. -- -- You should have received a copy of the GNU General Public License along -- with this program; if not, write to the Free Software Foundation, Inc., -- 51 Franklin St, Fifth Floor, Boston, MA 02110, USA -- ---------------------------------------------------------------------------------- -- -- Details: http://www.sump.org/projects/analyzer/ -- -- Shared prescaler for transmitter and receiver timings. -- Used to control the transfer speed. -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity prescaler is generic ( SCALE : integer ); Port ( clock : in STD_LOGIC; reset : in std_logic; div : in std_logic_vector(1 downto 0); scaled : out std_logic ); end prescaler; architecture Behavioral of prescaler is signal counter : integer range 0 to (6 * SCALE) - 1; begin process(clock, reset) begin if reset = '1' then counter <= 0; elsif rising_edge(clock) then if (counter = SCALE - 1 and div = "00") -- 115200 or (counter = 2 * SCALE - 1 and div = "01") -- 57600 or (counter = 3 * SCALE - 1 and div = "10") -- 38400 or (counter = 6 * SCALE - 1 and div = "11") -- 19200 then counter <= 0; scaled <= '1'; else counter <= counter + 1; scaled <= '0'; end if; end if; end process; end Behavioral;
gpl-2.0
techwoes/sump
logic_analyzer/stage.vhd
4
6303
---------------------------------------------------------------------------------- -- stage.vhd -- -- Copyright (C) 2006 Michael Poppitz -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- -- This program is distributed in the hope that it will be useful, but -- WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU -- General Public License for more details. -- -- You should have received a copy of the GNU General Public License along -- with this program; if not, write to the Free Software Foundation, Inc., -- 51 Franklin St, Fifth Floor, Boston, MA 02110, USA -- ---------------------------------------------------------------------------------- -- -- Details: http://www.sump.org/projects/analyzer/ -- -- Programmable 32 channel trigger stage. It can operate in serial -- and parallel mode. In serial mode any of the input channels -- can be used as input for the 32bit shift register. Comparison -- is done using the value and mask registers on the input in -- parallel mode and on the shift register in serial mode. -- If armed and 'level' has reached the configured minimum value, -- the stage will start to check for a match. -- The match and run output signal delay can be configured. -- The stage will disarm itself after a match occured or when reset is set. -- -- The stage supports "high speed demux" operation in serial and parallel -- mode. (Lower and upper 16 channels contain a 16bit sample each.) -- -- Matching is done using a pipeline. This should not increase the minimum -- time needed between two dependend trigger stage matches, because the -- dependence is evaluated in the last pipeline step. -- It does however increase the delay for the capturing process, but this -- can easily be software compensated. (By adjusting the before/after ratio.) ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity stage is Port ( input : in STD_LOGIC_VECTOR (31 downto 0); inputReady : in std_logic; data : in STD_LOGIC_VECTOR (31 downto 0); clock : in std_logic; reset : in std_logic; wrMask : in STD_LOGIC; wrValue : in STD_LOGIC; wrConfig : in STD_LOGIC; arm : in std_logic; level : in STD_LOGIC_VECTOR (1 downto 0); demuxed : in std_logic; run : out STD_LOGIC; match : out STD_LOGIC ); end stage; architecture Behavioral of stage is type STATES is (OFF, ARMED, MATCHED); signal maskRegister, valueRegister, configRegister : STD_LOGIC_VECTOR (31 downto 0); signal intermediateRegister, shiftRegister : STD_LOGIC_VECTOR (31 downto 0); signal testValue: STD_LOGIC_VECTOR (31 downto 0); signal cfgStart, cfgSerial : std_logic; signal cfgChannel : std_logic_vector(4 downto 0); signal cfgLevel : std_logic_vector(1 downto 0); signal counter, cfgDelay : std_logic_vector(15 downto 0); signal matchL16, matchH16, match32Register : STD_LOGIC; signal state : STATES; signal serialChannelL16, serialChannelH16 : std_logic; begin -- assign configuration bits to more meaningful signal names cfgStart <= configRegister(27); cfgSerial <= configRegister(26); cfgChannel <= configRegister(24 downto 20); cfgLevel <= configRegister(17 downto 16); cfgDelay <= configRegister(15 downto 0); -- use shift register or input depending on configuration testValue <= shiftRegister when cfgSerial = '1' else input; -- apply mask and value and create a additional pipeline step process(clock) begin if rising_edge(clock) then intermediateRegister <= (testValue xor valueRegister) and maskRegister; end if; end process; -- match upper and lower word separately matchL16 <= '1' when intermediateRegister(15 downto 0) = "0000000000000000" else '0'; matchH16 <= '1' when intermediateRegister(31 downto 16) = "0000000000000000" else '0'; -- in demux mode only one half must match, in normal mode both words must match process(clock) begin if rising_edge(clock) then if demuxed = '1' then match32Register <= matchL16 or matchH16; else match32Register <= matchL16 and matchH16; end if; end if; end process; -- select serial channel based on cfgChannel process(input, cfgChannel) begin for i in 0 to 15 loop if conv_integer(cfgChannel(3 downto 0)) = i then serialChannelL16 <= input(i); serialChannelH16 <= input(i + 16); end if; end loop; end process; -- shift in bit from selected channel whenever input is ready process(clock) begin if rising_edge(clock) then if inputReady = '1' then if demuxed = '1' then -- in demux mode two bits come in per sample shiftRegister <= shiftRegister(29 downto 0) & serialChannelH16 & serialChannelL16; elsif cfgChannel(4) = '1' then shiftRegister <= shiftRegister(30 downto 0) & serialChannelH16; else shiftRegister <= shiftRegister(30 downto 0) & serialChannelL16; end if; end if; end if; end process; -- trigger state machine process(clock, reset) begin if reset = '1' then state <= OFF; elsif rising_edge(clock) then run <= '0'; match <= '0'; case state is when OFF => if arm = '1' then state <= ARMED; end if; when ARMED => if match32Register = '1' and level >= cfgLevel then counter <= cfgDelay; state <= MATCHED; end if; when MATCHED => if inputReady = '1' then if counter = "0000000000000000" then run <= cfgStart; match <= not cfgStart; state <= OFF; else counter <= counter - 1; end if; end if; end case; end if; end process; -- handle mask, value & config register write requests process(clock) begin if rising_edge(clock) then if wrMask = '1' then maskRegister <= data; end if; if wrValue = '1' then valueRegister <= data; end if; if wrConfig = '1' then configRegister <= data; end if; end if; end process; end Behavioral;
gpl-2.0
techwoes/sump
logic_analyzer/trigger.vhd
4
3460
---------------------------------------------------------------------------------- -- trigger.vhd -- -- Copyright (C) 2006 Michael Poppitz -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- -- This program is distributed in the hope that it will be useful, but -- WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU -- General Public License for more details. -- -- You should have received a copy of the GNU General Public License along -- with this program; if not, write to the Free Software Foundation, Inc., -- 51 Franklin St, Fifth Floor, Boston, MA 02110, USA -- ---------------------------------------------------------------------------------- -- -- Details: http://www.sump.org/projects/analyzer/ -- -- Complex 4 stage 32 channel trigger. -- -- All commands are passed on to the stages. This file only maintains -- the global trigger level and it outputs the run condition if it is set -- by any of the stages. -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity trigger is Port ( input : in STD_LOGIC_VECTOR (31 downto 0); inputReady : in std_logic; data : in STD_LOGIC_VECTOR (31 downto 0); clock : in std_logic; reset : in std_logic; wrMask : in STD_LOGIC_VECTOR (3 downto 0); wrValue : in STD_LOGIC_VECTOR (3 downto 0); wrConfig : in STD_LOGIC_VECTOR (3 downto 0); arm : in STD_LOGIC; demuxed : in std_logic; run : out STD_LOGIC ); end trigger; architecture Behavioral of trigger is COMPONENT stage PORT( input : IN std_logic_vector(31 downto 0); inputReady : IN std_logic; data : IN std_logic_vector(31 downto 0); clock : IN std_logic; reset : IN std_logic; wrMask : IN std_logic; wrValue : IN std_logic; wrConfig : IN std_logic; arm : IN std_logic; level : in std_logic_vector(1 downto 0); demuxed : IN std_logic; run : OUT std_logic; match : OUT std_logic ); END COMPONENT; signal stageRun, stageMatch: std_logic_vector(3 downto 0); signal levelReg : std_logic_vector(1 downto 0); begin -- create stages stages: for i in 0 to 3 generate Inst_stage: stage PORT MAP( input => input, inputReady => inputReady, data => data, clock => clock, reset => reset, wrMask => wrMask(i), wrValue => wrValue(i), wrConfig => wrConfig(i), arm => arm, level => levelReg, demuxed => demuxed, run => stageRun(i), match => stageMatch(i) ); end generate; -- increase level on match process(clock, arm) variable tmp : std_logic; begin if arm = '1' then levelReg <= "00"; elsif rising_edge(clock) then tmp := stageMatch(0); for i in 1 to 3 loop tmp := tmp or stageMatch(i); end loop; if tmp = '1' then levelReg <= levelReg + 1; end if; end if; end process; -- if any of the stages set run, capturing starts process(stageRun) variable tmp : std_logic; begin tmp := stageRun(0); for i in 1 to 3 loop tmp := tmp or stageRun(i); end loop; run <= tmp; end process; end Behavioral;
gpl-2.0
freecores/minimips
miniMIPS/src/banc.vhd
1
4778
------------------------------------------------------------------------------------ -- -- -- Copyright (c) 2004, Hangouet Samuel -- -- , Jan Sebastien -- -- , Mouton Louis-Marie -- -- , Schneider Olivier all rights reserved -- -- -- -- This file is part of miniMIPS. -- -- -- -- miniMIPS is free software; you can redistribute it and/or modify -- -- it under the terms of the GNU Lesser General Public License as published by -- -- the Free Software Foundation; either version 2.1 of the License, or -- -- (at your option) any later version. -- -- -- -- miniMIPS is distributed in the hope that it will be useful, -- -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- -- GNU Lesser General Public License for more details. -- -- -- -- You should have received a copy of the GNU Lesser General Public License -- -- along with miniMIPS; if not, write to the Free Software -- -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- -- -- ------------------------------------------------------------------------------------ -- If you encountered any problem, please contact : -- -- [email protected] -- [email protected] -- [email protected] -- -------------------------------------------------------------------------- -- -- -- -- -- miniMIPS Processor : Register bank -- -- -- -- -- -- -- -- Authors : Hangouet Samuel -- -- Jan Sébastien -- -- Mouton Louis-Marie -- -- Schneider Olivier -- -- -- -- june 2003 -- -------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.pack_mips.all; entity banc is port ( clock : in bus1; reset : in bus1; -- Register addresses to read reg_src1 : in bus5; reg_src2 : in bus5; -- Register address to write and its data reg_dest : in bus5; donnee : in bus32; -- Write signal cmd_ecr : in bus1; -- Bank outputs data_src1 : out bus32; data_src2 : out bus32 ); end banc; architecture rtl of banc is -- The register bank type tab_reg is array (1 to 31) of bus32; signal registres : tab_reg; signal adr_src1 : integer range 0 to 31; signal adr_src2 : integer range 0 to 31; signal adr_dest : integer range 0 to 31; begin adr_src1 <= to_integer(unsigned(reg_src1)); adr_src2 <= to_integer(unsigned(reg_src2)); adr_dest <= to_integer(unsigned(reg_dest)); data_src1 <= (others => '0') when adr_src1=0 else registres(adr_src1); data_src2 <= (others => '0') when adr_src2=0 else registres(adr_src2); process(clock) begin if clock = '1' and clock'event then if reset='1' then for i in 1 to 31 loop registres(i) <= (others => '0'); end loop; elsif cmd_ecr = '1' and adr_dest /= 0 then -- The data is saved registres(adr_dest) <= donnee; end if; end if; end process; end rtl;
gpl-2.0
techwoes/sump
logic_analyzer/decoder.vhd
4
3167
---------------------------------------------------------------------------------- -- decoder.vhd -- -- Copyright (C) 2006 Michael Poppitz -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- -- This program is distributed in the hope that it will be useful, but -- WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU -- General Public License for more details. -- -- You should have received a copy of the GNU General Public License along -- with this program; if not, write to the Free Software Foundation, Inc., -- 51 Franklin St, Fifth Floor, Boston, MA 02110, USA -- ---------------------------------------------------------------------------------- -- -- Details: http://www.sump.org/projects/analyzer/ -- -- Takes the opcode from the command received by the receiver and decodes it. -- The decoded command will be executed for one cycle. -- -- The receiver keeps the cmd output active long enough so all the -- data is still available on its cmd output when the command has -- been decoded and sent out to other modules with the next -- clock cycle. (Maybe this paragraph should go in receiver.vhd?) -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity decoder is Port ( opcode : in STD_LOGIC_VECTOR (7 downto 0); execute : in std_logic; clock : in std_logic; wrtrigmask : out STD_LOGIC_VECTOR (3 downto 0); wrtrigval : out STD_LOGIC_VECTOR (3 downto 0); wrtrigcfg : out STD_LOGIC_VECTOR (3 downto 0); wrspeed : out STD_LOGIC; wrsize : out STD_LOGIC; wrFlags : out std_logic; arm : out STD_LOGIC; reset : out STD_LOGIC ); end decoder; architecture Behavioral of decoder is signal exe, exeReg: std_logic; begin exe <= execute; process(clock) begin if rising_edge(clock) then reset <= '0'; arm <= '0'; wrspeed <= '0'; wrsize <= '0'; wrFlags <= '0'; wrtrigmask <= "0000"; wrtrigval <= "0000"; wrtrigcfg <= "0000"; if (exe and not exeReg) = '1' then case opcode is -- short commands when x"00" => reset <= '1'; when x"01" => arm <= '1'; -- long commands when x"80" => wrspeed <= '1'; when x"81" => wrsize <= '1'; when x"82" => wrFlags <= '1'; when x"C0" => wrtrigmask(0) <= '1'; when x"C1" => wrtrigval(0) <= '1'; when x"C2" => wrtrigcfg(0) <= '1'; when x"C4" => wrtrigmask(1) <= '1'; when x"C5" => wrtrigval(1) <= '1'; when x"C6" => wrtrigcfg(1) <= '1'; when x"C8" => wrtrigmask(2) <= '1'; when x"C9" => wrtrigval(2) <= '1'; when x"CA" => wrtrigcfg(2) <= '1'; when x"CC" => wrtrigmask(3) <= '1'; when x"CD" => wrtrigval(3) <= '1'; when x"CE" => wrtrigcfg(3) <= '1'; when others => end case; end if; exeReg <= exe; end if; end process; end Behavioral;
gpl-2.0
freecores/minimips
miniMIPS/src/pps_ex.vhd
1
8966
------------------------------------------------------------------------------------ -- -- -- Copyright (c) 2004, Hangouet Samuel -- -- , Jan Sebastien -- -- , Mouton Louis-Marie -- -- , Schneider Olivier all rights reserved -- -- -- -- This file is part of miniMIPS. -- -- -- -- miniMIPS is free software; you can redistribute it and/or modify -- -- it under the terms of the GNU Lesser General Public License as published by -- -- the Free Software Foundation; either version 2.1 of the License, or -- -- (at your option) any later version. -- -- -- -- miniMIPS is distributed in the hope that it will be useful, -- -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- -- GNU Lesser General Public License for more details. -- -- -- -- You should have received a copy of the GNU Lesser General Public License -- -- along with miniMIPS; if not, write to the Free Software -- -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- -- -- ------------------------------------------------------------------------------------ -- If you encountered any problem, please contact : -- -- [email protected] -- [email protected] -- [email protected] -- -------------------------------------------------------------------------- -- -- -- -- -- Processor miniMIPS : Execution stage -- -- -- -- -- -- -- -- Authors : Hangouet Samuel -- -- Jan Sébastien -- -- Mouton Louis-Marie -- -- Schneider Olivier -- -- -- -- june 2003 -- -------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library work; use work.pack_mips.all; use work.alu; entity pps_ex is port( clock : in std_logic; reset : in std_logic; stop_all : in std_logic; -- Unconditionnal locking of outputs clear : in std_logic; -- Clear the pipeline stage -- Datas from DI stage DI_bra : in std_logic; -- Branch instruction DI_link : in std_logic; -- Branch with link DI_op1 : in bus32; -- Operand 1 for alu DI_op2 : in bus32; -- Operand 2 for alu DI_code_ual : in alu_ctrl_type; -- Alu operation DI_offset : in bus32; -- Offset for address calculation DI_adr_reg_dest : in adr_reg_type; -- Destination register address for the result DI_ecr_reg : in std_logic; -- Effective writing of the result DI_mode : in std_logic; -- Address mode (relative to pc ou index by a register) DI_op_mem : in std_logic; -- Memory operation DI_r_w : in std_logic; -- Type of memory operation (read or write) DI_adr : in bus32; -- Instruction address DI_exc_cause : in bus32; -- Potential cause exception DI_level : in level_type; -- Availability stage of the result for bypassing DI_it_ok : in std_logic; -- Allow hardware interruptions -- Synchronous outputs to MEM stage EX_adr : out bus32; -- Instruction address EX_bra_confirm : out std_logic; -- Branch execution confirmation EX_data_ual : out bus32; -- Ual result EX_adresse : out bus32; -- Address calculation result EX_adr_reg_dest : out adr_reg_type; -- Destination register for the result EX_ecr_reg : out std_logic; -- Effective writing of the result EX_op_mem : out std_logic; -- Memory operation needed EX_r_w : out std_logic; -- Type of memory operation (read or write) EX_exc_cause : out bus32; -- Potential cause exception EX_level : out level_type; -- Availability stage of result for bypassing EX_it_ok : out std_logic -- Allow hardware interruptions ); end entity; architecture rtl of pps_ex is component alu port ( clock : in bus1; reset : in bus1; op1 : in bus32; -- Operand 1 op2 : in bus32; -- Operand 2 ctrl : in alu_ctrl_type; -- Operation res : out bus32; -- Result overflow : out bus1 -- Overflow ); end component; signal res_ual : bus32; -- Alu result output signal base_adr : bus32; -- Output of the address mode mux selection signal pre_ecr_reg : std_logic; -- Output of mux selection for writing command to register signal pre_data_ual : bus32; -- Mux selection of the data to write signal pre_bra_confirm : std_logic; -- Result of the test in alu when branch instruction signal pre_exc_cause : bus32; -- Preparation of the exception detection signal signal overflow_ual : std_logic; -- Dectection of the alu overflow begin -- Alu instantiation U1_alu : alu port map (clock => clock, reset => reset, op1=>DI_op1, op2=>DI_op2, ctrl=>DI_code_ual, res=>res_ual, overflow=>overflow_ual); -- Calculation of the future outputs base_adr <= DI_op1 when DI_mode='0' else DI_adr; pre_ecr_reg <= DI_ecr_reg when DI_link='0' else pre_bra_confirm; pre_data_ual <= res_ual when DI_link='0' else bus32(unsigned(DI_adr) + 4); pre_bra_confirm <= DI_bra and res_ual(0); pre_exc_cause <= DI_exc_cause when DI_exc_cause/=IT_NOEXC else IT_OVERF when overflow_ual='1' else IT_NOEXC; -- Set the synchronous outputs process(clock) is begin if clock='1' and clock'event then if reset='1' then EX_adr <= (others => '0'); EX_bra_confirm <= '0'; EX_data_ual <= (others => '0'); EX_adresse <= (others => '0'); EX_adr_reg_dest <= (others => '0'); EX_ecr_reg <= '0'; EX_op_mem <= '0'; EX_r_w <= '0'; EX_exc_cause <= IT_NOEXC; EX_level <= LVL_DI; EX_it_ok <= '0'; elsif stop_all = '0' then if clear = '1' then -- Clear the stage EX_adr <= DI_adr; EX_bra_confirm <= '0'; EX_data_ual <= (others => '0'); EX_adresse <= (others => '0'); EX_adr_reg_dest <= (others => '0'); EX_ecr_reg <= '0'; EX_op_mem <= '0'; EX_r_w <= '0'; EX_exc_cause <= IT_NOEXC; EX_level <= LVL_DI; EX_it_ok <= '0'; else -- Normal evolution EX_adr <= DI_adr; EX_bra_confirm <= pre_bra_confirm; EX_data_ual <= pre_data_ual; EX_adr_reg_dest <= DI_adr_reg_dest; EX_ecr_reg <= pre_ecr_reg; EX_op_mem <= DI_op_mem; EX_r_w <= DI_r_w; EX_exc_cause <= pre_exc_cause; EX_level <= DI_level; EX_it_ok <= DI_it_ok; EX_adresse <= bus32(unsigned(DI_offset) + unsigned(base_adr)); end if; end if; end if; end process; end architecture;
gpl-2.0
TimingKeepers/gen-ugr-cores
modules/wishbone/wb_i2c_arb/i2c_arbiter_ss_detector.vhd
1
4527
------------------------------------------------------------------------------- -- Title : I2C Bus Arbiter Start/Stop detector -- Project : White Rabbit Project ------------------------------------------------------------------------------- -- File : i2c_arbiter_ss_detector.vhd -- Author : Miguel Jimenez Lopez -- Company : UGR -- Created : 2015-09-06 -- Last update: 2015-09-06 -- Platform : FPGA-generic -- Standard : VHDL'93 ------------------------------------------------------------------------------- -- Description: -- -- This component allows to detect the START and STOP condition in a I2C bus. -- ------------------------------------------------------------------------------- -- TODO: ------------------------------------------------------------------------------- -- -- Copyright (c) 2015 UGR -- -- This source file is free software; you can redistribute it -- and/or modify it under the terms of the GNU Lesser General -- Public License as published by the Free Software Foundation; -- either version 2.1 of the License, or (at your option) any -- later version. -- -- This source is distributed in the hope that it will be -- useful, but WITHOUT ANY WARRANTY; without even the implied -- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR -- PURPOSE. See the GNU Lesser General Public License for more -- details. -- -- You should have received a copy of the GNU Lesser General -- Public License along with this source; if not, download it -- from http://www.gnu.org/licenses/lgpl-2.1.html -- ------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; library UNISIM; use UNISIM.vcomponents.all; entity i2c_arbiter_ss_detector is port ( -- Clock & Reset clk_i : in std_logic; rst_n_i : in std_logic; -- I2C input buses & ACK input_sda_i : in std_logic; input_scl_i : in std_logic; start_ack_i : in std_logic; stop_ack_i : in std_logic; -- Start/Stop outputs start_state_o : out std_logic; stop_state_o : out std_logic ); end i2c_arbiter_ss_detector; architecture struct of i2c_arbiter_ss_detector is -- Start FSM signals type i2c_arb_start_st is (ARB_START_IDLE, ARB_START_WAIT_SDA, ARB_START_DETECTED); signal arb_start_st : i2c_arb_start_st := ARB_START_IDLE; -- Stop FSM signals type i2c_arb_stop_st is (ARB_STOP_IDLE, ARB_STOP_WAIT_SDA, ARB_STOP_DETECTED); signal arb_stop_st : i2c_arb_stop_st := ARB_STOP_IDLE; begin -- Start FSM start_detector: process(clk_i,rst_n_i) begin if rising_edge(clk_i) then if rst_n_i = '0' then arb_start_st <= ARB_START_IDLE; start_state_o <= '0'; else case arb_start_st is when ARB_START_IDLE => start_state_o <= '0'; if input_sda_i = '1' and input_scl_i = '1' then arb_start_st <= ARB_START_WAIT_SDA; end if; when ARB_START_WAIT_SDA => if input_scl_i = '1' then if input_sda_i = '0' then start_state_o <= '1'; arb_start_st <= ARB_START_DETECTED; end if; else start_state_o <= '0'; arb_start_st <= ARB_START_IDLE; end if; when ARB_START_DETECTED => if start_ack_i = '1' then start_state_o <= '0'; arb_start_st <= ARB_START_IDLE; end if; when others => start_state_o <= '0'; arb_start_st <= ARB_START_IDLE; end case; end if; end if; end process start_detector; -- Stop FSM stop_detector: process(clk_i, rst_n_i) begin if rising_edge(clk_i) then if rst_n_i = '0' then arb_stop_st <= ARB_STOP_IDLE; stop_state_o <= '0'; else case arb_stop_st is when ARB_STOP_IDLE => stop_state_o <= '0'; if input_scl_i = '1' and input_sda_i = '0' then arb_stop_st <= ARB_STOP_WAIT_SDA; end if; when ARB_STOP_WAIT_SDA => if input_scl_i = '1' then if input_sda_i = '1' then stop_state_o <= '1'; arb_stop_st <= ARB_STOP_DETECTED; end if; else stop_state_o <= '0'; arb_stop_st <= ARB_STOP_IDLE; end if; when ARB_STOP_DETECTED => if stop_ack_i = '1' then stop_state_o <= '0'; arb_stop_st <= ARB_STOP_IDLE; end if; when others => stop_state_o <= '0'; arb_stop_st <= ARB_STOP_IDLE; end case; end if; end if; end process stop_detector; end struct;
gpl-2.0
MAV-RT-testbed/MAV-testbed
Syma_Flight_Final/Syma_Flight_Final.srcs/sources_1/ipshared/xilinx.com/axi_quad_spi_v3_2/c64e9f22/hdl/src/vhdl/qspi_receive_transmit_reg.vhd
1
15627
------------------------------------------------------------------------------- -- qspi_receive_reg.vhd - Entity and architecture ------------------------------------------------------------------------------- -- -- ******************************************************************* -- ** (c) Copyright [2010] - [2012] Xilinx, Inc. All rights reserved.* -- ** * -- ** This file contains confidential and proprietary information * -- ** of Xilinx, Inc. and is protected under U.S. and * -- ** international copyright and other intellectual property * -- ** laws. * -- ** * -- ** DISCLAIMER * -- ** This disclaimer is not a license and does not grant any * -- ** rights to the materials distributed herewith. Except as * -- ** otherwise provided in a valid license issued to you by * -- ** Xilinx, and to the maximum extent permitted by applicable * -- ** law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND * -- ** WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES * -- ** AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING * -- ** BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- * -- ** INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and * -- ** (2) Xilinx shall not be liable (whether in contract or tort, * -- ** including negligence, or under any other theory of * -- ** liability) for any loss or damage of any kind or nature * -- ** related to, arising under or in connection with these * -- ** materials, including for any direct, or any indirect, * -- ** special, incidental, or consequential loss or damage * -- ** (including loss of data, profits, goodwill, or any type of * -- ** loss or damage suffered as a result of any action brought * -- ** by a third party) even if such damage or loss was * -- ** reasonably foreseeable or Xilinx had been advised of the * -- ** possibility of the same. * -- ** * -- ** CRITICAL APPLICATIONS * -- ** Xilinx products are not designed or intended to be fail- * -- ** safe, or for use in any application requiring fail-safe * -- ** performance, such as life-support or safety devices or * -- ** systems, Class III medical devices, nuclear facilities, * -- ** applications related to the deployment of airbags, or any * -- ** other applications that could lead to death, personal * -- ** injury, or severe property or environmental damage * -- ** (individually and collectively, "Critical * -- ** Applications"). Customer assumes the sole risk and * -- ** liability of any use of Xilinx products in Critical * -- ** Applications, subject only to applicable laws and * -- ** regulations governing limitations on product liability. * -- ** * -- ** THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS * -- ** PART OF THIS FILE AT ALL TIMES. * -- ******************************************************************* -- ------------------------------------------------------------------------------- -- Filename: qspi_receive_reg.vhd -- Version: v3.0 -- Description: Quad Serial Peripheral Interface (SPI) Module for interfacing -- with a 32-bit AXI4 Bus. -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library lib_pkg_v1_0; use lib_pkg_v1_0.all; use lib_pkg_v1_0.lib_pkg.RESET_ACTIVE; ------------------------------------------------------------------------------- -- Definition of Generics ------------------------------------------------------------------------------- -- C_NUM_TRANSFER_BITS -- SPI Serial transfer width. -- Can be 8, 16 or 32 bit wide ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Definition of Ports ------------------------------------------------------------------------------- -- SYSTEM -- Bus2IP_Clk -- Bus to IP clock -- Soft_Reset_op -- Soft_Reset_op Signal -- SLAVE ATTACHMENT INTERFACE -- Bus2IP_Reg_RdCE -- Read CE for receive register -- IP2Bus_RdAck_sa -- IP2Bus read acknowledgement -- IP2Bus_Receive_Reg_Data -- Data to be send on the bus -- Receive_ip2bus_error -- Receive register error signal -- SPI MODULE INTERFACE -- DRR_Overrun -- DRR Overrun bit -- SR_7_Rx_Empty -- Receive register empty signal -- SPI_Received_Data -- Data received from receive register -- SPIXfer_done -- SPI transfer done flag ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Entity Declaration ------------------------------------------------------------------------------- entity qspi_receive_transmit_reg is generic ( C_S_AXI_DATA_WIDTH : integer; -- 32 bits --------------------- C_NUM_TRANSFER_BITS : integer -- Number of bits to be transferred --------------------- ); port ( Bus2IP_Clk : in std_logic; Soft_Reset_op : in std_logic; ------------------------------------ -- RECEIVER RELATED SIGNALS --========================= Bus2IP_Receive_Reg_RdCE : in std_logic; Receive_ip2bus_error : out std_logic; IP2Bus_Receive_Reg_Data : out std_logic_vector (0 to (C_NUM_TRANSFER_BITS-1)); -- SPI module ports SPIXfer_done : in std_logic; SPI_Received_Data : in std_logic_vector (0 to (C_NUM_TRANSFER_BITS-1)); -- receive & transmit reg signals -- DRR_Overrun : out std_logic; SR_7_Rx_Empty : out std_logic; ------------------------------------ -- TRANSMITTER RELATED SIGNALS --============================ -- Slave attachment ports Bus2IP_Transmit_Reg_Data : in std_logic_vector(0 to (C_S_AXI_DATA_WIDTH-1)); Bus2IP_Transmit_Reg_WrCE : in std_logic; Wr_ce_reduce_ack_gen : in std_logic; Rd_ce_reduce_ack_gen : in std_logic; --SPI Transmitter signals Transmit_ip2bus_error : out std_logic; -- SPI module ports DTR_underrun : in std_logic; SR_5_Tx_Empty : out std_logic; DTR_Underrun_strobe : out std_logic; Transmit_Reg_Data_Out : out std_logic_vector (0 to (C_NUM_TRANSFER_BITS-1)) ); end qspi_receive_transmit_reg; ------------------------------------------------------------------------------- -- Architecture --------------- architecture imp of qspi_receive_transmit_reg is --------------------------------------------------- ---------------------------------------------------------------------------------- -- below attributes are added to reduce the synth warnings in Vivado tool attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes"; ---------------------------------------------------------------------------------- -- Signal Declarations ---------------------- signal Received_register_Data : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1)); signal sr_7_Rx_Empty_reg : std_logic; signal drr_Overrun_strobe : std_logic; -------------------------------------------- signal sr_5_Tx_Empty_i : std_logic; signal tx_Reg_Soft_Reset_op : std_logic; signal dtr_Underrun_strobe_i : std_logic; signal dtr_underrun_d1 : std_logic; signal SPIXfer_done_delay : std_logic; constant RESET_ACTIVE : std_logic := '1'; -------------------------------------------- begin ----- -- RECEIVER LOGIC --================= -- Combinatorial operations ---------------------------- SR_7_Rx_Empty <= sr_7_Rx_Empty_reg; -- DRR_Overrun <= drr_Overrun_strobe; DELAY_XFER_DONE_P:process(Bus2IP_Clk) begin if (Bus2IP_Clk'event and Bus2IP_Clk='1') then if (Soft_Reset_op = RESET_ACTIVE) then SPIXfer_done_delay <= '0'; else SPIXfer_done_delay <= SPIXfer_done; end if; end if; end process DELAY_XFER_DONE_P; ------------------------------------------------------------------------------- -- RECEIVE_REG_GENERATE : Receive Register Read Operation from SPI_Received_Data -- register -------------------------- RECEIVE_REG_GENERATE: for i in 0 to C_NUM_TRANSFER_BITS-1 generate begin ----- RECEIVE_REG_PROCESS_P:process(Bus2IP_Clk) begin if (Bus2IP_Clk'event and Bus2IP_Clk='1') then if (Soft_Reset_op = RESET_ACTIVE) then Received_register_Data(i) <= '0'; elsif (SPIXfer_done_delay = '1') then--((sr_7_Rx_Empty_reg and SPIXfer_done) = '1') then Received_register_Data(i) <= SPI_Received_Data(i); end if; end if; end process RECEIVE_REG_PROCESS_P; ----- end generate RECEIVE_REG_GENERATE; ------------------------------------------------------------------------------- -- RECEIVE_REG_RD_GENERATE : Receive Register Read Operation ----------------------------- RECEIVE_REG_RD_GENERATE: for i in 0 to C_NUM_TRANSFER_BITS-1 generate begin IP2Bus_Receive_Reg_Data(i) <= Received_register_Data(i) and Bus2IP_Receive_Reg_RdCE; end generate RECEIVE_REG_RD_GENERATE; ------------------------------------------------------------------------------- -- RX_ERROR_ACK_REG_PROCESS_P : Strobe error when receive register is empty -------------------------------- RX_ERROR_ACK_REG_PROCESS_P:process(Bus2IP_Clk) begin if (Bus2IP_Clk'event and Bus2IP_Clk='1') then Receive_ip2bus_error <= sr_7_Rx_Empty_reg and Bus2IP_Receive_Reg_RdCE; end if; end process RX_ERROR_ACK_REG_PROCESS_P; ------------------------------------------------------------------------------- -- SR_7_RX_EMPTY_REG_PROCESS_P : SR_7_Rx_Empty register ------------------------------- SR_7_RX_EMPTY_REG_PROCESS_P:process(Bus2IP_Clk) begin if (Bus2IP_Clk'event and Bus2IP_Clk='1') then if (Soft_Reset_op = RESET_ACTIVE) then sr_7_Rx_Empty_reg <= '1'; elsif (SPIXfer_done = '1') then sr_7_Rx_Empty_reg <= '0'; elsif ((rd_ce_reduce_ack_gen and Bus2IP_Receive_Reg_RdCE) = '1') then sr_7_Rx_Empty_reg <= '1'; end if; end if; end process SR_7_RX_EMPTY_REG_PROCESS_P; ----****************************************************************************** -- TRANSMITTER LOGIC --================== -- Combinatorial operations ---------------------------- SR_5_Tx_Empty <= sr_5_Tx_Empty_i; DTR_Underrun_strobe <= dtr_Underrun_strobe_i; tx_Reg_Soft_Reset_op <= SPIXfer_done or Soft_Reset_op; -------------------------------------- ------------------------------------------------------------------------------- -- TRANSMIT_REG_GENERATE : Transmit Register Write --------------------------- TRANSMIT_REG_GENERATE: for i in 0 to C_NUM_TRANSFER_BITS-1 generate begin ----- TRANSMIT_REG_PROCESS_P:process(Bus2IP_Clk) begin if (Bus2IP_Clk'event and Bus2IP_Clk='1') then if (tx_Reg_Soft_Reset_op = RESET_ACTIVE) then Transmit_Reg_Data_Out(i) <= '0'; elsif ((wr_ce_reduce_ack_gen and Bus2IP_Transmit_Reg_WrCE) = '1')then Transmit_Reg_Data_Out(i) <= Bus2IP_Transmit_Reg_Data (C_S_AXI_DATA_WIDTH-C_NUM_TRANSFER_BITS+i) after 100 ps; end if; end if; end process TRANSMIT_REG_PROCESS_P; ----- end generate TRANSMIT_REG_GENERATE; ----------------------------------- -- TX_ERROR_ACK_REG_PROCESS_P : Strobe error when transmit register is full -------------------------------- TX_ERROR_ACK_REG_PROCESS_P:process(Bus2IP_Clk) begin if (Bus2IP_Clk'event and Bus2IP_Clk='1') then Transmit_ip2bus_error <= not(sr_5_Tx_Empty_i) and Bus2IP_Transmit_Reg_WrCE; end if; end process TX_ERROR_ACK_REG_PROCESS_P; ------------------------------------------------------------------------------- -- SR_5_TX_EMPTY_REG_PROCESS_P : Tx Empty generate ------------------------------- SR_5_TX_EMPTY_REG_PROCESS_P:process(Bus2IP_Clk) begin if (Bus2IP_Clk'event and Bus2IP_Clk='1') then if (Soft_Reset_op = RESET_ACTIVE) then sr_5_Tx_Empty_i <= '1'; elsif ((wr_ce_reduce_ack_gen and Bus2IP_Transmit_Reg_WrCE) = '1') then sr_5_Tx_Empty_i <= '0'; elsif (SPIXfer_done = '1') then sr_5_Tx_Empty_i <= '1'; end if; end if; end process SR_5_TX_EMPTY_REG_PROCESS_P; ------------------------------------------------------------------------------- -- DTR_UNDERRUN_REG_PROCESS_P : Strobe to interrupt for transmit data underrun -- which happens only in slave mode ----------------------------- DTR_UNDERRUN_REG_PROCESS_P:process(Bus2IP_Clk) begin if (Bus2IP_Clk'event and Bus2IP_Clk='1') then if (Soft_Reset_op = RESET_ACTIVE) then dtr_underrun_d1 <= '0'; else dtr_underrun_d1 <= DTR_underrun; end if; end if; end process DTR_UNDERRUN_REG_PROCESS_P; --------------------------------------- dtr_Underrun_strobe_i <= DTR_underrun and (not dtr_underrun_d1); --****************************************************************************** end imp; --------------------------------------------------------------------------------
gpl-2.0
TimingKeepers/gen-ugr-cores
modules/wishbone/wb_obp/obp_wbgen2_pkg.vhd
1
2268
--------------------------------------------------------------------------------------- -- Title : Wishbone slave core for OBP --------------------------------------------------------------------------------------- -- File : obp_wbgen2_pkg.vhd -- Author : auto-generated by wbgen2 from obp_wb_slave.wb -- Created : Fri Feb 27 10:07:37 2015 -- Standard : VHDL'87 --------------------------------------------------------------------------------------- -- THIS FILE WAS GENERATED BY wbgen2 FROM SOURCE FILE obp_wb_slave.wb -- DO NOT HAND-EDIT UNLESS IT'S ABSOLUTELY NECESSARY! --------------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; package obp_wbgen2_pkg is -- Input registers (user design -> WB slave) type t_obp_in_registers is record cflags_prog_i : std_logic; end record; constant c_obp_in_registers_init_value: t_obp_in_registers := ( cflags_prog_i => '0' ); -- Output registers (WB slave -> user design) type t_obp_out_registers is record n_prog_w_n_prog_w_o : unsigned(31 downto 0); cflags_stp_o : std_logic; end record; constant c_obp_out_registers_init_value: t_obp_out_registers := ( n_prog_w_n_prog_w_o => (others => '0'), cflags_stp_o => '0' ); function "or" (left, right: t_obp_in_registers) return t_obp_in_registers; function f_x_to_zero (x:std_logic) return std_logic; function f_x_to_zero (x:std_logic_vector) return std_logic_vector; end package; package body obp_wbgen2_pkg is function f_x_to_zero (x:std_logic) return std_logic is begin return x; end function; function f_x_to_zero (x:std_logic_vector) return std_logic_vector is variable tmp: std_logic_vector(x'length-1 downto 0); begin for i in 0 to x'length-1 loop tmp(i):=x(i); end loop; return tmp; end function; function "or" (left, right: t_obp_in_registers) return t_obp_in_registers is variable tmp: t_obp_in_registers; begin tmp.cflags_prog_i := f_x_to_zero(left.cflags_prog_i) or f_x_to_zero(right.cflags_prog_i); return tmp; end function; end package body;
gpl-2.0
MAV-RT-testbed/MAV-testbed
Syma_Flight_Final/Syma_Flight_Final.srcs/sources_1/ipshared/xilinx.com/axi_quad_spi_v3_2/c64e9f22/hdl/src/vhdl/qspi_address_decoder.vhd
1
22289
------------------------------------------------------------------------------- -- Address Decoder - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************ -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This file contains proprietary and confidential information of ** -- ** Xilinx, Inc. ("Xilinx"), that is distributed under a license ** -- ** from Xilinx, and may be used, copied and/or disclosed only ** -- ** pursuant to the terms of a valid license agreement with Xilinx. ** -- ** ** -- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION ** -- ** ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER ** -- ** EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT ** -- ** LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, ** -- ** MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx ** -- ** does not warrant that functions included in the Materials will ** -- ** meet the requirements of Licensee, or that the operation of the ** -- ** Materials will be uninterrupted or error-free, or that defects ** -- ** in the Materials will be corrected. Furthermore, Xilinx does ** -- ** not warrant or make any representations regarding use, or the ** -- ** results of the use, of the Materials in terms of correctness, ** -- ** accuracy, reliability or otherwise. ** -- ** ** -- ** Xilinx products are not designed or intended to be fail-safe, ** -- ** or for use in any application requiring fail-safe performance, ** -- ** such as life-support or safety devices or systems, Class III ** -- ** medical devices, nuclear facilities, applications related to ** -- ** the deployment of airbags, or any other applications that could ** -- ** lead to death, personal injury or severe property or ** -- ** environmental damage (individually and collectively, "critical ** -- ** applications"). Customer assumes the sole risk and liability ** -- ** of any use of Xilinx products in critical applications, ** -- ** subject only to applicable laws and regulations governing ** -- ** limitations on product liability. ** -- ** ** -- ** Copyright 2010 Xilinx, Inc. ** -- ** All rights reserved. ** -- ** ** -- ** This disclaimer and copyright notice must be retained as part ** -- ** of this file at all times. ** -- ************************************************************************ -- ------------------------------------------------------------------------------- -- Filename: qspi_address_decoder.vhd -- Version: v3.0 -- Description: Address decoder utilizing unconstrained arrays for Base -- Address specification and ce number. ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use ieee.numeric_std.all; library axi_lite_ipif_v3_0; use axi_lite_ipif_v3_0.axi_lite_ipif; use axi_lite_ipif_v3_0.ipif_pkg.all; library axi_quad_spi_v3_2; use axi_quad_spi_v3_2.all; ------------------------------------------------------------------------------- -- Definition of Generics ------------------------------------------------------------------------------- -- C_BUS_AWIDTH -- Address bus width -- C_S_AXI4_MIN_SIZE -- Minimum address range of the IP -- C_ARD_ADDR_RANGE_ARRAY-- Base /High Address Pair for each Address Range -- C_ARD_NUM_CE_ARRAY -- Desired number of chip enables for an address range -- C_FAMILY -- Target FPGA family ------------------------------------------------------------------------------- -- Definition of Ports ------------------------------------------------------------------------------- -- Bus_clk -- Clock -- Bus_rst -- Reset -- Address_In_Erly -- Adddress in -- Address_Valid_Erly -- Address is valid -- Bus_RNW -- Read or write registered -- Bus_RNW_Erly -- Read or Write -- CS_CE_ld_enable -- chip select and chip enable registered -- Clear_CS_CE_Reg -- Clear_CS_CE_Reg clear -- RW_CE_ld_enable -- Read or Write Chip Enable -- CS_for_gaps -- CS generation for the gaps between address ranges -- CS_Out -- Chip select -- RdCE_Out -- Read Chip enable -- WrCE_Out -- Write chip enable ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Entity Declaration ------------------------------------------------------------------------------- entity qspi_address_decoder is generic ( C_BUS_AWIDTH : integer := 32; C_S_AXI4_MIN_SIZE : std_logic_vector(0 to 31) := X"000001FF"; C_ARD_ADDR_RANGE_ARRAY: SLV64_ARRAY_TYPE := ( X"0000_0000_1000_0000", -- IP user0 base address X"0000_0000_1000_01FF", -- IP user0 high address X"0000_0000_1000_0200", -- IP user1 base address X"0000_0000_1000_02FF" -- IP user1 high address ); C_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE := ( 8, -- User0 CE Number 1 -- User1 CE Number ); C_FAMILY : string := "virtex7" -- "virtex6" ); port ( Bus_clk : in std_logic; Bus_rst : in std_logic; -- PLB Interface signals Address_In_Erly : in std_logic_vector(0 to C_BUS_AWIDTH-1); Address_Valid_Erly : in std_logic; Bus_RNW : in std_logic; Bus_RNW_Erly : in std_logic; -- Registering control signals CS_CE_ld_enable : in std_logic; Clear_CS_CE_Reg : in std_logic; RW_CE_ld_enable : in std_logic; CS_for_gaps : out std_logic; -- Decode output signals CS_Out : out std_logic_vector (0 to ((C_ARD_ADDR_RANGE_ARRAY'LENGTH)/2)-1); RdCE_Out : out std_logic_vector (0 to calc_num_ce(C_ARD_NUM_CE_ARRAY)-1); WrCE_Out : out std_logic_vector (0 to calc_num_ce(C_ARD_NUM_CE_ARRAY)-1) ); end entity qspi_address_decoder; ------------------------------------------------------------------------------- -- Architecture section ------------------------------------------------------------------------------- architecture imp of qspi_address_decoder is ---------------------------------------------------------------------------------- -- below attributes are added to reduce the synth warnings in Vivado tool attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes"; ---------------------------------------------------------------------------------- -- local type declarations ---------------------------------------------------- type decode_bit_array_type is Array(natural range 0 to ( (C_ARD_ADDR_RANGE_ARRAY'LENGTH)/2)-1) of integer; type short_addr_array_type is Array(natural range 0 to C_ARD_ADDR_RANGE_ARRAY'LENGTH-1) of std_logic_vector(0 to C_BUS_AWIDTH-1); ------------------------------------------------------------------------------- -- Function Declarations ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- This function converts a 64 bit address range array to a AWIDTH bit -- address range array. ------------------------------------------------------------------------------- function slv64_2_slv_awidth(slv64_addr_array : SLV64_ARRAY_TYPE; awidth : integer) return short_addr_array_type is variable temp_addr : std_logic_vector(0 to 63); variable slv_array : short_addr_array_type; begin for array_index in 0 to slv64_addr_array'length-1 loop temp_addr := slv64_addr_array(array_index); slv_array(array_index) := temp_addr((64-awidth) to 63); end loop; return(slv_array); end function slv64_2_slv_awidth; ------------------------------------------------------------------------------- --Function Addr_bits --function to convert an address range (base address and an upper address) --into the number of upper address bits needed for decoding a device --select signal. will handle slices and big or little endian ------------------------------------------------------------------------------- function Addr_Bits (x,y : std_logic_vector(0 to C_BUS_AWIDTH-1)) return integer is variable addr_nor : std_logic_vector(0 to C_BUS_AWIDTH-1); begin addr_nor := x xor y; for i in 0 to C_BUS_AWIDTH-1 loop if addr_nor(i)='1' then return i; end if; end loop; --coverage off return(C_BUS_AWIDTH); --coverage on end function Addr_Bits; ------------------------------------------------------------------------------- --Function Get_Addr_Bits --function calculates the array which has the decode bits for the each address --range. ------------------------------------------------------------------------------- function Get_Addr_Bits (baseaddrs : short_addr_array_type) return decode_bit_array_type is variable num_bits : decode_bit_array_type; begin for i in 0 to ((baseaddrs'length)/2)-1 loop num_bits(i) := Addr_Bits (baseaddrs(i*2), baseaddrs(i*2+1)); end loop; return(num_bits); end function Get_Addr_Bits; ------------------------------------------------------------------------------- -- NEEDED_ADDR_BITS -- -- Function Description: -- This function calculates the number of address bits required -- to support the CE generation logic. This is determined by -- multiplying the number of CEs for an address space by the -- data width of the address space (in bytes). Each address -- space entry is processed and the biggest of the spaces is -- used to set the number of address bits required to be latched -- and used for CE decoding. A minimum value of 1 is returned by -- this function. -- ------------------------------------------------------------------------------- function needed_addr_bits (ce_array : INTEGER_ARRAY_TYPE) return integer is constant NUM_CE_ENTRIES : integer := CE_ARRAY'length; variable biggest : integer := 2; variable req_ce_addr_size : integer := 0; variable num_addr_bits : integer := 0; begin for i in 0 to NUM_CE_ENTRIES-1 loop req_ce_addr_size := ce_array(i) * 4; if (req_ce_addr_size > biggest) Then biggest := req_ce_addr_size; end if; end loop; num_addr_bits := clog2(biggest); return(num_addr_bits); end function NEEDED_ADDR_BITS; ----------------------------------------------------------------------------- -- Function calc_high_address -- -- This function is used to calculate the high address of the each address -- range ----------------------------------------------------------------------------- function calc_high_address (high_address : short_addr_array_type; index : integer) return std_logic_vector is variable calc_high_addr : std_logic_vector(0 to C_BUS_AWIDTH-1); begin If (index = (C_ARD_ADDR_RANGE_ARRAY'length/2-1)) Then calc_high_addr := C_S_AXI4_MIN_SIZE(32-C_BUS_AWIDTH to 31); else calc_high_addr := high_address(index*2+2); end if; return(calc_high_addr); end function calc_high_address; ---------------------------------------------------------------------------- -- Constant Declarations ------------------------------------------------------------------------------- constant ARD_ADDR_RANGE_ARRAY : short_addr_array_type := slv64_2_slv_awidth(C_ARD_ADDR_RANGE_ARRAY, C_BUS_AWIDTH); constant NUM_BASE_ADDRS : integer := (C_ARD_ADDR_RANGE_ARRAY'length)/2; constant DECODE_BITS : decode_bit_array_type := Get_Addr_Bits(ARD_ADDR_RANGE_ARRAY); constant NUM_CE_SIGNALS : integer := calc_num_ce(C_ARD_NUM_CE_ARRAY); constant NUM_S_H_ADDR_BITS : integer := needed_addr_bits(C_ARD_NUM_CE_ARRAY); ------------------------------------------------------------------------------- -- Signal Declarations ------------------------------------------------------------------------------- signal pselect_hit_i : std_logic_vector (0 to ((C_ARD_ADDR_RANGE_ARRAY'LENGTH)/2)-1); signal cs_out_i : std_logic_vector (0 to ((C_ARD_ADDR_RANGE_ARRAY'LENGTH)/2)-1); signal ce_expnd_i : std_logic_vector(0 to NUM_CE_SIGNALS-1); signal rdce_out_i : std_logic_vector(0 to NUM_CE_SIGNALS-1); signal wrce_out_i : std_logic_vector(0 to NUM_CE_SIGNALS-1); signal ce_out_i : std_logic_vector(0 to NUM_CE_SIGNALS-1); -- signal cs_ce_clr : std_logic; signal addr_out_s_h : std_logic_vector(0 to NUM_S_H_ADDR_BITS-1); signal Bus_RNW_reg : std_logic; ------------------------------------------------------------------------------- -- Begin architecture ------------------------------------------------------------------------------- begin -- architecture IMP -- Register clears cs_ce_clr <= not Bus_rst or Clear_CS_CE_Reg; addr_out_s_h <= Address_In_Erly(C_BUS_AWIDTH-NUM_S_H_ADDR_BITS to C_BUS_AWIDTH-1); ------------------------------------------------------------------------------- -- MEM_DECODE_GEN: Universal Address Decode Block ------------------------------------------------------------------------------- MEM_DECODE_GEN: for bar_index in 0 to NUM_BASE_ADDRS-1 generate --------------- constant CE_INDEX_START : integer := calc_start_ce_index(C_ARD_NUM_CE_ARRAY,bar_index); constant CE_ADDR_SIZE : Integer range 0 to 15 := clog2(C_ARD_NUM_CE_ARRAY(bar_index)); constant OFFSET : integer := 2; constant BASE_ADDR_x : std_logic_vector(0 to C_BUS_AWIDTH-1) := ARD_ADDR_RANGE_ARRAY(bar_index*2+1); constant HIGH_ADDR_X : std_logic_vector(0 to C_BUS_AWIDTH-1) := calc_high_address(ARD_ADDR_RANGE_ARRAY,bar_index); --constant DECODE_BITS_0 : integer:= DECODE_BITS(0); --------- begin --------- -- GEN_FOR_MULTI_CS: Below logic generates the CS for decoded address -- ----------------- GEN_FOR_MULTI_CS : if C_ARD_ADDR_RANGE_ARRAY'length > 2 generate -- Instantiate the basic Base Address Decoders MEM_SELECT_I: entity axi_quad_spi_v3_2.pselect_f generic map ( C_AB => DECODE_BITS(bar_index), C_AW => C_BUS_AWIDTH, C_BAR => ARD_ADDR_RANGE_ARRAY(bar_index*2), C_FAMILY => C_FAMILY ) port map ( A => Address_In_Erly, -- [in] AValid => Address_Valid_Erly, -- [in] CS => pselect_hit_i(bar_index) -- [out] ); end generate GEN_FOR_MULTI_CS; -- GEN_FOR_ONE_CS: below logic decodes the CS for single address range -- --------------- GEN_FOR_ONE_CS : if C_ARD_ADDR_RANGE_ARRAY'length = 2 generate pselect_hit_i(bar_index) <= Address_Valid_Erly; end generate GEN_FOR_ONE_CS; -- Instantate backend registers for the Chip Selects BKEND_CS_REG : process(Bus_Clk) begin if(Bus_Clk'EVENT and Bus_Clk = '1')then if(Bus_Rst='0' or Clear_CS_CE_Reg = '1')then cs_out_i(bar_index) <= '0'; elsif(CS_CE_ld_enable='1')then cs_out_i(bar_index) <= pselect_hit_i(bar_index); end if; end if; end process BKEND_CS_REG; ------------------------------------------------------------------------- -- PER_CE_GEN: Now expand the individual CEs for each base address. ------------------------------------------------------------------------- PER_CE_GEN: for j in 0 to C_ARD_NUM_CE_ARRAY(bar_index) - 1 generate ----------- begin ----------- ---------------------------------------------------------------------- -- CE decoders for multiple CE's ---------------------------------------------------------------------- MULTIPLE_CES_THIS_CS_GEN : if CE_ADDR_SIZE > 0 generate constant BAR : std_logic_vector(0 to CE_ADDR_SIZE-1) := std_logic_vector(to_unsigned(j,CE_ADDR_SIZE)); begin CE_I : entity axi_quad_spi_v3_2.pselect_f generic map ( C_AB => CE_ADDR_SIZE , C_AW => CE_ADDR_SIZE , C_BAR => BAR , C_FAMILY => C_FAMILY ) port map ( A => addr_out_s_h (NUM_S_H_ADDR_BITS-OFFSET-CE_ADDR_SIZE to NUM_S_H_ADDR_BITS - OFFSET - 1) , AValid => pselect_hit_i(bar_index) , CS => ce_expnd_i(CE_INDEX_START+j) ); end generate MULTIPLE_CES_THIS_CS_GEN; -------------------------------------- ---------------------------------------------------------------------- -- SINGLE_CE_THIS_CS_GEN: CE decoders for single CE ---------------------------------------------------------------------- SINGLE_CE_THIS_CS_GEN : if CE_ADDR_SIZE = 0 generate ce_expnd_i(CE_INDEX_START+j) <= pselect_hit_i(bar_index); end generate; ------------- end generate PER_CE_GEN; ------------------------ end generate MEM_DECODE_GEN; -- RNW_REG_P: Register the incoming RNW signal at the time of registering the -- address. This is need to generate the CE's separately. RNW_REG_P:process(Bus_Clk) begin if(Bus_Clk'EVENT and Bus_Clk = '1')then if(RW_CE_ld_enable='1')then Bus_RNW_reg <= Bus_RNW_Erly; end if; end if; end process RNW_REG_P; --------------------------------------------------------------------------- -- GEN_BKEND_CE_REGISTERS -- This ForGen implements the backend registering for -- the CE, RdCE, and WrCE output buses. --------------------------------------------------------------------------- GEN_BKEND_CE_REGISTERS : for ce_index in 0 to NUM_CE_SIGNALS-1 generate signal rdce_expnd_i : std_logic_vector(0 to NUM_CE_SIGNALS-1); signal wrce_expnd_i : std_logic_vector(0 to NUM_CE_SIGNALS-1); ------ begin ------ BKEND_RDCE_REG : process(Bus_Clk) begin if(Bus_Clk'EVENT and Bus_Clk = '1')then if(cs_ce_clr='1')then ce_out_i(ce_index) <= '0'; elsif(RW_CE_ld_enable='1')then ce_out_i(ce_index) <= ce_expnd_i(ce_index); end if; end if; end process BKEND_RDCE_REG; rdce_out_i(ce_index) <= ce_out_i(ce_index) and Bus_RNW_reg; wrce_out_i(ce_index) <= ce_out_i(ce_index) and not Bus_RNW_reg; ------------------------------- end generate GEN_BKEND_CE_REGISTERS; ------------------------------------------------------------------------------- CS_for_gaps <= '0'; -- Removed the GAP adecoder logic --------------------------------- CS_Out <= cs_out_i ; RdCE_Out <= rdce_out_i ; WrCE_Out <= wrce_out_i ; end architecture imp;
gpl-2.0
MAV-RT-testbed/MAV-testbed
Syma_Flight_Final/Syma_Flight_Final.srcs/sources_1/ipshared/xilinx.com/axi_quad_spi_v3_2/c64e9f22/hdl/src/vhdl/qspi_mode_control_logic.vhd
1
176939
-- ---- qspi_mode_control_logic - entity/architecture pair ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- -- ******************************************************************* -- ** (c) Copyright [2010] - [2012] Xilinx, Inc. All rights reserved.* -- ** * -- ** This file contains confidential and proprietary information * -- ** of Xilinx, Inc. and is protected under U.S. and * -- ** international copyright and other intellectual property * -- ** laws. * -- ** * -- ** DISCLAIMER * -- ** This disclaimer is not a license and does not grant any * -- ** rights to the materials distributed herewith. Except as * -- ** otherwise provided in a valid license issued to you by * -- ** Xilinx, and to the maximum extent permitted by applicable * -- ** law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND * -- ** WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES * -- ** AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING * -- ** BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- * -- ** INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and * -- ** (2) Xilinx shall not be liable (whether in contract or tort, * -- ** including negligence, or under any other theory of * -- ** liability) for any loss or damage of any kind or nature * -- ** related to, arising under or in connection with these * -- ** materials, including for any direct, or any indirect, * -- ** special, incidental, or consequential loss or damage * -- ** (including loss of data, profits, goodwill, or any type of * -- ** loss or damage suffered as a result of any action brought * -- ** by a third party) even if such damage or loss was * -- ** reasonably foreseeable or Xilinx had been advised of the * -- ** possibility of the same. * -- ** * -- ** CRITICAL APPLICATIONS * -- ** Xilinx products are not designed or intended to be fail- * -- ** safe, or for use in any application requiring fail-safe * -- ** performance, such as life-support or safety devices or * -- ** systems, Class III medical devices, nuclear facilities, * -- ** applications related to the deployment of airbags, or any * -- ** other applications that could lead to death, personal * -- ** injury, or severe property or environmental damage * -- ** (individually and collectively, "Critical * -- ** Applications"). Customer assumes the sole risk and * -- ** liability of any use of Xilinx products in Critical * -- ** Applications, subject only to applicable laws and * -- ** regulations governing limitations on product liability. * -- ** * -- ** THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS * -- ** PART OF THIS FILE AT ALL TIMES. * -- ******************************************************************* -- ------------------------------------------------------------------------------- ---- Filename: qspi_mode_control_logic.vhd ---- Version: v3.0 ---- Description: Serial Peripheral Interface (SPI) Module for interfacing ---- with a 32-bit AXI4 Bus. ---- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library lib_pkg_v1_0; use lib_pkg_v1_0.all; use lib_pkg_v1_0.lib_pkg.log2; use lib_pkg_v1_0.lib_pkg.RESET_ACTIVE; library unisim; use unisim.vcomponents.FD; use unisim.vcomponents.FDRE; ------------------------------------------------------------------------------- entity qspi_mode_control_logic is generic( C_SCK_RATIO : integer; C_NUM_SS_BITS : integer; C_NUM_TRANSFER_BITS : integer; C_SPI_MODE : integer; C_USE_STARTUP : integer; C_SPI_MEMORY : integer; C_SUB_FAMILY : string ); port( Bus2IP_Clk : in std_logic; Soft_Reset_op : in std_logic; -------------------- DTR_FIFO_Data_Exists : in std_logic; Slave_Select_Reg : in std_logic_vector(0 to (C_NUM_SS_BITS-1)); Transmit_Data : in std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1)); Receive_Data : out std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1)); --Data_To_Rx_FIFO_1 : out std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1)); SPIXfer_done : out std_logic; SPIXfer_done_Rx_Wr_en: out std_logic; MODF_strobe : out std_logic; SPIXfer_done_rd_tx_en: out std_logic; ---------------------- SR_3_MODF : in std_logic; SR_5_Tx_Empty : in std_logic; --SR_6_Rx_Full : in std_logic; --Last_count : in std_logic; ---------------------- from control register SPICR_0_LOOP : in std_logic; SPICR_1_SPE : in std_logic; SPICR_2_MASTER_N_SLV : in std_logic; SPICR_3_CPOL : in std_logic; SPICR_4_CPHA : in std_logic; SPICR_5_TXFIFO_RST : in std_logic; SPICR_6_RXFIFO_RST : in std_logic; SPICR_7_SS : in std_logic; SPICR_8_TR_INHIBIT : in std_logic; SPICR_9_LSB : in std_logic; ---------------------- ---------------------- from look up table Data_Dir : in std_logic; Data_Mode_1 : in std_logic; Data_Mode_0 : in std_logic; Data_Phase : in std_logic; ---------------------- Quad_Phase : in std_logic; --Dummy_Bits : in std_logic_vector(3 downto 0); ---------------------- Addr_Mode_1 : in std_logic; Addr_Mode_0 : in std_logic; Addr_Bit : in std_logic; Addr_Phase : in std_logic; ---------------------- CMD_Mode_1 : in std_logic; CMD_Mode_0 : in std_logic; CMD_Error : in std_logic; CMD_decoded : in std_logic; ---------------------- --SPI Interface SCK_I : in std_logic; SCK_O_reg : out std_logic; SCK_T : out std_logic; IO0_I : in std_logic; IO0_O : out std_logic; -- MOSI IO0_T : out std_logic; IO1_I : in std_logic; -- MISO IO1_O : out std_logic; IO1_T : out std_logic; IO2_I : in std_logic; IO2_O : out std_logic; IO2_T : out std_logic; IO3_I : in std_logic; IO3_O : out std_logic; IO3_T : out std_logic; SPISEL : in std_logic; SS_I : in std_logic_vector((C_NUM_SS_BITS-1) downto 0); SS_O : out std_logic_vector((C_NUM_SS_BITS-1) downto 0); SS_T : out std_logic; SPISEL_pulse_op : out std_logic; SPISEL_d1_reg : out std_logic; Control_bit_7_8 : in std_logic_vector(0 to 1); --(7 to 8) pr_state_idle : out std_logic; Rx_FIFO_Full : in std_logic ; DRR_Overrun_reg : out std_logic; reset_RcFIFO_ptr_to_spi : in std_logic ); end entity qspi_mode_control_logic; ---------------------------------- architecture imp of qspi_mode_control_logic is ---------------------------------------------------------------------------------- -- below attributes are added to reduce the synth warnings in Vivado tool attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes"; ---------------------------------------------------------------------------------- -- constant declaration constant RESET_ACTIVE : std_logic := '1'; constant COUNT_WIDTH : INTEGER := log2(C_NUM_TRANSFER_BITS)+1; -- function declaration ------------------------ -- spcl_log2 : Performs log2(x) function for value of C_SCK_RATIO > 2 ------------------------ function spcl_log2(x : natural) return integer is variable j : integer := 0; variable k : integer := 0; begin if(C_SCK_RATIO /= 2) then for i in 0 to 11 loop if(2**i >= x) then if(k = 0) then j := i; end if; k := 1; end if; end loop; return j; else return 2; end if; end spcl_log2; -- type declaration type STATE_TYPE is (IDLE, -- decode command can be combined here later CMD_SEND, ADDR_SEND,TEMP_ADDR_SEND, --DUMMY_SEND, DATA_SEND,TEMP_DATA_SEND, DATA_RECEIVE,TEMP_DATA_RECEIVE ); signal qspi_cntrl_ps: STATE_TYPE; signal qspi_cntrl_ns: STATE_TYPE; ----------------------------------------- -- signal declaration signal Ratio_Count : std_logic_vector (0 to (spcl_log2(C_SCK_RATIO))-2); signal Count : std_logic_vector(COUNT_WIDTH downto 0); signal Count_1 : std_logic_vector(COUNT_WIDTH downto 0); signal LSB_first : std_logic; signal Mst_Trans_inhibit : std_logic; signal Manual_SS_mode : std_logic; signal CPHA : std_logic; signal CPOL : std_logic; signal Mst_N_Slv : std_logic; signal SPI_En : std_logic; signal Loop_mode : std_logic; signal transfer_start : std_logic; signal transfer_start_d1 : std_logic; signal transfer_start_pulse : std_logic; signal SPIXfer_done_int : std_logic; signal SPIXfer_done_int_d1 : std_logic; signal SPIXfer_done_int_pulse : std_logic; signal SPIXfer_done_int_pulse_d1 : std_logic; signal SPIXfer_done_int_pulse_d2 : std_logic; signal SPIXfer_done_int_pulse_d3 : std_logic; signal Serial_Dout_0 : std_logic; signal Serial_Dout_1 : std_logic; signal Serial_Dout_2 : std_logic; signal Serial_Dout_3 : std_logic; signal Serial_Din_0 : std_logic; signal Serial_Din_1 : std_logic; signal Serial_Din_2 : std_logic; signal Serial_Din_3 : std_logic; signal io2_i_sync : std_logic; signal io3_i_sync : std_logic; signal serial_dout_int : std_logic; signal mosi_i_sync : std_logic; signal miso_i_sync : std_logic; signal master_tri_state_en_control : std_logic; signal IO0_tri_state_en_control : std_logic; signal IO1_tri_state_en_control : std_logic; signal IO2_tri_state_en_control : std_logic; signal IO3_tri_state_en_control : std_logic; signal SCK_tri_state_en_control : std_logic; signal SPISEL_sync : std_logic; signal spisel_d1 : std_logic; signal spisel_pulse : std_logic; signal Sync_Set : std_logic; signal Sync_Reset : std_logic; signal SS_Asserted : std_logic; signal SS_Asserted_1dly : std_logic; signal Allow_MODF_Strobe : std_logic; signal MODF_strobe_int : std_logic; signal Load_tx_data_to_shift_reg_int : std_logic; signal mode_0 : std_logic; signal mode_1 : std_logic; signal sck_o_int : std_logic; signal sck_o_in : std_logic; signal Shift_Reg : std_logic_vector (0 to C_NUM_TRANSFER_BITS-1); signal sck_d1 : std_logic; signal sck_d2 : std_logic; signal sck_d3 : std_logic; signal sck_rising_edge : std_logic; signal rx_shft_reg : std_logic_vector(0 to C_NUM_TRANSFER_BITS-1); signal SCK_O_1 : std_logic;-- :='0'; signal receive_Data_int : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1)); --:=(others => '0'); signal rx_shft_reg_mode_0011 : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1)); --:=(others => '0'); signal Count_trigger : std_logic; signal Count_trigger_d1 : std_logic; signal Count_trigger_pulse : std_logic; signal pr_state_cmd_ph : std_logic; signal pr_state_addr_ph : std_logic; signal pr_state_dummy_ph : std_logic; signal pr_state_data_receive : std_logic; signal pr_state_non_idle : std_logic; signal addr_cnt : std_logic_vector(2 downto 0); signal dummy_cnt : std_logic_vector(3 downto 0); signal stop_clock : std_logic; signal IO0_T_control : std_logic; signal IO1_T_control : std_logic; signal IO2_T_control : std_logic; signal IO3_T_control : std_logic; signal dummy : std_logic; signal no_slave_selected : std_logic; signal Data_To_Rx_FIFO_1 : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1)); signal Data_To_Rx_FIFO_2 : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1)); attribute IOB : string; attribute IOB of QSPI_SCK_T : label is "true"; --attribute IOB of QSPI_SS_T : label is "true"; attribute IOB of QSPI_IO0_T : label is "false";-- MOSI_T attribute IOB of QSPI_IO1_T : label is "false";-- MISO_T --attribute IOB of QSPI_SPISEL : label is "true";-- SPISEL signal Mst_Trans_inhibit_d1 : std_logic; signal Mst_Trans_inhibit_pulse : std_logic; signal stop_clock_reg : std_logic; signal transfer_start_d2 : std_logic; signal transfer_start_d3 : std_logic; signal transfer_start_pulse_11: std_logic; signal DRR_Overrun_reg_int : std_logic; signal Rx_FIFO_Full_reg : std_logic; ----- begin ----- LSB_first <= SPICR_9_LSB; -- Control_Reg(0); Mst_Trans_inhibit <= SPICR_8_TR_INHIBIT; -- Control_Reg(1); Manual_SS_mode <= SPICR_7_SS; -- Control_Reg(2); CPHA <= SPICR_4_CPHA; -- Control_Reg(5); CPOL <= SPICR_3_CPOL; -- Control_Reg(6); Mst_N_Slv <= SPICR_2_MASTER_N_SLV; -- Control_Reg(7); SPI_En <= SPICR_1_SPE; -- Control_Reg(8); Loop_mode <= SPICR_0_LOOP; -- Control_Reg(9); IO0_O <= Serial_Dout_0; IO1_O <= Serial_Dout_1; IO2_O <= Serial_Dout_2; IO3_O <= Serial_Dout_3; Receive_Data <= receive_Data_int; DRR_Overrun_reg <= DRR_Overrun_reg_int; RX_FULL_CHECK_PROCESS: process(Bus2IP_Clk) is begin if(Bus2IP_Clk'event and Bus2IP_Clk='1') then if (Soft_Reset_op = RESET_ACTIVE)or(reset_RcFIFO_ptr_to_spi = '1') then Rx_FIFO_Full_reg <= '0'; elsif(Rx_FIFO_Full = '1')then Rx_FIFO_Full_reg <= '1'; end if; end if; end process RX_FULL_CHECK_PROCESS; DRR_OVERRUN_REG_PROCESS:process(Bus2IP_Clk) is ----- begin ----- if (Bus2IP_Clk'event and Bus2IP_Clk='1') then if (Soft_Reset_op = RESET_ACTIVE) then DRR_Overrun_reg_int <= '0'; else DRR_Overrun_reg_int <= not(DRR_Overrun_reg_int or Soft_Reset_op) and Rx_FIFO_Full_reg and SPIXfer_done_int_pulse_d2; end if; end if; end process DRR_OVERRUN_REG_PROCESS; --* ------------------------------------------------------------------------------- --* -- MASTER_TRIST_EN_PROCESS : If not master make tristate enabled --* ---------------------------- master_tri_state_en_control <= '0' when ( (control_bit_7_8(0)='1') and -- decides master/slave mode (control_bit_7_8(1)='1') and -- decide the spi_en ((MODF_strobe_int or SR_3_MODF)='0')-- no mode fault ) else '1'; --QSPI_SS_T: tri-state register for SS,ideal state-deactive QSPI_SS_T: component FD generic map ( INIT => '1' ) port map ( Q => SS_T, C => Bus2IP_Clk, D => master_tri_state_en_control ); -------------------------------------- --QSPI_SCK_T : Tri-state register for SCK_T, ideal state-deactive SCK_tri_state_en_control <= '0' when ( -- (pr_state_non_idle = '1') and -- CR#619275 - this is commented to operate the mode 3 with SW flow (control_bit_7_8(0)='1') and -- decides master/slave mode (control_bit_7_8(1)='1') and -- decide the spi_en ((MODF_strobe_int or SR_3_MODF)='0')-- no mode fault ) else '1'; QSPI_SCK_T: component FD generic map ( INIT => '1' ) port map ( Q => SCK_T, C => Bus2IP_Clk, D => SCK_tri_state_en_control ); IO0_tri_state_en_control <= '0' when ( (IO0_T_control = '0') and (control_bit_7_8(0)='1') and -- decides master/slave mode (control_bit_7_8(1)='1') and -- decide the spi_en ((MODF_strobe_int or SR_3_MODF)='0')-- no mode fault ) else '1'; --QSPI_IO0_T: tri-state register for MOSI, ideal state-deactive QSPI_IO0_T: component FD generic map ( INIT => '1' ) port map ( Q => IO0_T, -- MOSI_T, C => Bus2IP_Clk, D => IO0_tri_state_en_control -- master_tri_state_en_control ); -------------------------------------- IO1_tri_state_en_control <= '0' when ( (IO1_T_control = '0') and (control_bit_7_8(0)='1') and -- decides master/slave mode (control_bit_7_8(1)='1') and -- decide the spi_en ((MODF_strobe_int or SR_3_MODF)='0')-- no mode fault ) else '1'; --QSPI_IO0_T: tri-state register for MISO, ideal state-deactive QSPI_IO1_T: component FD generic map ( INIT => '1' ) port map ( Q => IO1_T, -- MISO_T, C => Bus2IP_Clk, D => IO1_tri_state_en_control ); ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- QSPI_NO_MODE_2_T_CONTROL: if C_SPI_MODE = 1 or C_SPI_MODE = 0 generate ---------------------- begin ----- -------------------------------------- IO2_tri_state_en_control <= '1'; IO3_tri_state_en_control <= '1'; IO2_T <= '1'; IO3_T <= '1'; -------------------------------------- end generate QSPI_NO_MODE_2_T_CONTROL; -------------------------------------- ------------------------------------------------------------------------------- QSPI_MODE_2_T_CONTROL: if C_SPI_MODE = 2 generate ---------------------- attribute IOB : string; attribute IOB of QSPI_IO2_T : label is "false"; attribute IOB of QSPI_IO3_T : label is "false"; begin ----- -------------------------------------- IO2_tri_state_en_control <= '0' when ( (IO2_T_control = '0') and (control_bit_7_8(0)='1') and -- decides master/slave mode (control_bit_7_8(1)='1') and -- decide the spi_en ((MODF_strobe_int or SR_3_MODF)='0')-- no mode fault ) else '1'; --QSPI_IO0_T: tri-state register for MOSI, ideal state-deactive QSPI_IO2_T: component FD generic map ( INIT => '1' ) port map ( Q => IO2_T, -- MOSI_T, C => Bus2IP_Clk, D => IO2_tri_state_en_control -- master_tri_state_en_control ); -------------------------------------- IO3_tri_state_en_control <= '0' when ( (IO3_T_control = '0') and (control_bit_7_8(0)='1') and -- decides master/slave mode (control_bit_7_8(1)='1') and -- decide the spi_en ((MODF_strobe_int or SR_3_MODF)='0')-- no mode fault ) else '1'; --QSPI_IO0_T: tri-state register for MISO, ideal state-deactive QSPI_IO3_T: component FD generic map ( INIT => '1' ) port map ( Q => IO3_T, -- MISO_T, C => Bus2IP_Clk, D => IO3_tri_state_en_control ); -------------------------------------- end generate QSPI_MODE_2_T_CONTROL; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- QSPI_SPISEL: first synchronize the incoming signal, this is required is slave --------------- mode of the core. QSPI_SPISEL: component FD generic map ( INIT => '1' -- default '1' to make the device in default master mode ) port map ( Q => SPISEL_sync, C => Bus2IP_Clk, D => SPISEL ); -- SPISEL_DELAY_1CLK_PROCESS_P : Detect active SCK edge in slave mode ----------------------------- SPISEL_DELAY_1CLK_PROCESS_P: process(Bus2IP_Clk) begin if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then if(Soft_Reset_op = RESET_ACTIVE) then spisel_d1 <= '1'; else spisel_d1 <= SPISEL_sync; end if; end if; end process SPISEL_DELAY_1CLK_PROCESS_P; ------------------------------------------------ -- spisel pulse generating logic -- this one clock cycle pulse will be available for data loading into -- shift register spisel_pulse <= (not SPISEL_sync) and spisel_d1; -- --------|__________ -- SPISEL -- ----------|________ -- SPISEL_sync -- -------------|_____ -- spisel_d1 -- __________|--|_____ -- SPISEL_pulse_op SPISEL_pulse_op <= not SPISEL_sync; -- spisel_pulse; SPISEL_d1_reg <= spisel_d1; MST_TRANS_INHIBIT_D1_I: component FD generic map ( INIT => '1' ) port map ( Q => Mst_Trans_inhibit_d1, C => Bus2IP_Clk, D => Mst_Trans_inhibit ); Mst_Trans_inhibit_pulse <= Mst_Trans_inhibit and (not Mst_Trans_inhibit_d1); ------------------------------------------------------------------------------- -- SCK_SET_GEN_PROCESS : Generate SET control for SCK_O_reg ------------------------ SCK_SET_GEN_PROCESS: process(CPOL, CPHA, SPIXfer_done_int, transfer_start_pulse, Mst_Trans_inhibit_pulse) is ----- begin ----- --if(SPIXfer_done_int = '1' or transfer_start_pulse = '1') then if(Mst_Trans_inhibit_pulse = '1' or SPIXfer_done_int = '1') then Sync_Set <= (CPOL xor CPHA); else Sync_Set <= '0'; end if; end process SCK_SET_GEN_PROCESS; ------------------------------------------------------------------------------- -- SCK_RESET_GEN_PROCESS : Generate SET control for SCK_O_reg -------------------------- SCK_RESET_GEN_PROCESS: process(CPOL, CPHA, transfer_start_pulse, SPIXfer_done_int, Mst_Trans_inhibit_pulse)is ----- begin ----- --if(SPIXfer_done_int = '1' or transfer_start_pulse = '1') then if(Mst_Trans_inhibit_pulse = '1' or SPIXfer_done_int = '1') then Sync_Reset <= not(CPOL xor CPHA); else Sync_Reset <= '0'; end if; end process SCK_RESET_GEN_PROCESS; ------------------------------------------------------------------------------- -- SELECT_OUT_PROCESS : This process sets SS active-low, one-hot encoded select -- bit. Changing SS is premitted during a transfer by -- hardware, but is to be prevented by software. In Auto -- mode SS_O reflects value of Slave_Select_Reg only -- when transfer is in progress, otherwise is SS_O is held -- high ----------------------- SELECT_OUT_PROCESS: process(Bus2IP_Clk)is begin if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then if(Soft_Reset_op = RESET_ACTIVE) then SS_O <= (others => '1'); SS_Asserted <= '0'; SS_Asserted_1dly <= '0'; elsif(transfer_start = '0') then -- Tranfer not in progress for i in (C_NUM_SS_BITS-1) downto 0 loop SS_O(i) <= Slave_Select_Reg(C_NUM_SS_BITS-1-i); end loop; SS_Asserted <= '0'; SS_Asserted_1dly <= '0'; else for i in (C_NUM_SS_BITS-1) downto 0 loop SS_O(i) <= Slave_Select_Reg(C_NUM_SS_BITS-1-i); end loop; SS_Asserted <= '1'; SS_Asserted_1dly <= SS_Asserted; end if; end if; end process SELECT_OUT_PROCESS; ---------------------------- no_slave_selected <= and_reduce(Slave_Select_Reg(0 to (C_NUM_SS_BITS-1))); ------------------------------------------------------------------------------- -- MODF_STROBE_PROCESS : Strobe MODF signal when master is addressed as slave ------------------------ MODF_STROBE_PROCESS: process(Bus2IP_Clk)is ----- begin ----- if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then if((Soft_Reset_op = RESET_ACTIVE) or (SPISEL_sync = '1')) then MODF_strobe <= '0'; MODF_strobe_int <= '0'; Allow_MODF_Strobe <= '1'; elsif((Mst_N_Slv = '1') and --In Master mode (SPISEL_sync = '0') and (Allow_MODF_Strobe = '1') ) then MODF_strobe <= '1'; MODF_strobe_int <= '1'; Allow_MODF_Strobe <= '0'; else MODF_strobe <= '0'; MODF_strobe_int <= '0'; end if; end if; end process MODF_STROBE_PROCESS; -------------------------------------------------------------------------- -- LOADING_FIRST_ELEMENT_PROCESS : Combinatorial process to generate flag -- when loading first data element in shift -- register from transmit register/fifo ---------------------------------- LOADING_FIRST_ELEMENT_PROCESS: process(Soft_Reset_op, SPI_En, SS_Asserted, SS_Asserted_1dly, SR_3_MODF )is ----- begin ----- if(Soft_Reset_op = RESET_ACTIVE) then Load_tx_data_to_shift_reg_int <= '0'; --Clear flag elsif(SPI_En = '1' and --Enabled ( (--(Mst_N_Slv = '1') and --Master configuration (SS_Asserted = '1') and (SS_Asserted_1dly = '0') and (SR_3_MODF = '0') ) ) )then Load_tx_data_to_shift_reg_int <= '1'; --Set flag else Load_tx_data_to_shift_reg_int <= '0'; --Clear flag end if; end process LOADING_FIRST_ELEMENT_PROCESS; ------------------------------------------ ------------------------------------------------------------------------------- -- TRANSFER_START_PROCESS : Generate transfer start signal. When the transfer -- gets completed, SPI Transfer done strobe pulls -- transfer_start back to zero. --------------------------- TRANSFER_START_PROCESS: process(Bus2IP_Clk)is ----- begin ----- if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then if(Soft_Reset_op = RESET_ACTIVE or ( ( SPI_En = '0' or -- enable not asserted or (SPIXfer_done_int = '1' and SR_5_Tx_Empty = '1' and Data_Phase = '0' and Addr_Phase = '0') or -- no data in Tx reg/FIFO or SR_3_MODF = '1' or -- mode fault error Mst_Trans_inhibit = '1' or -- Do not start if Mst xfer inhibited stop_clock = '1' -- core is in Data Receive State and DRR is not full ) ) )then transfer_start <= '0'; else -- Delayed SPIXfer_done_int_pulse to work for synchronous design and to remove -- asserting of loading_sr_reg in master mode after SR_5_Tx_Empty goes to 1 -- if((SPIXfer_done_int_pulse = '1') -- or --(SPIXfer_done_int_pulse_d1 = '1')-- or --(SPIXfer_done_int_pulse_d2='1') -- ) then-- this is added to remove -- glitch at the end of -- transfer in AUTO mode -- transfer_start <= '0'; -- Set to 0 for at least 1 period -- else transfer_start <= '1'; -- Proceed with SPI Transfer -- end if; end if; end if; end process TRANSFER_START_PROCESS; -------------------------------- --TRANSFER_START_PROCESS: process(Bus2IP_Clk)is ------- --begin ------- -- if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then -- if(Soft_Reset_op = RESET_ACTIVE or -- ( -- ( -- SPI_En = '0' or -- enable not asserted or -- (SR_5_Tx_Empty = '1' and Data_Phase = '0' and Addr_Phase = '0') or -- no data in Tx reg/FIFO or -- SR_3_MODF = '1' or -- mode fault error -- Mst_Trans_inhibit = '1' or -- Do not start if Mst xfer inhibited -- stop_clock = '1' -- core is in Data Receive State and DRR is not full -- ) -- ) -- )then -- -- transfer_start <= '0'; -- else ---- Delayed SPIXfer_done_int_pulse to work for synchronous design and to remove ---- asserting of loading_sr_reg in master mode after SR_5_Tx_Empty goes to 1 -- if((SPIXfer_done_int_pulse = '1') or -- (SPIXfer_done_int_pulse_d1 = '1')-- or -- --(SPIXfer_done_int_pulse_d2='1') -- ) then-- this is added to remove -- -- glitch at the end of -- -- transfer in AUTO mode -- transfer_start <= '0'; -- Set to 0 for at least 1 period -- else -- transfer_start <= '1'; -- Proceed with SPI Transfer -- end if; -- end if; -- end if; --end process TRANSFER_START_PROCESS; ------------------------------------- ------------------------------------------------------------------------------- -- TRANSFER_START_1CLK_PROCESS : Delay transfer start by 1 clock cycle -------------------------------- TRANSFER_START_1CLK_PROCESS: process(Bus2IP_Clk)is ----- begin ----- if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then if(Soft_Reset_op = RESET_ACTIVE) then transfer_start_d1 <= '0'; transfer_start_d2 <= '0'; transfer_start_d3 <= '0'; else transfer_start_d1 <= transfer_start; transfer_start_d2 <= transfer_start_d1; transfer_start_d3 <= transfer_start_d2; end if; end if; end process TRANSFER_START_1CLK_PROCESS; -- transfer start pulse generating logic transfer_start_pulse <= transfer_start and (not(transfer_start_d1)); transfer_start_pulse_11 <= transfer_start_d2 and (not transfer_start_d3); ------------------------------------------------------------------------------- -- TRANSFER_DONE_1CLK_PROCESS : Delay SPI transfer done signal by 1 clock cycle ------------------------------- TRANSFER_DONE_1CLK_PROCESS: process(Bus2IP_Clk)is ----- begin ----- if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then if(Soft_Reset_op = RESET_ACTIVE) then SPIXfer_done_int_d1 <= '0'; else SPIXfer_done_int_d1 <= SPIXfer_done_int; end if; end if; end process TRANSFER_DONE_1CLK_PROCESS; -- -- transfer done pulse generating logic SPIXfer_done_int_pulse <= SPIXfer_done_int and (not(SPIXfer_done_int_d1)); ------------------------------------------------------------------------------- -- TRANSFER_DONE_PULSE_DLY_PROCESS : Delay SPI transfer done pulse by 1 and 2 -- clock cycles ------------------------------------ -- Delay the Done pulse by a further cycle. This is used as the output Rx -- data strobe when C_SCK_RATIO = 2 TRANSFER_DONE_PULSE_DLY_PROCESS: process(Bus2IP_Clk)is ----- begin ----- if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then if(Soft_Reset_op = RESET_ACTIVE) then SPIXfer_done_int_pulse_d1 <= '0'; SPIXfer_done_int_pulse_d2 <= '0'; SPIXfer_done_int_pulse_d3 <= '0'; else SPIXfer_done_int_pulse_d1 <= SPIXfer_done_int_pulse; SPIXfer_done_int_pulse_d2 <= SPIXfer_done_int_pulse_d1; SPIXfer_done_int_pulse_d3 <= SPIXfer_done_int_pulse_d2; end if; end if; end process TRANSFER_DONE_PULSE_DLY_PROCESS; -------------------------------------------- ------------------------------------------------------------------------------- -- RX_DATA_GEN1: Only for C_SCK_RATIO = 2 mode. ---------------- RX_DATA_SCK_RATIO_2_GEN1 : if C_SCK_RATIO = 2 generate ----- begin ----- ------------------------------------------------------------------------------- -- TRANSFER_DONE_PROCESS : Generate SPI transfer done signal. This will stop the SPI clock. -------------------------- TRANSFER_DONE_PROCESS: process(Bus2IP_Clk)is ----- begin ----- if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then if(Soft_Reset_op = RESET_ACTIVE or transfer_start_pulse = '1') then SPIXfer_done_int <= '0'; --elsif (transfer_start_pulse = '1') then -- SPIXfer_done_int <= '0'; else if(mode_1 = '1' and mode_0 = '0')then SPIXfer_done_int <= Count(1) and not(Count(0)); elsif(mode_1 = '0' and mode_0 = '1')then SPIXfer_done_int <= not(Count(0)) and Count(2) and Count(1); else SPIXfer_done_int <= --Count(COUNT_WIDTH); Count(COUNT_WIDTH-1) and Count(COUNT_WIDTH-2) and Count(COUNT_WIDTH-3) and not Count(COUNT_WIDTH-4); end if; end if; end if; end process TRANSFER_DONE_PROCESS; -- RECEIVE_DATA_STROBE_PROCESS : Strobe data from shift register to receive -- data register -------------------------------- -- For a SCK ratio of 2 the Done needs to be delayed by an extra cycle -- due to the serial input being captured on the falling edge of the PLB -- clock. this is purely required for dealing with the real SPI slave memories. RECEIVE_DATA_STROBE_PROCESS: process(Bus2IP_Clk) ----- begin ----- if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then if(Soft_Reset_op = RESET_ACTIVE)then Data_To_Rx_FIFO_1 <= (others => '0'); receive_Data_int <= (others => '0'); elsif(SPIXfer_done_int_pulse_d2 = '1')then if(mode_1 = '0' and mode_0 = '0')then -- for Standard transfer Data_To_Rx_FIFO_1 <= rx_shft_reg_mode_0011 (1 to (C_NUM_TRANSFER_BITS-1)) & IO1_I ; --MISO_I; receive_Data_int <= rx_shft_reg_mode_0011 (1 to (C_NUM_TRANSFER_BITS-1)) & IO1_I ; --MISO_I; elsif(mode_1 = '0' and mode_0 = '1')then -- for Dual transfer Data_To_Rx_FIFO_1 <= rx_shft_reg_mode_0011 (2 to (C_NUM_TRANSFER_BITS-1)) & IO1_I & -- MISO_I - MSB first IO0_I ; -- MOSI_I receive_Data_int <= rx_shft_reg_mode_0011 (2 to (C_NUM_TRANSFER_BITS-1)) & IO1_I & -- MISO_I - MSB first IO0_I ; -- MOSI_I elsif(mode_1 = '1' and mode_0 = '0')then -- for Quad transfer Data_To_Rx_FIFO_1 <= rx_shft_reg_mode_0011 (4 to (C_NUM_TRANSFER_BITS-1)) & IO3_I & -- MSB first IO2_I & IO1_I & IO0_I ; receive_Data_int <= rx_shft_reg_mode_0011 (4 to (C_NUM_TRANSFER_BITS-1)) & IO3_I & -- MSB first IO2_I & IO1_I & IO0_I ; end if; end if; end if; end process RECEIVE_DATA_STROBE_PROCESS; RECEIVE_DATA_STROBE_PROCESS_1: process(Bus2IP_Clk) ----- begin ----- if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then if(Soft_Reset_op = RESET_ACTIVE)then Data_To_Rx_FIFO_2 <= (others => '0'); elsif(SPIXfer_done_int_pulse_d1 = '1')then Data_To_Rx_FIFO_2 <= Data_To_Rx_FIFO_1; end if; end if; end process RECEIVE_DATA_STROBE_PROCESS_1; --receive_Data_int <= Data_To_Rx_FIFO_2; -- Done strobe delayed to match receive data SPIXfer_done <= SPIXfer_done_int_pulse_d3; -- SPIXfer_done_rd_tx_en <= transfer_start_pulse or SPIXfer_done_int_d1; -- SPIXfer_done_int_pulse_d1; SPIXfer_done_rd_tx_en <= transfer_start_pulse or SPIXfer_done_int_pulse_d2; -- SPIXfer_done_rd_tx_en <= SPIXfer_done_int; ------------------------------------------------- end generate RX_DATA_SCK_RATIO_2_GEN1; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- RATIO_OF_2_GENERATE : Logic to be used when C_SCK_RATIO is equal to 2 ------------------------ RATIO_OF_2_GENERATE: if(C_SCK_RATIO = 2) generate -------------------- begin ----- ------------------------------------------------------------------------------- -- SCK_CYCLE_COUNT_PROCESS : Counts number of trigger pulses provided. Used for -- controlling the number of bits to be transfered -- based on generic C_NUM_TRANSFER_BITS ---------------------------- RATIO_2_SCK_CYCLE_COUNT_PROCESS: process(Bus2IP_Clk) begin -- if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then -- if((Soft_Reset_op = RESET_ACTIVE) or -- (transfer_start_d1 = '0') or -- --(transfer_start = '0' and SPIXfer_done_int_d1 = '1') or -- (Mst_N_Slv = '0') -- )then -- -- Count <= (others => '0'); -- elsif (Count(COUNT_WIDTH) = '0') then -- Count <= Count + 1; -- end if; -- end if; if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then if((Soft_Reset_op = RESET_ACTIVE) or (SPIXfer_done_int = '1') or (transfer_start = '0') --(transfer_start = '0' and SPIXfer_done_int_d1 = '1') or --(Mst_N_Slv = '0') )then Count <= (others => '0'); elsif (Count(COUNT_WIDTH) = '0') and ((CPOL and CPHA) = '0') then Count <= Count + 1; elsif(transfer_start_d2 = '1') and (Count(COUNT_WIDTH) = '0') then Count <= Count + 1; end if; end if; end process RATIO_2_SCK_CYCLE_COUNT_PROCESS; ------------------------------------ ------------------------------------------------------------------------------- -- SCK_SET_RESET_PROCESS : Sync set/reset toggle flip flop controlled by -- transfer_start signal -------------------------- RATIO_2_SCK_SET_RESET_PROCESS: process(Bus2IP_Clk) begin if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then if((Soft_Reset_op = RESET_ACTIVE) or (Sync_Reset = '1')) then sck_o_int <= '0'; elsif(Sync_Set = '1') then sck_o_int <= '1'; elsif (transfer_start = '1') then --sck_o_int <= (not sck_o_int) xor Count(COUNT_WIDTH); sck_o_int <= (not sck_o_int); end if; end if; end process RATIO_2_SCK_SET_RESET_PROCESS; ---------------------------------- -- DELAY_CLK: Delay the internal clock for a cycle to generate internal enable -- -- signal for data register. ------------- RATIO_2_DELAY_CLK: process(Bus2IP_Clk)is ----- begin ----- if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then if (Soft_Reset_op = RESET_ACTIVE)then sck_d1 <= '0'; sck_d2 <= '0'; sck_d3 <= '0'; else sck_d1 <= sck_o_int; sck_d2 <= sck_d1; sck_d3 <= sck_d2; end if; end if; end process RATIO_2_DELAY_CLK; ------------------------------------ -- Rising egde pulse sck_rising_edge <= sck_d2 and (not sck_d1); -- CAPT_RX_FE_MODE_00_11: The below logic is to capture data for SPI mode of --------------------------- 00 and 11. -- Generate a falling edge pulse from the serial clock. Use this to -- capture the incoming serial data into a shift register. RATIO_2_CAPT_RX_FE_MODE_00_11 : process(Bus2IP_Clk)is begin if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then --SPIXfer_done_int_pulse_d2 if (Soft_Reset_op = RESET_ACTIVE)then rx_shft_reg_mode_0011 <= (others => '0'); elsif((sck_d3='0') and --(sck_rising_edge = '1') and (Data_Dir='0') -- data direction = 0 is read mode )then ------- if(mode_1 = '0' and mode_0 = '0')then -- for Standard transfer rx_shft_reg_mode_0011 <= rx_shft_reg_mode_0011 (1 to (C_NUM_TRANSFER_BITS-1)) & IO1_I ; --MISO_I; elsif(mode_1 = '0' and mode_0 = '1')then -- for Dual transfer rx_shft_reg_mode_0011 <= rx_shft_reg_mode_0011 (2 to (C_NUM_TRANSFER_BITS-1)) & IO1_I & -- MISO_I - MSB first IO0_I ; -- MOSI_I elsif(mode_1 = '1' and mode_0 = '0')then -- for Quad transfer rx_shft_reg_mode_0011 <= rx_shft_reg_mode_0011 (4 to (C_NUM_TRANSFER_BITS-1)) & IO3_I & -- MSB first IO2_I & IO1_I & IO0_I ; end if; ------- else rx_shft_reg_mode_0011<= rx_shft_reg_mode_0011; end if; end if; end process RATIO_2_CAPT_RX_FE_MODE_00_11; ---------------------------------- RATIO_2_CAP_QSPI_QUAD_MODE_NM_MEM_GEN: if ( (C_SPI_MODE = 2 or C_SPI_MODE = 1 )and (C_SPI_MEMORY = 2 ) )generate -------------------------------------- begin ----- ------------------------------------------------------------------------------- -- CAPTURE_AND_SHIFT_PROCESS : This logic essentially controls the entire -- capture and shift operation for serial data in ------------------------------ master SPI mode only RATIO_2_CAPTURE_AND_SHIFT_PROCESS: process(Bus2IP_Clk)is begin if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then if(Soft_Reset_op = RESET_ACTIVE) then Shift_Reg(0 to C_NUM_TRANSFER_BITS -1) <= (others => '0'); Serial_Dout_0 <= '0';-- default values of the IO0_O Serial_Dout_1 <= '0'; Serial_Dout_2 <= '0'; Serial_Dout_3 <= '0'; elsif(transfer_start = '1') then --(Mst_N_Slv = '1') then if(transfer_start_pulse = '1' or SPIXfer_done_int_d1 = '1') then -- --if(Load_tx_data_to_shift_reg_int = '1') then Shift_Reg <= Transmit_Data; if(mode_1 = '0' and mode_0 = '0') then -- standard mode Serial_Dout_0 <= Transmit_Data(0); Serial_Dout_3 <= pr_state_cmd_ph and Quad_Phase;-- this is to make the DQ3 bit 1 in quad command transfer mode. elsif(mode_1 = '0' and mode_0 = '1') then -- dual mode Serial_Dout_1 <= Transmit_Data(0); -- msb to IO1_O Serial_Dout_0 <= Transmit_Data(1); elsif(mode_1 = '1' and mode_0 = '0') then -- quad mode Serial_Dout_3 <= Transmit_Data(0); -- msb to IO3_O Serial_Dout_2 <= Transmit_Data(1); Serial_Dout_1 <= Transmit_Data(2); Serial_Dout_0 <= Transmit_Data(3); end if; elsif( (Count(0) = '0') )then -- Shift Data on even if(mode_1 = '0' and mode_0 = '0') then -- standard mode Serial_Dout_0 <= Shift_Reg(0); Serial_Dout_3 <= pr_state_cmd_ph and Quad_Phase; elsif(mode_1 = '0' and mode_0 = '1') then -- dual mode Serial_Dout_1 <= Shift_Reg(0); -- msb to IO1_O Serial_Dout_0 <= Shift_Reg(1); elsif(mode_1 = '1' and mode_0 = '0') then -- quad mode Serial_Dout_3 <= Shift_Reg(0); -- msb to IO3_O Serial_Dout_2 <= Shift_Reg(1); Serial_Dout_1 <= Shift_Reg(2); Serial_Dout_0 <= Shift_Reg(3); end if; elsif( (Count(0) = '1') --and ) then -- Capture Data on odd if(mode_1 = '0' and mode_0 = '0') then -- standard mode Shift_Reg <= Shift_Reg (1 to C_NUM_TRANSFER_BITS -1) & IO1_I ;-- MISO_I; elsif(mode_1 = '0' and mode_0 = '1') then -- dual mode Shift_Reg <= Shift_Reg (2 to C_NUM_TRANSFER_BITS -1) & IO1_I & IO0_I ; elsif(mode_1 = '1' and mode_0 = '0') then -- quad mode Shift_Reg <= Shift_Reg (4 to C_NUM_TRANSFER_BITS -1) & IO3_I & IO2_I & IO1_I & IO0_I ; end if; end if; end if; end if; end process RATIO_2_CAPTURE_AND_SHIFT_PROCESS; ---------------------------------------------- end generate RATIO_2_CAP_QSPI_QUAD_MODE_NM_MEM_GEN; RATIO_2_CAP_QSPI_QUAD_MODE_SP_MEM_GEN: if ( (C_SPI_MODE = 2 or C_SPI_MODE = 1 )and ( C_SPI_MEMORY = 3) )generate -------------------------------------- begin ----- ------------------------------------------------------------------------------- -- CAPTURE_AND_SHIFT_PROCESS : This logic essentially controls the entire -- capture and shift operation for serial data in ------------------------------ master SPI mode only RATIO_2_CAPTURE_AND_SHIFT_PROCESS: process(Bus2IP_Clk)is begin if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then if(Soft_Reset_op = RESET_ACTIVE) then Shift_Reg(0 to C_NUM_TRANSFER_BITS -1) <= (others => '0'); Serial_Dout_0 <= '0';-- default values of the IO0_O Serial_Dout_1 <= '0'; Serial_Dout_2 <= '0'; Serial_Dout_3 <= '0'; elsif(transfer_start = '1') then --(Mst_N_Slv = '1') then if(transfer_start_pulse = '1' or SPIXfer_done_int_d1 = '1') then -- --if(Load_tx_data_to_shift_reg_int = '1') then Shift_Reg <= Transmit_Data; if(mode_1 = '0' and mode_0 = '0') then -- standard mode Serial_Dout_0 <= Transmit_Data(0); Serial_Dout_3 <= pr_state_cmd_ph and Quad_Phase;-- this is to make the DQ3 bit 1 in quad command transfer mode. elsif(mode_1 = '0' and mode_0 = '1') then -- dual mode Serial_Dout_1 <= Transmit_Data(0); -- msb to IO1_O Serial_Dout_0 <= Transmit_Data(1); elsif(mode_1 = '1' and mode_0 = '0') then -- quad mode Serial_Dout_3 <= Transmit_Data(0); -- msb to IO3_O Serial_Dout_2 <= Transmit_Data(1); Serial_Dout_1 <= Transmit_Data(2); Serial_Dout_0 <= Transmit_Data(3); end if; elsif( (Count(0) = '0') )then -- Shift Data on even if(mode_1 = '0' and mode_0 = '0') then -- standard mode Serial_Dout_0 <= Shift_Reg(0); Serial_Dout_3 <= pr_state_cmd_ph and Quad_Phase; elsif(mode_1 = '0' and mode_0 = '1') then -- dual mode Serial_Dout_1 <= Shift_Reg(0); -- msb to IO1_O Serial_Dout_0 <= Shift_Reg(1); elsif(mode_1 = '1' and mode_0 = '0') then -- quad mode Serial_Dout_3 <= Shift_Reg(0); -- msb to IO3_O Serial_Dout_2 <= Shift_Reg(1); Serial_Dout_1 <= Shift_Reg(2); Serial_Dout_0 <= Shift_Reg(3); end if; elsif( (Count(0) = '1') --and ) then -- Capture Data on odd if(mode_1 = '0' and mode_0 = '0') then -- standard mode Shift_Reg <= Shift_Reg (1 to C_NUM_TRANSFER_BITS -1) & IO1_I ;-- MISO_I; elsif(mode_1 = '0' and mode_0 = '1') then -- dual mode Shift_Reg <= Shift_Reg (2 to C_NUM_TRANSFER_BITS -1) & IO1_I & IO0_I ; elsif(mode_1 = '1' and mode_0 = '0') then -- quad mode Shift_Reg <= Shift_Reg (4 to C_NUM_TRANSFER_BITS -1) & IO3_I & IO2_I & IO1_I & IO0_I ; end if; end if; end if; end if; end process RATIO_2_CAPTURE_AND_SHIFT_PROCESS; ---------------------------------------------- end generate RATIO_2_CAP_QSPI_QUAD_MODE_SP_MEM_GEN; RATIO_2_CAP_QSPI_QUAD_MODE_OTHER_MEM_GEN: if ( (C_SPI_MODE = 2 and (C_SPI_MEMORY = 0 or C_SPI_MEMORY = 1) ) or (C_SPI_MODE = 1 and (C_SPI_MEMORY = 0 or C_SPI_MEMORY = 1) ) ) generate ----------------------------------------- begin ----- ------------------------------------------------------------------------------- -- CAPTURE_AND_SHIFT_PROCESS : This logic essentially controls the entire -- capture and shift operation for serial data in ------------------------------ master SPI mode only RATIO_2_CAPTURE_AND_SHIFT_PROCESS: process(Bus2IP_Clk)is begin if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then if(Soft_Reset_op = RESET_ACTIVE) then Shift_Reg(0 to C_NUM_TRANSFER_BITS -1) <= (others => '0'); Serial_Dout_0 <= '0';-- default values of the IO0_O Serial_Dout_1 <= '0'; Serial_Dout_2 <= '0'; Serial_Dout_3 <= '0'; elsif(transfer_start = '1') then --(Mst_N_Slv = '1') then --if(Load_tx_data_to_shift_reg_int = '1') then if(transfer_start_pulse = '1' or SPIXfer_done_int_d1 = '1') then -- Shift_Reg <= Transmit_Data; if(mode_1 = '0' and mode_0 = '0') then -- standard mode Serial_Dout_0 <= Transmit_Data(0); Serial_Dout_3 <= pr_state_cmd_ph and Quad_Phase;-- this is to make the DQ3 bit 1 in quad command transfer mode. elsif(mode_1 = '0' and mode_0 = '1') then -- dual mode Serial_Dout_1 <= Transmit_Data(0); -- msb to IO1_O Serial_Dout_0 <= Transmit_Data(1); elsif(mode_1 = '1' and mode_0 = '0') then -- quad mode Serial_Dout_3 <= Transmit_Data(0); -- msb to IO3_O Serial_Dout_2 <= Transmit_Data(1); Serial_Dout_1 <= Transmit_Data(2); Serial_Dout_0 <= Transmit_Data(3); end if; elsif( (Count(0) = '0') --and )then -- Shift Data on even if(mode_1 = '0' and mode_0 = '0') then -- standard mode Serial_Dout_0 <= Shift_Reg(0); Serial_Dout_3 <= pr_state_cmd_ph and Quad_Phase; elsif(mode_1 = '0' and mode_0 = '1') then -- dual mode Serial_Dout_1 <= Shift_Reg(0); -- msb to IO1_O Serial_Dout_0 <= Shift_Reg(1); elsif(mode_1 = '1' and mode_0 = '0') then -- quad mode Serial_Dout_3 <= Shift_Reg(0); -- msb to IO3_O Serial_Dout_2 <= Shift_Reg(1); Serial_Dout_1 <= Shift_Reg(2); Serial_Dout_0 <= Shift_Reg(3); end if; elsif( (Count(0) = '1') --and ) then -- Capture Data on odd if(mode_1 = '0' and mode_0 = '0') then -- standard mode Shift_Reg <= Shift_Reg (1 to C_NUM_TRANSFER_BITS -1) & IO1_I;-- MISO_I; elsif(mode_1 = '0' and mode_0 = '1') then -- dual mode Shift_Reg <= Shift_Reg (2 to C_NUM_TRANSFER_BITS -1) & IO1_I & IO0_I ; elsif(mode_1 = '1' and mode_0 = '0') then -- quad mode Shift_Reg <= Shift_Reg (4 to C_NUM_TRANSFER_BITS -1) & IO3_I & IO2_I & IO1_I & IO0_I ; end if; end if; end if; end if; end process RATIO_2_CAPTURE_AND_SHIFT_PROCESS; ---------------------------------------------- end generate RATIO_2_CAP_QSPI_QUAD_MODE_OTHER_MEM_GEN; ------------------------------------------------------ ----- end generate RATIO_OF_2_GENERATE; --------------------------------- --------==================================================================----- RX_DATA_GEN_OTHER_SCK_RATIOS : if C_SCK_RATIO /= 2 generate ------------------------------ ----- begin ----- ------------------------------------------------------------------------------- -- TRANSFER_DONE_PROCESS : Generate SPI transfer done signal. This will stop the SPI clock. -------------------------- TRANSFER_DONE_PROCESS: process(Bus2IP_Clk)is ----- begin ----- if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then if(Soft_Reset_op = RESET_ACTIVE or transfer_start_pulse = '1') then SPIXfer_done_int <= '0'; --elsif (transfer_start_pulse = '1') then -- SPIXfer_done_int <= '0'; else if(CPHA = '0' and CPOL = '0') then if(mode_1 = '1' and mode_0 = '0')then -- quad mode SPIXfer_done_int <= Count(0) and Count(1); elsif(mode_1 = '0' and mode_0 = '1')then -- for dual mode SPIXfer_done_int <= Count(2) and Count(1) and Count(0);--- and --(and_reduce(Ratio_Count));-- dual mode else SPIXfer_done_int <= Count(COUNT_WIDTH-COUNT_WIDTH+3) and Count(COUNT_WIDTH-COUNT_WIDTH+2) and Count(COUNT_WIDTH-COUNT_WIDTH+1) and Count(COUNT_WIDTH-COUNT_WIDTH); end if; else if(mode_1 = '1' and mode_0 = '0')then -- quad mode SPIXfer_done_int <= Count(1) and Count(0); elsif(mode_1 = '0' and mode_0 = '1')then -- for dual mode SPIXfer_done_int <= Count(2) and Count(1) and Count(0); else SPIXfer_done_int <= Count(COUNT_WIDTH-COUNT_WIDTH+3) and Count(COUNT_WIDTH-COUNT_WIDTH+2) and Count(COUNT_WIDTH-COUNT_WIDTH+1) and Count(COUNT_WIDTH-COUNT_WIDTH); end if; end if; end if; end if; end process TRANSFER_DONE_PROCESS; -- RECEIVE_DATA_STROBE_PROCESS_OTHER_RATIO: the below process if for other -------------------------------------------- SPI ratios of C_SCK_RATIO >2 -- -- It multiplexes the data stored -- -- in internal registers in LSB and -- -- non-LSB modes, in master as well as -- -- in slave mode. RECEIVE_DATA_STROBE_PROCESS_OTHER_RATIO: process(Bus2IP_Clk)is ----- begin ----- if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then if(Soft_Reset_op = RESET_ACTIVE)then receive_Data_int <= (others => '0'); elsif(SPIXfer_done_int_pulse_d1 = '1')then receive_Data_int <= rx_shft_reg_mode_0011; end if; end if; end process RECEIVE_DATA_STROBE_PROCESS_OTHER_RATIO; SPIXfer_done <= SPIXfer_done_int_pulse_d2; SPIXfer_done_rd_tx_en <= transfer_start_pulse or SPIXfer_done_int_pulse_d2; -------------------------------------------- end generate RX_DATA_GEN_OTHER_SCK_RATIOS; ------------------------------------------------------------------------------- -- OTHER_RATIO_GENERATE : Logic to be used when C_SCK_RATIO is not equal to 2 ------------------------- OTHER_RATIO_GENERATE: if(C_SCK_RATIO /= 2) generate --attribute IOB : string; --attribute IOB of IO0_I_REG : label is "true"; ----- begin ----- ------------------------------------------------------------------------------- IO0_I_REG: component FD generic map ( INIT => '0' ) port map ( Q => mosi_i_sync, C => Bus2IP_Clk, D => IO0_I --MOSI_I ); ----------------------- -- IO1_I_REG_IOB: Push the IO1_I register in IOB -- -------------- -- Only when the targeted family is 7-series or spartan 6 -- ir-respective of C_USE_STARTUP parameter ------------- -- IO1_I_REG_IOB: if (C_SUB_FAMILY = "virtex7" -- or -- C_SUB_FAMILY = "kintex7" -- or -- C_SUB_FAMILY = "artix7" -- -- or -- 1/23/2013 -- -- C_SUB_FAMILY = "spartan6" -- 1/23/2013 -- ) -- -- or -- -- ( -- -- C_USE_STARTUP = 0 -- -- and -- -- C_SUB_FAMILY = "virtex6" -- -- ) -- generate ----- --attribute IOB : string; --attribute IOB of IO1_I_REG : label is "true"; ----- --begin ----- IO1_I_REG: component FD generic map ( INIT => '0' ) port map ( Q => miso_i_sync, C => Bus2IP_Clk, D => IO1_I -- MISO_I ); --end generate IO1_I_REG_IOB; --------------------------- -- -- IO1_I_REG_NO_IOB: If C_USE_STARTUP is used and family is virtex6, then -- -- IO1_I is registered only, but it is not pushed in IOB. -- -- this is due to STARTUP block in V6 is having DINSPI interface available for IO1_I. -- IO1_I_REG_NO_IOB: if ( C_USE_STARTUP = 1 -- and -- C_SUB_FAMILY = "virtex6" -- )generate -- ----- -- begin -- ----- -- IO1_I_REG: component FD -- generic map -- ( -- INIT => '0' -- ) -- port map -- ( -- Q => miso_i_sync, -- C => Bus2IP_Clk, -- D => IO1_I -- MISO_I -- ); -- end generate IO1_I_REG_NO_IOB; -- ------------------------------ NO_IO_x_I_SYNC_MODE_1_GEN: if C_SPI_MODE = 1 generate ----- begin ----- io2_i_sync <= '0'; io3_i_sync <= '0'; end generate NO_IO_x_I_SYNC_MODE_1_GEN; --------------------------------------- IO_x_I_SYNC_MODE_2_GEN: if C_SPI_MODE = 2 generate ---------------- --attribute IOB : string; --attribute IOB of IO2_I_REG : label is "true"; --attribute IOB of IO3_I_REG : label is "true"; ----- begin ----- ----------------------- IO2_I_REG: component FD generic map ( INIT => '0' ) port map ( Q => io2_i_sync, C => Bus2IP_Clk, D => IO2_I ); ----------------------- IO3_I_REG: component FD generic map ( INIT => '0' ) port map ( Q => io3_i_sync, C => Bus2IP_Clk, D => IO3_I ); ----------------------- end generate IO_x_I_SYNC_MODE_2_GEN; ------------------------------------ ------------------------------------------------------------------------------- -- RATIO_COUNT_PROCESS : Counter which counts from (C_SCK_RATIO/2)-1 down to 0 -- Used for counting the time to control SCK_O_reg generation -- depending on C_SCK_RATIO ------------------------ OTHER_RATIO_COUNT_PROCESS: process(Bus2IP_Clk)is ----- begin ----- if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then if((Soft_Reset_op = RESET_ACTIVE) or (transfer_start = '0')) then Ratio_Count <= CONV_STD_LOGIC_VECTOR( ((C_SCK_RATIO/2)-1),(spcl_log2(C_SCK_RATIO)-1)); else Ratio_Count <= Ratio_Count - 1; if (Ratio_Count = 0) then Ratio_Count <= CONV_STD_LOGIC_VECTOR( ((C_SCK_RATIO/2)-1),(spcl_log2(C_SCK_RATIO)-1)); end if; end if; end if; end process OTHER_RATIO_COUNT_PROCESS; -------------------------------- ------------------------------------------------------------------------------- -- COUNT_TRIGGER_GEN_PROCESS : Generate a trigger whenever Ratio_Count reaches -- zero ------------------------------ OTHER_RATIO_COUNT_TRIGGER_GEN_PROCESS: process(Bus2IP_Clk)is ----- begin ----- if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then if((Soft_Reset_op = RESET_ACTIVE) or --(SPIXfer_done_int = '1') or (transfer_start = '0') ) then Count_trigger <= '0'; elsif(Ratio_Count = 0) then Count_trigger <= not Count_trigger; end if; end if; end process OTHER_RATIO_COUNT_TRIGGER_GEN_PROCESS; -------------------------------------- ------------------------------------------------------------------------------- -- COUNT_TRIGGER_1CLK_PROCESS : Delay cnt_trigger signal by 1 clock cycle ------------------------------- OTHER_RATIO_COUNT_TRIGGER_1CLK_PROCESS: process(Bus2IP_Clk)is ----- begin ----- if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then if((Soft_Reset_op = RESET_ACTIVE) or (transfer_start = '0')) then Count_trigger_d1 <= '0'; else Count_trigger_d1 <= Count_trigger; end if; end if; end process OTHER_RATIO_COUNT_TRIGGER_1CLK_PROCESS; -- generate a trigger pulse for rising edge as well as falling edge Count_trigger_pulse <= (Count_trigger and (not(Count_trigger_d1))) or ((not(Count_trigger)) and Count_trigger_d1); ------------------------------------------------------------------------------- -- SCK_CYCLE_COUNT_PROCESS : Counts number of trigger pulses provided. Used for -- controlling the number of bits to be transfered -- based on generic C_NUM_TRANSFER_BITS ---------------------------- OTHER_RATIO_SCK_CYCLE_COUNT_PROCESS: process(Bus2IP_Clk) is ----- begin ----- if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then if(Soft_Reset_op = RESET_ACTIVE)or (SPIXfer_done_int = '1') or (transfer_start = '0') then Count <= (others => '0'); --elsif (transfer_start = '0') then -- Count <= (others => '0'); elsif (Count_trigger_pulse = '1') and (Count(COUNT_WIDTH) = '0') then Count <= Count + 1; end if; end if; end process OTHER_RATIO_SCK_CYCLE_COUNT_PROCESS; ------------------------------------ ------------------------------------------------------------------------------- -- SCK_SET_RESET_PROCESS : Sync set/reset toggle flip flop controlled by -- transfer_start signal -------------------------- OTHER_RATIO_SCK_SET_RESET_PROCESS: process(Bus2IP_Clk)is ----- begin ----- if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then if((Soft_Reset_op = RESET_ACTIVE) or (Sync_Reset = '1') )then sck_o_int <= '0'; elsif(Sync_Set = '1') then sck_o_int <= '1'; elsif (transfer_start = '1') then sck_o_int <= sck_o_int xor Count_trigger_pulse; end if; end if; end process OTHER_RATIO_SCK_SET_RESET_PROCESS; ---------------------------------- -- DELAY_CLK: Delay the internal clock for a cycle to generate internal enable -- -- signal for data register. ------------- OTHER_RATIO_DELAY_CLK: process(Bus2IP_Clk)is ----- begin ----- if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then if (Soft_Reset_op = RESET_ACTIVE)then sck_d1 <= '0'; sck_d2 <= '0'; else sck_d1 <= sck_o_int; sck_d2 <= sck_d1; end if; end if; end process OTHER_RATIO_DELAY_CLK; ------------------------------------ -- Rising egde pulse for CPHA-CPOL = 00/11 mode sck_rising_edge <= not(sck_d2) and sck_d1; -- CAPT_RX_FE_MODE_00_11: The below logic is the date registery process for ------------------------- SPI CPHA-CPOL modes of 00 and 11. OTHER_RATIO_CAPT_RX_FE_MODE_00_11 : process(Bus2IP_Clk)is begin if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then if (Soft_Reset_op = RESET_ACTIVE)then rx_shft_reg_mode_0011 <= (others => '0'); elsif((sck_rising_edge = '1') and (transfer_start = '1') and (Data_Dir='0') -- data direction = 0 is read mode --(pr_state_data_receive = '1') ) then ------- if(mode_1 = '0' and mode_0 = '0')then -- for Standard transfer rx_shft_reg_mode_0011<= rx_shft_reg_mode_0011 (1 to (C_NUM_TRANSFER_BITS-1)) & IO1_I;-- MISO_I elsif((mode_1 = '0' and mode_0 = '1') -- for Dual transfer )then rx_shft_reg_mode_0011<= rx_shft_reg_mode_0011 (2 to (C_NUM_TRANSFER_BITS-1)) & IO1_I &-- MSB first IO0_I; elsif((mode_1 = '1' and mode_0 = '0') -- for Quad transfer )then rx_shft_reg_mode_0011<= rx_shft_reg_mode_0011 (4 to (C_NUM_TRANSFER_BITS-1)) & IO3_I & -- MSB first IO2_I & IO1_I & IO0_I; end if; ------- else rx_shft_reg_mode_0011<= rx_shft_reg_mode_0011; end if; end if; end process OTHER_RATIO_CAPT_RX_FE_MODE_00_11; --------------------------------------------------------------------- ------------------------------------------------------------------------------- -- CAPTURE_AND_SHIFT_PROCESS : This logic essentially controls the entire -- capture and shift operation for serial data ------------------------------ OTHER_RATIO_CAP_QSPI_QUAD_MODE_NM_MEM_GEN: if ( (C_SPI_MODE = 2 or C_SPI_MODE = 1) and (C_SPI_MEMORY = 2) )generate -------------------------------------- begin ----- OTHER_RATIO_CAPTURE_AND_SHIFT_PROCESS: process(Bus2IP_Clk) is ----- begin ----- if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then if(Soft_Reset_op = RESET_ACTIVE) then Shift_Reg(0 to C_NUM_TRANSFER_BITS -1) <= (others => '0'); Serial_Dout_0 <= '0';-- default values of the IO0_O Serial_Dout_1 <= '0'; Serial_Dout_2 <= '0'; Serial_Dout_3 <= '0'; else--if( -- (transfer_start = '1') and (not(Count(COUNT_WIDTH) = '1'))) then --if(Load_tx_data_to_shift_reg_int = '1') then if(transfer_start_pulse = '1' or SPIXfer_done_int_d1 = '1') then Shift_Reg <= Transmit_Data;-- loading trasmit data in SR if(mode_1 = '0' and mode_0 = '0') then -- standard mode Serial_Dout_0 <= Transmit_Data(0); Serial_Dout_3 <= pr_state_cmd_ph and Quad_Phase; elsif(mode_1 = '0' and mode_0 = '1') then -- dual mode Serial_Dout_1 <= Transmit_Data(0); -- msb to IO1_O Serial_Dout_0 <= Transmit_Data(1); elsif(mode_1 = '1' and mode_0 = '0') then -- quad mode Serial_Dout_3 <= Transmit_Data(0); -- msb to IO3_O Serial_Dout_2 <= Transmit_Data(1); Serial_Dout_1 <= Transmit_Data(2); Serial_Dout_0 <= Transmit_Data(3); end if; -- Capture Data on even Count elsif( (Count(0) = '0') )then if(mode_1 = '0' and mode_0 = '0') then -- standard mode Serial_Dout_0 <= Shift_Reg(0); Serial_Dout_3 <= pr_state_cmd_ph and Quad_Phase; elsif(mode_1 = '0' and mode_0 = '1') then -- dual mode Serial_Dout_1 <= Shift_Reg(0); -- msb to IO1_O Serial_Dout_0 <= Shift_Reg(1); elsif(mode_1 = '1' and mode_0 = '0') then -- quad mode Serial_Dout_3 <= Shift_Reg(0); -- msb to IO3_O Serial_Dout_2 <= Shift_Reg(1); Serial_Dout_1 <= Shift_Reg(2); Serial_Dout_0 <= Shift_Reg(3); end if; -- Shift Data on odd Count elsif( (Count(0) = '1') and (Count_trigger_pulse = '1') ) then if(mode_1 = '0' and mode_0 = '0') then -- standard mode Shift_Reg <= Shift_Reg (1 to C_NUM_TRANSFER_BITS -1) & IO1_I; elsif(mode_1 = '0' and mode_0 = '1') then -- dual mode Shift_Reg <= Shift_Reg (2 to C_NUM_TRANSFER_BITS -1) & IO1_I & IO0_I; elsif(mode_1 = '1' and mode_0 = '0') then -- quad mode Shift_Reg <= Shift_Reg (4 to C_NUM_TRANSFER_BITS -1) & IO3_I & IO2_I & IO1_I & IO0_I; end if; end if; end if; end if; end process OTHER_RATIO_CAPTURE_AND_SHIFT_PROCESS; -------------------------------------------------- end generate OTHER_RATIO_CAP_QSPI_QUAD_MODE_NM_MEM_GEN; ------------------------------------------------------- OTHER_RATIO_CAP_QSPI_QUAD_MODE_SP_MEM_GEN: if ( (C_SPI_MODE = 2 or C_SPI_MODE = 1) and ( C_SPI_MEMORY = 3) )generate -------------------------------------- begin ----- OTHER_RATIO_CAPTURE_AND_SHIFT_PROCESS: process(Bus2IP_Clk) is ----- begin ----- if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then if(Soft_Reset_op = RESET_ACTIVE) then Shift_Reg(0 to C_NUM_TRANSFER_BITS -1) <= (others => '0'); Serial_Dout_0 <= '0';-- default values of the IO0_O Serial_Dout_1 <= '0'; Serial_Dout_2 <= '0'; Serial_Dout_3 <= '0'; else--if( -- (transfer_start = '1') and (not(Count(COUNT_WIDTH) = '1'))) then --if(Load_tx_data_to_shift_reg_int = '1') then if(transfer_start_pulse = '1' or SPIXfer_done_int_d1 = '1') then Shift_Reg <= Transmit_Data;-- loading trasmit data in SR if(mode_1 = '0' and mode_0 = '0') then -- standard mode Serial_Dout_0 <= Transmit_Data(0); Serial_Dout_3 <= pr_state_cmd_ph and Quad_Phase; elsif(mode_1 = '0' and mode_0 = '1') then -- dual mode Serial_Dout_1 <= Transmit_Data(0); -- msb to IO1_O Serial_Dout_0 <= Transmit_Data(1); elsif(mode_1 = '1' and mode_0 = '0') then -- quad mode Serial_Dout_3 <= Transmit_Data(0); -- msb to IO3_O Serial_Dout_2 <= Transmit_Data(1); Serial_Dout_1 <= Transmit_Data(2); Serial_Dout_0 <= Transmit_Data(3); end if; -- Capture Data on even Count elsif( (Count(0) = '0') )then if(mode_1 = '0' and mode_0 = '0') then -- standard mode Serial_Dout_0 <= Shift_Reg(0); Serial_Dout_3 <= pr_state_cmd_ph and Quad_Phase; elsif(mode_1 = '0' and mode_0 = '1') then -- dual mode Serial_Dout_1 <= Shift_Reg(0); -- msb to IO1_O Serial_Dout_0 <= Shift_Reg(1); elsif(mode_1 = '1' and mode_0 = '0') then -- quad mode Serial_Dout_3 <= Shift_Reg(0); -- msb to IO3_O Serial_Dout_2 <= Shift_Reg(1); Serial_Dout_1 <= Shift_Reg(2); Serial_Dout_0 <= Shift_Reg(3); end if; -- Shift Data on odd Count elsif( (Count(0) = '1') and (Count_trigger_pulse = '1') ) then if(mode_1 = '0' and mode_0 = '0') then -- standard mode Shift_Reg <= Shift_Reg (1 to C_NUM_TRANSFER_BITS -1) & IO1_I; elsif(mode_1 = '0' and mode_0 = '1') then -- dual mode Shift_Reg <= Shift_Reg (2 to C_NUM_TRANSFER_BITS -1) & IO1_I & IO0_I; elsif(mode_1 = '1' and mode_0 = '0') then -- quad mode Shift_Reg <= Shift_Reg (4 to C_NUM_TRANSFER_BITS -1) & IO3_I & IO2_I & IO1_I & IO0_I; end if; end if; end if; end if; end process OTHER_RATIO_CAPTURE_AND_SHIFT_PROCESS; -------------------------------------------------- end generate OTHER_RATIO_CAP_QSPI_QUAD_MODE_SP_MEM_GEN; ------------------------------------------------------- OTHER_RATIO_CAP_QSPI_QUAD_MODE_OTHER_MEM_GEN: if ( (C_SPI_MODE = 2 and (C_SPI_MEMORY = 0 or C_SPI_MEMORY = 1) ) or (C_SPI_MODE = 1 and (C_SPI_MEMORY = 0 or C_SPI_MEMORY = 1) ) )generate -------------------------------------- begin ----- OTHER_RATIO_CAPTURE_AND_SHIFT_PROCESS: process(Bus2IP_Clk) is ----- begin ----- if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then if(Soft_Reset_op = RESET_ACTIVE) then Shift_Reg(0 to C_NUM_TRANSFER_BITS -1) <= (others => '0'); Serial_Dout_0 <= '0';-- default values of the IO0_O Serial_Dout_1 <= '0'; Serial_Dout_2 <= '0'; Serial_Dout_3 <= '0'; else--if( -- (transfer_start = '1') and (not(Count(COUNT_WIDTH) = '1'))) then --if(Load_tx_data_to_shift_reg_int = '1') then if(transfer_start_pulse = '1' or SPIXfer_done_int_d1 = '1')then Shift_Reg <= Transmit_Data;-- loading trasmit data in SR if(mode_1 = '0' and mode_0 = '0') then -- standard mode Serial_Dout_0 <= Transmit_Data(0); Serial_Dout_3 <= pr_state_cmd_ph and Quad_Phase; elsif(mode_1 = '0' and mode_0 = '1') then -- dual mode Serial_Dout_1 <= Transmit_Data(0); -- msb to IO1_O Serial_Dout_0 <= Transmit_Data(1); elsif(mode_1 = '1' and mode_0 = '0') then -- quad mode Serial_Dout_3 <= Transmit_Data(0); -- msb to IO3_O Serial_Dout_2 <= Transmit_Data(1); Serial_Dout_1 <= Transmit_Data(2); Serial_Dout_0 <= Transmit_Data(3); end if; -- Capture Data on even Count elsif( (Count(0) = '0') )then if(mode_1 = '0' and mode_0 = '0') then -- standard mode Serial_Dout_0 <= Shift_Reg(0); Serial_Dout_3 <= pr_state_cmd_ph and Quad_Phase; elsif(mode_1 = '0' and mode_0 = '1') then -- dual mode Serial_Dout_1 <= Shift_Reg(0); -- msb to IO1_O Serial_Dout_0 <= Shift_Reg(1); elsif(mode_1 = '1' and mode_0 = '0') then -- quad mode Serial_Dout_3 <= Shift_Reg(0); -- msb to IO3_O Serial_Dout_2 <= Shift_Reg(1); Serial_Dout_1 <= Shift_Reg(2); Serial_Dout_0 <= Shift_Reg(3); end if; -- Shift Data on odd Count elsif( (Count(0) = '1') and (Count_trigger_pulse = '1') ) then if(mode_1 = '0' and mode_0 = '0') then -- standard mode Shift_Reg <= Shift_Reg (1 to C_NUM_TRANSFER_BITS -1) & IO1_I; elsif(mode_1 = '0' and mode_0 = '1') then -- dual mode Shift_Reg <= Shift_Reg (2 to C_NUM_TRANSFER_BITS -1) & IO1_I & IO0_I; elsif(mode_1 = '1' and mode_0 = '0') then -- quad mode Shift_Reg <= Shift_Reg (4 to C_NUM_TRANSFER_BITS -1) & IO3_I & IO2_I & IO1_I & IO0_I; end if; end if; end if; end if; end process OTHER_RATIO_CAPTURE_AND_SHIFT_PROCESS; -------------------------------------------------- end generate OTHER_RATIO_CAP_QSPI_QUAD_MODE_OTHER_MEM_GEN; ------------------------------------------------------- end generate OTHER_RATIO_GENERATE; ---------------------------------- -------------------------------------------------- PS_TO_NS_PROCESS: process(Bus2IP_Clk)is ----- begin ----- if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then if(Soft_Reset_op = RESET_ACTIVE) then qspi_cntrl_ps <= IDLE; stop_clock_reg <= '0'; else qspi_cntrl_ps <= qspi_cntrl_ns; stop_clock_reg <= stop_clock; end if; end if; end process PS_TO_NS_PROCESS; ----------------------------- pr_state_data_receive <= '1' when qspi_cntrl_ps = DATA_RECEIVE else '0'; pr_state_non_idle <= '1' when qspi_cntrl_ps /= IDLE else '0'; pr_state_idle <= '1' when qspi_cntrl_ps = IDLE else '0'; pr_state_cmd_ph <= '1' when qspi_cntrl_ps = CMD_SEND else '0'; -------------------------------- QSPI_DUAL_MODE_MIXED_WB_MEM_GEN: if (C_SPI_MODE = 1 and ( C_SPI_MEMORY = 0 or C_SPI_MEMORY = 1 ) )generate -------------------------------- begin ----- QSPI_CNTRL_PROCESS: process( --------------------- CMD_decoded , CMD_Mode_1 , CMD_Mode_0 , CMD_Error , --------------------- Addr_Phase , Addr_Bit , Addr_Mode_1 , Addr_Mode_0 , --------------------- Data_Phase , Data_Dir , Data_Mode_1 , Data_Mode_0 , --------------------- addr_cnt , Quad_Phase , --------------------- SR_5_Tx_Empty , --SR_6_Rx_Full , --SPIXfer_done_int_pulse_d2, SPIXfer_done_int_pulse, stop_clock_reg, --------------------- qspi_cntrl_ps , no_slave_selected --------------------- )is ----- begin ----- mode_1 <= '0'; mode_0 <= '0'; -------------- IO0_T_control <= '1'; IO1_T_control <= '1'; ------------- stop_clock <= '0'; case qspi_cntrl_ps is when IDLE => if((CMD_decoded = '1') and (CMD_Error = '0')-- proceed only when there is no command error )then qspi_cntrl_ns <= CMD_SEND; else qspi_cntrl_ns <= IDLE; end if; stop_clock <= '1'; ------------------------------------------------ when CMD_SEND => mode_1 <= CMD_Mode_1; mode_0 <= CMD_Mode_0; IO0_T_control <= CMD_Mode_0; IO1_T_control <= (CMD_Mode_1) or (not CMD_Mode_0); --if(SPIXfer_done_int_pulse_d2 = '1')then if(SPIXfer_done_int_pulse = '1')then if(Addr_Phase='1')then if(SR_5_Tx_Empty = '1') then stop_clock <= SR_5_Tx_Empty; qspi_cntrl_ns <= TEMP_ADDR_SEND; else qspi_cntrl_ns <= ADDR_SEND; end if; else qspi_cntrl_ns <= IDLE; end if; else qspi_cntrl_ns <= CMD_SEND; end if; ------------------------------------------------ when ADDR_SEND => mode_1 <= Addr_Mode_1; mode_0 <= Addr_Mode_0; IO0_T_control <= Addr_Mode_0 and Addr_Mode_1; IO1_T_control <= not(Addr_Mode_0 xor Addr_Mode_1);-- (Addr_Mode_1) or(not Addr_Mode_0); --stop_clock <= not SR_5_Tx_Empty; if((SR_5_Tx_Empty='1') and (Data_Phase='0') )then if (no_slave_selected = '1')then qspi_cntrl_ns <= IDLE; else qspi_cntrl_ns <= TEMP_ADDR_SEND; end if; else if( (addr_cnt = "011") and -- 24 bit address (Addr_Bit='0') and (Data_Phase='1') )then IO0_T_control <= '1'; IO1_T_control <= '1'; qspi_cntrl_ns <= DATA_RECEIVE;-- i/p else qspi_cntrl_ns <= ADDR_SEND; end if; end if; ------------------------------------------------ when TEMP_ADDR_SEND => --if((SPIXfer_done_int_pulse='1') -- )then -- if (no_slave_selected = '1')then -- qspi_cntrl_ns <= IDLE; -- else -- stop_clock <= SR_5_Tx_Empty; -- if(SR_5_Tx_Empty='1')then -- qspi_cntrl_ns <= TEMP_ADDR_SEND; -- else -- qspi_cntrl_ns <= ADDR_SEND; -- end if; -- end if; --else -- qspi_cntrl_ns <= TEMP_ADDR_SEND; --end if; mode_1 <= Addr_Mode_1; mode_0 <= Addr_Mode_0; IO0_T_control <= Addr_Mode_0 and Addr_Mode_1; IO1_T_control <= not(Addr_Mode_0 xor Addr_Mode_1);-- (Addr_Mode_1) or(not Addr_Mode_0); stop_clock <= stop_clock_reg; if(SR_5_Tx_Empty='1')then if (no_slave_selected = '1')then qspi_cntrl_ns <= IDLE; elsif(SPIXfer_done_int_pulse='1')then stop_clock <= SR_5_Tx_Empty; qspi_cntrl_ns <= TEMP_ADDR_SEND; else qspi_cntrl_ns <= TEMP_ADDR_SEND; end if; else stop_clock <= '0'; qspi_cntrl_ns <= ADDR_SEND; end if; when DATA_RECEIVE => mode_1 <= Data_Mode_1; mode_0 <= Data_Mode_0; --stop_clock <= SR_5_Tx_Empty; --if(no_slave_selected = '1')then -- qspi_cntrl_ns <= IDLE; --else -- qspi_cntrl_ns <= DATA_RECEIVE; --end if; if(SR_5_Tx_Empty='1')then if(no_slave_selected = '1')then qspi_cntrl_ns <= IDLE; else qspi_cntrl_ns <= TEMP_DATA_RECEIVE; end if; else qspi_cntrl_ns <= DATA_RECEIVE; end if; ------------------------------------------------ when TEMP_DATA_RECEIVE => mode_1 <= Data_Mode_1; mode_0 <= Data_Mode_0; stop_clock <= stop_clock_reg; if(SR_5_Tx_Empty='1')then if (no_slave_selected = '1')then qspi_cntrl_ns <= IDLE; elsif(SPIXfer_done_int_pulse='1')then stop_clock <= SR_5_Tx_Empty; qspi_cntrl_ns <= TEMP_DATA_RECEIVE; else qspi_cntrl_ns <= TEMP_DATA_RECEIVE; end if; else stop_clock <= '0'; qspi_cntrl_ns <= DATA_RECEIVE; end if; -- coverage off when others => qspi_cntrl_ns <= IDLE; ------------------------------------------------ -- coverage on end case; ------------------------------- end process QSPI_CNTRL_PROCESS; ------------------------------- pr_state_addr_ph <= '1' when (qspi_cntrl_ps = ADDR_SEND) else '0'; QSPI_ADDR_CNTR_PROCESS: process(Bus2IP_Clk)is ----- begin ----- if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then if(pr_state_addr_ph = '0') then addr_cnt <= (others => '0'); elsif(pr_state_addr_ph = '1')then --addr_cnt <= addr_cnt + SPIXfer_done_int_pulse_d2; addr_cnt <= addr_cnt + SPIXfer_done_int_pulse; end if; end if; end process QSPI_ADDR_CNTR_PROCESS; ----------------------------------- end generate QSPI_DUAL_MODE_MIXED_WB_MEM_GEN; ------------------------------------------ -------------------------------------------------- QSPI_QUAD_MODE_MIXED_WB_MEM_GEN: if (C_SPI_MODE = 2 and (C_SPI_MEMORY = 1 or C_SPI_MEMORY = 0 ) ) generate ------------------- begin ----- QSPI_CNTRL_PROCESS: process( --------------------- CMD_decoded , CMD_Error , CMD_Mode_1 , CMD_Mode_0 , --------------------- Addr_Phase , Addr_Bit , Addr_Mode_1 , Addr_Mode_0 , --------------------- Data_Phase , Data_Dir , Data_Mode_1 , Data_Mode_0 , --------------------- addr_cnt , Quad_Phase , --------------------- SR_5_Tx_Empty , --SR_6_Rx_Full , --SPIXfer_done_int_pulse_d2, SPIXfer_done_int_pulse, stop_clock_reg, --------------------- qspi_cntrl_ps , no_slave_selected --------------------- )is ----- begin ----- mode_1 <= '0'; mode_0 <= '0'; -------------- IO0_T_control <= '1'; IO1_T_control <= '1'; IO2_T_control <= '1'; IO3_T_control <= '1'; -------------- stop_clock <= '0'; case qspi_cntrl_ps is when IDLE => if((CMD_decoded = '1') and (CMD_Error = '0')-- proceed only when there is no command error )then qspi_cntrl_ns <= CMD_SEND; else qspi_cntrl_ns <= IDLE; -- CMD_DECODE; end if; stop_clock <= '1'; ------------------------------------------------ when CMD_SEND => mode_1 <= CMD_Mode_1; mode_0 <= CMD_Mode_0; IO0_T_control <= CMD_Mode_0; IO3_T_control <= not Quad_Phase;-- --if(SPIXfer_done_int_pulse_d2 = '1')then if(SPIXfer_done_int_pulse = '1')then if(Addr_Phase='1')then if(SR_5_Tx_Empty = '1') then stop_clock <= SR_5_Tx_Empty; qspi_cntrl_ns <= TEMP_ADDR_SEND; else qspi_cntrl_ns <= ADDR_SEND; end if; else qspi_cntrl_ns <= IDLE; end if; else qspi_cntrl_ns <= CMD_SEND; end if; ------------------------------------------------ when ADDR_SEND => mode_1 <= Addr_Mode_1; mode_0 <= Addr_Mode_0; IO0_T_control <= Addr_Mode_0 and Addr_Mode_1; IO1_T_control <= not(Addr_Mode_0 xor Addr_Mode_1); IO2_T_control <= (not Addr_Mode_1); IO3_T_control <= (not Addr_Mode_1); --stop_clock <= SR_5_Tx_Empty; if((SR_5_Tx_Empty='1') and (Data_Phase='0') )then if (no_slave_selected = '1')then qspi_cntrl_ns <= IDLE; else qspi_cntrl_ns <= TEMP_ADDR_SEND; end if; else if( (addr_cnt = "011") and -- 24 bit address (Addr_Bit='0') and(Data_Phase='1') )then if((Data_Dir='1'))then mode_1 <= Data_Mode_1; mode_0 <= Data_Mode_0; IO0_T_control <= '0'; -- data output IO1_T_control <= not(Data_Mode_1 xor Data_Mode_0); IO2_T_control <= not (Data_Mode_1);-- active only IO3_T_control <= not (Data_Mode_1);-- active only qspi_cntrl_ns <= DATA_SEND; -- o/p else IO0_T_control <= '1'; IO1_T_control <= '1'; IO2_T_control <= '1'; IO3_T_control <= '1'; qspi_cntrl_ns <= DATA_RECEIVE;-- i/p end if; -- -- coverage off -- -- below piece of code is for 32-bit address check, and left for future use -- elsif( -- (addr_cnt = "100") and -- 32 bit -- (Addr_Bit = '1') and (Data_Phase='1') -- )then -- if((Data_Dir='1'))then -- qspi_cntrl_ns <= DATA_SEND; -- o/p -- else -- qspi_cntrl_ns <= DATA_RECEIVE;-- i/p -- end if; -- -- coverage on else qspi_cntrl_ns <= ADDR_SEND; end if; end if; ------------------------------------------------ when TEMP_ADDR_SEND => mode_1 <= Addr_Mode_1; mode_0 <= Addr_Mode_0; IO0_T_control <= Addr_Mode_0 and Addr_Mode_1; IO1_T_control <= not(Addr_Mode_0 xor Addr_Mode_1); IO2_T_control <= (not Addr_Mode_1); IO3_T_control <= (not Addr_Mode_1); stop_clock <= stop_clock_reg; if(SR_5_Tx_Empty='1')then if (no_slave_selected = '1')then qspi_cntrl_ns <= IDLE; elsif(SPIXfer_done_int_pulse='1')then stop_clock <= SR_5_Tx_Empty; qspi_cntrl_ns <= TEMP_ADDR_SEND; else qspi_cntrl_ns <= TEMP_ADDR_SEND; end if; else stop_clock <= '0'; qspi_cntrl_ns <= ADDR_SEND; end if; ----------------------------------------------------------------------- when DATA_SEND => mode_1 <= Data_Mode_1; mode_0 <= Data_Mode_0; IO0_T_control <= '0'; -- data output active only in Dual mode IO1_T_control <= not(Data_Mode_1 xor Data_Mode_0); IO2_T_control <= not (Data_Mode_1);-- active only in quad mode IO3_T_control <= not (Data_Mode_1);-- active only in quad mode --stop_clock <= SR_5_Tx_Empty; if(SR_5_Tx_Empty='1')then if(no_slave_selected = '1')then qspi_cntrl_ns <= IDLE; else qspi_cntrl_ns <= TEMP_DATA_SEND; end if; else qspi_cntrl_ns <= DATA_SEND; end if; ------------------------------------------------ when TEMP_DATA_SEND => mode_1 <= Data_Mode_1; mode_0 <= Data_Mode_0; IO0_T_control <= '0'; -- data output active only in Dual mode IO1_T_control <= not(Data_Mode_1 xor Data_Mode_0); IO2_T_control <= not (Data_Mode_1);-- active only in quad mode IO3_T_control <= not (Data_Mode_1);-- active only in quad mode stop_clock <= stop_clock_reg; if(SR_5_Tx_Empty='1')then if (no_slave_selected = '1')then qspi_cntrl_ns <= IDLE; elsif(SPIXfer_done_int_pulse='1')then stop_clock <= SR_5_Tx_Empty; qspi_cntrl_ns <= TEMP_DATA_SEND; else qspi_cntrl_ns <= TEMP_DATA_SEND; end if; else stop_clock <= '0'; qspi_cntrl_ns <= DATA_SEND; end if; when DATA_RECEIVE => mode_1 <= Data_Mode_1; mode_0 <= Data_Mode_0; --stop_clock <= SR_5_Tx_Empty; if(SR_5_Tx_Empty='1')then if(no_slave_selected = '1')then qspi_cntrl_ns <= IDLE; else qspi_cntrl_ns <= TEMP_DATA_RECEIVE; end if; else qspi_cntrl_ns <= DATA_RECEIVE; end if; ------------------------------------------------ when TEMP_DATA_RECEIVE => mode_1 <= Data_Mode_1; mode_0 <= Data_Mode_0; stop_clock <= stop_clock_reg; if(SR_5_Tx_Empty='1')then if (no_slave_selected = '1')then qspi_cntrl_ns <= IDLE; elsif(SPIXfer_done_int_pulse='1')then stop_clock <= SR_5_Tx_Empty; qspi_cntrl_ns <= TEMP_DATA_RECEIVE; else qspi_cntrl_ns <= TEMP_DATA_RECEIVE; end if; else stop_clock <= '0'; qspi_cntrl_ns <= DATA_RECEIVE; end if; ------------------------------------------------ -- coverage off when others => qspi_cntrl_ns <= IDLE; ------------------------------------------------ -- coverage on end case; ------------------------------- end process QSPI_CNTRL_PROCESS; ------------------------------- pr_state_addr_ph <= '1' when qspi_cntrl_ps = ADDR_SEND else '0'; QSPI_ADDR_CNTR_PROCESS: process(Bus2IP_Clk)is ----- begin ----- if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then if(pr_state_addr_ph = '0') then addr_cnt <= (others => '0'); elsif(pr_state_addr_ph = '1')then --addr_cnt <= addr_cnt + SPIXfer_done_int_pulse_d2; addr_cnt <= addr_cnt + SPIXfer_done_int_pulse; end if; end if; end process QSPI_ADDR_CNTR_PROCESS; ----------------------------------- ------------------------------------------ end generate QSPI_QUAD_MODE_MIXED_WB_MEM_GEN; ------------------------------------------ -------------------------------------------------- QSPI_DUAL_MODE_NM_MEM_GEN: if C_SPI_MODE = 1 and (C_SPI_MEMORY = 2 ) generate ------------------- begin ----- QSPI_CNTRL_PROCESS: process( --------------------- CMD_decoded , CMD_Mode_1 , CMD_Mode_0 , CMD_Error , --------------------- Addr_Phase , Addr_Bit , Addr_Mode_1 , Addr_Mode_0 , --------------------- Data_Phase , Data_Dir , Data_Mode_1 , Data_Mode_0 , --------------------- addr_cnt , --------------------- SR_5_Tx_Empty , --SR_6_Rx_Full , --SPIXfer_done_int_pulse_d2, SPIXfer_done_int_pulse, stop_clock_reg, no_slave_selected , --------------------- qspi_cntrl_ps --------------------- )is ----- begin ----- mode_1 <= '0'; mode_0 <= '0'; -------------- IO0_T_control <= '1'; IO1_T_control <= '1'; -------------- stop_clock <= '0'; -------------- case qspi_cntrl_ps is when IDLE => if((CMD_decoded = '1') and (CMD_Error = '0')-- proceed only when there is no command error )then qspi_cntrl_ns <= CMD_SEND; else qspi_cntrl_ns <= IDLE; end if; stop_clock <= '1'; ------------------------------------------------ when CMD_SEND => mode_1 <= CMD_Mode_1; mode_0 <= CMD_Mode_0; IO0_T_control <= CMD_Mode_1; --if(SPIXfer_done_int_pulse_d2 = '1')then if(SPIXfer_done_int_pulse = '1')then if(Addr_Phase='1')then if(SR_5_Tx_Empty = '1') then stop_clock <= SR_5_Tx_Empty; qspi_cntrl_ns <= TEMP_ADDR_SEND; else qspi_cntrl_ns <= ADDR_SEND; end if; else qspi_cntrl_ns <= IDLE; end if; else qspi_cntrl_ns <= CMD_SEND; end if; ------------------------------------------------ when ADDR_SEND => mode_1 <= Addr_Mode_1; mode_0 <= Addr_Mode_0; IO0_T_control <= Addr_Mode_0 and Addr_Mode_1; IO1_T_control <= not(Addr_Mode_0); --stop_clock <= SR_5_Tx_Empty; if((SR_5_Tx_Empty='1') and (Data_Phase='0') )then if (no_slave_selected = '1')then qspi_cntrl_ns <= IDLE; else qspi_cntrl_ns <= TEMP_ADDR_SEND; end if; else if( (addr_cnt = "011") and -- 24 bit address (Addr_Bit='0') and (Data_Phase='1') )then if((Data_Dir='1'))then mode_1 <= Data_Mode_1; mode_0 <= Data_Mode_0; IO0_T_control <= Data_Mode_1; IO1_T_control <= not(Data_Mode_0); qspi_cntrl_ns <= DATA_SEND; -- o/p else IO0_T_control <= '1'; IO1_T_control <= '1'; qspi_cntrl_ns <= DATA_RECEIVE;-- i/p end if; elsif( (addr_cnt = "100") and -- 32 bit (Addr_Bit = '1') and (Data_Phase='1') ) then --if((Data_Dir='1'))then -- qspi_cntrl_ns <= DATA_SEND; -- o/p --else IO0_T_control <= '1'; IO1_T_control <= '1'; qspi_cntrl_ns <= DATA_RECEIVE;-- i/p --end if; else qspi_cntrl_ns <= ADDR_SEND; end if; end if; -- ------------------------------------------------ when TEMP_ADDR_SEND => mode_1 <= Addr_Mode_1; mode_0 <= Addr_Mode_0; IO0_T_control <= Addr_Mode_0 and Addr_Mode_1; IO1_T_control <= not(Addr_Mode_0 xor Addr_Mode_1);-- (Addr_Mode_1) or(not Addr_Mode_0); stop_clock <= stop_clock_reg; if(SR_5_Tx_Empty='1')then if (no_slave_selected = '1')then qspi_cntrl_ns <= IDLE; elsif(SPIXfer_done_int_pulse='1')then stop_clock <= SR_5_Tx_Empty; qspi_cntrl_ns <= TEMP_ADDR_SEND; else qspi_cntrl_ns <= TEMP_ADDR_SEND; end if; else stop_clock <= '0'; qspi_cntrl_ns <= ADDR_SEND; end if; when DATA_SEND => mode_1 <= Data_Mode_1; mode_0 <= Data_Mode_0; IO0_T_control <= Data_Mode_1; IO1_T_control <= not(Data_Mode_0); --stop_clock <= SR_5_Tx_Empty; if(no_slave_selected = '1')then qspi_cntrl_ns <= IDLE; else qspi_cntrl_ns <= TEMP_DATA_SEND; end if; ------------------------------------------------ when TEMP_DATA_SEND => mode_1 <= Data_Mode_1; mode_0 <= Data_Mode_0; IO0_T_control <= Data_Mode_1; IO1_T_control <= not(Data_Mode_0); stop_clock <= stop_clock_reg; if(SR_5_Tx_Empty='1')then if (no_slave_selected = '1')then qspi_cntrl_ns <= IDLE; elsif(SPIXfer_done_int_pulse='1')then stop_clock <= SR_5_Tx_Empty; qspi_cntrl_ns <= TEMP_DATA_SEND; else qspi_cntrl_ns <= TEMP_DATA_SEND; end if; else stop_clock <= '0'; qspi_cntrl_ns <= DATA_SEND; end if; when DATA_RECEIVE => mode_1 <= Data_Mode_1; mode_0 <= Data_Mode_0; --stop_clock <= SR_5_Tx_Empty; if(SR_5_Tx_Empty='1')then if(no_slave_selected = '1')then qspi_cntrl_ns <= IDLE; else mode_1 <= Data_Mode_1; mode_0 <= Data_Mode_0; qspi_cntrl_ns <= TEMP_DATA_RECEIVE; end if; else qspi_cntrl_ns <= DATA_RECEIVE; end if; ------------------------------------------------ when TEMP_DATA_RECEIVE => mode_1 <= Data_Mode_1; mode_0 <= Data_Mode_0; stop_clock <= stop_clock_reg; if(SR_5_Tx_Empty='1')then if (no_slave_selected = '1')then qspi_cntrl_ns <= IDLE; elsif(SPIXfer_done_int_pulse='1')then stop_clock <= SR_5_Tx_Empty; qspi_cntrl_ns <= TEMP_DATA_RECEIVE; else qspi_cntrl_ns <= TEMP_DATA_RECEIVE; end if; else stop_clock <= '0'; qspi_cntrl_ns <= DATA_RECEIVE; end if; -- coverage off when others => qspi_cntrl_ns <= IDLE; ------------------------------------------------ -- coverage on end case; ------------------------------- end process QSPI_CNTRL_PROCESS; ------------------------------- pr_state_addr_ph <= '1' when qspi_cntrl_ps = ADDR_SEND else '0'; QSPI_ADDR_CNTR_PROCESS: process(Bus2IP_Clk)is ----- begin ----- if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then if(pr_state_addr_ph = '0') then addr_cnt <= (others => '0'); elsif(pr_state_addr_ph = '1')then --addr_cnt <= addr_cnt + SPIXfer_done_int_pulse_d2; addr_cnt <= addr_cnt + SPIXfer_done_int_pulse; end if; end if; end process QSPI_ADDR_CNTR_PROCESS; ----------------------------------- end generate QSPI_DUAL_MODE_NM_MEM_GEN; -------------------------------- QSPI_DUAL_MODE_SP_MEM_GEN: if C_SPI_MODE = 1 and (C_SPI_MEMORY = 3) generate ------------------- begin ----- QSPI_CNTRL_PROCESS: process( --------------------- CMD_decoded , CMD_Mode_1 , CMD_Mode_0 , CMD_Error , --------------------- Addr_Phase , Addr_Bit , Addr_Mode_1 , Addr_Mode_0 , --------------------- Data_Phase , Data_Dir , Data_Mode_1 , Data_Mode_0 , --------------------- addr_cnt , --------------------- SR_5_Tx_Empty , --SR_6_Rx_Full , --SPIXfer_done_int_pulse_d2, SPIXfer_done_int_pulse, stop_clock_reg, no_slave_selected , --------------------- qspi_cntrl_ps --------------------- )is ----- begin ----- mode_1 <= '0'; mode_0 <= '0'; -------------- IO0_T_control <= '1'; IO1_T_control <= '1'; -------------- stop_clock <= '0'; -------------- case qspi_cntrl_ps is when IDLE => if((CMD_decoded = '1') and (CMD_Error = '0')-- proceed only when there is no command error )then qspi_cntrl_ns <= CMD_SEND; else qspi_cntrl_ns <= IDLE; end if; stop_clock <= '1'; ------------------------------------------------ when CMD_SEND => mode_1 <= CMD_Mode_1; mode_0 <= CMD_Mode_0; IO0_T_control <= CMD_Mode_1; --if(SPIXfer_done_int_pulse_d2 = '1')then if(SPIXfer_done_int_pulse = '1')then if(Addr_Phase='1')then if(SR_5_Tx_Empty = '1') then stop_clock <= SR_5_Tx_Empty; qspi_cntrl_ns <= TEMP_ADDR_SEND; else qspi_cntrl_ns <= ADDR_SEND; end if; else qspi_cntrl_ns <= IDLE; end if; else qspi_cntrl_ns <= CMD_SEND; end if; ------------------------------------------------ when ADDR_SEND => mode_1 <= Addr_Mode_1; mode_0 <= Addr_Mode_0; IO0_T_control <= Addr_Mode_0 and Addr_Mode_1; IO1_T_control <= not(Addr_Mode_0); --stop_clock <= SR_5_Tx_Empty; if((SR_5_Tx_Empty='1') and (Data_Phase='0') )then if (no_slave_selected = '1')then qspi_cntrl_ns <= IDLE; else qspi_cntrl_ns <= TEMP_ADDR_SEND; end if; else if( (addr_cnt = "011") and -- 24 bit address (Addr_Bit='0') and (Data_Phase='1') )then if((Data_Dir='1'))then mode_1 <= Data_Mode_1; mode_0 <= Data_Mode_0; IO0_T_control <= Data_Mode_1; IO1_T_control <= not(Data_Mode_0); qspi_cntrl_ns <= DATA_SEND; -- o/p else IO0_T_control <= '1'; IO1_T_control <= '1'; qspi_cntrl_ns <= DATA_RECEIVE;-- i/p end if; elsif( (addr_cnt = "100") and -- 32 bit (Addr_Bit = '1') and (Data_Phase='1') ) then --if((Data_Dir='1'))then -- qspi_cntrl_ns <= DATA_SEND; -- o/p --else IO0_T_control <= '1'; IO1_T_control <= '1'; qspi_cntrl_ns <= DATA_RECEIVE;-- i/p --end if; else qspi_cntrl_ns <= ADDR_SEND; end if; end if; -- ------------------------------------------------ when TEMP_ADDR_SEND => mode_1 <= Addr_Mode_1; mode_0 <= Addr_Mode_0; IO0_T_control <= Addr_Mode_0 and Addr_Mode_1; IO1_T_control <= not(Addr_Mode_0 xor Addr_Mode_1);-- (Addr_Mode_1) or(not Addr_Mode_0); stop_clock <= stop_clock_reg; if(SR_5_Tx_Empty='1')then if (no_slave_selected = '1')then qspi_cntrl_ns <= IDLE; elsif(SPIXfer_done_int_pulse='1')then stop_clock <= SR_5_Tx_Empty; qspi_cntrl_ns <= TEMP_ADDR_SEND; else qspi_cntrl_ns <= TEMP_ADDR_SEND; end if; else stop_clock <= '0'; qspi_cntrl_ns <= ADDR_SEND; end if; when DATA_SEND => mode_1 <= Data_Mode_1; mode_0 <= Data_Mode_0; IO0_T_control <= Data_Mode_1; IO1_T_control <= not(Data_Mode_0); --stop_clock <= SR_5_Tx_Empty; if(no_slave_selected = '1')then qspi_cntrl_ns <= IDLE; else qspi_cntrl_ns <= TEMP_DATA_SEND; end if; ------------------------------------------------ when TEMP_DATA_SEND => mode_1 <= Data_Mode_1; mode_0 <= Data_Mode_0; IO0_T_control <= Data_Mode_1; IO1_T_control <= not(Data_Mode_0); stop_clock <= stop_clock_reg; if(SR_5_Tx_Empty='1')then if (no_slave_selected = '1')then qspi_cntrl_ns <= IDLE; elsif(SPIXfer_done_int_pulse='1')then stop_clock <= SR_5_Tx_Empty; qspi_cntrl_ns <= TEMP_DATA_SEND; else qspi_cntrl_ns <= TEMP_DATA_SEND; end if; else stop_clock <= '0'; qspi_cntrl_ns <= DATA_SEND; end if; when DATA_RECEIVE => mode_1 <= Data_Mode_1; mode_0 <= Data_Mode_0; --stop_clock <= SR_5_Tx_Empty; if(SR_5_Tx_Empty='1')then if(no_slave_selected = '1')then qspi_cntrl_ns <= IDLE; else mode_1 <= Data_Mode_1; mode_0 <= Data_Mode_0; qspi_cntrl_ns <= TEMP_DATA_RECEIVE; end if; else qspi_cntrl_ns <= DATA_RECEIVE; end if; ------------------------------------------------ when TEMP_DATA_RECEIVE => mode_1 <= Data_Mode_1; mode_0 <= Data_Mode_0; stop_clock <= stop_clock_reg; if(SR_5_Tx_Empty='1')then if (no_slave_selected = '1')then qspi_cntrl_ns <= IDLE; elsif(SPIXfer_done_int_pulse='1')then stop_clock <= SR_5_Tx_Empty; qspi_cntrl_ns <= TEMP_DATA_RECEIVE; else qspi_cntrl_ns <= TEMP_DATA_RECEIVE; end if; else stop_clock <= '0'; qspi_cntrl_ns <= DATA_RECEIVE; end if; -- coverage off when others => qspi_cntrl_ns <= IDLE; ------------------------------------------------ -- coverage on end case; ------------------------------- end process QSPI_CNTRL_PROCESS; ------------------------------- pr_state_addr_ph <= '1' when qspi_cntrl_ps = ADDR_SEND else '0'; QSPI_ADDR_CNTR_PROCESS: process(Bus2IP_Clk)is ----- begin ----- if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then if(pr_state_addr_ph = '0') then addr_cnt <= (others => '0'); elsif(pr_state_addr_ph = '1')then --addr_cnt <= addr_cnt + SPIXfer_done_int_pulse_d2; addr_cnt <= addr_cnt + SPIXfer_done_int_pulse; end if; end if; end process QSPI_ADDR_CNTR_PROCESS; ----------------------------------- end generate QSPI_DUAL_MODE_SP_MEM_GEN; -------------------------------- -------------------------------------------------- QSPI_QUAD_MODE_NM_MEM_GEN: if C_SPI_MODE = 2 and (C_SPI_MEMORY = 2 )generate ------------------- begin ----- QSPI_CNTRL_PROCESS: process( --------------------- CMD_decoded , CMD_Mode_1 , CMD_Mode_0 , CMD_Error , --------------------- Addr_Phase , Addr_Bit , Addr_Mode_1 , Addr_Mode_0 , --------------------- Data_Phase , Data_Dir , Data_Mode_1 , Data_Mode_0 , --------------------- addr_cnt , Quad_Phase , --------------------- SR_5_Tx_Empty , --SPIXfer_done_int_pulse_d2, SPIXfer_done_int_pulse, stop_clock_reg, no_slave_selected , --------------------- qspi_cntrl_ps --------------------- )is ----- begin ----- mode_1 <= '0'; mode_0 <= '0'; -------------- IO0_T_control <= '1'; IO1_T_control <= '1'; IO2_T_control <= '1'; IO3_T_control <= '1'; ------------- stop_clock <= '0'; case qspi_cntrl_ps is when IDLE => if((CMD_decoded = '1') and (CMD_Error = '0')-- proceed only when there is no command error )then qspi_cntrl_ns <= CMD_SEND; else qspi_cntrl_ns <= IDLE; end if; stop_clock <= '1'; ------------------------------------------------ when CMD_SEND => mode_1 <= CMD_Mode_1; mode_0 <= CMD_Mode_0; IO0_T_control <= CMD_Mode_0; IO3_T_control <= not Quad_Phase;-- this is due to sending '1' on DQ3 line during command phase for Quad instructions only. --if(SPIXfer_done_int_pulse_d2 = '1')then if(SPIXfer_done_int_pulse = '1')then if(Addr_Phase='1')then if(SR_5_Tx_Empty = '1') then stop_clock <= SR_5_Tx_Empty; qspi_cntrl_ns <= TEMP_ADDR_SEND; else qspi_cntrl_ns <= ADDR_SEND; end if; else qspi_cntrl_ns <= IDLE; end if; else qspi_cntrl_ns <= CMD_SEND; end if; ------------------------------------------------ when ADDR_SEND => mode_1 <= Addr_Mode_1; mode_0 <= Addr_Mode_0; IO0_T_control <= Addr_Mode_0 and Addr_Mode_1; IO1_T_control <= not(Addr_Mode_0 xor Addr_Mode_1); IO2_T_control <= (not Addr_Mode_1); IO3_T_control <= (not Addr_Mode_1); --stop_clock <= SR_5_Tx_Empty; if((SR_5_Tx_Empty='1') and (Data_Phase='0') )then if (no_slave_selected = '1')then qspi_cntrl_ns <= IDLE; else qspi_cntrl_ns <= TEMP_ADDR_SEND; end if; else if( (addr_cnt = "011") and -- 24 bit address (Addr_Bit='0') and (Data_Phase='1') )then if((Data_Dir='1'))then mode_1 <= Data_Mode_1; mode_0 <= Data_Mode_0; IO0_T_control <= '0'; IO1_T_control <= not(Data_Mode_1 xor Data_Mode_0); IO2_T_control <= not (Data_Mode_1); IO3_T_control <= not (Data_Mode_1); qspi_cntrl_ns <= DATA_SEND; -- o/p else --mode_1 <= Data_Mode_1; --mode_0 <= Data_Mode_0; IO0_T_control <= '1'; IO1_T_control <= '1'; IO2_T_control <= '1'; IO3_T_control <= '1'; qspi_cntrl_ns <= DATA_RECEIVE;-- i/p end if; elsif( (addr_cnt = "100") and -- 32 bit (Addr_Bit = '1') and (Data_Phase='1') ) then --if((Data_Dir='1'))then -- qspi_cntrl_ns <= DATA_SEND; -- o/p --else IO0_T_control <= '1'; IO1_T_control <= '1'; IO2_T_control <= '1'; IO3_T_control <= '1'; mode_1 <= Data_Mode_1; mode_0 <= Data_Mode_0; qspi_cntrl_ns <= DATA_RECEIVE;-- i/p --end if; else qspi_cntrl_ns <= ADDR_SEND; end if; end if; -- ------------------------------------------------ when TEMP_ADDR_SEND => mode_1 <= Addr_Mode_1; mode_0 <= Addr_Mode_0; IO0_T_control <= Addr_Mode_0 and Addr_Mode_1; IO1_T_control <= not(Addr_Mode_0 xor Addr_Mode_1); IO2_T_control <= (not Addr_Mode_1); IO3_T_control <= (not Addr_Mode_1); stop_clock <= stop_clock_reg; if(SR_5_Tx_Empty='1')then if (no_slave_selected = '1')then qspi_cntrl_ns <= IDLE; elsif(SPIXfer_done_int_pulse='1')then stop_clock <= SR_5_Tx_Empty; qspi_cntrl_ns <= TEMP_ADDR_SEND; else qspi_cntrl_ns <= TEMP_ADDR_SEND; end if; else stop_clock <= '0'; qspi_cntrl_ns <= ADDR_SEND; end if; when DATA_SEND => mode_1 <= Data_Mode_1; mode_0 <= Data_Mode_0; IO0_T_control <= '0'; IO1_T_control <= not(Data_Mode_1 xor Data_Mode_0); IO2_T_control <= not (Data_Mode_1); IO3_T_control <= not (Data_Mode_1); --stop_clock <= SR_5_Tx_Empty; if(SR_5_Tx_Empty='1')then if(no_slave_selected = '1')then qspi_cntrl_ns <= IDLE; else qspi_cntrl_ns <= TEMP_DATA_SEND; end if; else qspi_cntrl_ns <= DATA_SEND; end if; ------------------------------------------------ when TEMP_DATA_SEND=> mode_1 <= Data_Mode_1; mode_0 <= Data_Mode_0; IO0_T_control <= '0'; IO1_T_control <= not(Data_Mode_1 xor Data_Mode_0); IO2_T_control <= not (Data_Mode_1); IO3_T_control <= not (Data_Mode_1); stop_clock <= stop_clock_reg; if(SR_5_Tx_Empty='1')then if (no_slave_selected = '1')then qspi_cntrl_ns <= IDLE; elsif(SPIXfer_done_int_pulse='1')then stop_clock <= SR_5_Tx_Empty; qspi_cntrl_ns <= TEMP_DATA_SEND; else qspi_cntrl_ns <= TEMP_DATA_SEND; end if; else stop_clock <= '0'; qspi_cntrl_ns <= DATA_SEND; end if; when DATA_RECEIVE => mode_1 <= Data_Mode_1; mode_0 <= Data_Mode_0; --stop_clock <= SR_5_Tx_Empty; if(SR_5_Tx_Empty='1')then if(no_slave_selected = '1')then qspi_cntrl_ns <= IDLE; else qspi_cntrl_ns <= TEMP_DATA_RECEIVE; end if; else qspi_cntrl_ns <= DATA_RECEIVE; end if; ------------------------------------------------ when TEMP_DATA_RECEIVE => mode_1 <= Data_Mode_1; mode_0 <= Data_Mode_0; stop_clock <= stop_clock_reg; if(SR_5_Tx_Empty='1')then if (no_slave_selected = '1')then qspi_cntrl_ns <= IDLE; elsif(SPIXfer_done_int_pulse='1')then stop_clock <= SR_5_Tx_Empty; qspi_cntrl_ns <= TEMP_DATA_RECEIVE; else qspi_cntrl_ns <= TEMP_DATA_RECEIVE; end if; else stop_clock <= '0'; qspi_cntrl_ns <= DATA_RECEIVE; end if; ------------------------------------------------ -- coverage off when others => qspi_cntrl_ns <= IDLE; -- CMD_DECODE; ------------------------------------------------ -- coverage on end case; ------------------------------- end process QSPI_CNTRL_PROCESS; ------------------------------- pr_state_addr_ph <= '1' when qspi_cntrl_ps = ADDR_SEND else '0'; QSPI_ADDR_CNTR_PROCESS: process(Bus2IP_Clk)is ----- begin ----- if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then if(pr_state_addr_ph = '0') then addr_cnt <= (others => '0'); elsif(pr_state_addr_ph = '1')then --addr_cnt <= addr_cnt + SPIXfer_done_int_pulse_d2; addr_cnt <= addr_cnt + SPIXfer_done_int_pulse; end if; end if; end process QSPI_ADDR_CNTR_PROCESS; ----------------------------------- end generate QSPI_QUAD_MODE_NM_MEM_GEN; --------------------------------------- QSPI_QUAD_MODE_SP_MEM_GEN: if C_SPI_MODE = 2 and (C_SPI_MEMORY = 3)generate ------------------- begin ----- QSPI_CNTRL_PROCESS: process( --------------------- CMD_decoded , CMD_Mode_1 , CMD_Mode_0 , CMD_Error , --------------------- Addr_Phase , Addr_Bit , Addr_Mode_1 , Addr_Mode_0 , --------------------- Data_Phase , Data_Dir , Data_Mode_1 , Data_Mode_0 , --------------------- addr_cnt , Quad_Phase , --------------------- SR_5_Tx_Empty , --SPIXfer_done_int_pulse_d2, SPIXfer_done_int_pulse, stop_clock_reg, no_slave_selected , --------------------- qspi_cntrl_ps --------------------- )is ----- begin ----- mode_1 <= '0'; mode_0 <= '0'; -------------- IO0_T_control <= '1'; IO1_T_control <= '1'; IO2_T_control <= '1'; IO3_T_control <= '1'; ------------- stop_clock <= '0'; case qspi_cntrl_ps is when IDLE => if((CMD_decoded = '1') and (CMD_Error = '0')-- proceed only when there is no command error )then qspi_cntrl_ns <= CMD_SEND; else qspi_cntrl_ns <= IDLE; end if; stop_clock <= '1'; ------------------------------------------------ when CMD_SEND => mode_1 <= CMD_Mode_1; mode_0 <= CMD_Mode_0; IO0_T_control <= CMD_Mode_0; IO3_T_control <= not Quad_Phase;-- this is due to sending '1' on DQ3 line during command phase for Quad instructions only. --if(SPIXfer_done_int_pulse_d2 = '1')then if(SPIXfer_done_int_pulse = '1')then if(Addr_Phase='1')then if(SR_5_Tx_Empty = '1') then stop_clock <= SR_5_Tx_Empty; qspi_cntrl_ns <= TEMP_ADDR_SEND; else qspi_cntrl_ns <= ADDR_SEND; end if; else qspi_cntrl_ns <= IDLE; end if; else qspi_cntrl_ns <= CMD_SEND; end if; ------------------------------------------------ when ADDR_SEND => mode_1 <= Addr_Mode_1; mode_0 <= Addr_Mode_0; IO0_T_control <= Addr_Mode_0 and Addr_Mode_1; IO1_T_control <= not(Addr_Mode_0 xor Addr_Mode_1); IO2_T_control <= (not Addr_Mode_1); IO3_T_control <= (not Addr_Mode_1); --stop_clock <= SR_5_Tx_Empty; if((SR_5_Tx_Empty='1') and (Data_Phase='0') )then if (no_slave_selected = '1')then qspi_cntrl_ns <= IDLE; else qspi_cntrl_ns <= TEMP_ADDR_SEND; end if; else if( (addr_cnt = "011") and -- 24 bit address (Addr_Bit='0') and (Data_Phase='1') )then if((Data_Dir='1'))then mode_1 <= Data_Mode_1; mode_0 <= Data_Mode_0; IO0_T_control <= '0'; IO1_T_control <= not(Data_Mode_1 xor Data_Mode_0); IO2_T_control <= not (Data_Mode_1); IO3_T_control <= not (Data_Mode_1); qspi_cntrl_ns <= DATA_SEND; -- o/p else --mode_1 <= Data_Mode_1; --mode_0 <= Data_Mode_0; IO0_T_control <= '1'; IO1_T_control <= '1'; IO2_T_control <= '1'; IO3_T_control <= '1'; qspi_cntrl_ns <= DATA_RECEIVE;-- i/p end if; elsif( (addr_cnt = "100") and -- 32 bit (Addr_Bit = '1') and (Data_Phase='1') ) then if((Data_Dir='1'))then mode_1 <= Data_Mode_1; mode_0 <= Data_Mode_0; IO0_T_control <= '0'; IO1_T_control <= not(Data_Mode_1 xor Data_Mode_0); IO2_T_control <= not (Data_Mode_1); IO3_T_control <= not (Data_Mode_1); qspi_cntrl_ns <= DATA_SEND; -- o/p else IO0_T_control <= '1'; IO1_T_control <= '1'; IO2_T_control <= '1'; IO3_T_control <= '1'; mode_1 <= Data_Mode_1; mode_0 <= Data_Mode_0; qspi_cntrl_ns <= DATA_RECEIVE;-- i/p end if; else qspi_cntrl_ns <= ADDR_SEND; end if; end if; -- ------------------------------------------------ when TEMP_ADDR_SEND => mode_1 <= Addr_Mode_1; mode_0 <= Addr_Mode_0; IO0_T_control <= Addr_Mode_0 and Addr_Mode_1; IO1_T_control <= not(Addr_Mode_0 xor Addr_Mode_1); IO2_T_control <= (not Addr_Mode_1); IO3_T_control <= (not Addr_Mode_1); stop_clock <= stop_clock_reg; if(SR_5_Tx_Empty='1')then if (no_slave_selected = '1')then qspi_cntrl_ns <= IDLE; elsif(SPIXfer_done_int_pulse='1')then stop_clock <= SR_5_Tx_Empty; qspi_cntrl_ns <= TEMP_ADDR_SEND; else qspi_cntrl_ns <= TEMP_ADDR_SEND; end if; else stop_clock <= '0'; qspi_cntrl_ns <= ADDR_SEND; end if; when DATA_SEND => mode_1 <= Data_Mode_1; mode_0 <= Data_Mode_0; IO0_T_control <= '0'; IO1_T_control <= not(Data_Mode_1 xor Data_Mode_0); IO2_T_control <= not (Data_Mode_1); IO3_T_control <= not (Data_Mode_1); --stop_clock <= SR_5_Tx_Empty; if(SR_5_Tx_Empty='1')then if(no_slave_selected = '1')then qspi_cntrl_ns <= IDLE; else qspi_cntrl_ns <= TEMP_DATA_SEND; end if; else qspi_cntrl_ns <= DATA_SEND; end if; ------------------------------------------------ when TEMP_DATA_SEND=> mode_1 <= Data_Mode_1; mode_0 <= Data_Mode_0; IO0_T_control <= '0'; IO1_T_control <= not(Data_Mode_1 xor Data_Mode_0); IO2_T_control <= not (Data_Mode_1); IO3_T_control <= not (Data_Mode_1); stop_clock <= stop_clock_reg; if(SR_5_Tx_Empty='1')then if (no_slave_selected = '1')then qspi_cntrl_ns <= IDLE; elsif(SPIXfer_done_int_pulse='1')then stop_clock <= SR_5_Tx_Empty; qspi_cntrl_ns <= TEMP_DATA_SEND; else qspi_cntrl_ns <= TEMP_DATA_SEND; end if; else stop_clock <= '0'; qspi_cntrl_ns <= DATA_SEND; end if; when DATA_RECEIVE => mode_1 <= Data_Mode_1; mode_0 <= Data_Mode_0; --stop_clock <= SR_5_Tx_Empty; if(SR_5_Tx_Empty='1')then if(no_slave_selected = '1')then qspi_cntrl_ns <= IDLE; else qspi_cntrl_ns <= TEMP_DATA_RECEIVE; end if; else qspi_cntrl_ns <= DATA_RECEIVE; end if; ------------------------------------------------ when TEMP_DATA_RECEIVE => mode_1 <= Data_Mode_1; mode_0 <= Data_Mode_0; stop_clock <= stop_clock_reg; if(SR_5_Tx_Empty='1')then if (no_slave_selected = '1')then qspi_cntrl_ns <= IDLE; elsif(SPIXfer_done_int_pulse='1')then stop_clock <= SR_5_Tx_Empty; qspi_cntrl_ns <= TEMP_DATA_RECEIVE; else qspi_cntrl_ns <= TEMP_DATA_RECEIVE; end if; else stop_clock <= '0'; qspi_cntrl_ns <= DATA_RECEIVE; end if; ------------------------------------------------ -- coverage off when others => qspi_cntrl_ns <= IDLE; -- CMD_DECODE; ------------------------------------------------ -- coverage on end case; ------------------------------- end process QSPI_CNTRL_PROCESS; ------------------------------- pr_state_addr_ph <= '1' when qspi_cntrl_ps = ADDR_SEND else '0'; QSPI_ADDR_CNTR_PROCESS: process(Bus2IP_Clk)is ----- begin ----- if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then if(pr_state_addr_ph = '0') then addr_cnt <= (others => '0'); elsif(pr_state_addr_ph = '1')then --addr_cnt <= addr_cnt + SPIXfer_done_int_pulse_d2; addr_cnt <= addr_cnt + SPIXfer_done_int_pulse; end if; end if; end process QSPI_ADDR_CNTR_PROCESS; ----------------------------------- end generate QSPI_QUAD_MODE_SP_MEM_GEN; --------------------------------------- ------------------------------------------------------------------------------- -- RATIO_NOT_EQUAL_4_GENERATE : Logic to be used when C_SCK_RATIO is not equal -- to 4 ------------------------------- RATIO_NOT_EQUAL_4_GENERATE: if(C_SCK_RATIO /= 4) generate ----- begin ----- SCK_O_NQ_4_NO_STARTUP_USED: if (C_USE_STARTUP = 0) generate ---------------- attribute IOB : string; attribute IOB of SCK_O_NE_4_FDRE_INST : label is "true"; signal slave_mode : std_logic; ---------------- begin ----- ------------------------------------------------------------------------------- -- SCK_O_SELECT_PROCESS : Select the idle state (CPOL bit) when not transfering -- data else select the clock for slave device ------------------------- SCK_O_NQ_4_SELECT_PROCESS: process(--Mst_N_Slv ,-- in master mode sck_o_int ,-- value driven on sck_int CPOL ,-- CPOL mode thr SPICR transfer_start , transfer_start_d1 , Count(COUNT_WIDTH), pr_state_non_idle -- State machine is in Non-idle state )is begin if((transfer_start = '1') and (transfer_start_d1 = '1') and --(Count(COUNT_WIDTH) = '0')and (pr_state_non_idle = '1') ) then sck_o_in <= sck_o_int; else sck_o_in <= CPOL; end if; end process SCK_O_NQ_4_SELECT_PROCESS; --------------------------------- slave_mode <= not (Mst_N_Slv); -- create the reset condition by inverting the mst_n_slv signal. 1 - master mode, 0 - slave mode. -- FDRE: Single Data Rate D Flip-Flop with Synchronous Reset and -- Clock Enable (posedge clk). during slave mode no clock should be generated from the core. SCK_O_NE_4_FDRE_INST : component FDRE generic map ( INIT => '0' ) -- Initial value of register (’0’ or ’1’) port map ( Q => SCK_O_reg, -- Data output C => Bus2IP_Clk, -- Clock input CE => '1', -- Clock enable input R => slave_mode, -- Synchronous reset input D => sck_o_in -- Data input ); end generate SCK_O_NQ_4_NO_STARTUP_USED; ------------------------------- SCK_O_NQ_4_STARTUP_USED: if (C_USE_STARTUP = 1) generate ------------- begin ----- ------------------------------------------------------------------------------- -- SCK_O_SELECT_PROCESS : Select the idle state (CPOL bit) when not transfering -- data else select the clock for slave device ------------------------- SCK_O_NQ_4_SELECT_PROCESS: process(sck_o_int , CPOL , transfer_start , transfer_start_d1 , Count(COUNT_WIDTH) )is begin if((transfer_start = '1') and (transfer_start_d1 = '1') --and --(Count(COUNT_WIDTH) = '0') ) then sck_o_in <= sck_o_int; else sck_o_in <= CPOL; end if; end process SCK_O_NQ_4_SELECT_PROCESS; --------------------------------- --------------------------------------------------------------------------- -- SCK_O_FINAL_PROCESS : Register the final SCK_O_reg ------------------------ SCK_O_NQ_4_FINAL_PROCESS: process(Bus2IP_Clk) ----- begin ----- if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then --If Soft_Reset_op or slave Mode.Prevents SCK_O_reg to be generated in slave if((Soft_Reset_op = RESET_ACTIVE) ) then SCK_O_reg <= '0'; elsif((pr_state_non_idle='0') or -- dont allow sck to go out when (Mst_N_Slv = '0'))then -- SM is in IDLE state or core in slave mode SCK_O_reg <= '0'; else SCK_O_reg <= sck_o_in; end if; end if; end process SCK_O_NQ_4_FINAL_PROCESS; ------------------------------------- end generate SCK_O_NQ_4_STARTUP_USED; ------------------------------------- end generate RATIO_NOT_EQUAL_4_GENERATE; ------------------------------------------------------------------------------- -- RATIO_OF_4_GENERATE : Logic to be used when C_SCK_RATIO is equal to 4 ------------------------ RATIO_OF_4_GENERATE: if(C_SCK_RATIO = 4) generate ----- begin ----- ------------------------------------------------------------------------------- -- SCK_O_FINAL_PROCESS : Select the idle state (CPOL bit) when not transfering -- data else select the clock for slave device ------------------------ -- A work around to reduce one clock cycle for sck_o generation. This would -- allow for proper shifting of data bits into the slave device. -- Removing the final stage F/F. Disadvantage of not registering final output ------------------------------------------------------------------------------- SCK_O_EQ_4_NO_STARTUP_USED: if (C_USE_STARTUP = 0) generate ---------------- attribute IOB : string; attribute IOB of SCK_O_EQ_4_FDRE_INST : label is "true"; signal slave_mode : std_logic; ---------------- begin ----- SCK_O_EQ_4_FINAL_PROCESS: process(Mst_N_Slv ,-- in master mode sck_o_int ,-- value driven on sck_int CPOL ,-- CPOL mode thr SPICR transfer_start , transfer_start_d1 , Count(COUNT_WIDTH), pr_state_non_idle -- State machine is in Non-idle state )is ----- begin ----- if(--(Mst_N_Slv = '1') and (transfer_start = '1') and (transfer_start_d1 = '1') and (Count(COUNT_WIDTH) = '0')and (pr_state_non_idle = '1') ) then SCK_O_1 <= sck_o_int; else SCK_O_1 <= CPOL and Mst_N_Slv; end if; end process SCK_O_EQ_4_FINAL_PROCESS; ------------------------------------- slave_mode <= not (Mst_N_Slv);-- dont allow SPI clock to go out when core is in slave mode. -- FDRE: Single Data Rate D Flip-Flop with Synchronous Reset and -- Clock Enable (posedge clk). SCK_O_EQ_4_FDRE_INST : component FDRE generic map ( INIT => '0' ) -- Initial value of register (’0’ or ’1’) port map ( Q => SCK_O_reg, -- Data output C => Bus2IP_Clk, -- Clock input CE => '1', -- Clock enable input R => slave_mode, -- Synchronous reset input D => SCK_O_1 -- Data input ); end generate SCK_O_EQ_4_NO_STARTUP_USED; ----------------------------- SCK_O_EQ_4_STARTUP_USED: if (C_USE_STARTUP = 1) generate ------------- begin ----- SCK_O_EQ_4_FINAL_PROCESS: process(Mst_N_Slv, -- in master mode sck_o_int, -- value driven on sck_int CPOL, -- CPOL mode thr SPICR transfer_start, transfer_start_d1, Count(COUNT_WIDTH) )is ----- begin ----- if(--(Mst_N_Slv = '1') and (transfer_start = '1') and (transfer_start_d1 = '1') --and --(Count(COUNT_WIDTH) = '0')--and --(pr_state_non_idle = '1') )then SCK_O_1 <= sck_o_int; else SCK_O_1 <= CPOL and Mst_N_Slv; end if; end process SCK_O_EQ_4_FINAL_PROCESS; ------------------------------------- ---------------------------------------------------------------------------- -- SCK_RATIO_4_REG_PROCESS : The SCK is registered in SCK RATIO = 4 mode ---------------------------------------------------------------------------- SCK_O_EQ_4_REG_PROCESS: process(Bus2IP_Clk) ----- begin ----- if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then -- If Soft_Reset_op or slave Mode. Prevents SCK_O_reg to be generated in slave if((Soft_Reset_op = RESET_ACTIVE) ) then SCK_O_reg <= '0'; elsif((pr_state_non_idle='0') or -- dont allow sck to go out when (Mst_N_Slv = '0') -- SM is in IDLE state or core in slave mode )then SCK_O_reg <= '0'; else SCK_O_reg <= SCK_O_1; end if; end if; end process SCK_O_EQ_4_REG_PROCESS; ----------------------------------- end generate SCK_O_EQ_4_STARTUP_USED; ------------------------------------- end generate RATIO_OF_4_GENERATE; --------------------- end architecture imp; ---------------------
gpl-2.0
MAV-RT-testbed/MAV-testbed
Syma_Flight_Final/Syma_Flight_Final.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0.vhd
17
90319
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block q4Zl53GBBQ95xdVv14oldsD+c8BE6hl9SOJ06v+xSguLIAqqL93WRPiMol9ggWi5ZcK4muvRUl0n qCvnW+z2Rw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block P5PjRLhXmEqF2Dt5gIu4E3gVjuuphnLBzKaita/ebfjhb14HdyqhkqEP7NdXtRn9G6Hb8IuyDbBP aB5lCpiWn2mHvgukLQ5iizyTRiy0sKCEl8YiiyqfAO14CM9nFuX3Ms2dRrqTAiw87KDsicn95RLU FRZiS/HctqJkPsooTnY= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block JVyBIXkYksYBLEVDvKxFPYxMhWR0DBv2nIghm33FysKrTAiQlQJMuigMq+UVHLgrZbBEfTEbo/MK shlNhaDYnTP/Sz4mu0ZVl/I852GM6mjaeAWRd2MKeakab1e6YB/Y1iFTRbapAMj7rBaxL9olxHTj VYJZbPzHpYzK+jdbGgghRNqn+uJFBNzk8FKH+aKRJpkHPhJtKMtFeIP/kNfrOMhAWMrjxo5XxZnY yUduEL4Mms1Yf9HUT8WVXjEl05H5cnRGhKiG59o00t8yyr9gN7yFJeJVKZiCQlor2/oPKpKMTnKs WTwM3T9ZZ3koXw/h50dhPUUuea33+CH+8VKn1Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block HCOgNssaNvBSEtueoj/wDaNGlPim9E5Vx9eBFWCHeAgoeBJsoynXMIx3wmdR9s4mrAr4q0IxxTKn 3IwyHhN4BPlNQ5czmjTykIg/V0Uh7G8nAHdkYla5Pe3l6NW5Fh/W4AdiJb6ZDoN7NJPTTyBbgz2M HCeeBWD/SeFZIQQFqig= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block fadQC4u9Ea6najALS1X+mJrnU/RjWJkLyT3bGIUHkvejn53j73dF1vbunqpAxAppDw/WiFKknXhC 55dWyyAEtBAA3QW+8pFO+tINwnbedf9KH4LIjr/Rm+ZxuA9v4+aspUQifdOHwgeXxMURmX/ZPsbt 0kn/y0lQKFNIkoQlOWF9L0Uym+TL7WBa5HPxm25IqpDvZyqWM2B4w8uhzGxcuo23nZKlFjoTWIzH 9E9p+vo2JaVmY/bcJNWq+wrQNsYDrHiwKSZW/pcBZwqCO9VuOsLIiEba7J6RXz//ZVxdPpXCGTMo X++o83P5wwso4fjJASKBH64OGvTZwQZj+E+OGg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 65120) `protect data_block RnRszZqSUFEOxoRzKSJPlQF3K4zG7rFkdJTSNUDOnNDvtaZqmRVVRVwmoGd8Mj9dXD5D9Lcuzifq XQ2Atz1NYNPLApWkZCfQCSMUbLnhENKBLq0CWhLxugmtRHz200PJimc2ykKaK6Qmr7c8u5Z1o6ii cmlOntN94sOi8zf6Kt/cQ3wD/HLpPf6RfMefU7u0elqyHjiAMaLOHoXBswqazx/lAlH83WrB8pSs EOE0i77aPRCcBWH4JQQjOkP277+EC/pyITFdIJTJuQQdQiSf/qhxJmV+a3aDVUFeWlMKhYXBp96N o0mbqf5j4OsY2jMyEn13s4ohHV51432gsJZQlcuA7n8rXFzJulBzdc5dlE8/REZBZuA0i9rg3b/7 A/RRodH+1xzQej8g05ySz+BN7O6Je73inhlmoX8JR1Hy4HXyyzwZb04mv2W7dWpg2WlK86x1lF3b WuCAWMFvO5mZuMsJM3dgkG6ilMEjMQmhLwjNbhmX3nuZey+7Bp7O9PKvhi4f+nidgLNRWoPtyQdg I8aQf+0Lio9BJaGx8N9O8xf/l85If1s5akKNZzvuT+06L+DBEJe96/mb1BiM55gJHqgKBUCfFIzv q+58oSrrGUy6JhIDCZ2L9uOh/TJe7BXsjp9+zeCck5Qx7qpDkaO3cWvBBkmPMiQP4sw1NghIknHk TweRbZparwD1uc1RJT3qqmm6UhobCf0GULu0m5IvDU4GBisBC8m7esj+A+u72qI4VIvjLzrrEB2g cRmWYab7TSKe+PKfkoA4LTMIQwy57QRG6oGxZqIS8JIVBkPVEtrGkRd+9fpNvLIhdXivbHQSM751 jUEhAOTWPdoNmHtN7jjTNLGUpUFRjc+b/Q++yJRhCZH8ujrPOMucheuN0Unpu3LcoGcy9PXXGyqw fKMNM/OG3TxvWfaNSTBI4SGkRz8NWwZJmwrJyPxd5sxPtIR5oOBqiB+uW88Sae4+ngwylPTQjhz4 xr97wq7a52Fj7/HMxs8+DAetyJ6uwnhAOJIsBo1PTdiDK+V+Ya1yMmbqFa2rPqTfryz9JBaD2BJ+ 8DRVp6SHqf6Y6xaQbasxCUr+8SJ+ErBBFNuXALbfuoTdXyN9cw3x6Tyd+3JvlHdxrZScHLSs5qqq SWFiK2C2K6NDDF9n3GuXGr1I7bmCChePKT18hDZ1sd9ui4s/4WuMilS9oO0VFGLCPnjuoQ1p4B3a lvuvt2u2htCQMt2L5XaMty0nw0/wKUMbDsgZOtRaM0r1IyyqEmRzJ++zvOhU1eo6bnUnOqY8NGW/ ikkO49EDm4EX5tbC7umaiKvzQ10bAVXVhbmyXWxekkAP49DhJhKtaPB1qC4mQoxZFBykcgEr62/R bk17l0DWQmDuyqEw/5CaCsS3N7MRg6GF7kVWQ2W3jZnRwGMc41puwtDK/8tJIcPUR/ultSsGkmLy bKsIJNF+9w03om8ft7UO087+hDhdI0kiOqSgFUMBKZCrQET7+kM30/Mge7Yn0zVPngv4WheIsblW 5pOZzTNibgj9ThYMDKiOoXPD80tBFrFNuxp3UdZRVnp8P+RTOCmrzSxbjyrNyIuOVPxUUxdhAaa6 T3sSdyhhr/LS7i99rx1APVwOTttCQJn2KgNQBstmjvdl2m0DUKuGCqAzZBu4OlkVyBhi5FKeCE6J QgHlVwVqIGVA+8vedNuyYe+KPXT0YV91C8LYjOcTyo49AgDgO9hAD+7xNGzMzib/1kaI39sKsGpa X46C944HY36KevhABBMHPjxYl3y2O9HnnxmNGGVEvEAjuC/P4tO3wGHYOOdM8i8mOF16ODgFT7ca z7mhCPSp8esrMapKi5OfgRShhxrJZeKiDVjZ7+fa5oN2OPiL6O9W35B8XcI5wTA94DddiYsf/e9J sMDvsrlWmb2bPp4rUyxdiB6uuHUOlCnQp6odP6aAEpucN814f/gxDk7wZZaIqWIZonDJ8puQqxGi I0oEoLHEJPaVk+9PNyflNotZEFdbZ8UPzZxKgW2aXN6uBmQMfTVXK1i7Et3X4tZzCBLPSCyvMKm1 /eluJineu8gIGunUHO1leXzbtRxfqk5qH/TR8by6Pbv5BnWmDWgOdtprCvKHOGptswSzIpQfXjA0 Nb6jZpajp01LaaGfew8xleuIvPTAagG6HKahRkgPTbKZnEBhJ1cw6bnhH8DxCa0MLLeLKfK/Vpl6 x+6XiayWB1Re0QZv3H7knF3eo7/+KudnwApKWPPLbpwOMjcHPWJzzcERwMaEQhnK5pq2fH/Vl2Za kY7PkNbkZBc8V/iJWgIR8KjGAx7GA5tqjRq/5szM3Ud58o/SHE6ln0Jgqd8eoHu5f1ipftEc2jUC ihqwU5aPFu1MtcwOB9stDrQTZwWUfceLYg9ctknejAebpkkc8p8kyjsofhBqon0NmhmBP55f8+lB q4XWi5jyjjSYX3QovroGx7pPTwWG5TRkF4y5cczZSte8NFaqsrO3Jedu7cbNVAxUz5egGOnCBU2a 5DNwQO2gxLGbJeraUyCM4FXharjSRGZZJvAI9Ozy0hnYsQ0BbW9OeT1vsxQEyqchFSGPBf+3i7vS x+0yaatgeJ25eS0UtYEBBOpFkxbLSmr+4oSHPoX50U2fo59RjwOvex62wCLCcoJj2nMZhmsLC9TA 08Ea5PiKa6Pkqd70TBQm4F5v5s7+I137bL2rhnZAH4ccAQBuRFI9a8tZwUV6b/gehC40FEwZjPz4 sv5Pl8pENBEFvQvGfDKjz1qwk2Enc2YqLPyeEsWypUvy+2ituZcOQTMgk5C49bULEgDlXvJCzJtf soohqVhL9zAtOXkGE3fYyNO1DqUr2wcUEguVQrnsjhQ4yxHqYFKZYKl+3rfwTFsrXhtz6vZ5opIE N9mB6N3tvaqeNJSi5cQYz5n1An7u4QvG/VxIlz0Lcy24zZ4uwdm9XRLHXdvlgyZ7OcmERWK8NqJa dtSOHFl+1E7nhpEMyiHFUPK0I0v3fGRrq9VOvE+rOIPaoToa+kN8hAQEuEBjOKEBgfLbGoPRCUpp 4FAbOq9GMBSk7sUUpR2SKagbffqu1lSKMFdck+Iv69bLUtmNnSOX7C9j8nd2Ff3fQrxeqC9ajG+A KcYP46Z7hB/TVIosisZuZvgXJXGXMe9kj7DisfOz7uant4UzSPX21rTiP+m/G6SFWwYhNm88HSDb bsnwkyZ7UAMgFq+UMbeAoU6WZYPIqkylB8iQoxX4M4U1DJ9X267SE5ix1H6xV4gRumFGpWPq1jKx 6oIAx7ujRSM9/HVpDiOHSsgCBG29UlN3lgbSpjF1gx31/TZhqsnYb44Fmbcgdntu5P7d7lmBY3/Z oaqWOl1gBbgswZMf5nOiTV+t9MyKJbp04ICq3TXOfIlxSENDz+fATNejw8PY+tOu0psc2UpBYfGt el319LvsEO8VKbi3km4HBm9N2B3SQaL6svplKnzQ/Yrn75SMIup0i7MRLR3N1UjcAQNEfah62Ye2 BtoWcmqOqalukYxE5Jr5g6Dd/GdcDmE4vaACce0eOpo5cq53D2t9NiX2dhJ4AMOJZ2m4LKo1x+/9 LfhTlD5EtHk6mPs1kT6LBt0L7QFYNc/0iRM5s65fbYetBHwZTwj00pMc7mM7gwAVkKEuDKZPWHky 0SuapqE2l/sf9QdY8blkZjaFNDVSpxDbrVKPagZDoWc5RG116MUtduduCjF0XMPeMFuRTrCuyVLQ zYo/3vAh33BL1lrwliCNbqmF9OgUNaH9zsxxaNu6fxKT5Xh+rFyOLsRy+C8tOXbCb69/WD6kusmF 7eZNudDqA9jWQAIusYQGuQHzforBL2FA0daB9d1pkdGW3dqKCRKshhNpYpEAlkGuG789gcsApe6V jJ6Ml8wRU94Eydp7Im1ekINmlO0MYktDufx591JzZpfR1uELydGoGawSP6D33cJleeCJCsvkMFNF owxpH/NMXLmgY1PfYkRZO09DgFBQlq58NGkLAH/2wvWqp2z0GUZy//dRL8K/dgxIIIiGFdldPjdF 8yAMwhSEqGeAbn18H1hGhbldS1Own7adOERvH2GzHcmmYN4l3ddxb08hGWarxmhsBnpk+r033zBv 8uphUWq7ACjCPCeqsZ1vittKSI3nRQzomD6ltSNsAQoMj63KUe0pjL6ztIUgJvAKgasUMOdU3Orm bLY2kmfYRCe6dRwmtUbRwxRZyFZTAeiEOsaBh5rfEOXvVK+MGJYmHSqXWcwMJAHO6ctRAhJHZ1td TevrSXKTtFD3BUoi15lICYrb+sAg83sgyXmeCGakUvjQj+/Ts+NSBrjqFCZlwitB58vHVNk5Nzrg WarKrJKUCNnWWuv5Of0frzXanZmrwafeB8hV1VVnT6oEKNIwXvtJrmkbuvNXrNNrXlK866VWiECO gaxuGoh/Q42CABdqJcTy8eYJ4wSGYi/PO+v4PAiAE74aqChjowlwlU3HgymZH3Q9uWmTTmhIlIIt FXZW8iH868KAs4MbUwNgb3wE91X0B3F11mnoCQ877REQ34WJ1tQjA7rWK3BZh174ya+DJTwoy6wO UTg+SLzssZy6esxOnK/5jCYysSQr4Ktoz+n4M8h62pTw9F7McfsWGTH1qOTLDxAvNWa1FTUzxPU6 2c6XJ6MKF381yuXY+VVeVMyvi7WQm2OIYro5ApcJr7jPjK5C3BSj0oEjdIjw027pR+bW0YP/OUn6 35JSfNTBXzcypQ7pM1x4wZGy73slV2XcLBZZ/tEFndYoUCLtE6GGEOjHv4gkLrFs8uxWwtQlnyuU dg8gUTZf6SGFM92TN7dVlWqLqjBlvfGrbERgpooMXTers9EnH6oFZHySdBeb86GIgjRS6FeeZWmz dVxLfwt0qqKCNxMhMX6IBQpSZWj/iM7DIKvAZFI/ziR5+RhgO+SckkwgF4Qz5wiQGbhk0Ot0tYil R8PDxCDH6NDOC8n7uMUe8Leby06zY9/aXUxtbCE2fFD7qyJLHzD5MVTPWouinVQMtiZ2MC71svLe 3YWkn1PZ8G0ddy1kWRXvmL6LsutONDSoy3Tb0gGCppNlKB8keco2/oeRbOebwmxFV1SPri7IT96F xEKdnX7FD3iizWoY7r0aBfHnoASQyqViRFqOWxec2/XVfj4v3Ll3WjSznboevsmvcHwRHXukTn4V pd9Lhow0kdfUB6idEWMzq1+cUXHx5ph/TM6hrof6wnTVBO7si2YbdlPSOSR8ClVZKP/QlwwThx3f Q9UJuEsFxBuV3O8puC9byhmAIUIDC3MvCcMgTiCFIW/c83pETaJ+e+m21LDDmel0tREkVogrj3K7 GWVYO1nzNFVSuOvu4jAOfkgXj13fpi3CGLa778YAqrWAoep6KvKdd75ECkFC+145g7E2AptWVD1F mguACqisZDsd4oaH4zj+PJIwKggL8fsw3q5talorqrEwE7JqLyEAfXkGT1Mh+h3GlDj2htbMuS3L FbVzW2YQmRdROrgeIzGPWQSLuU3wdFMAKYY2uN1kHvFoa6q1Ldk8PIBRKa5zo5rJL+66qnhu+kSb KrDm5Xep9Vq2HWiv6OM0FLyLF6bOEfA72UP520Gqui7zwZXi7mzR2ELAFqXjvY31MaXTk6FD2uRe eUCAR5ALo8ysxx03GK9ETZFiyD5bhHNWEJrX2SkYYTwd3AiqAep9bzt180FWUJ8T7dJmphghZXsP SsG2wCEeWy9r9VBpZja4Ww1LtjAzOmtSIwIJiF2ppTiM6Acal/F3SRbH7oBWPWhB1BMRJs+zldN/ hWXsnUlrDRE2ZFv7fDlAQlUAD2VGZ0bGexbckumecCGzQrIDLKxa2Zj9/6k8MYOSwQBFoGbNO0RM ukGG1KJXVmispSESR5s3OmPjANP181vhrt295rnLd10aemC7EBUxQmx3FmmaWGIAhH7AI/XGUZ/l oSSfKUURuEtnBvBXJWfthh64uORwsaEB+8KdiZiusnxjL73jSLzKAPSU3fg0l+WPhDRJ0eNSxTsx 5xuvFVTa2QNikEiMblgUZ3xdCLz3hBxfDbIBVve5NNZeCT11DebqBOYCN/SkXtvH4baczquooA2F NVP6NcutwuU/mRRvB4is+yBMWyOTrWjdLASEgwZetchubzD5MUT/VQD5JxP08Oe7nXLB8Gvsr/RJ xKFOTwxH0EzVq+BBLZcA4sEL9jMKII/KtECsIGrrVxr0ENDH2nKm30kNfyDFhesl4VreowDdeK7v yco6jRvSDI3laC7A4Ko1ilSwHGTO37uZg47mwn60XLvLuTS4P7MBMZT78BiHE5mbK0x/AQtkCOMe EQrEGt6p8by714GsmogcX1jFPvjGr3O/qi5Rdb07wpNv5oU1s3XS82G9TRxx2g3pGvIwiyCRNjge S8sKphkKqpJ7BeANR6YHYyMsbjlKcnYb2d2BZtHp2byWxFNTo2GbikX6uNo3I299KbV9JLrUfLZ6 I8699ZQZOpmSHgPAzsEDTi2LBHWUEUdby/QBJu8pN5cEGP/ebIyz5o3z6nKcFCM6tRWD2swWa/0K HB7LWMhZLMCaEGVUS9uYYyflAacPCrvNKomD8I+JVv6eJtbRs03OgwnNhZOi670hZm62laSDLQhc EOWd5Dw3HHa4SrvkHyG1qWBjfEomJVyTbDoERYDMpHfPnkkhci0kHXfqnRcbFjlokZQ2RXUpqLH2 EdmItX98c04y/0pz8gL7DrEuUSI4oY0N5ljxO5N6Y9SiuPeHTYuNH3FWhc/go1ZjUXxUr5PYUDFC rDK2YVZ+PV+tpkR3VsGEjQ3v8uq8T1FwI3U7tuo8Q0XPvoOLut71DpKA8LeABfGS6MZ4M9xL54gs w1T2NKw0BP4ko1hg4UmaiJox5Rt4tiHcu7DgryNX/BhFW6DdAWuhtnPfSSELuqPM9kd1LYffMteR 47pSqqHzLX64FwNSVKcZCyEYj1DcsLzTd9Uvb4VNv0KZkpFQBgpK972T1kz+kFNZitawQKtQsr1d e/RJMzmj7oHbmKd33BgGZSshAJJOxBuzNornVUimuAIvWkitudS/qsFzd5lDqlNj6FrX2B/8eofb SDC45Yemh7NjhWcPQOeqqINZaWndQBlWWaI/tfiDphwB4k6PKV6bcz+Cer8ZBHycUV+LoLJOk5Ls sfFqIdYRr2WTgzOJvjzasiiGOFJMk3KBQyRo5n8cvcusC78HSnI+PDG7G9zXbP7MZxYYGvVoZ6Kf PsLJJwjP/CBZcRiQLeDtn+aJdQt5nP43H6B9swjSfhunXxanDYyemcmFrfBI9v9bGLcH7EwspQsd gx+ij+A8WsfD40/3rkyh/KLCyWHF3s3VF3uu32rKKSUfo5NXlShyfqA0Ns0hlmKRnVGvGEmi6tsz vbEe+u1j4xvzYF6fxdvJv1nSSeWwGJwQ5i9WUqeUQrdoFSqZYT9lCrWyhCuxaLGvFmJOKOECdeQ6 xzbH7NYjPECa3raSS4lVhQwDiyYPWDj2BpFi3bCLICvAf5OfVTE0sanMmv7e0rpLRFOOXJr71uI5 3S26BPiHajf4Vx/4RbTAdSlZLh/YjRJlHkT1GL1DTSB7Z7Xs9dBPLiDexVT2AIMqgWFQJL1pVF9a ToSXKmxiBd5jtW9JLdkyHdReF12CKcn9LX9GO3IF6Xg8nfnKHqcbX9naRHRkAcAT1kKzJj5Fp9Nl ykBdf1NBIfA0AYmf8cKI/jO1dReGtqGjnA4yPMBtrWkX6aLmCvvCQ/wumh9WFcp1icHjWzL329NR HjHqnoWyOegj4eDc1cEesROcRb1xRRW1kRo5oByyb2xiJXUhDdI9W5+dZ5i92BgTZv/CqvWMn7w5 w/EDKtJufyVcCJrOthzMpJ5raW90u4AkXqO77cEK6PaLOz8O2WKiLEAFLyt0DPlg6T1smE1i1rt8 uD0m1jGK7Lc2O9B9V6v27im9bjuL0XK5KiG7+3y7T7t99kUYaEKR8UuVlSMs2qmR26hTc/An4WzH ITyX9lOXNBJJNvyY+DNdhLaxGy1ZQeJxb45Qnm2k5HDNpc7C1CzsLEr5ZlZ4Z4ODMeJXcGD0u+mT IYsCkaBK5DxNblKDdrApXVZZaaHWzlwsHFkmJ5AV/QebxTt6Jkmkz1ytBZUzPxGZHs9UxkWNNPhx sB4MTCw+hBD/ASPgLSr5Uw/jJ3sxG72KrShX/uTKvT34Mpfhn2Eco5AoSyZ1yPbNLPPSFJVSVPwa xmYJabIDKS0PwsKyvAddmaT6y8AoMiGQ8j4E9jHskTjYQC11iMKLU66kNhDRucggBpVOdMPv7Rhr MK84KLiH+dWiR2F7+vI+vc6/y+B4/MnsxsGB//gEwOmQuCmcOwbeJMRXZPmgqyIPFT1/R71Q2Ygf z6lZ+XdFTaMMK9LNOjHRHLRAzBZRco/dnNG1iE8PgtY6Gb8m8Z6CMSp9PZ9P4Sqp5/8+cbIvlXUC lMy422EOLaLc5qwmJzVFBnLAOQTae44yTEA5TF1L/j09Tsaopn/kklsu2+WA0X/1xlsMSBLd2XW1 bRYfl4tbTlaI4rzvx4Hw026ZcJU3je+5tpmeCHE0DsHEVe76WDlbC0c9Rrs8c7Ub1JHt734TjPTl vK4T5NxTLLNMPucj8jcqSSoPNC+QBwyL8GH+sRYZJ7p/UJ79o4h7viOzzCZiJSUr1jbYUVGoOwji N0qJCIVXZ1smw5uhTCmIg1546rdGtyK+f6p9u0DgFRB/bFOg0daJdDcsGMpOHWoWwWWV4PzyFmCI WPu6xC/UaNZNIQ+6labj4Jb57t3PZ7/IP2hyLuY5ymIWRuBLsVr5O5CJ7h6Y1AdWvMgn2vyXt8aZ O6V2R2PhfYMhrZBIcIVlurROl7W8goE1LpcgMGk7cxrQj9UzCfG/aXElzppFTGIJzyLhGTbVEc2m MbTqsEh+iA+dY70S3S6A9kBN7ryocjBr6qzlTk6kSI3mmZDEwDtlx+7/q3CwTvOhXgJAPe1+GO9L OtQXTpDl9AUGhzWWpNuZoGpbqxAVKtUJetuwFbvlZb7LZ1jpb8dAl9frwxPcKWERsKs5+M7HYk1Y 8/DQynbtSQ67Ql5hW6tgYh6A41NZWm9TmjejHV59XG69boeN02cNKNRNn6fh/N3PWTU+X18O2C9D nFB/bBkCGyLHluxLvYJA9qNQb3VL0nwnIXctB+JMvoRhf+ti//JBjh5Ju+ZcB15x1Gw/cDuKZwrm RIz6/OEkrH3WX9mThunow156UjxhL00lLMuAXGjzjb9CTFgODDOh+UlrP+z2XWAWsuO39dG3AC6e eizQ+5x6JofnS5kqaLMU/QCfKSBC6VWO6mZOdEZe/tZN5jPnM7/HGnCfKNjetZtx94EIoPRvYBdV YJLF9+rKNiF8GkyW11HJjIuzUHi4kjfLAOS9mBssrv1xvmzCtmHV3xyYNa63MZF7l6dCtyyv26ls V1Ew1N4JeBvepTwr3K9FXIqgrM6LHv2BvUkqcGLVGtYID0pJZRMY3B720HJWcL8DF+34buhpopl7 cp82JDD+XKO12fqjv6+zz7v1ae34E4aeNBmPaXwkArLiPlAZxnaw3tuXtTfQQSaBilMmxV+k+drC BDjCjvY9KHuFQVRSU8WHxtguggrzDVQe7n/saK2B3d4Q579ksX5kffoL8/wge9n39eCMJGpaT8GH MXxjysAvYEwyj+EoarKxSGGCCZWrwhgIN3yDg6+d2B42hzgInttv3zSHFWb/1kInyajZcS3Yzs0r qa55nJ8+f9iDlVftOz6A1iGVblvF99rj5VikM7PpU2bjOMS7nzTZyl/eFtWr5F2pQymEycEdhwzR y+g8PhkYCuNqNQXc2x4APtqWzNBkw3Nw6ex8ffLI2YeIh5GjK7JJkEDBfPlB/elKKxnf5uYOqDM1 XQAxgQMwUNcbH5IGDruNb2d5xnKpNyPTkRKD4cdeLO9TFgwBKJ6bVyF3YGyedMaIrYLPYULnRMV6 BF3YZhEt1TUqY0DsF/nl9blvENcfC05pJ8TW2XhKgu2YoNvpgk+bMypkrUCMRlmd2m36EY1qcKX9 5sfGRv2cNhI02sUBVZXYyHuw8J9wiSGt7jw44SsnzFxAbE6Hs9EQ2wY3eCOdVqcoyth2Ky0DfK9N Z86jYhnb6uN7Ube6D+K7QOkuSWONTqkkpcrzHPsbALG/mFI9peiVZGPexUUsYzslJx1V6sPiMizb 6xhfZpoqOTHkRv1DKLP908u7kc4Uk8fTolZWwCQMALJf/fwMRy2MTpH90Y+vFr4GEkwck9KJj5UE v0BeU0KBcAQZD/eTRuXbj88KER3FM3cvGY6V5KwOxnLwoTJ30+TJkUAEsaln9nI2rbBE6Z5S1o0r ZQZRfxgpVnX8QKwIfPE+w4Ei8vD3awproGec74aBIzSvWh6Fe0U79L4LXgQpxggNCgaR2wtSO1C+ LV90zqRGNCbZrWXyFgVuAN+xTK0LqZLmF26SQ55k1OJXllaR99RXxs8PGz3UCyhnZKnPyFyynJPW VM8dHBaA07lum8P6KP0OXFOQO3VuHl3/qjEVPPtzzTcCiDwVRhT6We4c2arOv4CCfEQ0+nyPIpRK j6zqIqOie9lGHOGIcqQqXUHNL5WJk7Ojni+fm8SrfnL4JY3qgSoCJyuoVIoiOVFm+tt4iO3u88lL msiSeQRF+pFLrbt8nkABJ/08JgeJKkq6FXI6yT+zzpLsei/UG/ClPEtEf9wu1SURZ7x9WXPqocwQ VYSnpLAGat/l589teUaxfpBz2KflCNI29JGeP0eGYuZTdj5yQZsbMsYHAJjW8Nz98KBuwhqVec79 +Zgu03g76SVXQuxpPSaX2ngeTgB1Cq3tIUentZjsNoZIg4r8I9o2JsgrrJ2EE7NRr89LCRrauyFH wHaCTrgDOoRrYQHBTpvRUzJpfcmlq5+mu9nTCkqgPt0cgQW8InswL7jLlZlnmxIFdfkYICoKMAAI izYsnmvgEF1q01p7IUTq89s2QXOYFRzXMqGEijj/yrdV82HZoaC7gltim+E4VO41uiCtaaRS36n5 Sd08aPDA8EhZyrj1YfSYj4fzxu+MoL66JMRlEYvDpH5oYOPogPm2fNDss2QKEPoA1ao2stHWL9e2 Fn+YVxXBK1znvBKHn/1ksujeMbheV+vqmU0PwUTM++fogMzsmG4Br4XJlmcJkctA1hBruNtrfcWq jhptqi2mr2o8bdp1JEshDFjcD0RTbhpRtlf7riS7LmfABETCXYH2SqE4hIMikxazgx/utkpG3U5M mPiVtYFsCi0SjFERMifyBGjaxnghpJ3AzHMrrGFGbZwpCxkMwmyQ5gtA4IQCz2NFcUjMnzXDHFxw Q7UQTSlZ54LhLD2sIPXahJjXXzbiZpbRO5D2W1P4mAipyg+coHiutu02lMWisBhBdydlbATk0XVC EG1FQrpTL6I5G1f4xaE42iU2FG+KH/3iOBNCN4szjCDIUDd0a22Mzye7B2T5Y0IPFLlcPDKGiDmx 0UpofrqGqm4CWAeS+losaSBrZ9pb0Oayle/1gABjQFz4lukzIvw1gjPnduCl3Aytnup6Gi88yIqU FReW2U8v5dzYtMEcYBOhbPcCsTeWGSaAuqAcpL/zx4WS03YoxoYQbr1Cppjgod1W+lw030l48Xos 1G/cpN/9YcvZx0KgYQaxfcxbMgIbZOrzYDF4caATtnSbwxNv/qLvHiwS3FCUJCPPECouQ6wqLm0s gWX9+kpAzfd+S6fnZd4jswter/qSkMEotL7mIhxzEmmS/fry7NpPCV2giDtiEP6yYruqzVVC0TRg 7WkS+f0QZdcaun2Q8jy71+35NUcAXSYsvOPLaHFBQuirU1iXDosDbgG5/4byvm9XK88Ant+hn9IQ WbnS0HdDuRI67gS3Sz94X0w7ZloiSzmg2UTPE7fv8hm8wAkiZsJbct8jVZTge0IIZOzAXLarGCwb xlEfxyG6MNJ4i6OTQgdJRY3ZlRyQxOHgb4u9w/Fhqkd3HE7y6KpagKPan1unMuE/9ZZqJEoJNss5 4izVuAPwvMZtM7IGEvSLSTKhIHcnqbB/71vTHY5ubsbsqK46fYPUcET7kilPgPbhZK8U63iwiWgL I5MAAXLbkZBT/+QPWDqtO1siqoiwfrdZvb0siL9CzwKBeXHy1j9981nv0jt+dl9CLXPzHYj1hiqL k66KixpPFnOoOp2RgrLDhLzRHaE133l52yVQClFBVFTzL88CxFWkTXeDWvaR+TtXaCh6FsUHohDa 0fflVndYHTxob4VuImVq9V0VqZY2Saz5j4bMIyf+mgR44sM1Hq9zaThzzuImSm0hmI8Qm+5QfGJQ naevCFbX3w42dR8fZdRvcesCmN6BB90/TwuUGvlz9xL7oxVV6UbI+KDesRcn1c5+o5yasvqnfim8 zq9mHsv3EI394RRIzdF7UDOKkeai9wATYUby+3UQClvUh8/+6zmwCl8mf6bG3db8TtnjrBjC1lAD a4zcz+Skbs/nwWo8+wFC2BBm+ftemyZa4emqRTgrtCfGVSYghEuk0ZnC33c9Jof1U79bID/si9rM ClUdjInxEqLfvbvZs+xGiWxlYpCUA0QYjs6Uvmcyuj34VXp+tM4EJabR1nit/FDd65UsyTcZQ6Rp AEVP8xOmoeQILZxe5IU+SJAT2qFxfP+jmIFEjOP2e+OL5YRhIMAhfjhStQY9EiKp0IMzhgAPKh4f 0HdjSC+R0UJoKev9RQnAtCnDMJHhlg7mTEL03v0zOopdhc0abJHJhDc66cqhPYGfYm1D5RntJWPz +YXVPaD5FOlRzSdD6fdI3reuK5We3cyaxjrqCjEzglHnYawh2v/tQjhzUw6ZzClDSXlctIpwvx5z 9xrXLCl072Un41q9evGUKaQuKvRTe3CMHHb6aAahB003Pvk/GKrxHKsBRBEWWyyr+ZhYETPlCUgz cKfW47ITaP1Fom7ohBnWBvyrn9bkvnW6w4Y19agDueik2Xq6juRnJcMTKWyIKJ1o0kJZTmTOEDUP jww1M/vBL6k1+RPhu9cgIvA8b1HkIpugKFT3WR7GKSPXa2qdfoJMqFO52l/pajjJUSMGD94Xg7wg ApiCKCMyaTwwWAc9v5A+c/6XZKlImN1iEHMC86/rH99BnxhQ+Ms9ip0HYgjJv/JT6uNmGMUoe+kJ +lBDlAs5fCl/9ZLCYI/OEu1vbcbAD5WNFwWrNQjxVqDNYJTscqEBUHbLTTn3NyRujc7hoFtOaCBI 3yuM2uf3sesFbTr8it1Hcj+JqSxDrQ4UH3MhX7pdroUjF0GVQ3TxmtYsbMaINFdL6W5qj6vnM7jt nJL8CmBbaJlw8CgW2RxdM87YNhMqRkxTocWXDYIcc6sxWV60Wrwhc2CdH8OHFxRRyRCV3yMgakiE XHca4zxkgxGNtg6dtTL9+mrzUoVVDJxi+sxq0almvwPAufkZ2y81/i57C4TpZfJ71Gy/c6BQEGEu ncxl/H5p0vE9ozo6TwUdSqHifGlbfwKErxIETowfjCZSDWm4wrABzSQDAVQILyX7KpBZNrePLuau R0WVW2oKu9JZr8gNHcXNdt1Mc5aGTwXjsKZSjochTQTD0RPrGN/LoEEmaGiimcpvnPVI6Pvg5xoX 0vd4YrNhMeLBzsy3lEfwrwM+t6Gv+idKo0s147yEokC0ipHC0UrRxALYJ/+jtHhrKZuA8KF3iBoh MKHHiG4o6iekkw8bAztQdRrNcCfn4liOUuxrxae5BKIeEp+3fXqWiu2iMrUzA1S9iPuxNPTe49pL JrEMNrhoB0jy/pWhH0r4NwpQTkdyNbFw2W+XQLIndCa5MSefmsjrbnThOXp8UZVr1jBXrpEYJEeu WtstcZ2AM9biCaa/6Vb5ha/X1RWPiQq1PT2rzfiIlSWDFiWK8sHukRhw4+MaCYYxLo/yRBWxFmI9 rWLkuhp6B/BCEcbZpAwMZVjVFVyAHy75KPg9lFoie/uaVgiL1TTfkL4donFNVG3Ddt9qn1Y8gzqL jBswM8fsfxuEP/MD+FvpGYTe4ffSW1mAzm+XowVUXeqeiQtUvaaoPfRBP1Sbe1itnNeMXPxIlILG fZlzIYoA4BaFUPx/e5BC+xF5GKR7i2aGVAThm2rt9zo5IxcGrga7AZgyL7NopVMsXZ9fj27Gifsl N9IU3f2zZU56MLlxJo7hRBI9Ck6+In/ucFYybC4gRmxtWowtLI7rE/xxDr5o9OeO8eNr5td1UThE 7DPTp6PKUVQawTigsGG+Hkfwo8QXuO3IX1K+KfI+PIFy2LKlRb5GnkloxGQHlL2Dd71Tp+pkDpYI qaeqd1wdbJKpZSHfJIciQgRj5mj/Ptpd4xRsA1bkJIBKEZBTu8GqD42cnd9A/obyKToYdZyplL+x q8A/YzKImct58IvCrNClXaAPJbM1nc60UZVpEXTG40PQNleYCozTShSAKh2X3YBt1P28Iv82yIUc dE/O/9MrtylJuPMnUKB5J/AVEMFLJZfGxJzAg7WHThNwYFlthFP1d2xXSu4nh3hEA5KWA5bKjDDW HP3Aw3bwpeHv9zZfOETz6spl057bKVcZQvRmdmVSyqWLQON//QIQpwI+Jv1zyn7gCc+sE9+Oy9cX SbkqDQifnu6qMrCLeMEjcFOVGbyryChl/IsWk9OvFQRoErYr24qIqoJlYmeouZb9UYZNqryFzLFG MB+C2mdfv4LmIA694Ha/cV8j0+Lohbgh9eDhCmUvtLrZDbZ+w/MslWYHtySxbBZrhZBT6vsb8I3f BCz+9a98TmG61PVSpTjrRe7kZf+9mOBsduiGQRCm4CcLgWu+3OQ9hGePNn449qqgaEs6e+aBI7uo ORaR3WdCkYU6qRd9X1IJWI0QFLF9squGPtt8pNGjsNs8ov/KuwmpOVeQKRHy1mKG0hBU1XVBNY7V EiRbHG+VfRCWbt+ItsEbgPMEExolZlrLNN/pTDwvRVbTGaVCRvNsmTOErEcwLoBmCbS84ZdeouUr u6h0hVA9MPVvttTrdq61YpT10N/NXPu4xQcTFZpfxmvzIzB8pJGDIjtEyffOl6o8pLK7bp6CufZI uF7pW1Wjlc4ipQBNKUjyNBU/tLNddvObrs8V54Gr9r3NEKve6JIyV4Hq7LIm0mkSWAWxhKQpqjrw eH/FOG++emy5JL3/5TXYTGAVC21r0vgPC8LId3d42lXdwI+Y1Hm0y6v8N/XngGCS7awJNuW33BMb 3VaEbL8LQdqkWuSJwGr2upFb+k9tsNTLFIZDiHFYb/qbuOPg5DaTuFt3IyqjuPn0NojHeHJ/ZzJX QrARaz1228ISiqWVm/zyw5CNCrF8OgACByTYH4u5yD3wH0NttNxGoA9KzynDz6rfw//OjS11h5mP fumoEk1wYQ7CMxqvB1Tg2V9VKJZTkdezwO+QllP3FaQPLJUWS4/gzvtXtbpDgBSpVJ0S0E81zuol Ef9rK2Lht8gc/rMIL22eb39QMR4l+CRJbZZrPPlsHv2fiWgZ/6kXGpuTA0iByoF1gDWvI+c6VBa7 ufSjTd0yHd6XWR/3I0HxSGI8iF6lG7JT7dJI7OIu3GbW4h3SKgkQCHojOnFwZUdjoX37/E8u3EBf uSn/BakDfQjX9+sFzJiZDT9h+wEaL+j2w8BpV5lzUzKmyf3n7wmwPAf1FTBBqRlZrcv/IRd9NnLc J61RkTgk9sVKP4NSe/aRt2Y5v0KxYEsuNlsVTCR5Fga28FHKoGYsLRG/gONi1C3Ig3ih+n1/KtzW 6xsvm2+ZlMQ3oyXon0gY2jmP5tXI+SOa0p4bvSB2fhH6UPKTHXkDBTmUqO0nEKcdqUoFCyBhT8E3 Fh3oH6ZxyhnADYrREMdgdB5s4lLrVtovz0SkVbE3MNw87XvuCADuAPs6WhGQkbVTp4lhMOgcfKvu V/nhAVVHWJkdOGqwPI2rtplVfnzJCEDFnQPPiDvcsXWJTuVQFu78laHXzRm6jLbvNRW0KHXNgJan Uc9MhYYOyN16bzy4+jjtKYqKA5/TpngDrxt1ZI5L2hEWW0PFFMwgsjwNFOF+y42eGAeum7rUkxmL F4oK6kRuE6odF7EpcCDJsCWPU2/PFBHlNsqYabTyzMV+cJVM69J0bG2g0kJdYAmQVanb6sMBVptT 1pOx/Ds3AtAq8lbRmWu/6h/dbwvSCnefcKp6JsoXSdeRplbGFryIJfZ4/PELeazp4NFuBygbDUGb 7SavuZfHYBq5zA059zSrK6YNlEkn53Qu1F8siBZZens7qT4tJDqKm9Igs4zQ/vCRNLmjC1mJWIvV P+X0Quy+j8xf/tCIjV6reTrQ9Dz9upOfeHdzNSIOwIRTaiHyA+HFWCDYY7If+vnaaG/Nx73WEeyA bdFny8NvVx7+hcIpUH5QtZmfIyuZ8/Eto7IeL2EF4TXlEqqim/sr/kCVtbkXYpl9Gq9bb7llx5Ln o7+S/qoM3snHex3OMDr5E8T8/HqCxt1IJsqSiAYW6+8LcrYc9fjZf+rUimzBDIV+bjD20Mho78T1 kX9+uhjsw55h1JKUxKIaLlaE9m7Hc7hb0ENslySGEX/hROmXdyyNGeNoJhd3PSiRQEiX7rd5+qbr QSB6cIWuIVLukdDhfwWvOQeTqDYcLHDswkEGPaLuF5B1Qgd48J6weHVSzMvFCqCn52G0+FwTRiGn rtol7mHtPtl9W87Gdc0M8nX+URQl73v2S7+YtErbG3UJoCAkAE2BqP2NXfxlyQtIiXS5NjleSM9K K0UHKhvI9wbL70XDOLvHyCh0YsoZKUR1EsVlpth6ARCHoHQmhx71yToRRbX9cHi0wZXXcOl9qo74 C7M0VQtfarIkPeJ35c688mIW9F0y+8AnM6axbzMSwfOxUtceyZS1TS0EdzAfnS7P/A9fHm34Q7EE e2ZtWvf5X4stQcnYEJjFYvBSCMf7xKVRFK4fstDVfdPxil7UlrzFDDnGR5D8dTBCk5Aj3dw80HOO pVeFFXHQmCInqN97AsZkDZ+2rbgsOPNYhjChw4esGmscF2H3mkhzfEmvd6fDIZzy/RN87Js4yqEY EvY1WypPwcGh648Zvomda93+jc65zx4UkowcC/bQyuZWPdt5OkWzvKo88HhuWqUOLHrlUVJOlMbA +kuu86jebq0aKQrTAq7RDV+bpaHN2s1aWupff/lGHSEOxA0uwGzVbUzq312vE8OOHGZFPV9FPelv 1f/2K6ItMRrMXEocAAOXjJQtHE0so6lzN6/KTdD4OZ9OOONgJirAz7+J3xI2juRwkMJ1/sT1h3o5 mad0hMrnCccYSVKOCsObE3Vy86EQuX4Rhyq7M/bQDfdHnPFsQuEXtwXxOsQ50xz4YR5nA7UzVYiM /868iquWhu36QZsJSuLLFZVUGAvjW+v5jZ0Wyfglj9GBxnK4nRv3cCPf1PqDRmw/3c7JPU/wFUVo +RvfjeKFORvJVcuxjIMyMxWmG763hT7r9/7Q24Vvqe0dUvy+Q2bJNnHKA7yTxvDs7N/w28yAXp5r y0RL0q5k49KLt1iTx+jKz4Az2N5h+0H72rG1fciw3MoGV/p9yJeXhg8Sfphb/13HV+kizzTg7150 6HSYh7XsxVQjLjWR0YjRkmFWgzOvN5NiqiZjeh61LR7UqtNPuAaDLsIKXEex0bX561IYLXxPMd2i 1OEwtNezL3XgIbdXHOcg9/d9YMaKtBTh8y0jKdtCfCNpwFHIFlWugGkZ2jIEKDTp5A4HuoadFRgB lhomArnD3vfRhK7iYvoI0JhpBMBrFvMZS/AN/9K8hr0aGqnEmPYQsPacnPkHAvhywn9eknTfTjkC CFWn1dtPFo+fslf4VYgdXavxWLNevIcEv2htN3at965cDjaAeKCdeMxdTZf9eDEtolVGtY23LKCv BpcdwnXyiVnLwVyTHopKJxKDnjA1pvnlgGoyHy3KigZntCWHT5z6KgMDNIuoW8ElWamTwmxpN3Dk vDPycwtup55slm6EhcHLHLPZrlGEIR8sfdPTsECqQlXkZDZKBM0sxcLTrdpC1kHpbCz679LKzUhu Egj6f8WSu2w6kXtgsIW5wnUI1LHjWWJ0AVAsVRfJ8TTZP7pOIHANmi70hlE9kuoMQIHkjQrnMs+P V4heGE8tGQZ8uVY1PpltE7hNuDSybegDyWrp3Hts9DHzkX/ktJrq3V/n+mo5QOsM+UXBLe0UsWfy zUUSTLKaXo7EwqGwu0AnpAuzrjXaes3GL44XW5jphYjnIgPqdZrjoXwDfulkKqajvDfN22pXNokf qMyqDFqok/tUmKJLJBrXMmO0wPy8uAIEX7gYvsKoWHiZCneIg3Rfymh0o7ashZw5Scbxaw5JNJEc Tkod+2rxf9NeBy2LLxpBcycKj5eFvflEtLvLgMO3KKU8F3nYINx89BgT8OFgqDZunPStWuqXakTp wTFppBHPA2kXPiukl7O0SrLYulVI0rZpNH0+hTADeuvD8n5mOuE1JU/ZG1xyJHOM1u0D9KRV3/sN WpJesvcjRo74ReXh62W6/JCW2ceGvA9F+tKzBKShmMqOBXEfKHG5ooQu32NT4OxSt6rBifUbLq8v MHvv/tVUl8tZNlUTsZikgTrbghx/fE6cqrSmOhLfnCIPD1CcgGD0uteXDXIcjv9TMU/W8tJWMoN/ PyDAru+v1CT4OXiBe6GObm6n3hP0xMHHeh73b7DZWr1v3yj6uUcQVCVtkDiXZnmZwJJjhi6KRcVi jFEw7uqiyhufYDOplIUWTHQtS+L2fvU0ppzY0Pyz3DWh6o8IVEn8tYgDqwFUEbQWoixirJLrfKv6 9UE21V8hR1bt68KxL7ssX0kWmeXEqaDsbMAQLLOg3HF+wmK8lnpUPrKEFbYK3eg18PHH4U32q0BI wbADCWegrb5204ynIoY9WrTTvt2nLU5xdljB6GKk6JH4xmSGhI/gDczCHPgc+0MbkZuvA2SGxtuK FkgFOc5Vt1J++HwY+hrW+yZbN821kenQL3V58S3tBe6nMPnftU9jM36SntzL3lsJbqNtX6mBRqkB 8R/9HIPv/y85Lx2EG6w+vWYcKsM1QtnfXGlaO2vwxPj0PxJ+y0bWw3gwnnJGHD3i6FmviT+IItxx rvwCiI77jdl0EFEXeuEOMuCWAeRv59n8dzubvn0GjlwuhaNt88Amqs6OqZL9a4GqKINmDIZU5Ea5 UdbEabGiWhzg/3sW59Eux/jCUSROUJG/o+yQuv1kdlbIUdbBU0sUrMx78UlB3fUA+bxlvW+gZabi 7HTPnjqlPgoFmpOwGEBfsRIV/rS61ihfXckXvao8YpjtO4o7UM3xEP6yqmbbcvLRvlxMf8KvY0zH pVrj1MTl+iKz7gHZ+2Ilzm96G44kNw9X0Bao2MaFOtickI6WrZOMha24pR9aL3usi5PUkdLSUOX/ SlikKkmDE3SdU5Wg/D8oE4WJx0qhpQeg9bQEHUVd1x7mJ/8Cd8KIM7DVrPurSvIBWssXuq67wTXu 1EgPwcXgB8AFcQIMmH9Wy0kRlcUkvZhGDhlwVwHXkbFNzWHcfi2Ks1fSHLEpkitreWSwO9xAKWKm RwPUbEujFGPBRx2giQvHh1waOpb4Vd1lGaWzhz5ESKu5HezuA27CSZY5dqjBLsu9lP5B29Qf4Bxq oZkOveTOiU/cQJkKixQ092wV+Yq+A21GKc3BNrvZWSiPlluIh1AZHjhGB11EyqWDNuwSRxkTWkk2 gyhJWJBtBty8N7+FRIZgPk6PeHIyh39xhS7Mnf4mDrcGW+QQuMPYRhJRfW6jfcDT7VkrBWYh28m2 ah1RykHE952VwUbdqapH+fw92v0aTft+3QCySBwmIG+af6EQb1ylcTWbxKEezFJj8vE8RQE9NWU9 AzWPg46BqOD94BXTeG/5Jqkg38U93cDi0wDibyV1w0vxA3xFPjdLZ1hkpFp4Sp2UgnGHTbtQImC7 eai+Zmu1ZcMLIl6pZMzDVchGRGdkL+Kz+qKhcfPmBD+DHOVTUbyDItWunNQj0nTPipoMW2Jg0JuB 2JEA61ZPmfBrX8383TIEUnr87SiSh1vHZLrLum3Kp8A6L67mD2Je4WQ+sdQDigUWTu6R0u7+B3FD JWIrN8mOlXMnAlwZfmNHa/0nj6psxZAqSiyU2iosdxwCsCSRLb/2D1i2nxtadncTi7UEBrrEW/HA OmXmtzliS5uuEhvbBGalvSBI5lD7XqNoAmIOuatOjxyDURb2P8npwlBMarwgHMrZr4rBVhpkteYx hJcHT6An8U5pIuVbZ4jmZMC6y/2YdZlp5V09ooDGPKcwvyRzlvoI8t+zz8Eb9G1Ih8l0cJ6py43P tEGhMpdVVGToJB4vWIuIPTHdygrqsdZ2wIpRApjTn/WXjycqq+bw8qtjRhBM71HQS9Fo/2QOWPIV pNCYLOpvq2NVnSOI5VH+VoMP4+mX1ZA1VLucwVJptZ8ylF/JGTQZZfv4JUxJ8SDcEAbdDshs0bNo dUTSUtUg9nI83Ae7lueXDXnVY7vgBSilrI9WwS9+e2iFpx1A4lBxyEDKSfEph/9e7CLmVZJ29RXp baJBp3WePmr6aWsDDW+0WAj4s4UtyYLkybXueFiw0J5jjIkzZXsnhE6Ez41NA1IE6Nbohox0Vle9 H+g6cWnEEd4LMbLVGoDN4hoCpEMAr+iTQcX0ZoHjQeeyzuRELAbjwnapffd48+2103iTUH+tB4ub d5XkJ55lkRNNkhmQBoC44LFjHim1FU87KZmUsWszXCkyiE3llNRcakSUaitF8X46m23rcujlTdCG IILnB5C50Ycp1epgeBKbMxk+wwmbhX4++Vz1SExuQqnoooJPiSaZ1k5DfAU7+FCYr7i19vVxfLzl grzDVh1KnnyFgEyzIzCWMBQNK4O7LogI9dI44EVU5hboXKX4/rlVX/gG+9l1b9OIpvVzsX9kU8Ao VdAB3SuUgcLZ/YoDYPowwEJoPW1P0dviX7ziYgwHDoFIJCZExaAYpKZb2mjZ6HxHfqLKc55BFkQD E82eu68v86JA33YNAHmMWEXzoACTfC3t+4Oca0JULc3az6B6dGSf41RZ9FBor/UYLfom136kR1Jv ikMSW33xAbPuIWCb88NFFh8P6cQL8ujJHvhaYxmKdkOuos2yPRU4QXcqi3HBw3+YrM4AjPTlPIND oIusRQAxrtqp4YIDcgWKX3Wk5mNF6UKPsPo+hDCwItMs4u+e/TykXSdZDZfWarKQkDp+yoDTb+9Z BP2PHrTifmPe2Fqc4zMNCUEGu/QIsWZ5zKwpTKOO2UjDvTv4EzrY3j4nFI8MR5S56++Lj+V80zI0 N6zKYA1AN1Akti2y4TeJPXVPAggnTXhmnjekdw4syoLKt7m7UWg2ZyZIcqRJfHA8qj1FfVdlyya9 XpboM7vnupgsN/dtAXQ1/rZMirRWyUEaMjiNxbpDZ1rRy4AT/e8C+h71tzmtIJaDSOEbt381z0NV zVRGpIW+XzjcYKAjpxCFw0YYoaDBDHPNHm7zUQaW/F5Gmq+5pL4MWwOpHAAKj9sHP7daWxbb53mB d8ez2szOtj1yduykWn5S/m5Ljkhk/DO4dG4eiZcqSYfw8Yk1eJaD0Ih95gmm/E1UD9908SYveKUw oAlfaCKI4h0r/VN/QVQywCDsVW0RMrevzRcKzar9Gyl95WrCT4JJLCbdVq+Si/CtOHpmSWVmxYnG bu/a0hOeEF7bwbhMb2sPVUtgpL40L/q5acoXiLgRrcZxyRbxvmry7XNpbB1S0rXXcKTd97yR5j8X kKrUSVQsozZhioP1RhU3iO9FjZ69YjbdRFoiH9dta8b86E7QlQ2eairsGAE1/RP7MckeJPSqiAD6 e8DmCBtGnX5beVowhUU8WTe0q6DyJCbA/NH7YpiKUvLBWREbGGQ0qqiHBn6TfBfiV6fnavVAanle J0lZNpjcQgWDynRup/BMg/DQFygQ2x9AFudIqMUIlaTX8b/PDKDMoWE+b+CLY3SwzUwXnZALlcwU breJMNje73N3Z+atyaIZMsXYDx886RjWyZ1b9QVJxbPJwYhA+z1VebFg00bIgZxLvdR8EBGrxt1d Eo0w6WkmRe9551KI18svsUm6S+zIZG9ZKp2zRC8z0cWsi+wm4df1nCm74sh7srT65/bW9ugleHCc h+XlMD9meQnrRXKQoj93oOGXYWUOqtRfTK2c48tYSQesVx9lIc1xeiH8CckaETMGCCWf40PAWetx QGYffTjP0w4U2RHqwZrVIpU68/fRRfU1j+I5x0Q/lC8o/dSy4z7dyK4tqYCCJhQBztlyRjZuTUUv 9M/owbzk5lzuYLGB11loQwoWT5Jnke/7/QNJjrx5P8ieuiDJLUQSRgnj/NSQptLoPuzNEM/uNCyG +CVFAFLWSCUq4p/JBaHdvNg4/wTTs0msT44Gy4jdQ/cvatIUXncnrvQ5BSatpCKvILiU/P/q81Rq viZIQ9Gf7o8i8Jy/oDh5piohRxGhGd+Uu1zEqNr0rkAybt3VrKSLrmSsfph5cHKll1sGPWyGtJuD Nz9uVYLzY8RMDzmflVdSWvtMJk0RGtQwJl2VgCGiJKvHJZLIT0SYDjuXmbJlnli3RQfprE4Zxd1z TuMANSZkE62/IqRgkXvsPZ4U0xlK0ziKveaRE9yn1J8FfDQCeuuGZd4dJ/64Cro11JV0rP7RXOiV SuVGXVKmThMkeCB1pPrFJZVi8YMH2KpdZX9pishOv52E3iQ2YtRcITK9zl2flHXhafizPE1iX9rF wn5Q+gNG/aO7g1mDPwgWIGZvHCkswai50vuKRDAecxZKkkrkWduhY8NoV+RSZy7dcPM1t3uZOZ3n Ib0T84Vlx+CvFfcSYhjPP9lIlLnxjtOMty7h+meXb01/JEHnlE8Jb+IkbkKB6pHCjZ2Rdz/YJqkd Z0IVMJLRanR6uCNXv3JGhm15vUVt1+XycQzhhMQEArAodFGLoA6jlK3mGlREC2ylbhvWw2j3AYjv UXi8SJyq4JYJXhEUUQFcKw/GC4Y55HpMs2vPI2+SwWNTN3g+QxgnTh2HLRg1t+scN+983jBvUgmg I1vu6GVLSRncq1a9BXWONw/eBploKeH29tel/5EfqdgnlwyEtU/UxOp4n5TKlDUXxo7a10txsHF5 MlvkHic5d4wUZlfLXZy+BnBZhTdS1Btk9wDavtGaiGdSIF+a83EHI+VGUEu/z/9BT/6pb3BebmKG SNh1ZPoc8r5CinhY1+xMqjcEvkboWZEnHQGvrBEh+H3tTkRgEi92hOMeM+hL8Cvpl9vCUIqoWZ/C VKnMevrSPWa1fawFwV0hMht4bsTwZAwYNAHnCiMD/ew1yUnKthVgvSHUdWmbq3zKRe1fhbAABgdE /z2vRE5C0EcduVBS9lnxF1Tv4VTOlhgszwli76Y3oSc1ACMehv3g1l5IIj4LsYL635zdbuStLpST m0QTqYBfcJItnqt5uOh9Y6fcbpQMCiEff1E1LhYfdX9sHoZ1xyEKEy/laJERbqKSpZ7EAdQRqi4R fL+ynbJ/3j9jXBx8djYWCcg0sUxXx59sgROvkn7jUG57V8Tz5+9ft5LhovaR1ryd9Ucn6AKzacHB PBQk3/vCaHMBmkaJQh/tRag8FrFyMUQ42ZFVUA7WldbH6syiNr6dW+52xesg1YSrpmVaTdJkW2X/ ltj0hTphc1wnfGtsam4/pNAsGnVnWDJeirShjjtdGZ9TFLrslC9rifrJggnBaxKnLwDEnvvHBdBx RptJg4zXvTdjCMhmMR24e5+DAnTKd69EspvTkfnzXU/WJ/yL+gaS5AADdPnkkts7wvXEn5Ve4NYO lz2riFCz1IscYTSEdQvOz8G94PftWFYcpsnZ7tsXLdl6a7QBwwgu9v6NRC9leP5dKC27J8qbg6Wo +hE9HfKU2+GvztEo4bnwx/psOIBRK5N0pKbtrLxOkf4EyxE6QFUQC2P2f8nYCssEKTtCfkgclCYO cXSmip0UQyEVIPOAGL6ZO8Zr5CQfaU9AwNNTdH6TVaoEVkialjS35MSkyH8HKfJcml/emXEMlmlQ 8nrxPbwrAZlvnmi0h0QUb6j1RQZgEjg5o2jU2mhEXCIdoIXau4PUizdFAKV0X+nAuvzWN7kelGLX QivSHAgoGcg353UpwniHASV2CP1o454bD567TP6SsrcSKsxqueILbHjt9XyXL+0dZpU+Q30rskEA 31Met15ZjiDBn6fM4qp0gaVQndadmLyYpvixmb46VQktqTrn3k+luID+EIZH3DFqBkMx1eCI1Vv7 wTtmGKBmTSUAajqLeJwMnx5dYLy2dVL6HxjWdw4FxvUuhQ3ZbAXltiNJNjYWHn3cIT0B4ojsfobt 3s5Lldt7ZGlY31nGAT/fz5LV1o111KvPaZIo00llxaGgbYW3aTv+4Ff/FDjEQZ+1/7YCnisHjjbW 9AxooPrKAGGFdIh5ObAS4rvnnQbrxtDnAmY8OJFCkBOcRjejcJfPcVA11WtZLO9kEUsT48d6nsqy +dEor67qgtNuihJNi8XC4sw4zHRFWdamofoDFwMs71VGc7ggsMdaRBcbcM3hgwfND9JbyfNJoN6b O/gnOQbgllzB20jc1+hkHS+dVQVF2ZcK7gq7ViIzPh7wbjUeNcKC7hKuT33lup47NCGjs6YdYjjK 8mQiEGWUHaMhYzXE8padD888jgW0MmXsvMYCB/FjzW12vlq3/FyxV8anlsMqPd12OPQRjaQUrM52 ZBxLg3/ySOuSlbWuqRLcwXca6uW2INxUPaqn7OXFCDy6qRj5Fjxmp68nbuZhpqaA9RF/xRhj68vq QYetNaQ2yBZKd9h2mXoVCZqPD1BUNm4D+CUwPvj1RDpKpn8JOWNvxITvdSks5KyNaNZvx6KWmqZf IFbreQPpGy/DgV0UPFrC1uMrLr/kVrFSRDXMVzqdqMmAeUb1J9fmZ7uUvlDbw1uk9xepHybetpxl cXhwdu4xyEF/ObrvCl9DIxZxZOCBsW5wsP0b3fBgBcD/kCwWmaCZpEko3Nb5faufLkGSOK2TQmzH lF5pO+i5jkRQLmQx/azNT+9oe+qzI7gQR9TI3INLg460LUN469dMOBTBQfM5iFM8gMmF+INXfvNC j+st0ATk5o1tVYElRxEaDrT2TMs14VsKr5/ndsHnr/ygpX98nrrep8SaNtb4jhlfAIZbE7NqdL// eG0Oqjj7x9TCzN2VrEKDmbHkd609GJzuZMp+5GDKNwc5JrpUypE0sG74DLBMNN3tEXevjTfjdsrJ rbBT12ZfGhMAkZxqPh4i6jiCXQt6OZfzU3nlLZOreVlq0xKWYYQ0HFWQcgX527pOxmeDoBolU18c WKWbF3yxOQE61evYzpMkViWejzt+XCr4SUZcWOMp7C6R3NjeTQeaKZM2dZZgmi2ArZJHAPd93rjB MN5uF6tHNJ4PFgqrSYhpPGaQkR6QKSg3PXjOvsGEiKs6r2sK3U2TMcltis8KIfBSdcgzWCxwtE37 t/7WLA9FM3VdRqOQciWggHZkjZ/QVHadiHVLx0HfAaKtc+/RHXSzfslSHYG39TG7ZjTqe7putug/ Qrhl/RMl6g+3Cg8Dc5N6ouAFHZCPFBCSlrMOB5yLYxXjtx7UVXsl9pftdxR2YfQlhEbrLgn4Xar0 UyxyeStCrfTEVJ0m5y17WIx3PdXScQxVtI9FeWsSHjHejcU1yFgp1Rv05/qmVN/L08JBM0KQdBsr l6myyQi3BeBGgD7H425Nk7QdyHJUJmZMe8H+M53kn2kIEPQMEojHlBWjee4zTOx7ou6bE9dB31xn N0jcwaOhiKEoJMK7PGDR3zgnkYyD7yGFl0GFNWq2LbYhpsidwYIlSOcJQMAnKQeNUL3r/65CHdQi Wil9VUFdxBtDimrctsHbGQOxlI7jAiyHQLkwVuIRK43q/jZVg1Kg96o02slPXvcqqs3g5BINBnUc hViL2O0f9oaa1Zu6foLDP+dDGGK92XoM4BkkTy6WKoUesumAYFhug/w4Ynf+cFCWMgBqBIYsbn5l bHb0NJYOO5gq+tx33lKVk6BtoX1AAaL0eokg4oV8K5wy7y2ahqRE5vZPFn92QN8e5ExWT6M6iqdB NFmKdQ6BlvI7cb37Ff5cdDFU5FNu9eX+yaOQUfEauDbCQ8SLO1NH39FulyvLwnhop9pJ0chFqcHY oPZT4HFfCLzT3IogDfv+sQRahRunXmZpLx40ZC7RBHxPkwSs4UlPTb4xz0SwSPj7a6iMzeyYNBev p/9vN93sZMWWTMJogkXLL2YkiuoJwXjm+y/P8U3CKTYA4SvXuVuQGQp6mNQddurKm2RZfN6gAuab RmZkEhyEBjT28r0EJjYaJTMr658QYuFixnNFy1akOOCX66NxQ5kLTozD4FsS+3HYjR7Jry+XeDo4 lLGytKIVf6DWzWUKRLz73+3xBxG55UB90r8dQtCCtsiJONjUeIUfpE6NqYxAiaa1gk3sTdJiGUwU FWVhuD1NSCnjVfTundJNNBfQoqRLEi/s53TAqHVi3M7k8IoNwV5OA44ehmYlPbaB6lrdvSTcaL/+ I4PxtkXYvdRSETj0cBXo77ttvDwZA7qXFRVjDcuZSZtZI1vS1cVPjkGBBSDfkhjI6KBeIsvhBjCj MrMRBzCz6Mdh3OQCdsdetnjzzPG1nYTRfTLC5UWifcDp3sLz0jfwh1kmOVc9mXJRP6QTzYsH8dYx uNxoubbzuiTQnAIRR9edX9mjM0yb+6T62DBCv9SSS8uyn2kwF9vKK7pUKqIangALjfD0fgvp+JEC oAOA+1N+cSybkmV89Va5h4ASxc1rCv896MUAHs+F+rnmqBBwdqWtwM538HHYXeElhJLt75fSz/Tr T0k73pi2jq4sBGnk3lY1hisfiKAWttHfC23EFXxVgS9Cru4TQAEYfwcaQKQNsIOaxM8fMha895sF Y4adhvslPhfXQE98S3/8mV343Fsq0K9/i2MKQWhI6Jk1ZFaz7LzeF3EsXJzJhyybLwiVaSVUwd1Q o6Fur4u3GdEPVZc6FfhSlnDzXM1RffjES1bmemm5ZKY9Na+Xnh76NWWo0a85co8bI6dNaOWnAKHR 7913OfSL485kuiJ0Ai+bKoBzgoEYrRtKzNIjdtnGAkf8JH+8C9inWDAks0hyJ7bqdfla6L+dzqlu +jKbnysPAhN4udHmqhSacIqzaPQdhYzrhxmMyzBUSVAt05o8GjkiQi2ZkkSp/cZsuMpSg/Mx9IHl TWMEGZbQxYIHBtBiORfSUIkAKzM1Lq5mXFmAaRukG1i0Kyc5lrlQyLQ9ym8WcAdoUURmEAphFnZ4 tVPaLK0K++n0RMsgC/+v0ZW1UbwiCK5M1BsGvNFP/A1JEjRflXDbRp8jL4+U565y/Pvr19pNMzgP v2NsYIw5bb5FURCELEkWm2HgDlYY8bOZQ3fVbJKf9UZ/I+Jj20DIUNbjyN5+7hKMXpF0Lrh9tY0f 7IXQTIaubSxCZ8Y+g3WO6CXGVU/a+HIoQaocq9IolwE05+/ILCqkqhyFRDGfcZceeqDImBuxnOB1 vSMTQPLggECTVET2p/aV2ZJp0pnJrWB6OrPKrJPAH4yRUdg8HwQxfHJCe1GfcQiGgcyjTaxySjNQ T6zv38VDuiKRi4RdkAWSbS97cev0N+ufAU5FZc5M7dLlDUQtysLPm+KmHllDFT3lNjRASpHLzFcK 9pNCkDVDladKa/OFVNXssHN/aVOpy6TrxbpVvpBdCSphTisAA3C9lnlWwIeuBpNkhqgazPdI/Zej xdub07qUYG1YkhLyZ5tCHUiNeMrwtfAcYQ822uJ+yiVHzl41KNXfg+Y9LL97MQd3Nmx+1B02r0U3 qt7a72DaDDwXBOyObLzvw5qbvzTawc5dzF5/KtNwCxkiSwSPFDer7swtrW1hpTJozrFIe4tEv3iD pYC6p8qd8aqCFFwS1O1eO1MnyO1BpOu75C2CCGBaC/UztnGZhNGBHMM7zkwhFK0I54ya2c8SjAxj 66mP2buZEuJiy/Yv8c2i4I3VnGeit6idLvxPgwsGF7EqAt2oL3L+UOTCQL0fTrLMoRokT5WwtTCN jrHixBcWqVjmjYfAsisYwU8eLAFfCNorKofz9Iv5f2iXHxGd7ebUAgm5uPju6ApI4u5RUacNhFCx u4X5xAcyY9JzTgM9DRGSt/OWaY+CyvloKeAmj79EZe/tj8f5H0TWBqPifT7ZWFkV+rR81IvZBjXT UyBean5puRrN0D1AjjqlKs5WmQiNaAnO3SWSehMBdj8AnfKSyaXZZGEqlvFsC559NV26+Z7vHpcG y9eDCGDuKcrz8bpc0oZWX3FeZqYC0x7IOejvangx0SISD9A0dzhquUYAZ0VKEnrTPIUnHp52PbTg zc/iZ7qsmqShw1XDPlZ0R83SyOC3zjtc4zTRMVb7uqGN5w6/MddXKhLRPAaWCzyXCDacG7cSfO2Y ISD0EUOBi7jGFjp0em/pt/RahUIM9xy0sXxAz9UKkw1b8VvJo9/uDElI2JhjppM2t1z6mbiy/xUP JOMvEl9HEIRf5tx1SkIaNQqZ3J97ea4IGLTjReZitnwCPb/l9Iu8uDMVDPRTtjOZILUYYnvyzLvl 0vj4WXI/omd5/uwDPj0kr0cHdZXzi4glii6GQQr+ch0s2rXMyjfdfZvEG+6H8si33jp9BlRO+TaZ EQIUASrEg2wPlxK5bN2sy4TUxRMp6Zd8Ct493tsrNouz385+zbv4m5SzNVa+3Hj700oAkm7+DOrQ YDkDaOyu0j/azhxb2UndoKpdwczpf/YbyxWApZRNGxBSQq8M1aVCGZ1liMH0mM60hIXzK1NrOPXD 36afkot5gLxze+5QQ6fKy1X4TNFMNZB/kSSkktnxqDDMkhHbdxh5RBEGePnYyhikkkKjOsyOBwUf vHBf/7tn2xAg89/Kg7JL3/oCEuKlpR6kKad52STAaoQHWXZT5qK5yVowGMkh1TS4F9qUvXr+4BG1 cw1t0CXBVuEgdGgaB4yOMFmYQWISHBWGCLeEQYiZUxElnz1buD9x7l53vPHvloLhPXXJ8IQGGwjx vdTkPkHPyCtiycjinQnEwDRhnbyB52bdCF7pi6JdQp9GU5280/VwznH/aF+ScVtZrXVYbATkS2dy Lbv7gbYIHyUi3CqYl+NR+Ln2F79fVI4GpE8dCdnvz3cifD0lKMtcfYxn6spK6KLvesmiGMBwh0qR 8P9y52fLoTvxOU5s9pPsFxfn8Hc+DS65a84XhBNar9aGwceE9d6Mjh5cPt+RkVUwJc351xsZU/+A BecrvmwiPyEJZcvGdE2SdeQBOVTWKJOkaN2YOvroE6bN6SVlExPgWQz6yrtnpIvRemqx9PtKMbbs bHSFoGE9XqNjodo43hZZhskUaS9WAdr4u/4rPLSczj0yoAA/ZJMMHbzkcOqY6qo7Cnt14+VIMXBV cMQ/ZzflcpQaGFYmBOoQg4o9UDwskrKuSzZ7RtS+rX10bukAyMBReqWQiQPdE8mxdu+UnJ2yT6Hf YpgW/FHD+sCDXjbIeTSsV6tQKuGLBize6qqsHZmjVS48Y3T8GEXu0hFHaMfxlyWoh+A/ZXBw0z/Z T2/eoG+Zu+j35Cf7X++gbryW6ayEvRFCR173sJSQVVVHJxHGZ9zh7uTwvbNziU2ceUxfbWgEAN0l H/QlFRwBVAjUjbgoPG6YIL7ukYqpofQh+0G74CCvLbdRB2Gb8P0jwZVFbmXRvZHYD+TVzV51AAV3 LOlfPIWMk6zIyn8uUfyCzdEdqlwqDszZ1zZA/2ITd8sU20Zw8bpFhcIV8ooLBMzah/9cYPzGAuxh MylpB6I+lsyACcI/aGC1G8JxsNKqTcTFx30/0BZKLwByxWwmDIdnXrJ3uPk6jB95w7ZU5Lu139q+ Nh4nuZBkFIQix//yWDzbxkcpmoUW6Pak5UIzD55G9XtMG5mEg9Fct6eRiS08cjFeAr5sFjO0ZC0p L4Q2UPNSOjhUZkLZQVQBvmW9Rmq6R1uMnzU0WZXWOVwMRZzhhBBuxAyRiiO+oXzwE1hs2kBaJcmq jiiu7EeQQjQ89DuC5seydGZUQIoeE2Q/sbm6TD9tf5jDJFD3f2Qz5Q7gqIJt7nrJOWO7EO2rKYt0 QUq1TsNyHrT9tNGSRUvwkLd9RihrcDv+Yg8o7mgq6w3VFUamYLmAQLjldwxdwQvIZwCPL3yDPkQc L4UhyBA+Oc+1HuqskDv/OWa/Ymru0OPnmPoMUpX4f7aQzDCkVAzjQWbMICbPDRw9ELN+hz+jUhSp rfv2xCuOW2at+znESP0R+egbsH1axqsjaOtX4zvwhUjxzEpsNvBfmfdrAz67RdzwT8P+k8EHcD8k 2f390jM5SF4DQ4sY8Z7x694RRW8Qg49IXnnptAJg2lIBKTMrOLrpSXf//pkyNO8oF0WM+29tv6tI weEOj8H9OYc/Q5bsEghdymXq8i3MWmSa33HuqaKJDAM3Rvfzw1VATAL5zORuOKQuP7YTG9DvqPAn g5EPdxt9fObHOr8XxXPejql1NTUE1m3vhBouWIgbSBSpR5CVWXKFZBOKUq3SdgG4HWNdwOZIzJqQ fhq2s7o0wodlZ7ybWVJXxNfrNDg/B5cD9W9Y0ipbYAAaZYCjHBA3jge2bV4gZ+vDt9DkVTaQjuoa aD3Wcf5auj/XsUHhiWLDNi8pkYZ7zvakqAlgia41Zxr02PKHU/jui55q9b0Tv7zC2Xei0ODwcihd VRQW9TiIMYeUqItIOZJ0ARrLybc+6bYi6j908+Bn9ZnWMwPP+6HQuHaFjJLbs/JQ+xbIbaNFc5vN QZrZ3iXrVjyUiJ6bO/Ze2fK4/8g+uVpCu2l+uVUx4mW7dIgru4sOe83mPFNK9j09PbKIRcwS0iIC fs0feffOwwdhsas11DuUbS4PclF2LWlwF1LV2g5BQ2KENZYCue+B6/plgzkovvaJmWq7+8pbMmuC Xjq4NEShqoHDIQeU55o0eRIzogEA1GnpwcdND6d+t9mgy6KxSIGca0jzlEnmq+e0i60uOdXwQLav LNEh70V7cJ2RvCKrZ3+L6TCu8QIuFrqG0oeBQNV1cfTZJAvAsw/hKzS2C1UsFd4LTNp7/w2cESqn dP35qvgjBecNFDKWXJ0K+khTPhhGGvZ1NqsUVhsKQEzSsLSbTNBsdX66Y6cVwe//NQRX5EDIwbg7 uQO8C20Q9g7uG7jcXfpxoXM63ViTIwwO2lhFvgxeCX+t8pjFe2FblVqdMR0EFGtj110Zl77T4xkz PLrUvBNVUQf3sBIDkRttB52Jg+38LmBUTKdyjXLHkPCyM2l2nG7Qy6SEkudF8hrdNmKDIHa32cIX Fn4/JfNcZkTPQ0j+mnZFI3Sjq4OKOGseqCbkUZh3QLJlNc1i3BHzfNmPvEXaPppinPG3njunGq33 IxGXNrYtA2vIfjoqXh6VQimQDKz4CykZ9A7dUnPOY61fBEA2GDpm9iEcbKGG+MHb6xfs7XqxJdDG 0EtbbWCD/Vc8kmIss+/5+ni0atg14dXHLPbaDO7IU1jwxT0xHXtUIfHmLikg5p2x5v38d8v7XXz0 gAUcrg+1yvkbsVHtEXvi3jxLNvRB85uAAN4FkeqjTqtFoEuZj20IBY9JOU5FMG/0DNGT0++pEaes aUZ9C5hyFtS90PE60d8wdLu9OgnZJuvjS4fp/U5ivCYrPV9vNtriWMPm+JCz6AticpoaWavzkLRQ XGpGsPlOn3SmPR1fIiYZkTk2LXZRFnCWZAMhKZYb41FuO7qm5LfOWtoOZ294on1MVHsMedTg5l79 gXBmSiVD0SVmpZtmxfhHUxWhIBTEsPLki8ped99UuA4zzLGCP8/aC4yAjPhN1TqJngXsvQoTaYP3 qJrakuuEE+YXRJT+bgN0R+yx9Y7ly6L97ceDl3rsA/JdIziC5lzLTr6jvmCJOZKC5k9R8QIGFSjX 4VSq0adPYrVr9YQSloAIPyI/YcQ4MSE43EjpQE5TLidW2WE3PDfI1B7GiUuaqpkhpSWSZFtzT/4O 2bL0gQxAoFw0Vfv9ySBf9c20ScfyC3twyJQaQHwLfVJtER1kzy52LqdndrNqu+peLXTSmLq1G3AK 349Jq5ZewoMzZoC7atuWm6ZZ6R+DsaxjMA9tl6wZ60vaFcU+Lt+RH2G4v49rRoZ6EWXI2ApFcBjf frtC16QKAGvco1/D8e/CVR/eWwzgNkCCpNZzTzqKeFT0lrTiJx0iO4a1rvgac3JsVJwZk5WRJ5pV VCo+9gMh3GAuOmczKP4MOPsMZHQ2kzCyPYXwYMxG+XLs+8tU0gf9XQTJ+ttiol4L71rvtSyaWzlG Agy2ux9gL3UEofSOHJsN9u+Y77giScBihIO7MIl7hv9cgYqVGX3tDE+is803+UrfsTY9CUH2sGJi dJ7wG8MgVpZMq0M1fWipBIQu7NbrLjTO7yWpHQSgsbu2n/bgO2Mdta06e62bnHDVzFLnZNBiSgvw nZNYhJ7nJ1S6MFRqMAzLJWnP9GDj7kgzdJzQ2E9A1rFHWr5DrC7dTG0yBisvvvwKu5lS6v2kqyfm 7aD9hl6DvQ1iGAbkv/sKzMZEauTT4JbPgUT+NxMoLrfToL/U3tgTx3RN/Xbmr6xuMZwgwFly8oiF jcSVtqalW9AFSvaZ4Y0TsHth24NQlX8yHbgRKphpRrWOMIrdngOovnVb+K8RLv0DC2aj5QddDp7O GFJuEUVxwE/BuFHGux/eq2dAY7w80sUMTRD8jxK69F1gpmpn1i2QfRwC7HkT7DbSD3jxTFGPNf5M VmL45i/b53H285HGbw9TwYHFDZhXoEqrZtltti2noijvNuJ+FdLKpUdzJwlT0hzd71jKQprOstCl KIF0vJWnZZjvSa14BMvzaFSBq9EEwUSPeQorWdvHhO+r5YhcF5NyXvZ8+/EKG54YqX5aQagr1Cyt 6rsIW72WKeFNvEgfK+ihQxcbxAO1CQenAvQyp5ay/f8ZJD/HUnCIgAt8B5nkUH36wNBbUiv6Pi/D m8u1zWA2HZDqRNIA7Fh7wpM2mU8zlyH0PzNqckX69amK7Diih030mE3jPdDCW3l03H26g+voZ7qa ypy7ae8mxJNmzbAnJKXo1vncHqRUTleKc/pi4Frntxq/Fk4McymdPQ43jOkXf/fE900jeQ05cwGc rU239jzUhZmvfMtQHaqXIrIt5t/QRZ4mCrG9TsqFQWpE+XsqfP5oP4T9og2YtHC+DCNKnrYZhWcE TRkMLXTnJ4NqUexiMyhQNdN+DI2z55vPr980XFlhmuJobLmiy1aN89QoKqzs4jwKu5uoEGW0ue7H GEsMbsmLUTPZ/XtmSscH4kQYr22IyBCLb3yJMMtu5pNmajRcTlo3iAdDhiTHrpLWH9kZpv7ZvG8y kAqaY9SeFDmqw4A4gN7yxo+mIE7ETwQkLqqEujpQ44jvWMkhBi0SNtURtWoOsZE4Ds/tbRSQJR4S wjg4NYkgGWVakJxCeqd33zTi7H6/X8IGHAA8p5ys12f2m2anqTzBD+CUJsOKPQXcAlxBsmjp9zy6 HrerEi6Pk88eC+mEZzR8aTw+CCXNVEA6qQZQ3mjip5Hu8uJuxA5fuAMMirdWmb41LTFZe/Zo/x3c yWtHb1ARD5MBlwA4tn74GpjFGrt/0GhDxJTGQsl/s5Bcos6XOGm6UXBOf0LIP5oSWb+OAFuZzW7v S+XGAoopCYicIFvrpnI+MGeRINUStUbrlZ5QE41DjEsxMxUqEUlO3YDBLlR7yjnelXjEFqk0YHZS JE2/mOw9b4D8ET/zIrl5+pjUjk8UJ8qIfhVaeh78HXrclap8F2YCVQkR6UHUNMmJ7pj6KCpM0e/W wa43AIrCLeeQUUppjzNynlZ/vR1Smq94gF7Of5uiRlh3qvxJEUjYgr9wWZl5P7zwKHVyuzuZW4ql 1imgqF1mA1Oc0u2K+so4deHaYSgKnoqxP4XpF7B2lZsKR8bZXDP1WaiNNG7lc4tH4CQFPeEZqjsr Qjc2AMF2SUazvaOvuyKXQYZG3c0il1ttdkVS1EJoN2MRF+HoAW7G8NELFN25cDjdDapTmVmsakdD ohVhciRT3yWIsrPKpM0KHUnKkeTQa27xIA7J1O6oBtrx1ARbSmQVfCwljPI2NngkiO6vDmkYycRu eoWPPMb/w3o6cKB4Ul73Z+ywRFj7OPo+bB5ekrJF+p7sfexAkutl/wcy+x7O82TWWwwYh5vm77wh e463FxFcyZKHmxo7BWmzYMYeO8VZWkWID6nOmVjynXTWUcqpUGWizOM0IVFzWtUj3+LJLcUjA3mQ HFCSQiyhyk8NdQ697NesgpYCVPHbOmI/SEbY9/pBaIt4xWS1Q9Uya5lM2yPwXaX/pPknfxgG0Ufw 6BNX1oXHwmlfmonRJf/K1IalDWmJefs1h5R0I2UVZ2TfFn89ywaZQt4a4KW0Or7utpsMCWY8HgQS wvxeyQerqOIDWu4plwW1KolazuZq0boegOTCkKDTLwSveiE1otAbKGkZSt4quP7EGvlCFDBpvypb N9aqCaVyvwxczgGalZCb0BwJGHEq7BKVNQ070WobKOLugfCK4bMe2LYBwHWgl2wGNPm4a8P2AHCt /kPealIUwPBMg//6l5PpjQpS6mlCWpRu4/Fg4v6TERFKBhqC2Gg5cIV0Vd+lkb+uTJSrR0oZt02a d9u9kHPfJbsmqRhXqyFmOI0QTMvgs0OlK3eU1QoS+2/pQuuttFj7F78SYLVrs21fhZDzR6t+aSpn 5rHzcIz9zuzMOqXghQRXfnLdQFnGoOgX1R13ypIEt91NkyDRx4UszV7A+lBCxFQJqi6KpIBKRubl 6ukZVv6uRz5MGjEULtxyZ63i8DsEULxyS4T7lZk4Tv/0rNLLxYjSIuK4bi3u5UAtGr7kPj1Sy0wJ UuyDaeD+6SmY35q5SLUEHfnMQfuGIaGoKgD8nL2LUDGrez4NMKUmwtrM5F9AIQqmYlvdWLjiz+/S s61wBVFSr6FRihsXCoCaJ9FEjB8Fs+oFe4Se2kz8eB8wWf9OJ8vXBbL1QdZBa0kgCFoClUZ64QZU Rbco8T1AdywpgMIZRRsw/bkpyR55J9IFhmg1+n7WGhvEXlTPZJfieMx2BLttS/0G11rxEvbPnZPZ F+UUnBkE27G2L0XKuDJbP51yeNfSdVL/cAKugEgPkG9j/pDPZhlUi0DPk0Jie/LEZP54C3kygAwy 3JO+o8MjlkpFEziO9FkCNl6IOkfXAMWHvcq1WHDvOkWzl4ui8/QH8rjxSeMqX2OAOm78c+P1UpAf xHOqvDTUY3tPPrP2oeVSJjKboGmapF16Vg7IlkpSAJeifyKlKCt+tCMP27cSZuJYUAMk6ofijCno nmr2OzopjwFmEpohjNY8hp3Su1nACDIu+kvc6VDg4bgVA9sFOcdFFSxObfxduYCrHno00CGbxp1P osSjPmDk8fQwAzWdwLTOtgDeA5o/K86v1qLJ8sZ91HLpIWsHqgC27BaGmf7//+YWwueTv5d3A3Y4 8scdwtsyYPXS2GhAVGri2hpXhs3qwPUxShYJr/RXvbxt5qiG+MGsy7Hk2nR20ksZPRs8SILhP8UW ml4YCy0dJTZl1otdQUJVUtIk0tE/8YfD68fWm88HXMPfhI9PbLcKBxl9L9vMtVAN7Ezvp8YkbjaZ RkPXq1O9Io/cUPB5MwZ6wPb4V8Mq2e5d287SKKXqE7Fnf9UGgFoOyS0ILQhw+GvJgQeSkw1zph6u Ph2PN0UK17BhecGtKwT/2DhlGWNDPSwNNMUwzsgjszIzBj2gMZiL3x/xsCiVrkFL4uXvjlIAk+jk 2vUuJvNuw51bNg1oIkHKyXSImgy0ITTnDLhIvEV3FJ9J2LoAhNSaeW0zl9prgwZQjctGeiY/DvVl F312X0PZQG6WHdB1/ez88ijEggaARoOOOJURUnwK6V0eSyYjh6rusedKHVeFEk+RoNfMGoF+5M3B 9XeMQz4Fs1yJONIP6O2yp3jgPaIegT4dWhFcnL60XCLMhG8ndhf0VJkyq/66wNJmyBqaINE7WzDY 2SRmH0Fap/Qi7hWzLPCn+6moOASYkHk3FmEF9burNltO2wO3HbifDHFupqyonBQrsHItHUuQapqo BCDPTUJwN9YVbBRbavZrYDzCJHR2jWIfibY7t2bgqcLpw2MLnwKW4TlQnBjxKNsAuPbj/yd9pZNJ OvdKCruDItd1RhZvGe3qkbkjhFrRJzQ3HFHw7hJPXOealPWDo3akHUY6q2lIZJh/oeVPQQIFFh9C ZMOU5NUPArCDtNlAHZiX9aTvm3uExzfDNPlOFjoLkzBhTu7zVkiWhr5etQSJpcdBjFoXCi95PTrP NL/V6kXT1V71pz+TKMo8C1yODX34yaC+ixeVce0aUBTAzMAGeORpS8B8hu3Zdow3IclQn0ND1obU TGlR8Nhqi3rHQconuu6XYF2zxZLPvQZgDfwf8Bbe+CYKVObkmDEKI9dQpOraEiYizniwpNzlMJUw GwCebm8bxEG0pVizHwNamjFJmuJV2mxalfjWDR5WGS4W6GJUeIQYVhzj0T4ZhAIQ2n5pa58bL2Tq Wn31xO8QRCaSK3CtPjiwqyIf1QaxyR05StY4zM06GViX13QIgdyAh6zBzlTUKl4AyVJ5PE+AvMQk 6MUpWhLnjgx3KfZTBU9+Ff9ZPegzo0Q8PYe2QgS8NEvBRqVUAS5bc6Jn65HRYMNPh3DSsHsp0D99 B1VkhM8uKiTwYuirBIYIy6uTdShfz2ruOUV05B+22/jvPc6nr/KONF6a4O4BM69OzaQghGt70Cfp FnSY+ZPnBpxznn1f8+D5qkgXf86/p0p8nYgEbi0dtQAyW/jH1fbk2n2aP40WdtVrk3JVy1RQWrmy gA0YlwJhjx3JNKZdlpSs+GUQLsbbfGNferhsI/G50GWSVLILfPQtMlKm2iS2ITU896fEjMi8cLse 2gSjQ+nUZv8VZq5zmTnKfWOayE2ywIiqK5jFoeJfA9lbnf1GcJiK536YBeQ+sIBmTQojUDb9XSoh ulqc51oQnrFDwGx8r0lxzpX0a5R6HxY+93EZzjGp274MseDM9JIZSFWhtIICFkIn3In98lythGI0 4zCF6B8jffl8TqaqobELPaYsGpvVe7e+qJ3ti4nF0GOOlyGJsMj/Jm0c3lYObfUm/lgaWSBoyO+v mtngUkpZ1NvxdXn+6BoMpjJD6ZAAeK3el0rXCcR+yJAi3uhBGXYHTwU8v0jekorwoKda/dOuQpBb 7qKuB0ZNpzQ670dXEeTw/6V5gDyKpusFcYbFOmCmJYDCOlO5XBeri9Q/MMSmuGsWKSmJOMJPNxeS xzmq4aHeoZXrckhEw1+dNoqViC4/x80jKRDlZv2zHvExhazIrkgc5U8/ReYNA5zsiCco9El474MQ uNZkwq6tZkznznpGr57eCgdXoh2mmIpDX8VYdJiPfVKGBJJpMGL6Jlq+S7LSgQXP54aY6YXluzwg 1iR/9Aejlw3ESC2GBFEeidhdX4ly8V4AeLGjSJLF7zr7edMtIzfM17NyuwZcDxUfNmlHl2Ii38OH 442TtTiCdzPbZ/3ie26INHaMC2Dl7aJcHDoqe6MF3NcRmtT4N0/ShbweBWAqW3lxjwhxx6AvwmkL qiDPUcArUP7/DAQ6mXFzmYC9lJDKxQStLDpAgQOsY6A391gEjLoItwMrYjxCqhTYMU2AcqiW7hkS jSpxsgqfLGC9M2/t7dj1TaUCikiqqk0OXXxoEhLABKMypLDU+DAzVdF7L93tUhzgYCJGjcOnw507 n++QnAMlT7OK2CHyD7UlQmQJUHb/TlfGu1LnSzmkyjpyiQW2nxKbQbu/Og7X1pd1zeLNiZPXyfdI eeY7JFxJIW4AshMHieJ8mv0ZPvMskiPl98iCR+LuhV5BdBsrW/r4xU5eUGx7rtKjqWCjpb2CvpMq a+x6eVAz7nzej2ME5fApBdXnd2D87pASFG+VsXQsyM4bk8X7JQJoQFkM2RgppOEQvnuhjCSY/XhF GvVtEX6wKgao+mwVZOozxb5krr8zjbhnqk6YjEEpMNsWXKaX5f68eG7JgVs3oiJFjVoEjlxroJF+ IW8jyea9esOtYONSRQFO6rCG0jckoKkqb3ASxQa/tA9Ajwi5l1JjqHocy7NWkX3VoqyCqWLXPYp5 krElncUB+m4W5T6/zjLec4UZ8N720ueZmaP7ngQf8nJMTI+carYvJRD/E5dW+hw8s2waaQQR3Cjs PHVvl9pJwh6uflqWFcgySUn6W1d1lBsCcTZI5klq9+OhgQLL3gmqLUHMLwH2wH0jXPzj9K6VeSPc fy4H07KdHaAeHourrfSF246rhIdu/YaAqvas8rCuRJW7G9ulN+z+cXmUcm4901oc1nsa52WTq3AS +qOZQs+w+eI6wn19GBtUqGdWCkyVwC/xXe7moUGasK5P3EgfUCcrPuDS/KGjaFds8BIlcq2gtQRP M8gRC6VID6IeGK+uCufEbytrAu7bh1kbGkvUH5KabDERNBDeYdY1+/g7oPEYILo72C9z2xdzwlzV BQmiMtza56trjnmpcnK/P4IFWiLo3wDgmLjNvJijH0okcrWZihuAEuhU9wjl051IvxstKm5S0aN3 Y0BMqFjFytvNPcBT4XCtJr2iUY3vUMPNtfbAdTNZzBaKvDEqBbazhFnXZOY4XrV7U8tmWLCCr+H1 uVR2mzpxMDBGOz0xbCDuKIbCCg5y9PeZBDcKAm73MGxS0FYv3gtdkW8R8gHUtwJ1cpUm78fSzpAT gwD4PlzXvW32dbXjv5BIfYPjFg5eFr7FG38yFA1gVKvXfZeL83AIaGaK+pJS/ZVj+4cCvth4ss3E 3ugrKa3QsV4et68/1EWQLD7h8zhuC5fCI+pIlREkM8pXx9zmH0jeZAbVpc+QM8HaGQOjEgXwh8xF +ouI8f5pcXn+dWsBiDBXMgTD0CqcIb11logri9Gd0QLEzLLX8LZfbhnVYWz5ej1+e3S4oYLBp98q 5ZzYUCVnn/o55jH3qDzhbzijs/hpcr7L2ytvtkHVOxB/wJvfQ1VJLvIROZuBxq7AA++FEBJtvPEO vi9p6PASAx+jyjh2uB+ZK7JRjgVQB25c5UKr3G04VjAnoowowcwa356g5WdTyVdKBB1vxlTy/EE1 VBjfFXx0OfGMV1Vu9DdEsN1EIK5ICsEbhOf1EORl98TyF7WO5/jn3jVNHM0gRu5vgjZz0fAckAqh tI038ZkDYO+CONjDQgVZnchn5GmyjYiTUZCMjHbA9411xH1w3KZPzsyHROixV0lAVG9t/rL5Ab8Q gGexHrDL4yzJ9GhftsRl/Icig1DrEZI2LLk3+6MPO9I4kTgtKhm15LdhiONrAKt1n+MyeSrfeuAQ gwO8VTcIDBJcuGHU7QsXEjSc0tGg1/qZJxxnDXv0rU5B052/wo4oyhvVIIRk8ndsekk/lSN29+4d O9j6x8N1NVyc2OK1Qqn0USAHsc2dtyUugMjDMpqvOqzGcNl7p3Fz/oZut34YyWJg0DH8LkDcWcCI RpPeg4dcOeTJ8UtHGVZUH1qvy3S+xwuEaVFG+d2vnTMYeyP0MSlUQxreml9UVO3rz8Con4F2RsdT jjVLtrkk0rZp5uMBQelBhtRnJo3unsrjfq8dBj3FII2yIMd7IIn9e170zVmEeyF38oRrsfm5VwYx otfMvnbgIBWIytpp/RayVm3qP/+wJHc/4U5pML8o4GPQZr7YweISSQsWKukTlyP7dxDGwsYOvbXn mPrUeZLf1R4gFKmPagH71TV4QIIxLJ/G98dZLaW+bbWEwU/TUKJU5t1WNVCpMPO5JsymXYB+Mevq oI5mXOaIYZKzIjhDrC5dN4Fs/rcm5GnH8T7fx3NPBgy3xljsk/bZPVwAhwwyDF4nqjund1VauoH5 /lANtvV26BBpwXK0Bs/m51wBBroPPmSx7M4bBICENh7u/e6UiZUPDCBhtLp2v3uAaDTkivs8pHr8 826q/sb0CmHyVIZ3fMHxBwiBmnNrx78+OtRHUeTiNRUB8yL2RbmY6ZQUoTH7MoJt9biY5Y/AIq0c 6Qc758AKaaTtiP6KlTOBzMOR+ayNlrMypxQqARcWVGo74CX+dRVhEAFVL/Mtw/HqQrIqrKAnOjUJ U39elIU3g4LJwh3POEnXjq+W/BxsVBMkwOqVrpWcKQ5EHbOP7jTXgfh+F0ReV/O1uEFOopbFSbEo u6gO5vyaUxHHZ9MS3sGCOi9/akp87iBb6LCpPJ81CojXBvTCtmIAoSltf7uRTeOtO71pY7EW3vtu +2K9CyTRCedktPvxWSQ2ein0qh5i52dqyqGh6dH6slfLy9CrJqLwNK2v+0ambUczLQ5woyPN7CP4 7zIlC3IOnnZGHUYXjPSF5AYKXyw7zc+YvHNaJ3jv4QraG7Rrg9aax7PDuBVFu+No9UGuTaC6sqyd /dloOqMp4fMpyG9K/UH1yOMdg6OFqBC1BuUU76XcKogRtKiDtmCSdHXm9gY2lT0L4uOLZlU5WJvn FyB+Qv9eFYkX+Cr91JgWjkG1cF/rF9S9LtV0I+QIHmSCaWZ9ayThcMMzNwJMdzymk7r3gvZ9jQDQ 82tYKVxDwNK5yH/Pq+weoXLOBSgoUQsVprh3vLSTO02bHHICOyPcxI2dbFWbhwe/gp6a1qRpP3S8 pLYuPCz8ED0Kg/TYS+SkSwu7rO11cMPoxt+tHJBHacaiejstpiSuh4CGkXB+Fwxxs470i2di6fZw PmPXvl2eu9GAHStkeCzmuyPI6ITr3sAiAF2ykKrVVHUYClp2r84yh4NMFtga2oTB4Uk9DTVukgN0 bBGDLQKntPsXIvV6UXeJD4GxNclw+lDicw+j2NjEwf+Hzfv2zWInqMs3FsPN0/Y7QhHJurwWH2Xj RVVZhzf8J2Mom1IChe19VeoH3Y9M2WlxlxOBfRw82hsw3UNbMkFiv1ncwGjtv+v9OnyT7CLVYbK7 JIyTGMmI6E53/VuWR2fr+4bf0stHisbKPC/6iqzvLJkwKu2T9RhvAs6TSD0HKTrVuBuMEdfxZCtl 5qi3WVkbGsE/dezTW9yZjq3wJ5Ntl3W68aCX7IEKDdPBAu8tC/C9f21tp3YZ2ClsH71+6iziMx4D wCH1EwNGVVIpztoDxeB5y/lOzpL4SpMmMYgBow+mIwhK1Zu6J5rFFd6E2WcHvdvE0CLWAYI4veAa UEzlGipRNkYqeohdX2ZpbcvFGA8WibBVC4Eis4CNqNM3uNoZCMwEIme/j07AiQLkVqes05ud20ef FKTW5tlnEwDb7Ukyf3POZHBQmzwVpZPspkkcKU9xF2VV9/Ix1Ruww+bPZEIMXS9oD5Mr+4NRolHj MC8rc9EPFk4cFOqOwkRJAYzu8/UxSmxHVXX8dvcE3l4rjtj2G8HLUWd0MUW818maFeywtIDGeevM ptW9t2D3EpNZRThG1LIAr6j5mrmrgzZhMhSMpMIEEylY5tRuWveXPxBYzIgymaeiaVq+LnRNMq4G rRKYKlamjOWGcG+OGj2Njn0GpbWUSC/Nz3u7H85gMzgsxqk4cr8QcSqC1/SyrCWdZO1xDGk+1koU Xng+BlgdGZXrAZVVtGDZtE43BxalSCUaItoVGlZlikQ44PcY7ly9XySirW7k055daNab41BsLjyA 3irk1hw8L+Qf80H/PUvetV+mRjYSroc0Ox2ulwVAdrfEjZXsMEYLh8I+jmcZ5uIZPkFmi/7iOmhm nnVF/Pd2CqmAXH05m0TUkdyuUvSgubSOjlavfcOmJDZuZAkS6+F5BpH1FXFQwTQ9EwZ0O1piscbc sFCULJo24NGNGA7uRD7KvDH4LIH8lypG2NOBQvcM//GOhiP0f45KKiVhqxc/p/AAQ/bzPsfbdz3h RijuUfGnMmbwRvhqIwio0G7gZ3RX7YsNCTr7B7VdNLLcFB+iTultX6fE1kCxEgOKDEgwys3+4I9R 4B3oAtODxxIcOTmWUgrZDEiBwqJyyHEtlm8+tHJH+gT8LPT7bc6LgIE2rVU20Oof+vH4DTmzm+Pc 4MZF62AtyEoynIRBKUqpXO3Qs6wyOyzdRLRU0DGdWCXZ/q5vqNoAUBauNw0ohxuhDXXQHdLnIMSO 1y11FDtHWsDmzLVUJJz+hmjTP5Ae7VSbhXD653tI8hfreusgiD0PGn/xvtSVccRawEDXn7Twyc28 iqNMk4hF2uvB6bqOWeEU0ls6QbY62u/jpKZJmROy2GWg5qe0G/kV5xENfjE9at5z1Ii8zCFXFQBr xlQnkYLvHwiptKTZNQZDvwceszOXm5Iw/GhQ4aDdm6iMlAR3V2pCVaeSzDrhYT1SiHLi31vNFtY8 r1fWp/o+rVkZPUIKtQ60B6kJhNX53hVW7nOQYIki/LE1WZUQyAxO7VroLiK6+6PDNOj8Ems7D5WW YBGGLGZvhZPUF9sQd2rVSKF42V7IxpA5nQ7bP2VASl37kNVx1m20K78ABgkTUAiuBDcPgmltX1uU NnDH2BA64JGlN/Oqyx4BWZMlWoVGOjz7sxrcXczU6o+YSa31EKVW6CfOKuwsLxpjIMFv6pn/upzj oW/deFez8riJyzYTKgLmGksxXP81kEJ6mI1/26jbehxv1Iq49FfpG88VnIVE7QuLdEk/vuL+qZ+G j/I6kj6WaI8SMGMS3k0/x2MZLLYFpeXIdXX9V6SauyFnct/lXAObKzWspfOX79Dg8CMg9Yv9GyYN N0CWHn8bLPpaUvLCGWVevmi2yXCxp3lOYYPFgIIesauBQ6n8YSPKQsndJvMyeTYIIeIXOsczU0d6 lMquacltJaetZfH/s5i3NTe+BJ0LzrW2keBsGA1r1Lx152Fk6yi5ZiEz+QdfIsK+W0qBgT0/2K3A fEK3um4+jLypdlVFFQ0nWLbwnuSbND5ket6xv/oR7dNjfi/cMF8oTSeuCbtYYEP0emmApaKljqNC r9X9jC/5Ce9sxKDPd1JQmPWJZggmex8E0pMnIYqa6GuX3KwD9t6pf6wFZDBTlsoJ4CeQlGEcv2tG Hz95NceBQ+jWKKRmuU9mjfFo0vxDz4q+1XVOQzzaz9fLzv9q6krM4SZerpb+ndH/q1T5ddYzfwyn BhWoECc9Mg+gxmhgO38mrTz6dllKmZeWrhKvmfr4rcVjUVyaRY67gxkimI9ntR4yGvb3d5F/6TC4 QHslLytsSHg7ZU5qpXiiawDDtiO3VFz/Juhw5WmWnBLONg2+o+KE5WWVkqOo4bYFmG2TkG8rw7lS 5RdBLHpZXP9RQZG3R3WIfgbk5nYbHTSbptr4jHh6o1L2sqx+1/YW82Oec6ycLbwVoT5wx2zJ3AYk R4Ukt+YduZMrh12fsJoGgyXTi3f5zrXpnzVdeSdLt7VRyEonCZ6wNHG9oDpdwJqu4TgmMnDhiB/Q TlRI6+63uXaUUwmLeXz/NyhGtxbI6sLo6YQa4qtSfqPG2LBQPM+4mQGqhr4NAun56SebI9pRSJTw d4P2Fm2g5yawm3COHA6EAJLPo/d6IAOzWzWrEE4mrel3YXvqKPHuBPY3AqtZzfN+vqK+KjpWKQvo qfB5t0otQVEZ1wJGOUe5JgWc1nZc20jqaZh/apBt9a+QKARj/fi2OFBF4UgBxX6jNQly0RurQpFg IXS7uDLU8TfIrYJ7Ohbn2HW1fKve0k0TKTjB1QALFGc1p/vUKjlkHcHUkexJPb2PuQnafORQe84b SnFboAuAW2IaiTT3XSw0lx2ZLiFIdHTURk94NdFEVOMXUwY2ljeYyKt16McufkoB7PklxHOxMkB6 nzBl2bslZS0WLihV2Q7D9/jeg3qUoGt5wY1J4Qszgy2KPlB5Sc+eS+OAPwY4ImC5rcOlv1sjjBpt 2BAVF51bnzsF3cMSNwV6FUGC/Kw4DPT4ssx/Xh5+jX30Ue0DJTSJ7TEZi/cCLE2JgFcRYY+2nSGw oDAFPpmmgmmbNbCv8IciVVf3EnUJVrK31exSuneSnie8mQzfJu/+kxjIOaNX+Rc4941WTxBWJ+GK OpRO2x/dCnThklLXv4SaC4aYGpoROX/R+BKGqIdOGFa6XCI7VhXYh8Mg+aL6GDbOtWaGphSpGMpR WdEkPtvG+fGq/CkMXVqyJbq+ZQqtItIUD+J4XxMhO+ww/5yREWAcGg+n4UYk1RD0yah25a2Z5pjt fn15SKFZkxxEkuXTTA7viwoySXHJk4D6IjQcFymVZoZnOEuNtQqoBMKDdeZOCZE6ro9/LbdoYx6n n84Z+k5GzISzmRmYTAalI/du57uloZThouVukSXAIKXpTDChDkQpCJAdnXY/aQ1eQIkSE/JvyRW0 k4Bkyt2dILcHjKZ5Tm1PvtLjWQ43IS28lxazwK4j2KgZFNc0hs8I5CkGgvJKB/697SIF985lXWLn LdyKcJd2l8Br+i12gZcF/4VzPxZkhhYgbBqmLRP9zp1VBuL4/bwuZ4qDmhDQrxN76fGqmePVUeQs o1OQ0qF1JTCD/qzWjebIaBmrRH3IU/VP7O5sQMFUfoeBJNxHnXr/AyuaMNxIT9YXYMXZuSy3x1NG leiT5zDaQAJgo/TD8D815EtJUpYn+xxXEPaQ4gQtaCFT54eC3J/srWOZQWf8eT6ceNubzHsXXVu4 qvkkNkUIQ6DKxSNiKZcETPyIHxGvx9LVHVG+ksclYsWXptP2hAXiTd+UsNNzPv2HM9EX0sGPPaRI MlUNYE5pN22TGXw7S3G9xNyEbhmEk8E45uxo+OftFtjj22QnW/sVzEJCpBpp+pd/gN3zmJbXSTUL XNOeP/n3Vy3H0oJlekRfG7ZSWibdiFYH5rYJD9jVlT+TXJy4rTU8/SFiQG8jDB3Kcse7gs3hzTzn BrnH/nh4MI7IyABqQZemC9C8xL3NxeaM0mX4FSbAJfLCHPXXjyPz990lNmL5arhIquh8aNRoRQsh hlxrlou/Hzue9vmPHRdCf1sloAIiZRDKeNdBj+vJn+ipE0vOJJYSyqReMgRIjcFGRmN4MI0RDt+S ciwjZaKsP4qjELGv9MKx+RMUYDPB1vK1Ii7+ukJ4ekWvu3F/86xeWVpxVKvdq/85OvUHJryk2xf/ 6MEjrYZ0etoakG3PM6Edc4tyKx7+NYrc+TT99RhbCbdnzXpUp3OnRftru788PBJ+qhohoxpbggFE khe8gWzDF9BTJgpDxp+D6OUo1rD7FnKYcDYAFOxGjPjvwB/SZ2zEy9eDpaTa13PRI9TIPN2WOTkh BlohtKQc75dd3un69slOZrzAYVVei2hUll0PSpLQs9gE43kB5pw4ZbPPFfsNktw59un7c+uc71Im O6wLz47rZBMYahbOP1OsgZBdFA05D5DP+hZ3SJMgHIaSO43484jA8d+7WjpjOpq5sbLUhqHbeyAY Tzo8TUi+tm/LucVuaARZ6cLwIkMMwE/FAIxdSzzpGiOoCwQ/ihAxTYpo/2rYT23x28K94/d/9LCf KLKHduqMdOLKBiM9QRWS+2ecrmxsfGWqMCs6cnvXMt89WbjRxrbJPxQv2d26Ysyqz64ufU8/EzCd Hl7uzxI6XENfrHW0JYLHOHPbTUNwqynAJInp3cw0eG4rTfyhT7euOR+NcwDiBc/abprvrp/UJuSJ 15D/tSPidFH/L83tQHGBmH1scZgJhQJlhrj/xoh9F0cUhWA/S0y944fNjKjQOK2pS4f2+ZiNGgzb 9GnNc85x9uzI6n2e7zyfoltXwpaXbQXCBufyiNRpnueMRsBdWN2f+VFYzPY1+fSMaigBzgQtWsuJ +33SAUb6zCeSHbK79lx8jY0hFg6pjeqIkOxYgxUpg/xiheT0tjr/U4FAn15XfTX9554G5py7MkqD l30ohowIpHbEPrPwVaFdKQETvf0fWfseAKca8zRKLZ7T3DZZ+CM5ki7iRdHzeCtOKBjW2yRROCtS gdFhi5MkuaIeIteZaBHzQpkXTSLGk/sZLAbGKzy+epjAuAcY3FyYuFOvWlF3M9SttNXs4W7S0ksY 2AKAEJZ/9BTt/9d4ViODVkgD7xoQw6xDxwj/BEBYtaOsUGMDSEXPqg8NuM/BmwYFre1Oqr1UwmKd zXoIOR2dNLRvfxBMFWOopginnUbc5DppvSJ+BaPOotPiLjwhmM1GUQNtplGOv3pZLoY8s2r/utwc mO6wl9VUFd9t0iQeD3v7txcH2IoP2SI1+x1MAMOIa8fdkWWpE/trgTXrn+EIaM9RnUeq0nIH7Zcu u0nJ3KPvz5ux3BvU/6RFH26afi0EAxA1gtZr358YRHv3Ik/ufW8yNxFc3iERBELiYfFl2XVxa6/o NFEIZI1NPEts5vpoT2BNiNBLWEMXntDa3b9wEngqvb4nywgdtmz0/bcoZbyuWUziBpizBljdJKJN +l1PPVEQYB2w9IYERdiEJOgJM4X6kEJ+FGAotwkk0ZsGT8/nfr32B9SUkfrMWSdeqlxYy8nk0c92 Utarf7JXSddPHaUy/1VKZuHArzfcGIkVU8VHDuF1l/H9ZGJkeqw47DjgtJyKIRy/U+Msso3PAtH4 r5xc679NlPIxV0PstKAII3NdoR6EK5kDgyykuRzSf+sFNwiFuYoQ6/6/Qnk5Bvs0oO/NoEjYGYp0 PqumITU39juBxK/TnzR0KvgRWQVmAyhxrmX01GEuOh5Vb2a4g4ieeNEqfRz2xWyfGcdypK6PiGkB kNSl9QsVBlVlvtL4mkW15Dd1mGUzzxl4tzM3AX9gIKDQr9cS9eH+mgFhlLWu/IwesaHioq8jCnFm lQsdFxQAmOUi6KgW2K2xpHy+8U2gUJ8s2cOaCx6eYYYfoNrTr9lMNvwQ8kM/cpWTo7jEdxmJWTLY AdZmMwxqxY4xS7wDGZsPjRQ6tjiVlA2QxD5xyNgqfMctrYo8veS8OM9BIiUeQEL72RCcIknd5KYD Pz71AzEIKQWb16p2fZKju/UbyzI+anDg6jVhNHM1r66/CJmh2V+YBaD0hDiep5ePwGSmkGRb8gbR Ohhm5vKvP7KUq0LemWEwcu9U8TbtRSvL8OVZo8vpGtDNP1B0b8aNtqyrDph3y/juxod5LVqLD4Pj nV+TFtjE4EGLD7Qsic6omPb2D/QdwtdrBscBHGN2gmNgzo/AjXeMdbxs+a+zasIXAyiwXMhmDRrm aXbHxAVpbZyZReQGM5dtQuYSP7mNfOFx9gby4wAhCyzbMTeA8amwYhFRoYwkl7GQUYS1yiA7c9z/ 9XL7+vb0qVqyxTtBWYJ0OUfR3gywBMJ7zI+oG//18Bn/H3AyACLG4dOeYWJCSn2jeVIgvHc2Hy/y igJzcJhegZUymi/c+7qfrwE/X4a2jxqrJDg+PdyJlIyvJDpgWK+Pb9BID+WiOHjY/SdWpIHWXEP7 RGcBADOwWJKueRSr+uaJjjF0yPhghK+MRD1LrxRIgI8rkjpfBixlRQtc0OMC94OO+0RWxUCbuRoe +yOHFCtAsh2WE2Qcz49TtDGMoef0MS0ThfKE4+Fdgw7JNlZoxaOlTX66TQ/Y+F62J7L6YnnKEJso Fyq9D9pDx1A1CMvAiHssrWzi66FN6wWXN7Ah6tIAe6R4rBIKrM+65CpengRncTLK1+aXl8Zr334f S3ZcFtwBDo/cQ/ehmhp40p6Vv/yUsNoGB1ijEnPDVUZfZdS1kunSPBHc8bDV0Py7sCBIsnEsZbY2 scIXmYrj93Ri64j09sVnlYGrgh9On8DG/tF0hyP/IrUvVzMQ174WSBvZ9d1l5FX3U4I/rRzkVCMW wceLKxowKhCtQwUXXmSyCtjTIZS+RLuIwz5Ah5aAIxUyAy85O7NlIFD5UAUXeBULmiduW5jNoWXP WWfoIzuIphXK62Ww4CI0RFxdw+mx//79LD60HtqiZBis/aavrLgpLIDxJXbbmTJlEsyqtNWcD+8z kldldpKhNckjEchcXPycibmOgDrqWSfg740HMnV2yzLaAh2WAVnPaEXn/01xIZQGBy0FzDowswWM BFNlzz9LA29fq9TqSJfFO9UczrSK+zcMZeOVDQy8rJLNN63MOSaLY1jx3+LkVwy75UxyvXbDug0p 0nv9DgBJFfUtj9d4aNREb4mYvtCUWs3eZXLMahwRKzAg1FpBk7hG8wfqK5mCmmNt5C6Em+2bwGED JwxaBhYJLu80+Jc3HAr44icLmMyDezjvznn9HcE+g3EuX4GuvUG899+XpD9zAbrqpcIqsIdqI8IA Mfv4++20is1/G4r8kakqHOv9SOgObAdWqfj3nKuHGBcwlbPpAH1ymEIrp7hy0NUQAVbhEU7rZzzr tZrUoSUIMDGTwuuGCoTDTs+on/zl1wynk1XAZGgmCnlPyJeR3NyMPf7xz5Td42JL0oD6Nu030DcE /DaZNYDYq7eYZrwCi/qC1MUU54b8AJZoSuwjSsomd2gko7cnbRedsVExVYp9ve6IsJSCy9CZRH8A JdSufll2R7e14+xLygYpeoRR8EKCQ78czkmyfNfMM9ydemFzvHeY2Mnum4YLCVrMFz5+5hHsywGB oqpSL4HU7jC6LuSmsA2vmd2hagW1IZLVDxOKai6v2k/kWkiNtlO4Y5P2QWizSGhVv7FdVbxbgjyI k6uWgoJ37prieLAFxYUAyMtwvD+eJM7pf1N7MoarcrZmUqE8RIT/56p4kXX9y+BCllTvbI3GBYTX G2QyVXW95Mfg+82qdhE7wgQV/xTabWYEKVFo1MACV5Dh/47D8qKlMyz3sUObIyMBUXxwP8eHVP6a vJwDXRh6g8Kh9P4rUvh5I913T4BV6mhetgxLp1BFdZI1B0odqVyyuQ5HrzgxX51DImXvHLrSq2q6 WDgIff2QcS23sP8kaNPbl+DNxeYPoFYftBW3dZnfBlTsKmUfDNvkJ+6zugEqcR67UGCPOiikP1Ny bgivh1IqnPSa1kgV53QXO8JtxOyMJxRyxV+gE79kG3ilGAkZFDFpH78n8xICALlH1HHdeM4o3Ihv RE2AtJKIdALYE1iOv9o08Nqv3uOJ6Whc268B6WoJS3IvE5mpOYshCXNlRPRlodOoGP1DL4fJYImS X5uJVpqzNpeJkaNYDvVzUpTcOAk915ur+1rJv3IcQKY0ZHzHUBnMLrV+EZFsi1aH5QyxECdnaS0P YcdoP/1U0tGr/DTB1MPcXutUtIJObIr2PxH8uWwvBLYEQQSWEqM3CyLjhUwrbZO7yT9T5wPTqPqe UCGWn0+RIqgbrFb96KrCHpsv/wfwUQudIK/DOX3KGR8uMCc6nUr9YTORfs9Cg2Gar74Tg9zBExYS +SO+BQpAJjCcrGcxHSmXLRdCl+U8N+JjNmNCjFTTg7PawjrDFv3ZIl9kNy4u7ati68k9p7XaNkDJ f/tzYKxb8ZT1fG5OlPuf05i0DJ+4vyq1JPgcoZqElXsFJH7JzTaPLkBi3Ged9L2oro1oGqlOV43k 65GvddSRkxok1jh4hJmxNnJyikYb2A99Y6EpWHL4t80j3s+P9XTVxOjW68yUJ+N1TEXBTgwem7sj lR6aL/LQ8fWaroruIGzMFse6+SViArP9rY1DRrcenGFytdI3jDXEyd+jtocGpbInWP8ONJx4mqu4 TuSXk/dmW3ki/cuLP9H6e4Khz+O2XXV+rDVtjGMdOnspUIW0CfJPSxIOXF3ZUFZygr4FysZpPm2Q 3fib2xZlUbgZ9YcEfU8qvJAecABNnNCbbZFssWcUEntfCj3b1dqUMHE8bH2q32HtzLWMvj2nHzdk sle+6KLGB4tHU46GJGfEpPYsDKF6liLm9ID7GJ1BP7RM7yGif/4mcvBM5eu1ySibqwmJMiwgHrZr YnEB1ABmbZSjm9r6nsyFqzeRS7OVHwKr5TqHjBD/4KONcCuN51QvvLOThBEaKURuzIxxmyyGxeYV lb7vJwhwDj1ugnC8t+ZstrbJa5GiT72/lOElUjJk6vpvEVVkTL/0BNDRgtum/Pn294Dz8l5mRkBt VLlCe1mfAIGGpg8CnnqT9DzoMUjVJz2iiIWqSR/OnmeM53gIMbo6lPtVXcEDRudCs/JcLXDX0Ot6 Cm2QJujF9zq0pG/5fxGBXU7ZvppA5g+jKJXQkiMNw7K8ueSbsvbyLocdgW49CYDQC6Ox/XMDIwXF NT0jb+TZMNgwMLNMpN+qKZldQEfvZsYtcdjqIYUj1C4iywGd7mAAmusChOPv6XWsAAT6nedGBrEV 22xWy1Q214NL12x06LMvhyHnvdCL6sobpxDCVd/6nDuB7JKA/fYO237kSY3jSj0yC+aBES14vfmC +J6iYSyVKGUrRVG2dfCWQ8YU50uebR93gzRjx+79vjDumdobk1Wyl/hFZ7KVLoxNmP6bBnwK+OEM NetoSPK1oJquo1UcJQwiYFK1skpvs6NNV25FMKy8oTH6pI8ceJ2jaRy4IGVa1C4ice37DWq3juIS Qrfdug+TX2UpjF/edvcoYOQnS+qU3U3eilUX8KWUuvLonXFOaHkfdQW3johoWKBR1pXAOsQT2FKE OAFOuo/gDJfXe3BEYawk5SktVz04tX9ABi1USDsvx7ehyKH4i3FyHhUjeBdbuH09kdws6YIeX7pA biEXrLCNhDqZSyfDdTMPDeuErpO8Vk7Odeco2PdkJr+DPeK6UHsy0B2R+5+Eaw6KxeWHmLEd3Tyq VmMa86M9X4Y203ycyzwGLpbq9Et9sYK6E7osMp/fGiTeMypZRd5+5QYbarDFNyP5gfdhNYy4Gmx9 kmCkq5vAI9FBndiMAoptTxNIOjaAljdbbdEIosH2lLlRpjPIP8xwJfmNZuGEGIVgQcpvBskx6k5a 6JsVPhpeR5jQLd9ZvTAjrmSZBbgplWHAzOLfl3qF/KUGO2yZ5wWW2I6XsEm6FD/EfthD2WyRrG+T v76Bn9jIo75gKPU/fzXWG/hHfWjhdnuH3XBvMnRSreJama0hyYM3BM2q/sKlNL4U6sPwwTnqqxGZ VZSS1+IlpzzMfFdRp2J2c7cPQa/U970NSl+SZw2lCXTRZWgPqBtymP/gD4yeUM+cGDuhF/1tcgIq EqmBz2gJJIxMTOYXR9awv/i2Jy2ekiZmTuYMOgh+Yy1HTJNLuehHAIVvOHb9+y0D64B5jyi4tqI1 YKPxJCfMm1EjMp3/hj6mwvcSZ5r7GrXioUfU/rmOm7twsswb4AGVRdpmY5s1SqQI6/wPRhNGpnUL jW2q8VSv/DAJON8rvbulTtJ+rXLHpAt/4fPWx8sinY5JZxkVfukMXEYNuReEqRjPfPmxEd6svSE7 2mGZ6WqsNyavnKOsjDUV0pTGshuOvdnTHH885Xo9K5spNGZkLJfPSxyfGR3nXvkk6MOZDeT8WYtN 4wsRlodI7AdAD6oJKSokdB4qjU2OKb41FGdLZHjz4DZGjuC18AMa97CCaRObYwcdiufbuMeFKXbD LDs5x9NcAzGMZLuuSz03IcTsaAYorghaE/znyaKGMYE3fZ8DaCebMYWJuiq66/4wpMBq3TJ/T8cA ILKewFfoA1rfXd6ikmOxh6Z6gTw2r5Qy+oI3+7/+wQnRtpmwRbeKG28Z3OsAMXG8bMFL4A8kbBAA ylKPWdixP9CFZ+9ah7hk9N5KYx1fcZLjyh3sE2jxe8auaJ0AaBixUS8eKaBpO1o78rj6nayZWJ2d aPdeQfQTt4+bHEOwe4EEir92BhB/kKNu97xsopctZt10kPelDmASLHZNjOxiHBIWLpN2yo2wMCF2 9ox4wLg8Iuej9eO1HF15xBgakc2aCf3PW3iYTrwbTxNijAZV/iWSlgtQwpcpC0IX1tBNcnHsiAVx ZYzrMskLCsruRiR676GiytGOfu0p25YZP62e2pGNvoCHY+9d31eT+qK4KsMWX7w6oinVjtsjC3EJ ZuMV2QIS8V4X1y1oKtUOczQSgO5MLJa8JOMZjzDWR8naqC8nrF0dqF7o46R/TuYMUiDOdUPjLnFw lLVilrsLCJnLnGPcBhs4kFc13OZlTYsX1QALMOSERzRIyxrb+tCGJA50Ul7A+lssi6ILrRBXs3dO Zs1wyVMGeT7r1Vp0Kf3LnIsc467Rngu3DPu2iuIHFW1jN1ZjQPeBg7eIGi4ryTkGWeV87KUWGefO WwKZbylRIFvuK1f3lqd6uUV8KQVxzvVYH6MRqPl+vbNyP8+EI3+qlsMhq4D67UcwGAY4jjn6ERVr UgiWKwmS/OS0ibPGJ/4RP2nJaZiaC8WjR9nRUvlqp+60eZzGtAHcPDy2MN7je2wk+kCVzu3b8rhj o7nLoFeSV97t/lbk7Owdl/I8BYn4MOEmAxtLpadJTNtzOePhr6JFU2EUtdeFvOPGYQWRXr9ymUQf 643Snmr6gEWpnHCktTXFZw/2f3gbhd0SUt1z3D+VhaGUUeDHuPUEo5MXVgwdbBoZJkTJyuobYSAN 3tpHSD24qbpxYN6OLv0RbuxAUV+s25tg2N0LvdHGxV5EoKZ3TMtfNNb4VnDkDtpMVyW8FFCISC1i vvlzGAvL3ueHPDghGrnoBAUlFEfhGM28aqEcprUaXRYoscW3jvXAZg9ft2oa8lahIZHrAkc1jyPM le9NOugY7/Xicxa+tMQNBSezF4cd4lUJtBPWixjUL/jrSBc4IkUhxWRrTJs9H89eiwsoxkBHd4Zf 1Ps/S6Qo8YjxNTJZbBtMSRX+nA61cBAmnNMkWKPPoOm0CEA2I/UTGZdO2t5sUqEvgahLz49wyzFz ommaB/lrMaCdsiYWVUEnu9Gjtz2y5tEmv9Op4dke2BpywgSz3P3ZhLiNSXduFXv1jONyApEsXFol IXout5ldP5T3Lkr4XGXD/6H6xRT/nkdvmS8AhpaKTcLsOJJD+rcCbGxd0/0K7rImzB6Rn/AzpqYP LfOdj3q7vZ6lwBQMoi6ripI1O039IrdiiW1UIVFDlujsv3wNufS5xFi7cgT8fX6Ffb0B5lvYbFmG WXCm3TXWOjAD6Pz67LckyuZfbaLc+ZxLynvKWllca9hJ0L1+24HvTvRed5t46TQExsXfYUxnPxMQ ZCgb01Vtl6MsAxJopgy4WEBXiZjl5bAwK+OpHzqXK0qDR2rrz/9rbQ5s44n/ZNtAFtol479X5ik4 8jApSQjwPGdwbdwxar8ZIwoKpWcs95HIoH+0D5tETLOzp1zUpYnME4w/LWSpW+j60lHtLSXhcKrO PnOXOqvhDPtAVs+cRaplGleggnDpt0UhYBSmIQRd+btmFvqCJbGVTFvVzmSH1jXyXxuxWHNtzvUc 2qIOJ7ZvLQIbqQ5LWGSyh+PJClMWgRgmwZFNlNS6gaSILuSwgHomxnFlIFFBH4UeYvJRfOOfEyAA WzwcLrwGOsHQFhzwCPGc8Qbxfby01+YxzyZ6uJOhZaE3ySLwg3Kr5dJ63ziV+QyQTH4nJKYUUZQU 6cinymt9LqFeTyya305a1wTae7BNvH+g1bqHmr6uET9UqdPZSs1yLLMCvTYUX7uz32b4nhzFRA6v IaRd4Krp87W4CSB1pNmZRWWzEo3WYLX9+YoG7KGhuHL+KM/sTgrG19qC60DZkrIwj0uEGFAyMqiV rDzW3jPV5UeaiUboNHNsPAQq/dQpptpLg5SIqJwohYuaNr2RAXn6dFWT8ruqiUNmx+D7jPDZC5d7 Hj86xkRVseNaMIcMZBbJfDLAA6LmX9kFBT65kWKGtkn+PXYtcodxnOfJv+Sl3M9Cppd1nRHVy/4N aNPpgqEvxOkH9En6nHaPeWwThNN368RBsVKSmvQsC4ivkKKw+wrvdck/SwzF9ROknKnVix37zXh3 UZMRXUopwx+PvHULVFET0Oq2Ns9k2e2RYEsrX0xrQLPR6WzuxsidK4BSnKAxF9t3A5XvL5dFyLLs rMxjXEPtUdpbQggqvQSeX30DwIyorMNXFUQX63n2qIZamYyzGVziCLpHZIqI4iL+jOwY6prdzMnl BWOkfOKCX4X5BS3mJ7HzUTeOtRK6TRzt0T6QM9IgBXWwXikVfp7A48s+gY9YJWYP631uhnwQNJhx Dl6RTfBc0Oe5+L+Oj+Ew5qakby/shb3PjQqBD/0eebFTM72F+KlJT6MT+z0zuntdrYO5bMZUCc6b AleUsK+AP+Zm0tnBsQGOzQKOWeAQD7C6TWyhqCOfzdIrtVpNsbjE0lIuQK+49aQVL8R1CazjYgHZ 5PVADeYPC+U4/IYjK9TnMJ+RJ0TP+qtHfdgONWLMDnk4NZybOCguDdAcsSKy9DnfZsha/7M833SM /LaNyK0uZuK4z2/1UBIiiLxgmn1Tzu2STBJyDVD8wS5cdnJmV2Xe+6Knl5CF4kp1jJOy23pTJBHo llYrB7YYQmZm6l8AM+TjvnRZIskMCzzctSttK3d90JH4fVJ5sCVxvDZY/MriyHMZLlsIK2fnHEjn FqwjuSBh3aNTAnL+vvPY33kJ5HdxGqBtWq5i+jf66su00AoXB7oDmqqvTSmiIe92r1bc2H4H7osj l63LR+27PZcE0VbpRcGLrmym9Dx1mGo/ZNTT9GAw0Kbs1SSKbdCDXTGp3hxylk41jMh4UAwvMp9p PSzvNlwNiUUT2bEuRUHW7+E7iA+ViGSrv1EyIlbnZEv46GlPJot9yy5DHC5hEvHQcOUS6Kuixyt2 3RcQBiM3L7Zs3mPiV51CEfYUIollvvGJbIGfssCjXwdrCPT/TFS0lRHQJURpTxcFJWMyZ8OnxbWW oVTyZYL3eAGPFM+jafdlNnF7+zOFusXg/kVZxfalE9ITbH/wVlztmbTNsAs4QMQfCOQPeSewDg+n FRKOTvUXi8wHqZmJbF24WTqp2U4J5k2xc9a2vBKbUPzcVd8//cCYmKlY0mmxXO65DQoap1qbA5az lAG5C5EFoUW4d4TyuSSEV/WRJ59u7MyC2KnzacMd7m5TDaCx2jdp+d2uI4sT2u3ELEUGUsKlslGV AYePlg+4/R627hIX/yBTd7RtbktD0rgEtXfwEKla6XwHYyVqTgvoEriXLY+F9G0gxmX7tY0P+UOG UY5Lby6oyHWr0JUAeIJvMtqZWZX1t8ZEbZey3E5TxmfeaHXS6ZvZcnyXZnLOhrTv8vx2Zh3wDj6a ebhG4Q4eli7o/D2No/nQxLsXSabO+6H3SK5AiOOPi0xFW+UGA6SXT4R6XxBTKWBCGCionJoumczf e4+9xdEF/7lLDViVCBx48PEMnWdhVT6pWz04kkVJOkollqw3eoBGvyxPtNw7jr57w7ve7diUjYqO ELZCTN+2um2ByI6zLEgc09K4wM5jN0jd5Rad/3NWl0WMmZUg5Bmjku1boDDQnViS5aCdheICLigT +UTwO95KX2V3BoPZ/aw6/B3OWAnfMdXe5BRAnvZyHKaA1q/3E9WkvIJ+gKYH8IEPZLfVdsR2w5Jp KxSAn0S7yI5oZHiPUd2OedD94i+pgpQT/vV9gpnEF0D3NXUBwzCiErwHcoZ29F3nCTHPWCgWtTo1 zFSYvfgrYErFKqdqKn/mWxogtiZP7M2hi+VM7IEeF2QiWWJ5us4DrJx+3udxDXLDS1a4FeXCxz2I LAa3ptDeE4ty8opTSZW1xcHyCenhSUPqw4iyeeZMRYlz4Rs62sjNhRogkChrhDI+yRV8wWu1H0SP 7eLW8D1FJv4rj8DGIlXxkL13ErgaWeZZgW9Qx91pg3ZsBSeBrfmqFce4DWU1WcI+8Y3bnvMp/Z3M U7G+DPO/adngrbHdTVfH7KV/eOa9WXxnv+8Ue3ajLwVKyC6OX7veihcbZNsHgZ2UrCyJcAAaMLm1 C+xTvpY0PpgbTp3HrvwZocW0vZpYGqGCEWAQsG03pMreJ2m6mMIuwdu4Sl0H7GObv6pXeyb0Hqjr kF57qxRClocroG9Ue6RJCkiwCog/iZWSc0XdfgDK2qPVpPAA63H4/X/Uz6zDpL4D7xcF9AcuRIqY O14/hZyOEk0mUL4dU180aXb2UfDneYP/oGQyrJFcKdO29pIev5bU1bHaPRUza0RfQ9EahoALOvdX NKhBm4m5CzO/qJu9tTpj4I5K68sqxLf9zXoqesQc+hBwZJAQ/oq0b+St2LOcvjBGMu6FizHzIH8h dtwJXnbKaFYh2ZmxAsLGGWjGNwpInehY1YTgc4JIw9DbUFtokE5zMpPiCqQz4t1TJCDH0ab7H4DN 8/+nM8GH1iGbm4uYsLj6mTm50AoVQPkhS50m7JM0WgoBSMHDSpwLfmB5yOtSK+Yq88lMORG1QlEk 1VbowXi6xzaT12abaMLGCmY5Hxxz7Z+auCnNcwO0VBMNhSrz95OH6pnW7Q+fL9ty6K64ZX4CBaDO smONmoggKd0OPJqp0MAZW9dTX+6H/sgwYPHH9k/yhyADZDRYq5FCbFQnwl3apZjo1K8whtj5Mjqq cbZKZ04A9ztPLokCQoinDz5Ily3zF0Hr6+3zcJS0xTA/BqlSk3tyLjqgFrswm12xZmzsZVX31J9m olhUUYXAJ4nT9cfbW7htQZe0ovtG7rVEFQbNSv8TTM3FepNOPEpmqz5NsWMopA9Sxm1qYo3XY7zZ GRQAKFcPQopmSXgeMAOtod4Wdwe739sPK0h8ljHxQBjukhmxHoENgupJesPPq46fby8Y9oD6+4PZ aq6Cwg2ER879+rhnT8B2W5M3+GeUScADAKY9tJp9N0i9RHpS3kgnY1nLw8UwFZZQ40eRCUEH48H4 L6IY19/+mp0G27hDwKGp++jXbL3TurTqJtsoMtS5nRcwS5QDgKae1jDNydPZ0YjlRohIXMFahyHb qgd1kMk1IKKaAL47aO9qBiLHo2SvQkoBhHnFEa8KU/EWI78vUJXInqMNRBdg8n6nuHuA5ifzQcbH FHWZe1dTGLMB8ComqH69ybwlIgwbmJRRYsc4N/Er9aG4Qfymjzv1uJyGANzlBmrMp1qXYWAqDTml LbvWq0xS9neaUZDyw7Zs63AfKP769YxS6hm6B2QoeymGxJKoPvaWUy+qHlaykp0yQbqEG5n42UDq K6B57tFy+rCbFysBOk4MN6dicV4J72VHsZzVMCyOdw6Ql7rty9nd9F7Le1Mzgy0+Kc4o0qF90Cio YTt7Bcjbt4eL7/THb/Fe+kOnzTWUcFYjYQyKL4Y2lJyW5aIv80qVCUtRy20fzqZ7QGig0urm9Pv9 BxsfOlozM7CTDy6126mPTvGnfwp/p7hHpW44yzRLKpXmERby8oiRCvbIQfjLJ/qnQeNcmwE1iDEa mK1Tj2HH+OmFYIb2hPIAXBsmWdZYiMwsTEARN3DBEa/+TdX+9TIYziWAQoMvBFa+ZfglTwVc0Hoi QJ1KL2kE1WLgB4iKYUFkNFI9z8uOPmT6L++oEqFz7MLdWQTbmrONKkjHKByW368z0wBr1Kg7YLED xRIW1ZO7NIHzzzRPtkZRfcjMEIh2DxQ1vcb70PpoOoDa6yYD2dVNcKpruqjXL4H7WryD9cH8ytES RWT+p9WnUqxT1S24bNzojMi9fghzMyaKmYl3OjLm9e0K4iVUcJ8Oo0K3/97V4Yjhg/mNtawcBy7N As3hGH6z4IXjjiXjH+6f6Dn/opT553XQ7EBNU7Z2ECTo6R9Qhbs/2pRAhRWqwqfqOUdPjLOIgFd1 FD3dMzPO+chQeaHonMCwumBz+wKT5gpl6yrcoh8Ov2/IP4XbRBF33yEHcsQUHvTpiljubrVMAR6S dvSKTl7gs1AlW6ZHlVwpbcTqzpIsItZaKwMVo8xLdqScQkeLzyfYP1J0fbgFOWjojjp+F3YSg2Wo 4TCZ5EfLXLB1HULWeFULKdoi5O04lRSXGN07+6I36IZUAC/LgjSFQiETBH4EDAEvTQZpYx8QXZgF uno2ZC/2ae8cpqotmPefzIP2tnXmCmEdFNMxDCPRsA0gxV7kCZvUISuuALgzMAd6HObV2xa8Ob/X sViYasilOQPXirkUuKEWOC7b06kg1sUqeZwR+WM1/6aMaUWLxC5l44HSQ08Y6mjPwykWVzRPnEY4 TqHRjmeZCa9ZPpNVWvhzHrxzSr3Y6dKCfKiDz7clJN6mSp9Qrbu3jrYpmy6T9qsyXnTwiafVuDqP M55DpaZTJVs+Ivgz4mFidaeqYgULRLzB3Sboj4ovMwBuP7cWx3Dk5SWFz1Vn3A8y2Bj5mbQWWW+I ugzwNw6hmGkm47le83//3uAveUhcL0fYGv6muFArn4J6/s0NA0jsUzscPPifxur2wkuEmuPBLosN sSK7BbvCxMJQnV/AkNa3rf4uGERm59P1Xiq58YX3RyVxM/MlvgogwJsdPcyzgeERW+yCxCMVtu8a CiPpc6I7SoFSvvOZVx2bsgR1K7dOsmaQHZSjbWmgwQGid7WFh7lJdZs6T2X8VPAad/GHo1LLhj4h vyp57nESfjsVVf09KmRhnJwCslGvV1VpKHISiIyhRIex2pMyPJlJJ40uXuI6SPtcQA827TOk8O+y 6nQpbqnu3H5/fUlL9ihhhl8BVAGiXDG+inz+7NaZ3a+mPUPh8mqt7T/H7YqxEmJpmnExtiHkwzaZ DB1ohVxXbnDZtS6SQ1cQFtKyri0FJiId2Js0RtYqzKwyONU023xFsmH/PVWTDYYI/UXoWv5LyJPH uiH65BtEgkSsAaVK9E76QraJXOvJWZgntdxE/abxNvq+DdIUI1+YwIZdpkOwnHTQYz8KNx8WnpBk Z/56mhy71DC37PAu4ome0StHnmCfJvnNN12QrH4qfJ+w+3cmqzcLwFp8kfziVAJJwCn6qSmB5Wsw Qa0OqVxuKnTD8tB0CnBILnc3sgNiMhCuL9KaUcdAibQ6f9aTml9xD44YZ/AI146TjOlQ9GYp7wpy 36KA/IeRVtEtwGW1gkPkDwNmA/2U5tXF41glielEOso04OandfOPB11JxTdJqxWMO1/7307C5MxC biIRCVgdGFwG76SzflzdIUHiUpJUa6VNqNCk+X+b2Ia+qlSBnpqo+nBE3UGWtxipOEMVqVtaD+YJ R/iWRqmcwObzYwY6D6BhMpvZjViHlWH1CdiGjKPOyKbmJ3zkEDrLw5I7MTT3bmXjtGGD66G08+nQ OeJRev4jrezbZjWOpfqe7FfFLrD92ngcZE9rGb3FBVKXaIjtyHLIJxn9BmdN93I0eHPt3RWmlodZ 2v6ao8+TR3GzMwfDBbznobYPITeevBb5ILNvBlr4fRobomQbDubpT7+Ct1VxDW1kcdfY8KH2U1Z+ byPRHiOoBwe0mTzB4i+7hc+1UmE48NOUFVIBVywrCA3iv3vx8sF+L1aaoFRHkHfvU67aKMhYhUSG PNUAA9RUJS2Jaet5l7mJJgRcEbe0JjLMWQmglv0WuMxBltFmKhC9mzelLB4HGtv2dhk+fqju1NuQ vsptLMoSxZe1Q0y6qDVgD5aqCWs0+ped1ZesJ/G0oGTRo1X/sRgAhsZF5W87q2/4UTA0hrHXVs1J WEd1YuzU30SR2TdnUb/ik+6x+Rzdt+Kkzv+Ti2Xmsw3FKA2rmy1LDnJBOMaAd68tQEEg6RTyQLHD FpPKpE9Ur3auAcKwAs6IPYqbaOdsOs/PAv78afDrgUNseY2sLqL0ZtjuYb05Pe0xKV9B68qAxzzO kqIYg63lAj+GxgP0LBFh0iOFvr6+9JDQEPMZJmwEWthqhz2+hyYZp90rtw4zNjOFpwr8SB+NtK2O aZHLH1r6PeLuOQfWWtdO4iWmoooqYNP3xH6kGAolsie5FCwr3XfYGY3WczeTzfUxAX7PZ3iMw32M IEWzwnlEi097s6xP8/XRn/b3NWAz5cen84UYuoJIK0gqOXfGibrlKOaRlkfQO4OjbbY/fu61NF6F CXkfNoU1c2oJoDdZXRbzbH6dlfGeR7rvEgAho34vatZlXBvhJcU+5FBtA3GFehIqCW+EemkHJSj0 XbLek9I0+Cdcx/PpXtd4v+6UJh0B+wpFeUicBEzgpbTYhNGVjdG3mkjmU2KKCAtWtb73H22LceV5 zKmwtucdOnVIXG0qfBVx3JFT2wJ7JodAnjNu2WLmdao2c5PMH35lHbWgB4IeUXlC4rPER6Ehtkox EjfwKGQ3TAbN/E2XpfzqqhsmdArLUx8ZhUdVjyNKQ72Mdl8Ozbqfj5HbnXJ8v0TP9iDtCDSDAKfX 5EikL2Vmp/MpEl2jgUVPJX7vHOrZlxbR6lpU+uXgX6TAv1cKKKMt4FijsXmMLMtCMtnBRObPGztk pGGdLWgplf1MORtKCtfptxHqIdvgVYK+VC0T+tAHQyYXPpyfmOnGRAgDX13yHvGJsdBQqh+O3vUj MSxR6/r5orFz3gH/y5FMtYLKjZ4hDjh0LBX08mDjd35YJzrqznFx0YCgf4CZMeVt9X2TieyjC7cg uuEfR8QzLNuAjfjRbXCCRPgOvZPV8cPVKEScGGk0JNLQdUW5cuCJ7GTxfUxNbQEnWvuWF+7OKcKO VFKey3ImXC9bDI/aK2Asv9oOXMPUHCOwa4e9UFS+GzUQ+4+ZMthEhmUNJyY0LndVb5SeQqEF0lm8 HHGUJ37subjmTMrT1AcL31esJho/nwYM/2CJJKf3bOdWOKo6ohRnVBoBZuP/bRhjmO0gnR6gPLWe dghltZDAyWxtUVveYvlx2stsEl814dqRLLua1mMvOm9YhEh4RnPCytGG3kbXSWEHw/TbiVQX8ClT BTOq1M4EzHVNemca6ey/BCe3ZtUkENnjNUNHpblG6HjUXkKEoOQC/CUstlfAQo7xTHe6LNddvwFz qMK26kiEG9chD/9rIpdQD5oBjIgojI0hcVrFdC6vkWPjzlITmK4W8uUubUGCPLppXkbTJXSSok3w 5WLpPB2TEpwB0VQbRlEUeYQqtRJnajrrZv/f1PUvqMH9yV9UeWe9G/z504b1RlZR6RyAE92kl9G8 KF8s/4oBHa3YEP/XKPTYq0jYIdv9uapCtERUJfjGFOZWkGatyD1FZC75K+diyxxO9GpChc5aMwg1 HgSl7OaA88aPSTZ9Zoa5OIObx8MOdlgwlbNWIze+XTlFJBc68fqmWH3wJRuIjS6q1tNX1Xr1Z/6U 3RvDw4+Kcix03ltzQ7PJo1nkrdouADnAwt/yfwIgGSZyq5JsOnfd7lNxC8dHi09f2wX3Ryz+8+Xc bUs60h4BrwXoiaSTGlClfj+PdXIXV68h5WgIi2S1cXY6/1InA7XLGmQs22ZSKrmxi/kf9qm4GPXD tOEWw7GwwGYvb2j2Bmi/8U4S4fVMhzkc4g+Pu9GrUiVuR4CojM4AzLyVLTBfiLEhHUkgB46TrFYe Jr01QAGP7MqrWMEI7tb2bphKauCiFkvXznLQGGnPWSHgo7tA1B8tUUMghjSb3WIuOW1PCfNecKL2 22jnAyk0W1TiAzK7gOxjKcBPOYBshR40cYU7rLPEOEGtfKQaE5sxACu4T58mnXUfP/Zcu+nlLveH Vl79LgnFnIHWzHLsDkzFq7UJ8e3+ZUfcCQPvp0YbRlJjlBWwyglwZazgSwyZPTYgvUqgt4GKGpqS ZH6P778xp8CtWwpw0TpnzGWMgkAn3aynfWnbZv/nxkYyfVjAvr6/13ZaMzfHr/A6Vqw8R+CO0uhF 496wnZY9R0RATfLRjL2wGRPaMfeBI3bLWZcmPNBaJ0CWcg3RU/5VjLAekH/2HzX21m9qD1XSa1QG RtgpLmKhya7xrookXnGrkme0/6oR3fb3S00RvQIuybtpfyEu+MJakaQ5vj+uRfpR1/L7Mh8++CGL EaExL7hIOPxYTI0OlIRrrfBxYPx70++I+Umpa7vWgvxq5aqtMmmoJBisNIt0b5sMZsDeFQccjr7v UxHG79to1MzxNhW8cg8niXni33Pj9v4WkyrWaV/ZVyhVPazq18qfpTiUcwsG0bG+Ag/RnwXLyRux ANQp3Sf2qKne7YKgF1jF5si34o7u6i2EUR+EOglKlAMQgIpG/HznZaFAKluhceINLOJSkHiRQzLJ 0/EXcuPUueceNU9vr3/WjO65RB3NM47YTEqNjNLxkWrOvkozjC1/RDQWgT0TJq/4A+rwjrFH3CHF zCsokdLZFV6gS9Xk7WT8V1wd14Dy01267uieE0pZ0WJLtMV5rONYlsJ8+x23/6efIpoktkgx3ayk 1C+FtEpVsqGZA43bum4ZmC880Pnhy3qeRyhhyd4eXBGnKpvdVV3neZJziAYPkzDLWYLyDWd5ZhjV sFOCzU7pP0Xp1KOnwUbCgTLrh3D39bqQluONaSmr8f5InS7qin6XnPBYFAHg1uPUVbu5/iZXIBLp AhW6ruoLPP6aqFkDzz5heRR32aiXOlQahZTOFYoDsSh9wv9ZnigkTq9BUCvCTTqRAbDqePdpVzKP roCDHkOo1KAaxyHkfnqYz2gW0B80ArLFTbytx7VXfqTIIGtKP0XHG2vRQ8IlJjr0wY8gVbDcYjJy 79Tmc1VjERTOZGLQB52UHMFAXXjAg63B/O0symF67bMASVriXyBiuKV0amudTOrjexhhiqbtKgfg M+1AeoRi3zyil6DKocrN6gZOEt0bTDDfZFS6/NPucqhtvx01CwLD9Vm8GSt3M3oXDGLJT5LAu7RZ MOn1U4fkWiHEetzGARKoH2addCWzc2Q8TfL0eu7l7jDZXPgnVLHmqnSuHT40wAqaKanWPALcit33 9uXdBzyYogFyTd6Tw9zGfLdGeZA5ZFoYqRqPxPiIPz7wgqOAo3zU443/Z4pnV/GWGSDoCitIACok F6N8be3u1G3wv6170pNZtJ7dhsyn67HBGsgUZ3tPypTpDksG843lKimIZnBtybGiSyYte7R6GBG9 c8mJly37eCWr6tmktJ+68pvzNsh7+PWF/g1U3TnjJCXojq2Yd+EU1CWZapv7IZq912hGPglk84i1 e8pf5ncE0FdnBgcSM6h08sqIoRaygr0cb15GLnSsdLbIRbCytadgdZEiKQChxxnnv/DgeHSqdhr7 rEf8o7lsN3HOev824o+Dc6si0Dhpx16qpoGUqIl9pqzXDzlGVmFcp+P1XxHwWZ760tyRMInJpvvI bKSuOA5XoC2j1QvAQiOqOn4uPYVDDTj5Yn3Hn5ExUb1v6AY4o4t+Lv4LoDfn3r5hR3ynv8aU+SVh 4Dzh6qeEAOXtUS09c4t5SOSobZocaM9Nrfz4fpgiiZlqyeT9kXJSpF0FqCD1S5uK9sqbpO6i9FA+ b+48V3lOVpcvm4MCQgY1CdFdeSX54YpaF3ATH7QAHIw0DHjuvMHcoNwFGpiQuEi1pDyaw5kqXr7u xPTKaWlGR6bsJ5thkILHREQEJbgnMk6PHL+OcQ8Q+lb/x7Is1YoTwcJ7tBvHgK7pYRCFknhYoWIV KYKU2PQsJXOiOw8eJLkSvj66Ld3s+nZ1Q519WHCXP32dt6pMOgOZMKH7CEZlKGAp3thalmYOWjWl qPQbzJ5Y00s+wYsu6v3cUrONuKh6aTNjw6cNvqNIxfq7If1n6joMgnhitrZC+CpsjmFohSYKubOe DDVW1xn9d0l90OqOQeYT9TBUaWvf3Ui9AKft+UcEywgcSy9jg2IeegIzR2lFYTWW8HvDXAyvTCy+ tiO9oMpK7qA0ToDUZkpU9rKAsUUxeOJ7AiLgwt2ZvvmvsxTN8MSmoINFaObvnIDTKcfZw8DzvZCJ aA+kL2sCsINktUp1vlRyK8tpP4eu4bphw67kLeKKrSRx2dOgsAEBgvOYzoW/RUv8ShUueHRERm3D kEws3gnYyxDpmT1Bv46AWx/QHs1tE0eGBzxl1sjrX2lBIuguTnjxqloBhNOPY+z7Jm4vGwZGPcx1 TOgUJzal34xK32EjjgUs/zaGkCqZ1BEEDnJIUUr4BEDU/rvs55ZBGlCkfOg5/1W2t3bNIn6KrhWL 5G1HQ/1hg3OMqyfhWTLWXydE2mo17e7HntwKBeDczmUqz7Wd1HWsDSnYXv6KyEZIkw5swv0/RTAF ibi1JgvI3dRMqgHMv9BpHCzNCGx1MYXSF7J/xF13aOUmNIVyn2Tr9iz9pjFKSPeCr5VaLjnYhCGG 0kJTG/ImhaqH/C2BkmkBxSyxf4YDGm5x9Z0dRiYDchPlWeTkAeoSWtQiR9a34q7ytWebs1frqtaY EWtb1G1JAAUkO3RGXTMCgZRd4uh1dnBMnlO361Lpk5JsEV2T6c6OywqtSX9wXC/6b+j0IDYz4mOr osSUs3vnoFEF3sTPIoNYMe6c8glfqe/iVESvdIRiLNME3fpRRO5btFwwgP4/oujGvlXFY7vK58Hc ySp9DUC4t0mOraKDxgKSwWd0E9upstRWAWBPhb3mE4gpbxnN0cxowVeRsCgZiRyjCM+IGILwIvpM tfDhOtBKorETvEfVXnCuNIiw5BnH5Wvw6fi29AIg26mRQT5qxXGVFVOHadHu9M/OTVpdSUxp4XtG E4OyCTRoSbIFTmyE6J+0UzqnyqXj3p5fySsJNgwma/d3JXGfGoEwQMKj0TpHiZPQ768Ubp5kqoA0 oYdrXpflTrR6WApskYVp1H5/TpUbePU8BcIU6ji5UZTq5HdqQJzr3BY6OL+Fh8Y+Fiz/vL172ZKA ut+um6XPqRgPbVZQNJnlq+Q1x7ZFvXQfECM8EVkU1l8UDYlxZsNgVs4ODbuybLozng/UZB5ynXqf Yg8132nndCfBxCfffMIoFdxgIg/ML1Dpw1TIB88bZPmLX5NAfcGEIiJKHHr5lDRjAJhq7ysM6OIJ 3YVOWKz8MkUlSkSlw1Umie3sf56w2kdIbusQstpu1J+Gou05fSXDaEnRlExeyQv2M01ne1MiN1Ka fLTixZf9+FqqdHllWatUfQMfC9rN9a+IvL0PX+w63lohYTOkgzVDp3DexIqdQpGOpWGmcpFqlkhT gLqLJGgLAM9cLuANkUtXXgAmUIafpWoYtdFSs18hKZEKAsoS9HJEUjK2gLkTbJnFnBGZKHnERAPt Iu2f6toHxYKWZjEvC/Wr43UsdjyzJlCcm08s71H0WG3/uernoJPMYaMM+dKxlIKCcoji7Lg1ujt1 5usV0JITLWugn5qN8x20ENDaJ3ssWLuGends1qa7Fe+9CFHCuuA786DXw/Bk0t8MfD834IjioQVu xOx46X2R1dbyCpt4pyQR8BR1DVhRpJ8FHszl5o6dvhc+D4HF30K2gR9VDhFCblmh8lNV1RRcyEic wd6tALLKLMeWl/DKUvACwzvVnIoDGT08RXcLHKMOwW/wpfpYgr8uaM358y4+eYYrgdkZOWTBBqe+ NZLjYzONtJLtJxEMCqOrj449kABJH7wV5tIdp0kO55Uvm5xOel81DYU+7EJn/6t1Hx2dlPgH+otx H+fbCaMXIDtLlIPIboARU3bsjKZWJUML4nadYQFXl3qV140L/UYQCB5Nl2OtZDEbGLurM7WVis28 URLJhqa66VdH0oEjjaP0bt1Yo+fmQkw3ohIo2I24m3Uc40O+WHnmtwL2egTa/weeQlBdq0PT66Ov V73NYM+bmgUnakKuzwSmfGsvUOoWn7dNAjgk5OfuK4iU/kSM7olwVDNd9d4Vygo3S5e3Gw3s7UtY bWwqnPwsDeQteMEo5cycv1SIFTP08qIgug1Hc2FSMF09xYsDIlJtCFCngQvGc6zHFLyUDIJFNkhl 0WaUxR5LniOCz2VQXiPyWY72n8ezkHBc8rPH32+NEjdGxIRmyw+9kiXJoZVFcWGidsi02QrstRtL L5gDQSpWtfjzYwKup53+gyxJ2Q8NLtVyWDOOy5x6Jf2lBzwhSrU/hWUYuiR4Vt4a/aVrrdeCUrmo 9U+YUHWsaSlmkETQuCpEp75NTENlbmznWpiyXf7EulxQLHPs/X2twLqLhJr02k8UANw4ftVPpaS0 Sjtqu9ERYdFHc3JGT7XiVWZVXpi7aUylGo0v+BH6wV66CyGxJKJgoWa/6A1MfZVrHvBMxnsXFuH/ dG5mwvyiP+5br6vTj8x1myGRUEJr1XcuwIxqs0lFO2JTWLPilcz73ddlkSc6I79gJehrCStGnwfc WkRgVHL1axIGQMWMtgF/cN5vhyygnE2daUgZyTaBBAP47Sfw3axP6MpIggUSBI3oPraXZXfGytQf g9f9HykONUGu9NbjP2ke2kHPcl9Iidib9AXBL/yyfLj5Cvg9Macpdc3tM7aCF6oL3C+Ehfdn4YPm p5E7XyPegbenfXyDnp0RfF8B0cELgLXdUvEKuipDyfzJ//mLPvwm0glFiW1/GyCCkt8oOG0ZCGsu IPy0xuiCbAMzKW/QtNKr6eKxQNlmLthejauqUcC7geCOonxm/h5Vb7O/NgSgBqgBCX2jsiMy5SkN IAaC2fgOhrbwE47fqdXAzeuMnBBE123bJsQ8WCrHtRIXD+yI1I/dRdVZtgeyIhJsjEajHqtz1vKx JMsyesMsQGznAlWQl8Am4zBAKn5XztuDC2dzcqxgUqU73QktTvDMPrBznDsh3TsVGw00U7wRpWox GxpR5jOYnFjI5JlWMryLgMRECAfqpNnmMcYwrzlRyTgKoBrUXvjYx1wLmdYKGQlB5uxLHtlWf+5Q HkFDiaPtjvJ3C57UfItbf5Um5vyEMiZlQ5j2tyKocjpd3JQN48Yi7o9hsXKNgtdYEP24CF2xUHPx bA8UlZj76hksuftryW3RaR4sYmvh0rTz52hDGs+Bz3IqOHD8IZFMezq0zQW9UAPAOAaIvFP1dvIl aLbHughCPKsp2IA6sG+MITf4dnSmxaaDbWfpQiJnjbtAObE7wUCHlYeLrpZchc5jr4k8ceZIfyYD kUC5wilYKgOA7HRcA/dU22gOurJlKTDJkGBO0r6Ng3syfWtaT7q3y+jIUzVB+kj1P6IurF9v6q1E VxMjRc//35GEx+F3xfVHta0kZLffwcC/zQCDwaWbakiUvdSK8a/qfl2YvxM4Hd0GwUzvbmWf1+tM qQFAdBdn99ZAiUk/IzCBvcH/2CQ5teBs4/rfjECYWZeRnhZel8NQhA+MEsnFtlriig8db4FKnBR5 +E9mpcgLRfU0Vp221abZgGozEI94I8NTVna6v83ayybvO0P0EasyLYEebuF87DdUe9QbEWZNpY+j QyKLO/NXDL6hNj7uodfwHnyckOGLz9YOidrXVLfxks+7jvjY5ziOyPTlZEiflZq4RjMM6lUrpG3B wCQO6N2m9VWkpVhpwpdzuu13zJ+8Puq00yTXYc8BLHud0SqlU4O2DJnJICl7VNdJm6uYFiD1+siT oDBPMDiXigMppqEsNBIzEVV7EQ8QynrD7j6xyzj3dRbcTMG/38ssq03airJp8QyB1K2r1wKW4qNV TfnrA2zI4fzGdeeeTQ6loQAGjxmrQcWpRwwNkAHGnhSOM8KrR6pBeFVSx7QuH0qQUjzxrgqNzrnJ TwtEnZcOo1DDG4eKuPMKdozDiO6ht8guwxtEQpke51weDEZgo9zSjG8BPE/mAc6fpPf27q3YABuV K0op7KOje2AkI+KawptPX8FX1mt9rFni/XvioJ1Vpk1JwfsNLuwlFZtlMWWHBfATcnl/29olQQ39 clCdcCyspgGhk8T3OVFHhBHUIm0PrjEZY8CBkkH6MLwS3BMuZkEyREjnJncju1/Mkr/3Wz7eMug+ ObLvKg8hbLk/JeGuwQRd8jxdJ9RcxtS9iWRyLmaBQaXw2GDIuHxxUQH1olP7nUAxPlDLb+zIjq36 tL9eev4d/tsuiEkMBgNNiIuJny56OWrZk5f7buhOupe8rpM9iIUNG3m31EYRy7+IDUchwzOjENUR i9rRdQUR/Az7/DnpmXMRWSE+Md8SpBDW8vEwZ6wBkIsm89haZYx32utRXSM6mMOQM8swqXZQibeG X8KmcXwmNOJb2ekcRs9Upu367JC4tBAq2ybuKoi9w2xQWz35HZFjEalQrBuakcHRjarf9cXhgLFx qYaHY9E5B6GjVrtpzoVHIJC/S4gzJoSNsEeaYQZZGvzoj7tuMyRlfMILITv2Lck7BHrDtYp277AV INgaM64PpHSsjHbxBWs4F/wS12rWd4PZOfDWxIBYQYuUfNbBY1oJpX2qV+27OD3NDbwxzmI3sbRT rJDsf6ppKeBCaVpjIFV3Rs6/Mkk5t4wAHTsE0L7WiM5Cn2xeqWNpS63Gte0dxngVoUbA6u4v7tRk VTBQkAXX2/kfVDWZ3K8DM/CaxpMAVw/GWWJIIC3IBADkniqFVtU79Vzg5YOd+g5eshts8SKeK4RA 5kvsSD+lu8mEne0/7uv4xsSZGBexVMyJBxE5aDfDcUdH9km+j7mRg52YgczAg2RE7beWfEkwmvGU 00659u9XtMgyHsnrqd6SAQOR8Wqt8XyFw55auubDNgdE+Oe8NF3cQEvcerol4CdSf4Y74WV4QKAd N/qyon4Vg5TEx9alO5Y0rKYexyxzipP5PVZ0cQBVInYKGw1fG1Q9EH5R0byeFfuxWFJAucaToCXH SFKfQrPtnkYPHlrgGi5Co4mMB9limdtT0VUUjo6o6G9gUjMPtVVRW8sgrrvcfGLIi3tAgV4W6jiD SfXoj/14cFTPbpbRr9yK19PQ8ct5ZWLbgHYfyFnw+VzE6CMW5dlMs0MPS/k1XT28asiCbjuyYtsG OOijPs5AYBLn62+Az4XTrMEUHrl64ROa35gIn0iaUoV93VlKXUauHpLpPL3niUJ+lXlNpO7U4SD7 K38qR0LJfriGsdUPu4si/XLkmBK5e3Jppw4Bmoc0LjvGiUOXmdfniRnYNY8w0SidzkeEE0rXbgoy dwvZq5PYRz9lCLY8z/ZkpCnF1uhDatdo22Ha1gjQifCYqrgoowikcO8feS7rimtJuHwfZauUJoRD 7ImXUcKItPVVqB7ncL4fre10pvXEhBUQZz/7QIELTje5LG5zw9CMol03cwl8iG6yOCayeodAbQLy taJhChAqAu2wKrRlAahCSo4XA1kQz/FzYR/pQuQ84SubrM5LkxDmkc2xM2LdynIu1JNjthgYwfFO LgIfYIkqDwl6UMz1Xv7qyCq7EX2OY4dRTthgl5GRzUp5Ab2nIVCItulUec31hoqn5j63G5Z4tPif xhskd8SX3mP6G3BVDMQrhkFzVnkiaLOrj9D27JVELURhawF3390tlzHWtNXNuCmVJmsnhYFCTecp 2vTL4ROuRfWyKBevc2bjAhdI5SDTWPkQQfnUWWbwlQp3J304B2CBT+MoaRGnZrNioVdt33aPTI2V 2cEBwslhmNdYofRYTzjDAtrCnHXi/tsZ1k3/tB12jbVWsiSN9seEmWIJTTd64QTN5KuHq1AjMWey DXPJhVfaet7yMsiaTtITm6/FeY2Y9sab+xvxJvY7DXftPeR3do+dtH+lJuXygxZzASmy8WXfNxoi 8pm6CD5OGS6UxjcwsCwkvD5dJtWQylOZmsS/azL5MXI7r0OwFW3u1LTyjm4oIAzPo5Ka2eeXL08C +SVUM9rkD6GlbbHkyNu/k7bZnYCmeuo8R3imz0Mxgu7ImV872feiLdkdzCFYwt9c5iOpFfQ+smyS JMJLooJH2yUhRvPWPsBdw+0bclD4tLa/p6ZCIT31FgOFPYFyvtYV4iqM0uyvxy3bqcdyqF7O22hw U07c8bO0GLFLtKVNRbkL+WbbIiSWYZfX14iyD4YdyG8lg5o6oBaOVeSI8cUCUEqndnutiauKSAme xI1KGKKE6dCXpFnxY8M2yvWDQz2Ufiqge/tPUmXDNdanbdNZVBZ3r7GbmX8h/LHC7dfFccjKYTnB j+wF5R76GGz2ExK9Esb8FrnTuiVPD4ZpXMG3KzQcM0P5LYBIziTYGppgkwU/PXdDlS0XTMYoMBX5 fVeTQxZWsZ8wukpSAYSuBHBCgLdYDeoVTr9rVpHa4QlOpjNoAnvxrB8tlSoyYSeOOpQiea9UgyLw Cs3A7CkuuN7iTCw0bhNzbi6p8NYFLVFM/8sIa1z6vwr1LZymgj1FavKxogOdyYPbvHgjA+VOTAVT 0eCgnEGuEZoj1KISFxef6tavIQcUypxbY+fNzeflECMiB6pIYCfi323xkqycEcmzPs9sdvNM/ZTi KlnADKOdEVG1uh6FKLkWP5S+/GsL3vdApxGjkz4hzRbJGVGnWpUIy40NSDoBJTB9zKTN3pFbpZ/M iNbBLkG131g9E4Cv1HyNhE9N3ByGLNE8/pR7W13KtLuJCksxPatKOdW5N7b0bg7BtEdqvrFZWXuc eKieByAmB2HaIRZl/RoIkvbixY1+RO+2mQTdgN+pFxlCPtOXFCA4VsSiZ9cxcorL/HXyIGu2wKVM LUdZxw+i9IS4P8TpWAA2QmheYtsucRiyM1cLo3ZV5F2yMgfplFUoSFOu7WG3qw/n9D1pGNdse/79 u0qinsA7gm3LReXgsPPGCOZjoPkU5PTAn0+DuDIeJdlF5pa1iHu7/QDRKkL8thTUbLTeKA3tlkNy M7BzRqhR6Ft0zVCn72gjL9vtp1Lyc1ojonTu7UR/F33f73Cd1Mv9gh6REa4bbXIEGqzeoqQtjfBc pp6MZ7dVrd7Eo8mhvJsuVxCTQjobMWoP3MnqCYGcwTc09xUnjpIOstk9QH53z01fEJumLGZsd4Pb gv20kcWh9+rCkUjlB9W09Q9qdqq4AwLNZrMlAjEWFjGuB9POKWtFm1I9eIs1JG0HzUBIHc0GQtHX c3AeYN6vQ8jiSp/r3gLEJC+ycZ6CQBbGl1vePAkyLUkUHUYn6G9a+FX/OOLqa355SrQ8H93It5xD 9sUl0894QdU+5SNRfGe4vTVjhVn79Oe4GuhBNtKP0Nts4Q00ZcAFHN3SK7K6I+CqvhuuxTCrPQ8F JvpFb+MGZaogK+BAaPzZFtooJ8tOyzI0R9b+3JXJaVFjmYTNANDAjd7HNkFlr6UkkWOHB7iU8MJC aeZuLdWYjkmooq6/amRDtnBGGDVwu5fqjAonDESw6JH5a9e56DqGIzu85E2QojVulpo6kcwY+S6R mMZeat5GIi5scK5eeOQuW0nL6iDVSz746eKzrqWZno2RNhqjELM0LLUeOff8QT4lU5PPiCmKio2e ggsbtujl1dBUG8kBS99fX9CYX89M3UZZyfnb9XDoOrXB3ipOklZfnQVxu4HVuOm6Qu0x2DVV0Bf5 9HAcbiChqOuTxdeKFqHUdkZG41gjapKhQUa4+bAHspnnXtEet4mg3P01ouuKY8pJgplEnIUf9sRr aNhJFTK1EgcDJ6LwdJ+upBcvXsTKA7ty3XLQCscOAdAQg/gkCOt5sxDk7veJ9aAhlAlbBU0OEAnJ dFnynVSLf5z96UmM1QxAhOL/W/A+M8N7kEebrlYDlqPUx5H5znVZT7K8dVYO6SWgJs2aNwb8tWJk DttPPgZSKP3Hy+ZGX6WucAYS0XL0JjrPh9HujZ6YsC376fDUcwqkzoTCSMfNbS8X4cRG81EZF8Wf id6ueqHc/SoyI1EPSw6VwKbc9pLJvzA/6WiCw0cDfciOj3c/c0E4a99bXQF8DwJl/YI/zQSEmfah VPFqOLdXvNcGgZK63frzKaYKuSHSYEtVjKZYUk+S4qt8yKOfhvn5RvCryEmki8lNjd1IzysQZHYN K14/aYbZI3KwTo+/59+t7L4eC9Y9B/x0PAsJayMI1HAQaoRkPLWisUhxEvZR9toFv0fjZJtg3oGL ZUGskr6sexmbEEXAcoO5a9XXftJnwFS9n3vM4+d8xqUWpQZNXBY7miL00WQ8UgM/hq6H6v0OLBCS P3OCJBFKXILBLSUdjDir3Wl8G4VJZ9ZK2F9vCcUn2MPNX7rIKOUA4Oztddtk90KI7FULeKRjTpwD 9L2Pb31/5O1lfspM4tulfQn2NZ25lp+hKGZIXdp0U9l3pbUjsRtWCABuGnRmNOZZ7mqaHakSoA8K RrsF68OyfKYLd3CyLB8s+wlMnsCexFcwwsPmGrPcBsk01xVv2c7r2KOIip+2JUwa+wdwOggzf497 MXQVQDCMokVOzog9N/ZxbW6mM26rpRywb5xJDJKQ6cqUSRg6eitxR4wTwRQVg2XTUGZeOYhPq+xe bSWE3F5AMpKIK6EI1Gv4ZNVZDpQpIKJJwULbBvuexeUh8bxh3ckvV7I9AbpYtvHrGfBPctXCwjl8 djOabhTU0jvw1C39lyvxEX4JVUhkGta5Yx2GYHjbvupfP06VluRMQDLXOG47MUzgV5JZ4EY+udhG F4MSKMPjZcfuvDPD4UaaeeEUxHvb5rP0cIiWtd4VjuoYhcff/MhmPlH+U5D0STJ5PawFvKBG07gJ jwxqHM+zDgyfonzCagcG3FLWZCSalULwiEg0Ku0W4xgfaa5bn6HxiHxfn+18n8saOZ3gUkyBfuJ7 DdYEtABElrEDGlUxtHR3IPFeZInXUJ6krXJQ6nVJDxRyynQpmzHdD9O04ZKfeSpkizkVmfFeOy6i 9/fTYwutYGZbfrbFmsnb+O20Xsoospxm/xXx822Oes0RfCJVjWefT1P4sMD5CXmd7dPjpFjVe3Sg cpPJ23gJCdpTrLS4ltbc7W3jg1LS/e++N0XEIKWcO+doVqEA8AreEXUQEVQbMWRZf/9yrEtftr2k 9vWzMzAxNDuWXFDE7fCegjKWHcMdu+NZLu2NbdfsUW53LyhSDXkfxCYRrZ1eJgQhhRNsQHNkaSs0 Zp9lJdwqZMH5Soh+8fD00LkHCiR7KCevLe9u4VxAMp8ugEd3kBxD/HrwmrDQvLnmH0cJIHI5/4rd OSxAVnQhGFBupJwyDq/sTkVcXZqD6jyF8yIyLl1oTY0MwltP61eIXezTwBqml44w6ofAwHNFFj9X tL/JY7HXkn5+bRbYOQkCCIExkrNZc/9LlqgQp+xOp7y0mhZQmdwh4rgNorvK/akfaeg0AVLsufgo iJfD0FfmHxrH3Pzv6Zi82DdIVCFgRTNa1cmk0ho/Qbf1g2MEVOT8iACZJ5fn3QdoHq4iguKJ1+le jAFCPOBxBgYbNQz5wxnZHlzNIXUYjwido5ScnbSZnYaL0TeMWDiW2jZIH5uYfDf4BmxxkMHHkM44 lEHw5AgVjog4cpAKxCjv7XVagRyAk7+YhbSOAKj3bW/tkp5VF61Z7PlqGgGja+6L/g8LkzqGPfOI ChmZd7wmrAF++s4F7NfXvxY6t8EnYcM0v0P9uxRrHDUjA4ZnihOgTc6i4EBd31FVyf9CeIghkZVC /u8CPOrDxGfRZuSzT41jZ9h6xT2VlNmyTH4vcIR2DdkunZjZp4nuyqutfc0hGvw8vEUGvs9CJKWd QdTLFfo8gD9hgNCi4BuPc/P8MUzfq740UmLUJm8Tkhhc5q75TPbg+/XbwKL8kVlrrJHJrdrfaooA ejSWWjL68qXwjvrZXkHUP9zcrr63lHb9wslCoIMMt+KLuWcJ4zE8S9JG6nj+bo+SBrXdgTE8zqxv bC1Fkbc8WSrCsc9aaulycz8eujaouEEgl6is68FJCvsbTHWRMD7c1Agh11ruXronVIgR/+j0LLaD gotMbufWrx/JzuZ0FPwAW8KMuNj7jy/mEypZuv429Ehap2g61qyjvRU1yiEXIfP8teTpAQ/eNonV CKwD9BG6M0Tj+9b4GaFIqA055ptBcRsoGMWnOotp6ucKY1pO3iMn3Efe7folxexdZnde5HXDwSoP 36z7mHcrdRl/kJeHPi33OuUgX1YEBzoyOYTHTmeGxDpPAkGS9WhgpG/nsecgTP84d2HQgbXXRt6n qoS5rjtxpXENRCqggZ2b0p3Hip9QamL87NQlWaUmk364/PbrTvSRSoE8OorRja5tkRhGuBmfYTIv h2fQFyj0xspeRhmPeZykd/WIVyvGTHWd2s+VOz2QxhkNf1yl/cJBMeyr+X592cHI++cYg7/Za6yW +h7xUhbSqZRqTzxdh7IQsVLyTXOmEiryYAaHTMClrnTsL3tpJCIdoDNWI3PBANfLN9Jw+EHQulO3 eUolJp7Tge5EzwVbElnvV2OxaezCSfv7vCkQwiJsXjxfEnR7CfBuu+HrzKOjK6bBBddkxAZA+BLl zt35bi0OG6OnxzcP6G2f6nFihqkVQAVi7DQ+eXQlPZPeijg8/adxEgzUqWZgrTx7KfgFMeUYFU9x GVK1SUKZJpMPv7gjQGxM5ehcmNC3f6pwyv9pCXx05Rpr5LSh+kgodxeoTBGE7o05FWIRErTCyb1w L9PPpApe6hWXNje12M1eB7HhzzuN+eROkfkzLRs4dLYKuByOUr7/vgQvaMAHeSNuTchalQoWftuq chwXO1xUQ8tVb3kBUJ/2g+I0LXtMPj0aAMJWnEd5qvcSDyJku9P31eZlwIpTDsQaU0YMsiVAWyP8 qDZq7nst5x/ftTOTT3YIKATyE9FMo9tbF/5+i6Ganaal3IXiiqLk6/siC5NrLgXaNwyV1k0CuFTd hX9+IRW4vRk+qlKlNn9oljyVGoAUK89xhdxv0s32GmH7XpK3dD8m0Y23/VDxH1hTBxBCLAseNZSO caTJl5W68IP+C6ROYGGrIlgMIWlpj4rPF8JrfWeEamatVJigjasIMX24UMGtmQdxC64XQCVMDmmk ITynbFTcH4cR+3dlDJW/QtYy5mdQrLcRpJTpBNdNPv0GgnyGI/xygnCPIJRUlBElbKENNL+dmOf1 2XJmBWyLfwxGFrbhqkTHBtsN3EjgxCmz+NgCFNMpel+yR8t2tRalVi8OGe2f0adxL8jSzGnUNfYl o1VJqrTrbyxvakRftO6E0uXBRlSJJHbqsb4F51x8FSz6a3ujzfVOz3Bs4Q1l4Fftq7iQVI/qI/DI Bjuu4KwWjjYJ759KjAJ64aFOWgBEm+grGuVVnIiPbfu19nuluGkn3RzFAUmpcJOsWrYgKV4+wZMl HmDK/eGkS4M0a02YH+RXNuHH6phXqZX/pxuSJfNKm6PsprImkiAQc0rdjBNR1HkiZhZXy5U+IB6B TBQyHKZpzV/p0mf4QxJBMcfBt6wSmlIb9iZfMlcceat2xOhY++jov7jASeyshwJly33sfwt6r/pg 4NdSWcQNeJqIMjkgNOjch4BXjOJ6PVGrM09gckhHdy0GISEG1F0jDVLam6iTsudT90gpYIK30s71 aDdPpiPxQowA0lYk+DvKhqnh927MkJtxZY04xoqmWzuqip/4kzM628sEFNckJDINOyv8/4iSMm0p icKfMS8aPMGG4mxNtmDpQBhdgB1Pe5UKOie5S8B+bw63EjsQS+G3qYBwNmm5tQN7B674j8JvnhfB MjIZZ3PbzXphVn7JN1E57L1LgkfIL3ZS/uFHJ+udlZiCgjectMOkfgQUqEzwXYPBVRlny2nujOOa EYGMLgpvFGqSFcg+Rd43x8kOetkYyKH/yfn02awWEDVsZ4NZKrjdGJRBF7Cau0nw5wBiuQduuLfR iSffDnc/XLTvV1jGC27CDu4U25uXAWSG144MWFDWSScdHJ6VYPnrS15b5Il0oPsve4E7av4vpKZh tlSzwxGxX4jnW93SFaJ4yDfCLC++kl2Va++Yglbx3n33pKK7Rw7FdTen0xHzltJxy52NQl8y+Na3 e1Qevf3TjgAjCQf3DIan3hKuzfRCF039NlteU1CEKwcE2HbjlslrOaLjnQVgeGXf59IxvWOP1yl9 O4C8K3nm6FR1j7vp+PnHxbsLH25ejURadaZPJwYWBEpAaBoD8BdzYf3ZC2Oi12OlNGsProE+996A N/Unz7IB41pNf56dhfnIQo7noN0SQ0FPCzs1S7siD/TsIgcJv/meDFBDs0VUTXH583cM79/uknIW zUEmdFcn0/BM85kYmzq148GNYaRfJRe8pCnQ/FEGC+k40Lsb5ZewlR8flwriKiE1Vxt1+g0wQXzp oiUFbLmvrjEgRoOUdhELZINSdNqDJSr0LL4aiwUi6/zJB+G13GVYPmr54fZuF0ddA36hITBQCVPB XNJ0vVHQOG2EnIPT/JgI9V4678vfFm7MOyrfkkMEP/D5YjIZ+09EFaC8oiDkNuR2h7NzPAw9mUZR 2xhMuWZIGuI3oB5KJzITLt7pvudfcC01uTjm62lMVHwtsnaMNwMy4NkckDd+sC6ScJDHt8JKcY08 AbA3hkTLM4WJIlKJYlSkzY402qwhs3qjoOYHXLen4gThX19nwowO11K8faISClHsLzwkn3FL0EFA NEeIJn7cJfwrT1FRTl4D+W4RMEYp8Yskm5vc6tS6CWUqBhP2HkBepQUVvmuAnaS1xciZZucbY6nw 8CzUWCp0IlFVRTHZn/vToeYZ3ROUVjrOuKZwTBm1tBLoT91PHOUOMj3EaAC3AlpTG102Z2dL5tVg jZkJ/yWcRTplFzUj6tfAI3u2AG/0CUcuH5eo89LtdOcJ/ZjDa6nQme3SwWTpIR81aDvkvu2LbDwO vKV87mIb8LvqH0kaaZesxsDCGKOIKzveZmKffnMirjFZ+pjryhYGgSnTs3uvuH3G6anJPU9Kc+io JnuQlsLScgQ8mckNwL70LkkcoPpYLQW47Z23cOMpKOHLxG/gn0pvWE8yTUBZLOSg4Pms/X6l+KDu UrWEgx6VLl2I0oFc0+WwF4ZpTApj0AUr9hBRKWhRO5yl7mo9P07L3Oxf9PS3/bAOShT8biy7/TvT EJZJTXgLAHDnF/7CAEjUiNU7k1vlFnEf+BKcuiiyvEP4ZdzPAdYFITuF835YXpdhlRE966VnEzaz H6ONgvJCBRhY5w6p3SLCJttiHNSymGGqoGLCsnKyOJgbLgnKw3Cmpsfn3Q5Z1p7unBaKcpnrAdFo GvPIm/g7nJTiM7wbi6AJGMWXnrAHMN9eY3gOCiLaE3WHhhL2YqKuiUt2dT7/w4MoDuLmaOhg81oy 8QofF9arqM5h2AbO5zclLGc6YI6S0ih9sV9E+7g/HLdRqe0CgTqvtVwl8MTpxRB8mi7+6/qWOxuB 50ZA51YrQerFDZhVMoiBLD2oJP8Bul/ozcF74WnzrCRA69QcEkFg1OWoJUYp5wcJCIiWHrSJPKfr xZ/sQdkU20oPOqxCs9HI+jngHxZN1ZJP4hYCe8kit14HKcnutzBrDgY9fK4kZiW2FN+D9RtSQLLU 7aWDjjgBvLIU6Ab0s41lqcvX9TIHLkby/qDDjuFSPxVp8GAg1W9ZJmFoFKC4TsFXoF7Of7TQNu1e 6iNFHmMHPTeA3MB20ourGBkV8wGYOCyuJ6ZQEw7OpOX2LcIfAoUSEElIjfPCaYSe9iRJpSGnUiV+ 5qVca/s2TKBrXBOo4pDkfzueLNWJKySgctUZKTmbTQTmwDy7jHHgV4ieQpWsC3pbHkqmMmdebczO OESO+s1Me90oqDF7iNZuyuSI49DJTnJynBTvZflrAKwL9RC/X6HkGv7jArMUkMGnbdhHeukijVMg 0C8AoSvmXx2P+m3G1y6Cjiu2htRESizCsa/MzBPxPWaV3FNnfArR3MiD6xfdq/1qlHy5HANMHXLr 3q3PvGY3pGldj6HGREzczBKh0S1nIJIFNFchtdPjJIf1d8xT23WmqpYXEEeFFbcm6F/lRR/XzhF0 68ptZUgHKyr7wtsuqzC2GVh54gm1JEE/TEVO9YBpZoIFX5f58gQofpzizbkjgT8DmfpCLCLdCaPS P52pqXixn1yCrETcZIj7rh/qsJxugcFjAabUgbYc1taMb76Go3V3Kf5A8rxpaz8VR4TcMTVY7/EG zPddpuK3RE1o/QqikL4cEmX5lwJWw3nSWXJ5Rrs1vphOw8+pY0u6gp7AC48+u9U4du4vYGnEvFQG l8f7nybsYNmOjAHA6gfQVDc4tpvQqXZSrda9+8evhiiBi2bRL8l/h8JiCVAgzEHGaDkPurk3LWSv ccm0Q49/2ifoyv4M6tPxuhgA2lGbQHi4U2s8lmeCDuyZIMNrLKx+A5XVQ/TSBBUCwR0vaytVpraW uV4PnEVLTJHg/ploXZVM/jPvD9ybWnbwaQcH9ocvBK8eAzEzIEVLuLaykjb8TliznIIwK5ZM7Qx1 /3a0JyMlAr9zeUWZKHyS6EEEcSbcN5WVQSFY18EsiaMOYCZnvytD85Ze0gxZn7x6KCWqngD47tdz ibL8B5p8s+1lTdIlrWL4RNuqyFL3KDmL0jCXAbGvayL8IuJDV1LgX2ARbcHvlt+20hhiaiSTLAjA p4xGtk+S9IkA2Aw2ZBqrwcpLndG/TzXmkO84K7UsTG/jUnPH2/IYqTmD86X/ianhbp1RoApwmD6k xt4ToVTvjAq8ApYDvdos2cvWISA4ZZO/va05mku5UHFcI4O87mIKPJ9RMDV9wIwANhZkDRyhzn1J wxWQNaoaOphEKbYgzrGmxgUcoZ2NqoBTc7m3kQ19R3IZ0dFASWfhWa6l15DsSv9QBozHKhcTrjF6 5zdl51dxKaWUG7k3fjR0P+AjdCsc7mqjsGtLFqxRDa54zwY/6p6xozvcWx3NC9mbcygW8GRa3bko OkSBNCLRq5QwRMKQx0DohSKHjtEllYmbvDWBz4m95q39JS/c7pbxtf8MY1G/vbUmKynoTx0Aznqw 2XhV69h2mAQ2ediH4P1f0/a1qAfxH5k93Z/AugRewTNAhKPc4UhzDfQYKx1ioZ0SQfTZXB6Fee3f 4ESRyVKalW0JZ7JlaSy5Y6znDrWhl5fJaxdkv5C+8IrKI4KCKauiEa8u8Iy0GuOowKRbjmySsLqN MGQRv97Qtl/jlwvkmnPDoiwuTsWAqNp4IrLug5Pb8ZJGGSt4QxPJN+KFUMV8pD0dAoRyAKifkvSM 2T85kiidGLcx/mdjQjKtCRWwkqOv7h44wtqYYKqHFmcmEuvihLInuHGmRRLmEhOGI/Yvng1M/hrl SIA+mG42rezgx9A3sBD647v0kTMti/WWoHM1HXyXwz7doXGa4BH2xJeYgNysBre6yDp4jwGPwqwS o+c2Ht+5x9VyWitPKNXiEGCFKVpVoC9Zckf6f3uNGCb9SU0J0u9C7CJIacr+HhBZSruvqaLrvWe2 koSxu+z4m6QgqkvNGJ1Tp8z1ToQvG5p16lDhlLCJsz4uIlnlUjxa8u1kNe989IvzhCiXFJMKsBTP gWt2Ge+g8HjnSbJJs3F/XMnJXBRujktOdk3hTbdhSBkrsQ9Vw1DCDhNPcA80ywG7QJbyFAFTnHQ3 GUrqZ/hQgjZF5uDH6pL/UUdPz0MKgnRGvTdnRZ7kvdJJ927lvvoSNQTSm3W730QfbdbxO8BvuQmS w7Vd2MQyUCawgpRhDSZlQ8TfuZSU++i/S2LsHXKTVwcVMCsReZNieVt3GeHcNhCaE0k4m2Iwom1j +sYN35Ju+UNVzxs/ZU2hn1OHlOAiLrMMRTWiR8tFgrOFvPQKBVZVcStebJM9JOM5S2+gcIFjV3P/ 9nxy3VTOhoEFb1P7VAeWPghJuHdNNqhv+bIshvV9doBpUkXj6FhognSzd1SWlMnEUcz172LZvGRm jo8cmJQ1hRw1jNb5yDfx9oaRS9UGOmQTOR7+CM/eywBGtd4VkOnFMJXSSEOduEXxRPFFbzkD6GLR JN7nCbdxSbjBcp3KzG4+QQEYOpeMWgODKtNrDBi5/r2XoV9BC65k8+1R3gUyVK43e+IFlf7qx732 U4te9v/R2lEFGcKcq7qVsCf6d9eEdJ9FB7Adt4CYCTB4wStMgZRBQzZudoUMSbGqy1FbTb4W/fBN DsTDjFegtYBHocZSG7o32VLpHr/RSqZwrv4b55eVrI0RPYJl70vKr9mFHBuR/k9m2uXFlyCN0WuC 9jT9cHR1TbKkoDAuGTl/MQjMz1bYwD1DDjH8DIY3yEK8PnVQ6n38W9OK5uH8MGR3it/flekdfzmO lh7+e1SJBPf3Gi68ubI+u66xMUmRvUPEFGjpAF36qxeyM9Lh7yfoKyWW6lY+MUQGoeP0/Z20Jjp9 UAZO3bE1Jez0627OwvpUeAnBFLRtnZWirU9HOfss/JohttOM8vknxY8uUi+DufjW4/1tYaNxSkKi uvrifaMbSX/2W+TwB0IkMRMXZHlftovvYZ6A0ZjNjp8BRKYu87sVda5l5PQ0sAl404vX8+o8ZHxl 6DalfUiVaWz6BnFmg/058hC6qxlpBfsJ8aozCnC1vaAoP8i+EijD5C5/7tJHzRQ4ymkTqQ71yUKr fSSnkWvhCDnZg51hh1JplzRw/uYXyln5Hw10bJm3+FMRV203w2Ax3ezgQ763nHN3fFGKVXClKplO rKct/32pb8vsPuK5NDdMFpFh5UyrD42TXNpeObiRfJBX0NK1Q3/MPp6ti+wHy4J44oWIv5uML7kH jyhfIi5BcgvuRfzH5LhejUG7Be3zyx/QSkwPEkLDWWBUR5Tfok1FKk9hdZzzfdf2B0rexlP/B39b 0CWSnRSfzkJ9jAFB4V1pBlYJ5RdXgTkT2DBM5WgPigAxIcAOFe13QE0XP41cyDhgqyZOLFUJ6ubO W3OM/CSvYf04rY93nL2dcVeXcFtvO0PG74BYZyJmRdTgXzZ0CG/g8RW7AKcfuuCqgZfTjCamzYtz AcK0uyGTGYxh+hs/CKMReJFBMGVMPTUkKqz0hWbfd2Uy+vlklBKdp+HXPnVkc0kL/Ca7dYMFgfo8 KKOtSfCEaYQ8NgyVxEIz/vWxB+oykBekvtCvkE8euUsbDfnLe70HQhQeHk8g8cSiy6s8TW5QmkmL goFyzeDV+KXAtFqeyvQyGRXuJA8wFAO3wkD6qsZFOzBBBz09zjxZHRyz83Sg9asUKd8ifHgIKWLK ShIaPMDAhdofCrJLs9UuYwm1SoGKVYxtAQyfF4aUeafmpMEfkiLrsAmyFNTBJkCT1ky5I/c93pU1 WLCvpNyf/AWXCwZe/NR5wNo+jPJdjuAbIIMncacIgGcnm1tywrz7ooKIcqILfJbhG/8NJ7WFl8Yr l3xB2iTI4KDCvpk992+MdDKKd6oykj9ff2MotcHlLrYMFQ7K/YHFEqI6bvN1c1TxYlGYyMXtDTbg tyH20vMkRzrkNMkU6nU+wyLJOdNd0fnilsM/4n4+vUCSptdMH59BzQGbaHpiuAxzEl6BIGaq5XC4 5aYLS+vd0sE4bmx2wMXqrthMH07Kgd6rpPfimoBfwkNZSedolYLi1Ikbc/MChSNe9OLRDPTxGoVm ASycT6tCoee+MYYi5TDngeSvEKQQLlm/JFBYtDvdx38wv260+TikwY9bA6uaIvhhcikT/nbofx36 /WSBReTqJXFigZf7ybs0uKFc32RFMOHnksBMxIfBMlC7OaGSvaFuoE/oO81hVK3QfbS/1f5Z0EQZ mmKHEUOmsmyrvjlbyWcoTGycurq1VVAeo39J15ipNIsLsHG3XiY42UMZCvACrrP0gDnLqxWuQi9z 3SO7UXwbe0NhHkbZHsD+vy6TeZy8uBTbTz8MiU3z7Pm7VrcbzfxpsKR7BnHoC3gOAjv6eBK4Xxs8 g/M+47RJeWXLOc2zxgx1l6u+jDrXdhGmfR+x8vaY39BDCUTDpSivYzdt8Uf8ACZcDh4wld9o1QFq GlH0ODxWuJ0E4SYt73DmSEHep+QCsjZ/y4+2jkRmdttRVw85zML7uwwPrBA12yF/C7rbkZXoXGVd 9tIO8duQCJdum9AyWhhRVbWUW463EU7dTJRQIAUic51b+PkJAqQU/jZdl1/sYYnjJrOtLypPyKVy iLb/5I/qVU6YpVboJKxoC0a4Z0svaPR7ifpIRCxdJxuBlo/4TE7zVCLYyTOxo4TiTJR32+jvvjR7 7RHCheezWYKue3ITLAQqqQJKynfmIeJvnONJPqRHxoi4lPuPMk6b4BmzW2n/99yNLXC8KiYs/+zt IbXfS24L+NhYeFps/+0pJ50fUQFCuKxwdrQu5wacr+Gxkm0Z6CuIDZP/5DPcsdqxFt5L6rYeprXK JuTlc7a8+f5xxH5cW1NOwWdoopWrZBo+zBQxRdw/aEZCRYKgxoqjlpIxIx3yOS+qcyOyOsfNzZV5 u+Wl4ELSIEEfccOfz9M+NdWSgXqD3J+C0Mse6Ay+qgGUA4pXmzBNgMdnkcDgX9NIsukbwrMe0KxO +tbK1D0M8oI6UiA7Kydz/spRzEt4l4JWK9YD6YPqk55VAPEnqKJb+ET3MZ9Y2A/pEsBUlEt75GbH FXzZm69r/9PsWAZIV5H+E79s8GbSrnjp97NpK7uq2W+afQADvHhbfcV9PhEEzRVFMN12plDhn3Lx nYOvzFzUrwn+bkAg8r25vqSW4MSlSs6hZh8doONqev8UjdWvhwjrGSNzEDwIdcZMGkVZ/M4MtTPD fLuxxd/V7Ayd1OS9NFfxJc6hQSc03xbMWP0//vIViS0ROkQI4O9x9waDwlJ0ToWrDMQ6UCzf89XN ONM22LhcIoBMvFVDBU7aXVK/8hqtDiVH2cuPo8NDclrW6de5MJZoCnFzAXltyI0LTjbFdAI3BW+g k7xXpylvp8xlqPAcv0b/MZt4slEnszt7AXmE5c45pPE9Yzyc6upaDLmPS0ZO/zvhUwaMcOHw+wJn rIB8DJWX5OC6o9O7zjMc9c8pBLytK8jdNfMGot3J7sBmA76lQIHbI/wzG1oNX3kErpzycYq/eKMr OE/gCjlwfxU1xyfVq1MHh16ve37qi7eZlS1lCX8NHmd0VUOyeETLeO5+45sx/zowpvCNkGw2BW/D TIysZwMgsSJ+GTUZm0hE/4IeaXSpFSTz9YCf1zd/QE2TIzesToR9IMA9CJS8gKQZaaHdMTxsLWzw CC+cLc3RbuMSh9N4MOGIQWpuvoyiiNL+MQ4lLdF3KR0xCq0/yMxbSNDIBEQ0noSlUGxfrnUnskE/ 4Ja6qrUrQYTL9qGfaipO8Kv4AJvQK91N9YxJfn4vQMQTvXSC62Rk22E2mY7qnWuAKm1exjUwDeQa 2Qd1rbnIwCnAZbwkHfnGAQ2vY+B5LjznBPRMU5lzKrqgp2UbWrBheSUxU1BuzT0iQnd43qGXi3h8 FDGwC74SeatQr0/FZ4gMsY6jCxNOreKh1fjwjKfmU2435Kjjwcvdg+QeAw+NWWgvLpJA98IoPv0X mmVDTpuTjRDQ2lH8tvTjF07ba0jgeK6U9m0jB92YIV64xrw6AEiXCmIBRTaj+r+pLbVJqu9XkXFs 8KQmk6VzJfpZDuXhs/EAbYlhqxCVQvJQYSQ5xMJCKNYT5ug6XB5AA+cW83xcBELBKOWTpNapWk0q 8SisgEpcYrEcuUa3/MJPq1Qet0FdYaEiBn3wyhuYlxbwTUBp3yHaaIuzPSRdwcU4LMQ6FY1abdi6 oQmjtpjEGrS0ffYtaup2sC5gsK2UJAp+o7/UGpj41P+GJ+vFd2DoAP0ZR7R1a5T5DvedbRXRXB4c JINF7QyJq/P2VyY/Rv8AEqzFsSLbdCWlyDMpck0KwtnGGp05dx1vy4He3NKrW3fLmofl2MebAPQO ImjaAL2VE6zVUMmtdnJCzRZ3dUH9LAwMDLtPqCFVameLBXtPVJPXSyDNNW5B95/V5xgHU5Y4KLnV YwPjWvhW4hgzSgm9Ok7FlebxW3gwSctJ318SLHiXKhoRNeKDxxZxCQOyjNlIKqIeJQn6s5ANpyzl e+Vtx+6K/mjMBzcan61geFU+XuVxol5o/RUEOFCLXgbRjjsIKaeGc0Xsrnl6NHN8Suhsz6l+Dqup u+kidyMZBMmrk5WxnRmH7l+EtpW1Vj9k0UiCQCHnZwYSeweckJNy5OxnOe9a9IIlFrVF5mM19aVc GBmE6isUUvko/tSTs/rw+PKBDmM3e7ruSyK5My88a0OtQg6H/ZA58gXjBxd1mSaud2c7j8ColyqJ ruNP8rXzgzYqzT8G66E8BZSq6B7olNyldm3XY6+0pb2oj4RGaVepHl7TX2mEeZBGWT4jxMfNlIiW rnTJnS4/11UZyux6ZGFJKeRon5zMhfhJ4W01V77tiKmsG9W6dFtn3DiKXnyoeV6Y8rZoVu/evt2X 8Nx4V+az9Oh0/gciPiGEbRcK4LOKCsa+aeXA1+FZsCSj5Yb2rgSgBDUQZGUV02gqK8+Ro1cRHMk7 tQxtj8Z6uQoM9gkGH2ldGz5IS0/6U2UbpxZNcdEdzj53xODgoRbeKGnCUToD4/cAA3bR/70W4aP5 n5RWzue8sMamb99WxNOxt1TRbOpqEDb6EhokiFcDbb/dMlpgMffwHMfoyAbosSVmNQTAeWE5FbfB R5gBPn31eIlE0Pw5r6pZ3u65EarkhWSOZUt2HnSmZ2VbyoRL3obaSsg3RSRTEqzSbd2aF09ezTNf MERhGM4Sf9+HYHw5zsCltPUbkIHHO0jGGC8Yhm3Z9EyjlRF6fclwCw3IU/CzUbhl222eH0il8DZc Xm44KKQ/pkcNVKFp9LugIHHSc2rohH99s7ikkq8Uw0iGV4wZP09e57Jl1uQq5YQ7tNsxne36oCGm ha/Bzy5Ob9b/pxXh5BPXMX5z/ftSb2snX242R/No5Zxn6kZO6zuQB+9B/xB2s1HB+uq/eh03z+1Z 9smwumcPxfmGDoTMfZCmf7hJwVUGM+7VwcCiXPfUqsQNwcMzq0LcNgoBEO1zAoD3EVnWiKWUZ+qN 4xgFCulbmKMlpsxjLY6a05XbERLQzEh/9eGJXt+3Yo2P+Vrtc2DtHu3KBGrZaV3ww3eI6j7ciQHT TqTj/iN0qQc2WFuZ8jWAZRkdkhJ8PyeFVMBcRvbbWc1NLWPQKWargqrwgwmmklRETPaYe6JeccDb 2g+SbyhD358/Msm/DiXfnbvGX+yrv+BQvYqYaiWEjwFMJsmVE5HIkDzsjp62obZ+Ac+aYWPCbcNJ TaudviYyM7aA+FlmJ4IMXQixa1L01PhgPU6XNFdQVlr6xwGNQhiltvYEi267AmddL4VLXomeTdd/ qbgG3lWlxJJUy3B02IIKC8HeJ0KLbgzZa3SZlADj3tdaHnxWJQhZshB2A2LonmdzYUoTJi7SNPyL mVAWVombrKxx8K0wWoSXoxtAO3KmOdIzHdqMG8Tyg5TmvI18+DaTZZmLD0oBpb+5RHOGyVwvO573 Ztz55VEkCxigvPpDoijU/TUCYTTMUuSwjfIIkArMi+96c+TF5iyTMb2HskR9dAauTMjoMNTDO9cC WNwYA5sWq91zC+liC9y9G498jEO9clC0WzDNOAH6mzuM4RclmT5/9aDQ0N0Vbfw8/W7co9Qj11lo 2Rffg6LwykY8YblGBU2FpsCS189jf4CJ4O8AstKENIhesFFi+1FJh+/2mdzl5RFJ4n0157x1jwba IBWkivyzf2ynpqpaXRBc6fcggqqvPP1dkmIPsQ2EAJF1eK0R3CpH7m1RHsfJ6GgMeVjZ6Mo8tXTU zQQm/dQpxTMqkaObCIZML5RyBvm1XOVhJfbtHGpyucjs4mNZZPbpAvw/OQl7PZwp0hOPTZJs66V/ 8ZITA5OOlisUcOgPUev7BMT2WiReZuSsUY+znF2W2rOcjGgwlU2lwetr71QF4KzR0tZR0Vc/rY6n N1c+D8RGk/yROLcpx1N1sbahtUXhIhImRQDJFgLkyi1dzyy6MFaPcL2/7Cn8pblxRQbaYKyl9icc 9WCcksD3ezE1v0R6UpUhOc++Ij5ukkyPnIFAhfDTEg28g+xublyn4ohN0gqJRqqToN31tWDx0yZr ZKsfMNfVICCFJaw/q1JGYbB8hiXnxV078GumDkGTLStWpraZb5ffTpZcvWGyDumDtLDt72UpAn4P w645EqYPXBZHDV5k1W5AaCdjThksPq7u+Uv3J5SrVxT8H9xAK2lDgeFifV8hL1sqVWqCDEUgNS/1 et0LfAAO/jw9DxCvycdF3KyoB6xyT+yDhzX/uSusCFPt8OQ6U8Q2T2BKVKLYaSCxAAj7VME5rhCl x8bdTLxV0tSOPiIL5+xBwIGLdjicBpi1X+yBg4T4/um5GWZLFo2XUPWr5hgOu5tPuEohYBeTPwKJ ex72Sn56B08i6xMKed+vn/rc+W3ayUz6+kRV90VzbnvJwVJKayr70qe5beOv7dQNKHPzXzcp4ttP xK7hRqoZsDJ9PPROVCzluWYRQ9Xkcb4acWkK59ENs4k5pLFqymVuXj5ae7Vuz4bHgJiCReqh2Bji TATsXy735Q1AkZrL4MVZ5JJhZ/5tCYC2zX82sFEHUeXgdkg4b5omUYjBzd2srlqjzpti9Fe+mtqm yY7nFTvrVNL/3EhJugTs1Kp0ZdUZdHr8SJELeITGvup8nYjV0Qz7IFn1WVR/lqlSZSvg5ufoWpAe hUotpJdzR+xLa8VWFkJHYrXBXd4Z4JoyLnBxy+PMR8Wz2Ppc1yrzpbH9QLUyRPxr65QcPtgYQQ9W cNP0pCgXmxir/X9uprAVoDNJv1cTW78DQS+8ekPH/ryBbp++9xPFlmPNDUQj+Tuq7I/CWkWgkboK xjQZtE/BQ9RAXmpzfEy2XdYLWjGkVVXXzntCf6u5EfCfoV1HW2LGPvN6Qe4AxtwoxCCxe+mTufdu 7BiftavqU9SBhno/gTiobMkZKJjs2CzydaPAN6/JVj33nzzkK7MzOJK5JsSPJvfStKLHgJp0vj71 aF0BXp5USkLtIzjeBw5PQt8T8KzZRpvlt+dMDs2MjRtrjEUXKSNhtdPSla+S6+TWevcnmJt2M9xA 1xoIG0nF8kKs1Lo+gnnkYfpOsHEdYxsu/QnDJZIhRd7bQ+wNHWJNQUjoVNlt424Y6tBClXPfHWmi XNSZzngUDiMfc1BbeX2veMoazBE0tRghFsj2KH79toQZq8sjleWiuYNnOWC9LIemTavu9Hr38riM t+E24lW8fdzmwb3zL/j6QGjck1d9/S5WF+bryqYgHM+0FqpEe1X41aywjpg+H6iyn1rT/9p0Szpa nzovnjc+UGEnIYuif/3z8WfB3uVqicTRnzEvI7XXKZAgRoKof2Qd1Vy3DboYMJU6IbfyP/eVXhQd k45dbHKYGsbVKgwS3Y9FtZIDEUQc0unA1JchDoidH2uO96b4mK/9sxTBdk2sJ0jRyX4hj0Jh3s0L R1euKZm1AJa1teqhgyS1oboePs7U2IP2SAU= `protect end_protected
gpl-2.0
INTI-CMNB/Lattuino_IP_Core
devices/tmcounter.vhdl
1
14608
------------------------------------------------------------------------------ ---- ---- ---- WISHBONE miscellaneous timer ---- ---- ---- ---- This file is part FPGA Libre project http://fpgalibre.sf.net/ ---- ---- ---- ---- Description: ---- ---- Implements the micro and milliseconds timers. Also a CPU blocker, ---- ---- used for small time delays. ---- ---- This module also implements the 6 PWMs. ---- ---- Lower 32 bits is a 32 bits microseconds counter ---- ---- Upper 32 bits is a milliseconds counter ---- ---- ---- ---- Port Read Write ---- ---- 0 µs B0 PWM0 ---- ---- 1 µs B1 PWM1 ---- ---- 2 µs B2 PWM2 ---- ---- 3 µs B3 PWM3 ---- ---- 4 ms B0 PWM4 ---- ---- 5 ms B1 PWM5 ---- ---- 6 ms B2 PWM Pin Enable ---- ---- 7 ms B3 Block CPU µs ---- ---- ---- ---- To Do: ---- ---- - ---- ---- ---- ---- Author: ---- ---- - Salvador E. Tropea, salvador en inti.gob.ar ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Copyright (c) 2017 Salvador E. Tropea <salvador en inti.gob.ar> ---- ---- Copyright (c) 2017 Instituto Nacional de Tecnología Industrial ---- ---- ---- ---- Distributed under the GPL v2 or newer license ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Design unit: TMCounter(RTL) (Entity and architecture) ---- ---- File name: tmcounter.vhdl ---- ---- Note: None ---- ---- Limitations: None known ---- ---- Errors: None known ---- ---- Library: lattuino ---- ---- Dependencies: IEEE.std_logic_1164 ---- ---- IEEE.numeric_std ---- ---- Target FPGA: iCE40HX4K-TQ144 ---- ---- Language: VHDL ---- ---- Wishbone: None ---- ---- Synthesis tools: Lattice iCECube2 2016.02.27810 ---- ---- Simulation tools: GHDL [Sokcho edition] (0.2x) ---- ---- Text editor: SETEdit 0.5.x ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Wishbone Datasheet ---- ---- ---- ---- 1 Revision level B.3 ---- ---- 2 Type of interface SLAVE ---- ---- 3 Defined signal names RST_I => wb_rst_i ---- ---- CLK_I => wb_clk_i ---- ---- ADR_I => wb_adr_i ---- ---- DAT_I => wb_dat_i ---- ---- DAT_O => wb_dat_o ---- ---- WE_I => wb_we_i ---- ---- ACK_O => wb_ack_o ---- ---- STB_I => wb_stb_i ---- ---- 4 ERR_I Unsupported ---- ---- 5 RTY_I Unsupported ---- ---- 6 TAGs None ---- ---- 7 Port size 8-bit ---- ---- 8 Port granularity 8-bit ---- ---- 9 Maximum operand size 8-bit ---- ---- 10 Data transfer ordering N/A ---- ---- 11 Data transfer sequencing Undefined ---- ---- 12 Constraints on the CLK_I signal None ---- ---- ---- ------------------------------------------------------------------------------ library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity TMCounter is generic( CNT_PRESC : natural:=24; ENA_TMR : std_logic:='1'); port( -- WISHBONE signals wb_clk_i : in std_logic; -- Clock wb_rst_i : in std_logic; -- Reset input wb_adr_i : in std_logic_vector(2 downto 0); -- Adress bus wb_dat_o : out std_logic_vector(7 downto 0); -- DataOut Bus wb_dat_i : in std_logic_vector(7 downto 0); -- DataIn Bus wb_we_i : in std_logic; -- Write Enable wb_stb_i : in std_logic; -- Strobe wb_ack_o : out std_logic; -- Acknowledge pwm_o : out std_logic_vector(5 downto 0); -- 6 PWMs pwm_e_o : out std_logic_vector(5 downto 0)); -- Pin enable for the PWMs end entity TMCounter; architecture RTL of TMCounter is -- Microseconds counter signal cnt_us_r : unsigned(31 downto 0):=(others => '0'); -- Microseconds counter for the ms counter signal cnt_us2_r : unsigned(9 downto 0):=(others => '0'); signal tc_cnt_us2: std_logic; -- Milliseconds counter signal cnt_ms_r : unsigned(31 downto 0):=(others => '0'); -- Latched value signal latched_r : unsigned(31 downto 0):=(others => '0'); -- Prescaler for the Microseconds counters signal ena_cnt : std_logic; signal pre_cnt_r : integer range 0 to CNT_PRESC-1; -- Microseconds blocker counter signal cnt_blk_r : unsigned(7 downto 0):=(others => '0'); -- Prescaler for the Microseconds blocker counter signal ena_blk_cnt : std_logic; signal pre_bk_r : integer range 0 to CNT_PRESC-1; -- Blocker FSM type state_t is (idle, delay); signal state : state_t; -- Blocker WE signal blk_we : std_logic; -- PWM values type pwm_val_t is array (0 to 5) of unsigned(7 downto 0); signal pwm_val_r : pwm_val_t; -- PWM counter signal pwm_count : unsigned(7 downto 0); -- Auxiliar for config signal wb_dat : std_logic_vector(7 downto 0); -- DataOut Bus begin ---------------------------------------------------------------------------- -- 32 bits Microseconds counter ---------------------------------------------------------------------------- -- Microseconds time source for the counters tmr_prescaler: process (wb_clk_i) begin if rising_edge(wb_clk_i) then if wb_rst_i='1' then pre_cnt_r <= 0; else pre_cnt_r <= pre_cnt_r+1; if pre_cnt_r=CNT_PRESC-1 then pre_cnt_r <= 0; end if; end if; end if; end process tmr_prescaler; ena_cnt <= '1' when pre_cnt_r=CNT_PRESC-1 else '0'; -- Microseconds counter, 32 bits do_cnt_us: process (wb_clk_i) begin if rising_edge(wb_clk_i) then if wb_rst_i='1' then cnt_us_r <= (others => '0'); elsif ena_cnt='1' then cnt_us_r <= cnt_us_r+1; end if; end if; end process do_cnt_us; ---------------------------------------------------------------------------- -- 32 bits Milliseconds counter ---------------------------------------------------------------------------- -- Microseconds counter, 10 bits (0 to 999) do_cnt_us2: process (wb_clk_i) begin if rising_edge(wb_clk_i) then if wb_rst_i='1' or tc_cnt_us2='1' then cnt_us2_r <= (others => '0'); elsif ena_cnt='1' then cnt_us2_r <= cnt_us2_r+1; end if; end if; end process do_cnt_us2; tc_cnt_us2 <= '1' when ena_cnt='1' and cnt_us2_r=999 else '0'; -- Milliseconds counter, 32 bits do_cnt_ms: process (wb_clk_i) begin if rising_edge(wb_clk_i) then if wb_rst_i='1' then cnt_ms_r <= (others => '0'); elsif tc_cnt_us2='1' then cnt_ms_r <= cnt_ms_r+1; end if; end if; end process do_cnt_ms; ---------------------------------------------------------------------------- -- WISHBONE read ---------------------------------------------------------------------------- -- Latched value do_cnt_usr: process (wb_clk_i) begin if rising_edge(wb_clk_i) then if wb_rst_i='1' then latched_r <= (others => '0'); elsif wb_stb_i='1' then if wb_adr_i="000" then latched_r <= cnt_us_r; elsif wb_adr_i="100" then latched_r <= cnt_ms_r; end if; end if; end if; end process do_cnt_usr; with wb_adr_i select wb_dat <= std_logic_vector( cnt_us_r( 7 downto 0)) when "000", std_logic_vector(latched_r(15 downto 8)) when "001", std_logic_vector(latched_r(23 downto 16)) when "010", std_logic_vector(latched_r(31 downto 24)) when "011", std_logic_vector( cnt_ms_r( 7 downto 0)) when "100", std_logic_vector(latched_r(15 downto 8)) when "101", std_logic_vector(latched_r(23 downto 16)) when "110", std_logic_vector(latched_r(31 downto 24)) when "111", (others => '0') when others; wb_dat_o <= wb_dat when ENA_TMR='1' else (others => '0'); blk_we <= '1' when (wb_stb_i and wb_we_i)='1' and wb_adr_i="111" and ENA_TMR='1' else '0'; -- ACK all reads and writes when the counter is 0 wb_ack_o <= '1' when wb_stb_i='1' and (blk_we='0' or (state=delay and cnt_blk_r=0)) else '0'; ---------------------------------------------------------------------------- -- Microseconds CPU blocker ---------------------------------------------------------------------------- -- Blocker FSM (idle and delay) do_fsm: process (wb_clk_i) begin if rising_edge(wb_clk_i) then if wb_rst_i='1' then state <= idle; else case state is when idle => if blk_we='1' then state <= delay; end if; when others => -- delay if cnt_blk_r=0 then state <= idle; end if; end case; end if; end if; end process do_fsm; -- Blocker counter (down counter) do_bk_cnt: process (wb_clk_i) begin if rising_edge(wb_clk_i) then if wb_rst_i='1' then cnt_blk_r <= (others => '0'); elsif state=idle and blk_we='1' then cnt_blk_r <= unsigned(wb_dat_i); elsif ena_blk_cnt='1' then cnt_blk_r <= cnt_blk_r-1; end if; end if; end process do_bk_cnt; -- Microseconds time source for the Blocker counter tmr_prescaler_bk: process (wb_clk_i) begin if rising_edge(wb_clk_i) then if wb_rst_i='1' or (state=idle and blk_we='1') then pre_bk_r <= CNT_PRESC-1; else pre_bk_r <= pre_bk_r-1; if pre_bk_r=0 then pre_bk_r <= CNT_PRESC-1; end if; end if; end if; end process tmr_prescaler_bk; ena_blk_cnt <= '1' when pre_bk_r=0 else '0'; ---------------------------------------------------------------------------- -- 6 PWMs (8 bits, 250 kHz clock, 976.56 Hz carrier) ---------------------------------------------------------------------------- -- PWM value write do_pwm_val_write: process (wb_clk_i) begin if rising_edge(wb_clk_i) then if wb_rst_i='0' then if (wb_stb_i and wb_we_i)='1' and wb_adr_i/="111" and wb_adr_i/="110" then pwm_val_r(to_integer(unsigned(wb_adr_i))) <= unsigned(wb_dat_i); end if; end if; end if; end process do_pwm_val_write; -- 8 bits counter (1 MHz/4) pwm_count <= cnt_us_r(9 downto 2); -- PWM outputs (comparators) do_pwm_outs: for i in 0 to 5 generate pwm_o(i) <= '0' when pwm_count>pwm_val_r(i) else '1'; end generate do_pwm_outs; -- PWM Pin Enable (1 the pin should use the PWM output) do_pwm_ena_write: process (wb_clk_i) begin if rising_edge(wb_clk_i) then if wb_rst_i='1' then pwm_e_o <= (others => '0'); else if (wb_stb_i and wb_we_i)='1' and wb_adr_i="110" then pwm_e_o <= wb_dat_i(5 downto 0); end if; end if; end if; end process do_pwm_ena_write; end architecture RTL; -- Entity: TMCounter
gpl-2.0
blackducksoftware/ohcount
test/src_dir/vhdl1.vhdl
5
703
library ieee; use ieee.std_logic_1164.all; entity tb is end tb; architecture behav of tb is -- toggle period constant period_c : time := 1 ms; -- we'll be poking on this signal signal toggle_s : std_logic_vector(1 downto 0) := "01"; begin ----------------------------------------------------------------------------- -- Process toggle -- -- Purpose: -- Flip the toggle_s signal periodically. -- toggle: process begin wait for period_c/2; toggle_s <= not toggle_s; end process toggle; -- ----------------------------------------------------------------------------- end behav; configuration tb_behav_c0 of tb is for behav end for; end tb_behav_c0;
gpl-2.0
TimingKeepers/gen-ugr-cores
modules/wishbone/wb_i2c_arb/i2c_arb_wbgen2_pkg.vhd
1
1732
--------------------------------------------------------------------------------------- -- Title : Wishbone slave core for I2C WB Arbiter --------------------------------------------------------------------------------------- -- File : i2c_arb_wbgen2_pkg.vhd -- Author : auto-generated by wbgen2 from i2c_arbiter_wb.wb -- Created : Tue Jun 9 11:32:14 2015 -- Standard : VHDL'87 --------------------------------------------------------------------------------------- -- THIS FILE WAS GENERATED BY wbgen2 FROM SOURCE FILE i2c_arbiter_wb.wb -- DO NOT HAND-EDIT UNLESS IT'S ABSOLUTELY NECESSARY! --------------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; package i2c_arb_wbgen2_pkg is -- Output registers (WB slave -> user design) type t_i2c_arb_out_registers is record cr_bypass_mode_o : std_logic; cr_bypass_src_o : std_logic_vector(4 downto 0); end record; constant c_i2c_arb_out_registers_init_value: t_i2c_arb_out_registers := ( cr_bypass_mode_o => '0', cr_bypass_src_o => (others => '0') ); function f_x_to_zero (x:std_logic) return std_logic; function f_x_to_zero (x:std_logic_vector) return std_logic_vector; end package; package body i2c_arb_wbgen2_pkg is function f_x_to_zero (x:std_logic) return std_logic is begin return x; end function; function f_x_to_zero (x:std_logic_vector) return std_logic_vector is variable tmp: std_logic_vector(x'length-1 downto 0); begin for i in 0 to x'length-1 loop tmp(i):=x(i); end loop; return tmp; end function; end package body;
gpl-2.0
emogenet/ghdl
testsuite/vests/vhdl-93/billowitch/compliant/tc890.vhd
4
2183
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc890.vhd,v 1.2 2001-10-26 16:30:01 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- Package c10s02b00x00p02n01i00890pkg is function gimme_value return integer; end c10s02b00x00p02n01i00890pkg; package body c10s02b00x00p02n01i00890pkg is constant x : integer := 10; -- should not be visible outside function gimme_value return integer is constant x : integer := 0; -- should only be visible inside begin return (x); end; end c10s02b00x00p02n01i00890pkg; use work.c10s02b00x00p02n01i00890pkg.all; ENTITY c10s02b00x00p02n01i00890ent IS END c10s02b00x00p02n01i00890ent; ARCHITECTURE c10s02b00x00p02n01i00890arch OF c10s02b00x00p02n01i00890ent IS constant x : integer := 5; BEGIN TESTING: PROCESS BEGIN assert NOT( gimme_value = 0 ) report "***PASSED TEST: c10s02b00x00p02n01i00890" severity NOTE; assert ( gimme_value = 0 ) report "***FAILED TEST: c10s02b00x00p02n01i00890 - A declaration in a subprogram extends only within the subprogram body." severity ERROR; wait; END PROCESS TESTING; END c10s02b00x00p02n01i00890arch;
gpl-2.0
emogenet/ghdl
testsuite/gna/ticket53/decl1.vhdl
3
79
context prj is library ieee; use ieee.std_logic_1164.all; end context prj;
gpl-2.0
emogenet/ghdl
testsuite/vests/vhdl-93/ashenden/compliant/ch_15_mem-pl.vhd
4
5797
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: ch_15_mem-pl.vhd,v 1.3 2001-10-26 16:29:36 paw Exp $ -- $Revision: 1.3 $ -- -- --------------------------------------------------------------------- library bv_utilities; use bv_utilities.bv_arithmetic.all; architecture preloaded of memory is begin mem_behavior : process is constant high_address : natural := mem_size - 1; type memory_array is array (natural range 0 to high_address / 4) of dlx_bv_word; variable mem : memory_array := ( X"20020000", -- addi r2, r0, 0 X"ac020018", -- loop: sw counter(r0), r2 X"20420001", -- addi r2, r2, 1 X"6441000a", -- snei r1, r2, 10 X"1420fff0", -- bnez r1, loop X"44000000", -- trap 0 X"00000000", -- counter: .word 0 others => X"00000000" ); variable byte_address, word_address : natural; variable write_access : boolean; procedure do_write is subtype ls_2_bits is bit_vector(1 downto 0); begin case width is when dlx_mem_width_word => mem(word_address) := to_bitvector(d); when dlx_mem_width_halfword => if To_bit(a(1)) = '0' then -- ms half word mem(word_address)(0 to 15) := to_bitvector( d(0 to 15) ); else -- ls half word mem(word_address)(16 to 31) := to_bitvector( d(16 to 31) ); end if; when dlx_mem_width_byte => case ls_2_bits'(To_bitvector(a(1 downto 0))) is when b"00" => mem(word_address)(0 to 7) := to_bitvector( d(0 to 7) ); when b"01" => mem(word_address)(8 to 15) := to_bitvector( d(8 to 15) ); when b"10" => mem(word_address)(16 to 23) := to_bitvector( d(16 to 23) ); when b"11" => mem(word_address)(24 to 31) := to_bitvector( d(24 to 31) ); end case; when others => report "illegal width indicator in write" severity error; end case; end do_write; procedure do_read is begin d <= To_X01( mem(word_address) ); end do_read; begin -- initialize outputs d <= disabled_dlx_word; ready <= '0'; -- process memory cycles loop -- wait for a command, valid on leading edge of phi2 wait on phi2 until rising_edge(phi2) and To_bit(mem_enable) = '1'; -- decode address and perform command if selected byte_address := bv_to_natural(To_bitvector(a)); write_access := To_bit(write_enable) = '1'; if byte_address <= high_address then word_address := byte_address / 4; if write_access then -- write cycle do_write; wait for Tac_first; -- write access time, 1st cycle else -- read cycle wait for Tac_first; -- read access time, 1st cycle do_read; end if; -- ready synchronous with phi2 wait until rising_edge(phi2); ready <= '1' after Tpd_clk_out; wait until falling_edge(phi2); ready <= '0' after Tpd_clk_out; -- do subsequent cycles in burst while To_bit(burst) = '1' loop word_address := (word_address + 1) mod (mem_size / 4); wait until rising_edge(phi2); if write_access then -- write cycle do_write; wait for Tac_burst; -- write access time, burst cycle else -- read cycle wait for Tac_burst; -- read access time, burst cycle do_read; end if; -- ready synchronous with phi2 wait until rising_edge(phi2); ready <= '1' after Tpd_clk_out; wait until falling_edge(phi2); ready <= '0' after Tpd_clk_out; end loop; if not write_access then -- was read d <= disabled_dlx_word after Tpd_clk_out; end if; end if; end loop; end process mem_behavior; end architecture preloaded;
gpl-2.0
emogenet/ghdl
testsuite/vests/vhdl-93/ashenden/compliant/ch_05_ch_05_24.vhd
3
2428
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: ch_05_ch_05_24.vhd,v 1.3 2001-10-26 16:29:34 paw Exp $ -- $Revision: 1.3 $ -- -- --------------------------------------------------------------------- -- code from book: entity and3 is port ( a, b, c : in bit := '1'; z, not_z : out bit); end entity and3; -- end of code from book architecture functional of and3 is begin non_inverting: z <= a and b and c; inverting: not_z <= not (a and b and c); end architecture functional; entity ch_05_24 is end entity ch_05_24; library stimulus; architecture test of ch_05_24 is signal s1, s2, ctrl1_a, ctrl1_b : bit; signal test_input : bit_vector(1 to 2); use stimulus.stimulus_generators.all; begin block_05_4_a : block is port ( ctrl1 : out bit ); port map ( ctrl1 => ctrl1_a ); begin -- code from book: g1 : entity work.and3 port map (a => s1, b => s2, not_z => ctrl1); -- end of code from book end block block_05_4_a; block_05_4_b : block is port ( ctrl1 : out bit ); port map ( ctrl1 => ctrl1_b ); begin -- code from book: g1 : entity work.and3 port map (a => s1, b => s2, not_z => ctrl1, c => open, z => open); -- end of code from book end block block_05_4_b; stimulus_proc : all_possible_values( bv => test_input, delay_between_values => 10 ns ); (s1, s2) <= test_input; verifier : assert ctrl1_a = ctrl1_b report "versions differ"; end architecture test;
gpl-2.0
emogenet/ghdl
testsuite/gna/ticket69/repro.vhdl
3
325
library ieee; use ieee.numeric_std.all; entity ent is end entity; library ieee; use ieee.std_logic_1164.all; architecture a of ent is begin main : process variable a,b : unsigned(0 downto 0) := "1"; begin assert a = b; -- Works assert ieee.numeric_std."="(a, b); wait; end process; end architecture;
gpl-2.0
emogenet/ghdl
testsuite/vests/vhdl-93/billowitch/compliant/tc3177.vhd
4
1870
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc3177.vhd,v 1.2 2001-10-26 16:29:52 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c14s01b00x00p27n01i03177ent IS END c14s01b00x00p27n01i03177ent; ARCHITECTURE c14s01b00x00p27n01i03177arch OF c14s01b00x00p27n01i03177ent IS subtype abc is real range 0.0 to 20.0; subtype cba is real range 20.0 downto 0.0; BEGIN TESTING: PROCESS BEGIN assert NOT( abc'low = 0.0 and cba'low = 0.0 ) report "***PASSED TEST: c14s01b00x00p27n01i03177" severity NOTE; assert ( abc'low = 0.0 and cba'low = 0.0 ) report "***FAILED TEST: c14s01b00x00p27n01i03177 - Predefined attribute LOW for floating point type test failed." severity ERROR; wait; END PROCESS TESTING; END c14s01b00x00p27n01i03177arch;
gpl-2.0
emogenet/ghdl
testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1652.vhd
4
1626
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1652.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c09s00b00x00p02n01i01652ent IS END c09s00b00x00p02n01i01652ent; ARCHITECTURE c09s00b00x00p02n01i01652arch OF c09s00b00x00p02n01i01652ent IS signal S1 : integer; BEGIN TESTING: PROCESS BEGIN S1 <= 0; ; assert FALSE report "***FAILED TEST: c09s00b00x00p02n01i01652 - An empty statement is not permitted in a set of statements." severity ERROR; wait; END PROCESS TESTING; END c09s00b00x00p02n01i01652arch;
gpl-2.0
emogenet/ghdl
testsuite/vests/vhdl-ams/ashenden/compliant/access-types/inline_07a.vhd
4
1793
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA entity inline_07a is end entity inline_07a; ---------------------------------------------------------------- architecture test of inline_07a is begin process is -- code from book: type value_cell; type value_ptr is access value_cell; type value_cell is record value : real_vector(0 to 3); next_cell : value_ptr; end record value_cell; variable value_list : value_ptr; -- end of code from book begin -- code from book: if value_list /= null then -- . . . -- do something with the list -- not in book report "value_list /= null"; -- end not in book end if; value_list := new value_cell'( real_vector'(0.0, 5.0, 0.0, 42.0), value_list ); value_list := new value_cell'( real_vector'(3.3, 2.2, 0.27, 1.9), value_list ); value_list := new value_cell'( real_vector'(2.9, 0.1, 21.12, 8.3), value_list ); -- end of code from book wait; end process; end architecture test;
gpl-2.0
emogenet/ghdl
testsuite/gna/issue50/idct.d/sub_478.vhd
2
800
library ieee; use ieee.std_logic_1164.all; library ieee; use ieee.numeric_std.all; entity sub_478 is port ( result : out std_logic_vector(31 downto 0); in_a : in std_logic_vector(31 downto 0); in_b : in std_logic_vector(31 downto 0) ); end sub_478; architecture augh of sub_478 is signal carry_inA : std_logic_vector(33 downto 0); signal carry_inB : std_logic_vector(33 downto 0); signal carry_res : std_logic_vector(33 downto 0); begin -- To handle the CI input, the operation is '0' - CI -- If CI is not present, the operation is '0' - '0' carry_inA <= '0' & in_a & '0'; carry_inB <= '0' & in_b & '0'; -- Compute the result carry_res <= std_logic_vector(unsigned(carry_inA) - unsigned(carry_inB)); -- Set the outputs result <= carry_res(32 downto 1); end architecture;
gpl-2.0
emogenet/ghdl
testsuite/vests/vhdl-ams/ashenden/compliant/analog-modeling/inline_16a.vhd
4
3137
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA package inline_16a_types is subtype ILLUMINANCE is REAL tolerance "DEFAULT_ILLUMINANCE"; subtype OPTIC_FLUX is REAL tolerance "DEFAULT_OPTIC_FLUX"; nature RADIANT is ILLUMINANCE across OPTIC_FLUX through RADIANT_REF reference; subtype VOLTAGE is REAL tolerance "DEFAULT_VOLTAGE"; subtype CURRENT is REAL tolerance "DEFAULT_CURRENT"; nature ELECTRICAL is VOLTAGE across CURRENT through ELECTRICAL_REF reference; -- code from book type illuminance_vector is array ( natural range <> ) of illuminance; nature electrical_vector is array ( natural range <> ) of electrical; -- end code from book end package inline_16a_types; use work.inline_16a_types.all; -- code from book entity seven_segment_led is port ( terminal segment_anodes : electrical_vector ( 1 to 7 ); terminal common_cathode : electrical; quantity segment_illuminances : out illuminance_vector ( 1 to 7 ) ); end entity seven_segment_led; -- end code from book architecture basic_optics of seven_segment_led is begin end architecture basic_optics; use work.inline_16a_types.all; entity inline_16a is end entity inline_16a; architecture test of inline_16a is -- code from book terminal hour_anode_2, hour_anode_3 : electrical; terminal anodes_unused : electrical_vector(1 to 5); terminal hour_display_source_2, hour_display_source_3 : radiant; quantity hour_illuminance_2 across hour_display_source_2; quantity hour_illuminance_3 across hour_display_source_3; quantity illuminances_unused : illuminance_vector(1 to 5); -- end code from book begin -- code from book hour_digit : entity work.seven_segment_led(basic_optics) port map ( segment_anodes(2) => hour_anode_2, segment_anodes(3) => hour_anode_3, segment_anodes(1) => anodes_unused(1), segment_anodes(4 to 7) => anodes_unused(2 to 5), common_cathode => electrical_ref, segment_illuminances(2) => hour_illuminance_2, segment_illuminances(3) => hour_illuminance_3, segment_illuminances(1) => illuminances_unused(1), segment_illuminances(4 to 7) => illuminances_unused(2 to 5) ); -- end code from book end architecture test;
gpl-2.0
emogenet/ghdl
testsuite/vests/vhdl-ams/ashenden/compliant/scalar-data/small_adder.vhd
4
916
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA use work.int_types.all; entity small_adder is port ( a, b : in small_int; s : out small_int ); end entity small_adder;
gpl-2.0
emogenet/ghdl
testsuite/vests/vhdl-93/clifton-labs/compliant/functional/statements/for-loops/integer-for-loop.vhdl
4
329
entity test is end test; architecture only of test is begin -- only p: process variable x : integer; begin -- process p for i in 1 to 10 loop x := i; end loop; -- i assert x = 10 report "TEST FAILED x was " & integer'image(x) severity ERROR; report "TEST PASSED" severity NOTE; wait; end process p; end only;
gpl-2.0
emogenet/ghdl
testsuite/vests/vhdl-93/billowitch/compliant/tc3075.vhd
4
4235
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc3075.vhd,v 1.2 2001-10-26 16:29:51 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- package c12s06b02x00p06n01i03075pkg is type severity_level_cons_vector is array (15 downto 0) of severity_level; type severity_level_cons_vectorofvector is array (0 to 15) of severity_level_cons_vector; constant C19 : severity_level_cons_vectorofvector := (others => (others => note)); end c12s06b02x00p06n01i03075pkg; use work.c12s06b02x00p06n01i03075pkg.all; ENTITY c12s06b02x00p06n01i03075ent_a IS PORT ( F1: OUT integer ; F3: IN severity_level_cons_vectorofvector; FF: OUT integer := 0 ); END c12s06b02x00p06n01i03075ent_a; ARCHITECTURE c12s06b02x00p06n01i03075arch_a OF c12s06b02x00p06n01i03075ent_a IS BEGIN TESTING: PROCESS begin F1 <= 3; wait for 0 ns; assert F3'active = true report"no activity on F3 when there is activity on actual" severity failure; if (not(F3'active = true)) then F1 <= 11; end if; assert F3(0)'active = true report"no activity on F3 when there is activity on actual" severity failure; if (not(F3(0)'active = true)) then F1 <= 11; end if; assert F3(15)'active = true report"no activity on F3 when there is activity on actual" severity failure; if (not(F3(15)'active = true)) then F1 <= 11; end if; wait; END PROCESS; END c12s06b02x00p06n01i03075arch_a; use work.c12s06b02x00p06n01i03075pkg.all; ENTITY c12s06b02x00p06n01i03075ent IS END c12s06b02x00p06n01i03075ent; ARCHITECTURE c12s06b02x00p06n01i03075arch OF c12s06b02x00p06n01i03075ent IS function scalar_complex(s : integer) return severity_level_cons_vectorofvector is begin return C19; end scalar_complex; component model PORT ( F1: OUT integer; F3: IN severity_level_cons_vectorofvector; FF: OUT integer ); end component; for T1 : model use entity work.c12s06b02x00p06n01i03075ent_a(c12s06b02x00p06n01i03075arch_a); signal S1 : severity_level_cons_vectorofvector; signal S3 : integer; signal SS : integer := 0; BEGIN T1: model port map ( scalar_complex(F1) => S1, F3 => scalar_complex(S3), FF => SS ); TESTING: PROCESS BEGIN S3 <= 3; wait for 0 ns; assert S1'active = true report"no activity on F3 when there is activity on actual" severity failure; assert S1(0)'active = true report"no activity on F3 when there is activity on actual" severity failure; assert S1(15)'active = true report"no activity on F3 when there is activity on actual" severity failure; assert NOT(S1'active = true and S1(0)'active = true and S1(15)'active = true and SS = 0) report "***PASSED TEST: c12s06b02x00p06n01i03075" severity NOTE; assert (S1'active = true and S1(0)'active = true and S1(15)'active = true and SS = 0) report "***FAILED TEST: c12s06b02x00p06n01i03075 - Not every scalar subelement is active if the source itself is active." severity ERROR; wait; END PROCESS TESTING; END c12s06b02x00p06n01i03075arch;
gpl-2.0
emogenet/ghdl
testsuite/vests/vhdl-93/billowitch/compliant/tc3069.vhd
4
4038
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc3069.vhd,v 1.2 2001-10-26 16:29:51 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- package c12s06b02x00p06n01i03069pkg is type boolean_cons_vector is array (15 downto 0) of boolean; constant C19 : boolean_cons_vector := (others => true); end c12s06b02x00p06n01i03069pkg; use work.c12s06b02x00p06n01i03069pkg.all; ENTITY c12s06b02x00p06n01i03069ent_a IS PORT ( F1: OUT integer ; F3: IN boolean_cons_vector; FF: OUT integer := 0 ); END c12s06b02x00p06n01i03069ent_a; ARCHITECTURE c12s06b02x00p06n01i03069arch_a OF c12s06b02x00p06n01i03069ent_a IS BEGIN TESTING: PROCESS begin F1 <= 3; wait for 0 ns; assert F3'active = true report"no activity on F3 when there is activity on actual" severity failure; if (not(F3'active = true)) then F1 <= 11; end if; assert F3(0)'active = true report"no activity on F3 when there is activity on actual" severity failure; if (not(F3(0)'active = true)) then F1 <= 11; end if; assert F3(15)'active = true report"no activity on F3 when there is activity on actual" severity failure; if (not(F3(15)'active = true)) then F1 <= 11; end if; wait; END PROCESS; END c12s06b02x00p06n01i03069arch_a; use work.c12s06b02x00p06n01i03069pkg.all; ENTITY c12s06b02x00p06n01i03069ent IS END c12s06b02x00p06n01i03069ent; ARCHITECTURE c12s06b02x00p06n01i03069arch OF c12s06b02x00p06n01i03069ent IS function scalar_complex(s : integer) return boolean_cons_vector is begin return C19; end scalar_complex; component model PORT ( F1: OUT integer; F3: IN boolean_cons_vector; FF: OUT integer ); end component; for T1 : model use entity work.c12s06b02x00p06n01i03069ent_a(c12s06b02x00p06n01i03069arch_a); signal S1 : boolean_cons_vector; signal S3 : integer; signal SS : integer := 0; BEGIN T1: model port map ( scalar_complex(F1) => S1, F3 => scalar_complex(S3), FF => SS ); TESTING: PROCESS BEGIN S3 <= 3; wait for 0 ns; assert S1'active = true report"no activity on F3 when there is activity on actual" severity failure; assert S1(0)'active = true report"no activity on F3 when there is activity on actual" severity failure; assert S1(15)'active = true report"no activity on F3 when there is activity on actual" severity failure; assert NOT(S1'active = true and S1(0)'active = true and S1(15)'active = true and SS = 0) report "***PASSED TEST: c12s06b02x00p06n01i03069" severity NOTE; assert (S1'active = true and S1(0)'active = true and S1(15)'active = true and SS = 0) report "***FAILED TEST: c12s06b02x00p06n01i03069 - Not every scalar subelement is active if the source itself is active." severity ERROR; wait; END PROCESS TESTING; END c12s06b02x00p06n01i03069arch;
gpl-2.0
emogenet/ghdl
testsuite/vests/vhdl-93/billowitch/compliant/tc1958.vhd
4
1768
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1958.vhd,v 1.2 2001-10-26 16:29:44 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s02b01x00p02n02i01958ent IS END c07s02b01x00p02n02i01958ent; ARCHITECTURE c07s02b01x00p02n02i01958arch OF c07s02b01x00p02n02i01958ent IS BEGIN TESTING: PROCESS variable a : boolean := FALSE; variable b : boolean := TRUE; variable c : boolean; BEGIN c := a or b; assert NOT(c=TRUE) report "***PASSED TEST: c07s02b01x00p02n02i01958" severity NOTE; assert ( c=TRUE ) report "***FAILED TEST: c07s02b01x00p02n02i01958 - Logical operation of 'OR'." severity ERROR; wait; END PROCESS TESTING; END c07s02b01x00p02n02i01958arch;
gpl-2.0
emogenet/ghdl
testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc947.vhd
4
1775
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc947.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c06s01b00x00p10n01i00947ent IS END c06s01b00x00p10n01i00947ent; ARCHITECTURE c06s01b00x00p10n01i00947arch OF c06s01b00x00p10n01i00947ent IS BEGIN TESTING: PROCESS type R1 is record RE1: BOOLEAN; end record; variable V1: BOOLEAN; BEGIN V1 := R1'(RE1=>TRUE).RE1; -- SYNTAX ERROR: PREFIX OF SELECTED NAME CANNOT BE AN AGGREGATE assert FALSE report "***FAILED TEST: c06s01b00x00p10n01i00947 - Prefix of a selected name cannot be an aggregate." severity ERROR; wait; END PROCESS TESTING; END c06s01b00x00p10n01i00947arch;
gpl-2.0
emogenet/ghdl
testsuite/gna/bug22868/fails1.vhdl
3
436
library ieee; use ieee.std_logic_1164.all; entity fails1 is generic ( w : integer := 8 ); port( x : in std_logic; y : out std_logic_vector(7 downto 0); z : out std_logic ); end entity; architecture a of fails1 is component subcomponent is port( x : in std_logic; y : out std_logic_vector(8 downto 0) ); end component; begin s : subcomponent port map( x => x, y(w downto 1) => y, y(0) => z ); end a;
gpl-2.0
emogenet/ghdl
testsuite/gna/ticket78/bug.vhdl
3
273
entity ent is end entity; architecture a of ent is procedure proc(bv : bit_vector) is begin report to_string(bv'length); end procedure; begin main : process variable bv : bit_vector(0 to 1); begin proc(bv); wait; end process; end architecture;
gpl-2.0
emogenet/ghdl
testsuite/vests/vhdl-93/billowitch/compliant/tc1341.vhd
4
1777
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1341.vhd,v 1.2 2001-10-26 16:29:40 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c08s04b01x00p04n01i01341ent IS END c08s04b01x00p04n01i01341ent; ARCHITECTURE c08s04b01x00p04n01i01341arch OF c08s04b01x00p04n01i01341ent IS signal X : integer := 0; BEGIN TESTING: PROCESS BEGIN X <= 15 after 10 sec; wait for 10 sec; assert NOT( X=15 ) report "***PASSED TEST: c08s04b01x00p04n01i01341" severity NOTE; assert ( X=15 ) report "***FAILED TEST: c08s04b01x00p04n01i01341 - Predefined TIME unit sec as the base type of the time expression test failed." severity ERROR; wait; END PROCESS TESTING; END c08s04b01x00p04n01i01341arch;
gpl-2.0
emogenet/ghdl
testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2831.vhd
4
1790
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2831.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- entity RECORD is end RECORD; ENTITY c13s09b00x00p99n01i02831ent IS END c13s09b00x00p99n01i02831ent; ARCHITECTURE c13s09b00x00p99n01i02831arch OF c13s09b00x00p99n01i02831ent IS BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c13s09b00x00p99n01i02831 - Reserved word RECORD can not be used as an entity name." severity ERROR; wait; END PROCESS TESTING; END c13s09b00x00p99n01i02831arch;
gpl-2.0
emogenet/ghdl
testsuite/gna/bug017/call5.vhdl
2
325
entity call5 is end; architecture behav of call5 is procedure inc (p : inout integer) is begin wait for 1 ns; p := p + 1; end inc; begin process variable v : integer := 2; begin inc (v); wait for 2 ns; inc (v); assert not (v = 4) report "SUCCESS"; wait; end process; end behav;
gpl-2.0
emogenet/ghdl
testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc282.vhd
4
1813
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc282.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c03s01b03x00p08n02i00282ent IS END c03s01b03x00p08n02i00282ent; ARCHITECTURE c03s01b03x00p08n02i00282arch OF c03s01b03x00p08n02i00282ent IS type time is range 0 to 1E8 units fs; -- -- Failure_here: min is not defined ps = 10 min; end units; BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c03s01b03x00p08n02i00282 - Unit names declared in secondary unit declarations must be integral multiples of the base unit." severity ERROR; wait; END PROCESS TESTING; END c03s01b03x00p08n02i00282arch;
gpl-2.0
emogenet/ghdl
testsuite/vests/vhdl-93/ashenden/compliant/ch_03_ch_03_01.vhd
4
1647
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: ch_03_ch_03_01.vhd,v 1.3 2001-10-26 16:29:33 paw Exp $ -- $Revision: 1.3 $ -- -- --------------------------------------------------------------------- entity ch_03_01 is end entity ch_03_01; architecture test of ch_03_01 is signal en : bit := '0'; signal data_in : integer := 0; begin process_3_1_a : process (en, data_in) is variable stored_value : integer := 0; begin -- code from book: if en = '1' then stored_value := data_in; end if; -- end of code from book end process process_3_1_a; stimulus : process is begin en <= '1' after 10 ns, '0' after 20 ns; data_in <= 1 after 5 ns, 2 after 15 ns, 3 after 25 ns; wait; end process stimulus; end architecture test;
gpl-2.0
emogenet/ghdl
testsuite/gna/issue50/idct.d/add_483.vhd
2
800
library ieee; use ieee.std_logic_1164.all; library ieee; use ieee.numeric_std.all; entity add_483 is port ( result : out std_logic_vector(31 downto 0); in_a : in std_logic_vector(31 downto 0); in_b : in std_logic_vector(31 downto 0) ); end add_483; architecture augh of add_483 is signal carry_inA : std_logic_vector(33 downto 0); signal carry_inB : std_logic_vector(33 downto 0); signal carry_res : std_logic_vector(33 downto 0); begin -- To handle the CI input, the operation is '1' + CI -- If CI is not present, the operation is '1' + '0' carry_inA <= '0' & in_a & '1'; carry_inB <= '0' & in_b & '0'; -- Compute the result carry_res <= std_logic_vector(unsigned(carry_inA) + unsigned(carry_inB)); -- Set the outputs result <= carry_res(32 downto 1); end architecture;
gpl-2.0
emogenet/ghdl
testsuite/gna/issue50/idct.d/add_184.vhd
2
800
library ieee; use ieee.std_logic_1164.all; library ieee; use ieee.numeric_std.all; entity add_184 is port ( result : out std_logic_vector(31 downto 0); in_a : in std_logic_vector(31 downto 0); in_b : in std_logic_vector(31 downto 0) ); end add_184; architecture augh of add_184 is signal carry_inA : std_logic_vector(33 downto 0); signal carry_inB : std_logic_vector(33 downto 0); signal carry_res : std_logic_vector(33 downto 0); begin -- To handle the CI input, the operation is '1' + CI -- If CI is not present, the operation is '1' + '0' carry_inA <= '0' & in_a & '1'; carry_inB <= '0' & in_b & '0'; -- Compute the result carry_res <= std_logic_vector(unsigned(carry_inA) + unsigned(carry_inB)); -- Set the outputs result <= carry_res(32 downto 1); end architecture;
gpl-2.0
emogenet/ghdl
testsuite/vests/vhdl-93/ashenden/compliant/ch_15_alu.vhd
4
1347
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: ch_15_alu.vhd,v 1.3 2001-11-03 23:19:37 paw Exp $ -- $Revision: 1.3 $ -- -- --------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use work.dlx_types.all, work.alu_types.all; entity alu is generic ( Tpd : delay_length ); port ( s1 : in dlx_word; s2 : in dlx_word; result : out dlx_word; func : in alu_func; zero, negative, overflow : out std_logic ); end entity alu;
gpl-2.0
emogenet/ghdl
testsuite/gna/issue50/vector.d/cmp_132.vhd
2
376
library ieee; use ieee.std_logic_1164.all; entity cmp_132 is port ( eq : out std_logic; in0 : in std_logic_vector(2 downto 0); in1 : in std_logic_vector(2 downto 0) ); end cmp_132; architecture augh of cmp_132 is signal tmp : std_logic; begin -- Compute the result tmp <= '0' when in0 /= in1 else '1'; -- Set the outputs eq <= tmp; end architecture;
gpl-2.0
emogenet/ghdl
testsuite/vests/vhdl-ams/ashenden/compliant/analog-modeling/tb_std_logic_to_analog.vhd
4
1795
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; library IEEE_proposed; use IEEE_proposed.electrical_systems.all; entity tb_std_logic_to_analog is end tb_std_logic_to_analog; architecture TB_std_logic2analog of tb_std_logic_to_analog is -- Component declarations -- Signal declarations terminal ana_out : electrical ; signal ina : std_logic ; begin -- Signal assignments -- Component instances d2a1 : entity work.std_logic_to_analog(ideal) port map( d => ina, -- bit type pin a => ana_out ); clk1 : entity work.clock_duty(ideal) generic map( off_time => 2 ms, on_time => 1 ms ) port map( CLOCK_OUT => ina -- std_logic type pin ); R1 : entity work.resistor(ideal) generic map( res => 10.0e3 ) port map( p1 => ana_out, p2 => electrical_ref ); end TB_std_logic2analog;
gpl-2.0
emogenet/ghdl
testsuite/vests/vhdl-ams/ashenden/compliant/AMS_CS2_Mixed_Tech/sum2.vhd
4
1171
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA entity sum2 is generic ( k1, k2 : real := 1.0 ); -- optional gain multipliers port ( quantity in1, in2 : in real; quantity output : out real ); end entity sum2; ---------------------------------------------------------------- architecture simple of sum2 is begin output == k1 * in1 + k2 * in2; -- sum of inputs (with optional gain) end architecture simple;
gpl-2.0
emogenet/ghdl
testsuite/vests/vhdl-93/billowitch/compliant/tc877.vhd
4
1800
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc877.vhd,v 1.2 2001-10-26 16:30:01 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c01s03b02x00p02n01i00877ent IS END c01s03b02x00p02n01i00877ent; ARCHITECTURE c01s03b02x00p02n01i00877arch OF c01s03b02x00p02n01i00877ent IS BEGIN BB : block component LOCAL end component; begin CIS : LOCAL; assert FALSE report "***PASSED TEST: c01s03b02x00p02n01i00877" severity NOTE; end block BB; END c01s03b02x00p02n01i00877arch; configuration c01s03b02x00p02n01i00877cfg of c01s03b02x00p02n01i00877ent is for c01s03b02x00p02n01i00877arch for BB for CIS : LOCAL -- Success_here end for; end for; end for ; end c01s03b02x00p02n01i00877cfg;
gpl-2.0
emogenet/ghdl
testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1623.vhd
4
1664
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1623.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c08s12b00x00p03n01i01623ent IS END c08s12b00x00p03n01i01623ent; ARCHITECTURE c08s12b00x00p03n01i01623arch OF c08s12b00x00p03n01i01623ent IS BEGIN return true; -- illegal in architecture statement region. TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c08s12b00x00p03n01i01623 - Return statement only allowed within the body of a function or procedure." severity ERROR; wait; END PROCESS TESTING; END c08s12b00x00p03n01i01623arch;
gpl-2.0
emogenet/ghdl
testsuite/vests/vhdl-ams/ashenden/compliant/attributes-and-groups/gate_components.vhd
4
1502
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- analyze into resource library graphics package graphics_pkg is attribute graphic_symbol : string; attribute graphic_style : string; end package graphics_pkg; -- code from book library ieee; use ieee.std_logic_1164.all; library graphics; package gate_components is use graphics.graphics_pkg.graphic_symbol, graphics.graphics_pkg.graphic_style; component and2 is generic ( prop_delay : delay_length ); port ( a, b : in std_logic; y : out std_logic ); end component and2; attribute graphic_symbol of and2 : component is "and2"; attribute graphic_style of and2 : component is "color:default, weight:bold"; -- . . . end package gate_components; -- end code from book
gpl-2.0
emogenet/ghdl
testsuite/vests/vhdl-93/ashenden/compliant/ch_06_tovect-b.vhd
4
1850
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: ch_06_tovect-b.vhd,v 1.2 2001-10-26 16:29:34 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; architecture bench of to_vector_test is signal vec : std_ulogic_vector(15 downto 0); signal r : real := 0.0; begin dut : entity work.to_vector(behavioral) port map (r, vec); stimulus : process is begin r <= 0.0; wait for 10 ns; r <= -1.0; wait for 10 ns; r <= -2.0; wait for 10 ns; r <= +0.9999; wait for 10 ns; r <= +2.0; wait for 10 ns; r <= -0.5; wait for 10 ns; r <= +0.5; wait for 10 ns; wait; end process stimulus; end architecture bench;
gpl-2.0
emogenet/ghdl
testsuite/vests/vhdl-ams/ashenden/compliant/AMS_CS1_Mixed_Sig/a2d_nbit.vhd
4
3596
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA library ieee; use ieee.std_logic_1164.all; library ieee_proposed; use ieee_proposed.electrical_systems.all; entity a2d_nbit is port ( signal start : in std_ulogic; -- Start signal signal clk : in std_ulogic; -- Strobe clock terminal ain : electrical; -- Analog input terminal signal eoc : out std_ulogic := '0'; -- End of conversion pin signal dout : out std_ulogic_vector(9 downto 0) ); -- Digital output signal end entity a2d_nbit; ---------------------------------------------------------------- architecture sar of a2d_nbit is constant Vmax : real := 5.0; -- ADC's maximum range constant delay : time := 10 us; -- ADC's conversion time type states is (input, convert); -- Two states of A2D Conversion constant bit_range : integer := 9; -- Bit range for dtmp and dout quantity Vin across Iin through ain to electrical_ref; -- ADC's input branch begin sa_adc: process is variable thresh : real := Vmax; -- Threshold to test input voltage against variable Vtmp : real := Vin; -- Snapshot of input voltage -- when conversion starts variable dtmp : std_ulogic_vector(bit_range downto 0); -- Temp. output data variable status : states := input; -- Begin with "input" case variable bit_cnt : integer := bit_range; begin case status is when input => -- Read input voltages when start goes high wait on start until start = '1' or start = 'H'; bit_cnt := bit_range; -- Reset bit_cnt for conversion thresh := Vmax; Vtmp := Vin; -- Variable to hold input comparison voltage eoc <= '0'; -- Reset end of conversion status := convert; -- Go to convert state when convert => -- Begin successive approximation conversion wait on clk until clk = '1' or clk = 'H'; thresh := thresh / 2.0; -- Get value of MSB if Vtmp > thresh then dtmp(bit_cnt) := '1'; -- Store '1' in dtmp variable vector Vtmp := Vtmp - thresh; -- Prepare for next comparison else dtmp(bit_cnt) := '0'; -- Store '0' in dtmp variable vector end if; if bit_cnt > 0 then bit_cnt := bit_cnt - 1; -- Decrement the bit count else dout <= dtmp; -- Put contents of dtmp on output pins eoc <= '1' after delay; -- Signal end of conversion status := input; -- Go to input state end if; end case; end process sa_adc; Iin == 0.0; -- Ideal input draws no current end architecture sar;
gpl-2.0
emogenet/ghdl
testsuite/vests/vhdl-ams/ashenden/compliant/AMS_CS3_Power_Systems/tb_BuckConverter.vhd
4
1909
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA library ieee; use ieee.std_logic_1164.all; library ieee_proposed; use ieee_proposed.electrical_systems.all; entity tb_BuckConverter is port ( ctrl : std_logic ); end tb_BuckConverter; ---------------------------------------------------------------- architecture tb_BuckConverter of tb_BuckConverter is terminal vin : electrical; terminal vmid : electrical; terminal vout : electrical; begin L1 : entity work.inductor(ideal) generic map ( ind => 6.5e-3 ) port map ( p1 => vmid, p2 => vout ); C1 : entity work.capacitor(ideal) generic map ( cap => 1.5e-6 ) port map ( p1 => vout, p2 => electrical_ref ); VinDC : entity work.v_constant(ideal) generic map ( level => 42.0 ) port map ( pos => vin, neg => electrical_ref ); RLoad : entity work.resistor(ideal) generic map ( res => 2.4 ) port map ( p1 => vout, p2 => electrical_ref ); D1 : entity work.diode(ideal) port map ( p => electrical_ref, n => vmid ); sw1 : entity work.switch_dig(ideal) port map ( sw_state => ctrl, p2 => vmid, p1 => vin ); end architecture tb_BuckConverter;
gpl-2.0
emogenet/ghdl
testsuite/vests/vhdl-93/billowitch/compliant/tc956.vhd
4
2115
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc956.vhd,v 1.2 2001-10-26 16:30:02 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c06s01b00x00p10n02i00956ent IS END c06s01b00x00p10n02i00956ent; ARCHITECTURE c06s01b00x00p10n02i00956arch OF c06s01b00x00p10n02i00956ent IS signal PT : boolean; subtype ONE is integer range 1 to 1; type R1 is record X1: ONE; RE1: BOOLEAN; end record; function rr1(i : integer) return R1 is variable vr : r1; begin return vr; end rr1; attribute AT1 : R1; attribute AT1 of PT : signal is rr1(3); type A1 is array (BOOLEAN) of BOOLEAN; BEGIN TESTING: PROCESS variable V1 : BOOLEAN; variable V2 : A1; BEGIN V1 := V2(PT'AT1.RE1); assert NOT( V1=FALSE ) report "***PASSED TEST: c06s01b00x00p10n02i00956" severity NOTE; assert ( V1=FALSE ) report "***FAILED TEST: c06s01b00x00p10n02i00956 - The prefix of a name is a function call." severity ERROR; wait; END PROCESS TESTING; END c06s01b00x00p10n02i00956arch;
gpl-2.0
emogenet/ghdl
testsuite/vests/vhdl-93/ashenden/compliant/ch_08_ch_08_02.vhd
4
1368
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: ch_08_ch_08_02.vhd,v 1.1.1.1 2001-08-22 18:20:48 paw Exp $ -- $Revision: 1.1.1.1 $ -- -- --------------------------------------------------------------------- package ch_08_02 is -- code from book subtype word32 is bit_vector(31 downto 0); procedure add ( a, b : in word32; result : out word32; overflow : out boolean ); function "<" ( a, b : in word32 ) return boolean; constant max_buffer_size : positive; -- end code from book end package ch_08_02;
gpl-2.0
emogenet/ghdl
testsuite/vests/vhdl-ams/ashenden/compliant/composite-data/inline_04a.vhd
4
1962
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA library ieee_proposed; use ieee_proposed.mechanical_systems.all; use ieee_proposed.fluidic_systems.all; entity inline_04a is end entity inline_04a; ---------------------------------------------------------------- architecture test of inline_04a is -- code from book: type engine_nodes is (intake, compressor, combustion, exhaust); type engines is range 1 to 4; nature aircraft_engine_flows is array (engine_nodes, engines) of fluidic; -- nature sensor_matrix is array (1 to 100, 1 to 100) of translational; -- terminal sensor_grid : sensor_matrix; -- quantity sensor_data across sensor_grid to translational_ref; -- end of code from book begin process_1_b : process is variable total_displacement, average_displacement : real; begin -- code from book: total_displacement := 0.0; for x in 1 to 100 loop for y in 1 to 100 loop total_displacement := total_displacement + sensor_data(x, y); end loop; end loop; average_displacement := total_displacement / 10000.0; --end code from book wait; end process process_1_b; end architecture test;
gpl-2.0
emogenet/ghdl
testsuite/vests/vhdl-93/billowitch/compliant/tc2412.vhd
4
1948
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2412.vhd,v 1.2 2001-10-26 16:29:47 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s03b02x00p09n01i02412ent IS END c07s03b02x00p09n01i02412ent; ARCHITECTURE c07s03b02x00p09n01i02412arch OF c07s03b02x00p09n01i02412ent IS type T1 is array (1 to 5) of integer; constant C : T1 := (1 => 0, 2 => 2, 3 => 3, 4 =>4, others=> 4) ; -- No_Failure_here BEGIN TESTING: PROCESS BEGIN assert NOT(C(1)=0 and C(2)=2 and C(3)=3 and C(4)=4 and C(5)=4) report "***PASSED TEST: c07s03b02x00p09n01i02412" severity NOTE; assert (C(1)=0 and C(2)=2 and C(3)=3 and C(4)=4 and C(5)=4) report "***FAILED TEST: c07s03b02x00p09n01i02412 - Each element of the value defined by an aggregate must be represented once and only once in the aggregate." severity ERROR; wait; END PROCESS TESTING; END c07s03b02x00p09n01i02412arch;
gpl-2.0
emogenet/ghdl
testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc372.vhd
4
1879
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc372.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c03s02b01x01p03n02i00372ent IS END c03s02b01x01p03n02i00372ent; ARCHITECTURE c03s02b01x01p03n02i00372arch OF c03s02b01x01p03n02i00372ent IS subtype BFALSE is BOOLEAN range FALSE to FALSE; type ONETWO is range 1 to 2; type A5 is array (FALSE to FALSE, BFALSE range <>, 1 to 2) of BIT; -- Failure_here -- ERROR - SYNTAX ERROR: CONSTRAINED AND UNCONSTRAINED INDEX RANGES -- CANNOT BE MIXED BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c03s02b01x01p03n02i00372 - Unconstrained and constrained index ranges cannot be mixed." severity ERROR; wait; END PROCESS TESTING; END c03s02b01x01p03n02i00372arch;
gpl-2.0
emogenet/ghdl
testsuite/vests/vhdl-93/billowitch/compliant/tc220.vhd
4
1818
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc220.vhd,v 1.2 2001-10-26 16:29:46 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c03s01b01x00p06n03i00220ent IS type e is (EMIN,ETYP,EMAX); END c03s01b01x00p06n03i00220ent; ARCHITECTURE c03s01b01x00p06n03i00220arch OF c03s01b01x00p06n03i00220ent IS BEGIN TESTING: PROCESS BEGIN assert NOT(e'pos(ETYP) < e'pos(EMAX)) report "***PASSED TEST: c03s01b01x00p06n03i00220" severity NOTE; assert (e'pos(ETYP) < e'pos(EMAX)) report "***FAILED TEST: c03s01b01x00p06n03i00220 - The position number of the value of each additional enumeration literal is one more than that of its predecessor in the list." severity ERROR; wait; END PROCESS TESTING; END c03s01b01x00p06n03i00220arch;
gpl-2.0
emogenet/ghdl
testsuite/vests/vhdl-93/billowitch/compliant/tc1164.vhd
4
2035
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1164.vhd,v 1.2 2001-10-26 16:29:39 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- package c06s06b00x00p02n01i01164pkg is type A1 is array (1 to 2) of BOOLEAN; type A2 is array (1 to 2) of A1; end c06s06b00x00p02n01i01164pkg; use work.c06s06b00x00p02n01i01164pkg.all; ENTITY c06s06b00x00p02n01i01164ent IS port (PT: A2); attribute AT1 : BOOLEAN; attribute AT1 of PT : signal is TRUE; END c06s06b00x00p02n01i01164ent; ARCHITECTURE c06s06b00x00p02n01i01164arch OF c06s06b00x00p02n01i01164ent IS BEGIN TESTING: PROCESS variable k : integer := 0; BEGIN if PT'AT1 then k := 5; end if; assert NOT( k=5 ) report "***PASSED TEST: c06s06b00x00p02n01i01164" severity NOTE; assert ( k=5 ) report "***FAILED TEST: c06s06b00x00p02n01i01164 - The prefix of an attribute name may be an indexed name." severity ERROR; wait; END PROCESS TESTING; END c06s06b00x00p02n01i01164arch;
gpl-2.0
emogenet/ghdl
testsuite/vests/vhdl-93/ashenden/compliant/ch_19_random.vhd
4
2379
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: ch_19_random.vhd,v 1.2 2001-10-26 16:29:36 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- package random is type distribution_type is (fixed, uniform, exponential); subtype probability is real range 0.0 to 1.0; type probability_vector is array (positive range <>) of probability; type seed_type is record seed1, seed2 : positive; end record seed_type; type seed_array is array ( natural range <> ) of seed_type; constant sample_seeds : seed_array(0 to 50); type random_info_record is record seed : seed_type; distribution : distribution_type; mean : real; lower_bound, upper_bound : real; end record random_info_record; procedure init_fixed ( random_info : out random_info_record; mean : in real ); procedure init_uniform ( random_info : out random_info_record; lower_bound, upper_bound : in real; seed : in seed_type ); procedure init_exponential ( random_info : out random_info_record; mean : in real; seed : in seed_type ); procedure generate_random ( random_info : inout random_info_record; random_number : out real ); end package random;
gpl-2.0
emogenet/ghdl
testsuite/vests/vhdl-93/billowitch/compliant/tc2117.vhd
4
2251
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2117.vhd,v 1.2 2001-10-26 16:29:45 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s02b04x00p20n01i02117ent IS END c07s02b04x00p20n01i02117ent; ARCHITECTURE c07s02b04x00p20n01i02117arch OF c07s02b04x00p20n01i02117ent IS TYPE integer_v is array (integer range <>) of integer; SUBTYPE integer_8 is integer_v (1 to 8); SUBTYPE integer_4 is integer_v (1 to 4); BEGIN TESTING : PROCESS variable result : integer_4; variable l_operand : integer_4 := (123,789,123,789); variable r_operand : integer_4 := (789,789,123,123); alias l_alias : integer_v (1 to 2) is l_operand (2 to 3); alias r_alias : integer_v (1 to 2) is r_operand (3 to 4); BEGIN result := l_alias & r_alias; wait for 20 ns; assert NOT((result = (789,123,123,123)) and (result(1) = 789)) report "***PASSED TEST: c07s02b04x00p20n01i02117" severity NOTE; assert ((result = (789,123,123,123)) and (result(1) = 789)) report "***FAILED TEST: c07s02b04x00p20n01i02117 - Concatenation of two INTEGER aliases failed." severity ERROR; wait; END PROCESS TESTING; END c07s02b04x00p20n01i02117arch;
gpl-2.0
emogenet/ghdl
testsuite/gna/issue30/alu.vhdl
2
40392
library ieee; use ieee.std_logic_1164.all; library work; use work.definitions.all; entity ccf_operation is port( flags_in: in std_logic_vector(7 downto 0); flags_out: out std_logic_vector(7 downto 0) ); end; -- Tested with Modelsim 2015/11/25, works. architecture struct_ccf_operation of ccf_operation is begin -- A point of disagreement has been found between the Z80 user manual -- and Lance Levinthal's book entitled "Z80 Assembly Language Programming". -- The Z80 user manual says the half-carry bit gets the previous carry; -- Levinthal says the half-carry bit is unchanged. For now, go with -- Levinthal's version as the Z80 users manual is inconsistent with -- itself on other instructions. At this time, no such inconsistencies -- have been found with Levinthal's work. flags_out <= ( carry_bit => not flags_in(carry_bit), half_carry_bit => flags_in(carry_bit), others => '0'); end; library ieee; use ieee.std_logic_1164.all; library work; use work.definitions.all; entity sll8bit is port( operand: in std_logic_vector(7 downto 0); output: out std_logic_vector(7 downto 0); flags_out: out std_logic_vector(7 downto 0) ); end; -- Tested with Modelsim 2015/11/25, works. architecture struct_sll8bit of sll8bit is signal sll_result: std_logic_vector(7 downto 0); begin -- This operation is not documented by Zilog, but seems to work in their -- finished chip. This code may not work the same way as the Z80 hardware -- works. The functionality is assumed from the SRL instruction. sll_result <= operand(6 downto 0) & '0'; output <= sll_result; flags_out <= ( carry_bit => operand(7), zero_bit => not (sll_result(7) or sll_result(6) or sll_result(5) or sll_result(4) or sll_result(3) or sll_result(2) or sll_result(1) or sll_result(0)), parity_overflow_bit => not (sll_result(7) xor sll_result(6) xor sll_result(5) xor sll_result(4) xor sll_result(3) xor sll_result(2) xor sll_result(1) xor sll_result(0)), sign_bit => operand(6), others => '0'); end; library ieee; use ieee.std_logic_1164.all; library work; use work.definitions.all; entity srl8bit is port( operand: in std_logic_vector(7 downto 0); output: out std_logic_vector(7 downto 0); flags_out: out std_logic_vector(7 downto 0) ); end; -- Tested with Modelsim 2015/11/25, works. architecture struct_srl8bit of srl8bit is signal srl_result: std_logic_vector(7 downto 0); begin srl_result <= '0' & operand(7 downto 1); output <= srl_result; flags_out <= ( carry_bit => operand(0), zero_bit => not (srl_result(7) or srl_result(6) or srl_result(5) or srl_result(4) or srl_result(3) or srl_result(2) or srl_result(1) or srl_result(0)), parity_overflow_bit => not (srl_result(7) xor srl_result(6) xor srl_result(5) xor srl_result(4) xor srl_result(3) xor srl_result(2) xor srl_result(1) xor srl_result(0)), others => '0'); end; library ieee; use ieee.std_logic_1164.all; library work; use work.definitions.all; entity and8bit is port( operand1: in std_logic_vector(7 downto 0); operand2: in std_logic_vector(7 downto 0); output: out std_logic_vector(7 downto 0); flags_out: out std_logic_vector(7 downto 0) ); end; -- Tested with Modelsim 2015/11/25, works. architecture struct_and8bit of and8bit is signal and_result: std_logic_vector(7 downto 0); begin and_result <= operand1 and operand2; flags_out <= ( sign_bit => and_result(7), zero_bit => not (and_result(7) or and_result(6) or and_result(5) or and_result(4) or and_result(3) or and_result(2) or and_result(1) or and_result(0)), half_carry_bit => '1', parity_overflow_bit => not (and_result(7) xor and_result(6) xor and_result(5) xor and_result(4) xor and_result(3) xor and_result(2) xor and_result(1) xor and_result(0)), others => '0'); output <= and_result; end; library ieee; use ieee.std_logic_1164.all; library work; use work.definitions.all; entity or8bit is port( operand1: in std_logic_vector(7 downto 0); operand2: in std_logic_vector(7 downto 0); output: out std_logic_vector(7 downto 0); flags_out: out std_logic_vector(7 downto 0) ); end; -- Tested with Modelsim 2015/11/25, works. architecture struct_or8bit of or8bit is signal or_result: std_logic_vector(7 downto 0); begin or_result <= operand1 or operand2; output <= or_result; flags_out <= ( sign_bit => or_result(7), half_carry_bit => '1', zero_bit => not (or_result(7) or or_result(6) or or_result(5) or or_result(4) or or_result(3) or or_result(2) or or_result(1) or or_result(0)), parity_overflow_bit => not (or_result(7) xor or_result(6) xor or_result(5) xor or_result(4) xor or_result(3) xor or_result(2) xor or_result(1) xor or_result(0)), others => '0'); end; library ieee; use ieee.std_logic_1164.all; library work; use work.definitions.all; entity sra8bit is port( operand: in std_logic_vector(7 downto 0); output: out std_logic_vector(7 downto 0); flags_out: out std_logic_vector(7 downto 0) ); end; -- Tested with Modelsim 2015/11/25, works. architecture struct_sra8bit of sra8bit is signal sra_result: std_logic_vector(7 downto 0); begin sra_result <= operand(7) & operand(7 downto 1); output <= sra_result; flags_out <= ( carry_bit => operand(0), zero_bit => not (sra_result(7) or sra_result(6) or sra_result(5) or sra_result(4) or sra_result(3) or sra_result(2) or sra_result(1) or sra_result(0)), parity_overflow_bit => not (sra_result(7) xor sra_result(6) xor sra_result(5) xor sra_result(4) xor sra_result(3) xor sra_result(2) xor sra_result(1) xor sra_result(0)), sign_bit => operand(7), others => '0'); end; library ieee; use ieee.std_logic_1164.all; library work; use work.definitions.all; entity xor8bit is port( operand1: in std_logic_vector(7 downto 0); operand2: in std_logic_vector(7 downto 0); output: out std_logic_vector(7 downto 0); flags_out: out std_logic_vector(7 downto 0) ); end; -- Tested with Modelsim 2015/11/25, works. architecture struct_xor8bit of xor8bit is signal xor_result: std_logic_vector(7 downto 0); begin xor_result <= operand1 xor operand2; output <= xor_result; flags_out <= ( sign_bit => xor_result(7), half_carry_bit => '1', zero_bit => not (xor_result(7) or xor_result(6) or xor_result(5) or xor_result(4) or xor_result(3) or xor_result(2) or xor_result(1) or xor_result(0)), parity_overflow_bit => not (xor_result(7) xor xor_result(6) xor xor_result(5) xor xor_result(4) xor xor_result(3) xor xor_result(2) xor xor_result(1) xor xor_result(0)), others => '0'); end; library ieee; use ieee.std_logic_1164.all; library work; use work.definitions.all; entity sla8bit is port( operand: in std_logic_vector(7 downto 0); output: out std_logic_vector(7 downto 0); flags_out: out std_logic_vector(7 downto 0) ); end; -- Tested with Modelsim 2015/11/25, works. architecture struct_sla8bit of sla8bit is signal sla_result: std_logic_vector(7 downto 0); begin sla_result <= operand(6 downto 0) & '0'; output <= sla_result; flags_out <= ( sign_bit => sla_result(7), half_carry_bit => '1', zero_bit => not (sla_result(7) or sla_result(6) or sla_result(5) or sla_result(4) or sla_result(3) or sla_result(2) or sla_result(1) or sla_result(0)), parity_overflow_bit => not (sla_result(7) xor sla_result(6) xor sla_result(5) xor sla_result(4) xor sla_result(3) xor sla_result(2) xor sla_result(1) xor sla_result(0)), others => '0'); end; library ieee; use ieee.std_logic_1164.all; entity subtractor is port( minuend, subtrahend: in std_logic; borrow_in: in std_logic; difference: out std_logic; borrow_out: out std_logic ); end; architecture struct_subtractor of subtractor is begin -- These expressions were derived from the truth table of a single bit subtractor and simplified with -- a Karnaugh map. difference <= (borrow_in and (not minuend) and (not subtrahend)) or ((not borrow_in) and (not minuend) and subtrahend) or (borrow_in and minuend and subtrahend) or ((not borrow_in) and minuend and (not subtrahend)); borrow_out <= (not minuend and subtrahend) or (borrow_in and (not minuend)) or (borrow_in and subtrahend); end; library ieee; use ieee.std_logic_1164.all; entity subtractorN is generic( N: positive ); port( minuend: in std_logic_vector((N-1) downto 0); subtrahend: in std_logic_vector((N-1) downto 0); borrow_in: in std_logic; difference: out std_logic_vector((N-1) downto 0); borrow_out: out std_logic ); end; architecture struct_subtractorN of subtractorN is component subtractor is port( minuend, subtrahend: in std_logic; borrow_in: in std_logic; difference: out std_logic; borrow_out: out std_logic ); end component; signal borrow: std_logic_vector(N downto 0); begin -- These expressions were derived from the truth table of a single bit subtractor and simplified with a -- Karnaugh map. -- d = difference, m = minuend, s = subtrahend, b = borrow -- -- d(i) = (b(i) and (not m(i)) and (not s(i))) or -- ((not b(i)) and (not m(i)) and s(i)) or -- (b(i) and m(i) and s(i)) or -- ((not b(i)) and m(i) and (not s(i))) -- -- b(i+1) = (not m(i) and s(i)) or -- (b(i) and (not m(i))) or -- (b(i) and s(i) borrow(0) <= borrow_in; u1: for i in 0 to (N-1) generate u: subtractor port map( minuend => minuend(i), subtrahend => subtrahend(i), borrow_in => borrow(i), difference => difference(i), borrow_out => borrow(i+1) ); end generate; borrow_out <= borrow(N); end; library ieee; use ieee.std_logic_1164.all; library work; use work.definitions.all; entity subtractor8x2 is port( minuend, subtrahend: in std_logic_vector(7 downto 0); borrow_in: in std_logic; difference: out std_logic_vector(7 downto 0); flags_out: out std_logic_vector(7 downto 0) ); end; -- Tested with Modelsim 2015/11/25, works. architecture struct_subtractor8x2 of subtractor8x2 is component subtractor is port( minuend, subtrahend: in std_logic; borrow_in: in std_logic; difference: out std_logic; borrow_out: out std_logic ); end component; signal borrow: std_logic_vector(8 downto 0); signal d: std_logic_vector(7 downto 0); begin borrow(0) <= borrow_in; u1: for i in 0 to 7 generate u: subtractor port map( minuend => minuend(i), subtrahend => subtrahend(i), borrow_in => borrow(i), difference => d(i), borrow_out => borrow(i+1) ); end generate; difference <= d; flags_out <= ( sign_bit => d(7), zero_bit => not (d(0) or d(1) or d(2) or d(3) or d(4) or d(5) or d(6) or d(7)), half_carry_bit => borrow(4), parity_overflow_bit => (minuend(7) xor subtrahend(7)) and (minuend(7) xor d(7)), add_sub_bit => '1', carry_bit => borrow(8), others => '0'); end; library ieee; use ieee.std_logic_1164.all; entity adder is port( addend, augend: in std_logic; carry_in: in std_logic; sum: out std_logic; carry_out: out std_logic ); end; architecture struct_adder of adder is begin -- These expressions are derived from a single bit full adder truth table and simplified with a -- Karnaugh map. sum <= ((not (carry_in)) and (not addend) and augend) or ((not carry_in) and addend and (not augend)) or (carry_in and (not addend) and (not augend)) or (carry_in and addend and augend); carry_out <= (addend and augend) or (carry_in and addend) or (carry_in and augend); end; library ieee; use ieee.std_logic_1164.all; entity adderN is generic( N: positive ); port( addend: in std_logic_vector((N-1) downto 0); augend: in std_logic_vector((N-1) downto 0); carry_in: in std_logic; sum: out std_logic_vector((N-1) downto 0); carry_out: out std_logic ); end; -- Tested with Modelsim 2015/12/11, works. architecture struct_adderN of adderN is component adder is port( addend, augend: in std_logic; carry_in: in std_logic; sum: out std_logic; carry_out: out std_logic ); end component; signal carry: std_logic_vector(N downto 0); begin carry(0) <= carry_in; u1: for i in 0 to (N-1) generate u: adder port map( addend => addend(i), augend => augend(i), carry_in => carry(i), sum => sum(i), carry_out => carry(i+1) ); end generate; carry_out <= carry(N); end; library ieee; use ieee.std_logic_1164.all; library work; use work.definitions.all; entity adder8x2 is port( addend, augend: in std_logic_vector(7 downto 0); carry_in: in std_logic; sum: out std_logic_vector(7 downto 0); flags_out: out std_logic_vector(7 downto 0) ); end; -- Tested with Modelsim 2015/11/25, works. -- The adderN version is not used because access to carry out of bit 3 is required. architecture struct_adder8x2 of adder8x2 is component adder is port( addend, augend: in std_logic; carry_in: in std_logic; sum: out std_logic; carry_out: out std_logic ); end component; signal result: std_logic_vector(7 downto 0); signal carry: std_logic_vector(8 downto 0); begin carry(0) <= carry_in; u1: for i in 0 to 7 generate u: adder port map( addend => addend(i), augend => augend(i), carry_in => carry(i), sum => result(i), carry_out => carry(i+1) ); end generate; sum <= result; flags_out <= ( sign_bit => result(7), zero_bit => not (result(7) or result(6) or result(5) or result(4) or result(3) or result(2) or result(1) or result(0)), half_carry_bit => carry(4), parity_overflow_bit => not (addend(7) xor augend(7)) and (addend(7) xor result(7)), add_sub_bit => '0', carry_bit => carry(8), others => '0'); end; library ieee; use ieee.std_logic_1164.all; library work; use work.definitions.all; entity cpl is port( operand: in std_logic_vector(7 downto 0); output: out std_logic_vector(7 downto 0); flags_out: out std_logic_vector(7 downto 0) ); end; -- Tested with Modelsim 2015/11/25, works. architecture struct_cpl of cpl is begin output <= not operand; flags_out <= ( half_carry_bit => '1', add_sub_bit => '1', others => '0'); end; library ieee; use ieee.std_logic_1164.all; library ieee; use ieee.std_logic_1164.all; library work; use work.definitions.all; entity rlc8bit is port( operand: in std_logic_vector(7 downto 0); output: out std_logic_vector(7 downto 0); flags_out: out std_logic_vector(7 downto 0) ); end; -- Tested with Modelsim 2015/11/25, works. architecture struct_rlc8bit of rlc8bit is signal rlc_result: std_logic_vector(7 downto 0); begin rlc_result(7 downto 1) <= operand(6 downto 0); rlc_result(0) <= operand(7); output <= rlc_result; flags_out <= ( carry_bit => operand(7), parity_overflow_bit => not (rlc_result(7) xor rlc_result(6) xor rlc_result(5) xor rlc_result(4) xor rlc_result(3) xor rlc_result(2) xor rlc_result(1) xor rlc_result(0)), zero_bit => not (rlc_result(7) or rlc_result(6) or rlc_result(5) or rlc_result(4) or rlc_result(3) or rlc_result(2) or rlc_result(1) or rlc_result(0)), sign_bit => rlc_result(7), others => '0'); end; library ieee; use ieee.std_logic_1164.all; library work; use work.definitions.all; entity rrc8bit is port( operand: in std_logic_vector(7 downto 0); output: out std_logic_vector(7 downto 0); flags_out: out std_logic_vector(7 downto 0) ); end; -- Tested with Modelsim 2015/11/25, works. architecture struct_rrc8bit of rrc8bit is signal rrc_result: std_logic_vector(7 downto 0); begin rrc_result(6 downto 0) <= operand(7 downto 1); rrc_result(7) <= operand(0); output <= rrc_result; flags_out <= ( carry_bit => operand(0), zero_bit => not (rrc_result(7) or rrc_result(6) or rrc_result(5) or rrc_result(4) or rrc_result(3) or rrc_result(2) or rrc_result(1) or rrc_result(0)), parity_overflow_bit => not (rrc_result(7) xor rrc_result(6) xor rrc_result(5) xor rrc_result(4) xor rrc_result(3) xor rrc_result(2) xor rrc_result(1) xor rrc_result(0)), sign_bit => operand(0), others => '0'); end; library ieee; use ieee.std_logic_1164.all; library work; use work.definitions.all; entity rl8bit is port( operand: in std_logic_vector(7 downto 0); carry_in: in std_logic; output: out std_logic_vector(7 downto 0); flags_out: out std_logic_vector(7 downto 0) ); end; -- Tested with Modelsim 2015/11/25, works. architecture struct_rl8bit of rl8bit is signal rl_result: std_logic_vector(7 downto 0); begin rl_result (7 downto 1) <= operand(6 downto 0); rl_result(0) <= carry_in; output <= rl_result; flags_out <= ( carry_bit => operand(7), zero_bit => not (rl_result(7) or rl_result(6) or rl_result(5) or rl_result(4) or rl_result(3) or rl_result(2) or rl_result(1) or rl_result(0)), parity_overflow_bit => not ((rl_result(7) xor rl_result(6) xor rl_result(5) xor rl_result(4) xor rl_result(3) xor rl_result(2) xor rl_result(1) xor rl_result(0))), sign_bit => operand(6), others => '0'); end; library ieee; use ieee.std_logic_1164.all; library work; use work.definitions.all; entity rr8bit is port( operand: in std_logic_vector(7 downto 0); carry_in: in std_logic; output: out std_logic_vector(7 downto 0); flags_out: out std_logic_vector(7 downto 0) ); end; -- Tested with Modelsim 2015/11/25, works. architecture struct_rr8bit of rr8bit is signal rr_result: std_logic_vector(7 downto 0); begin rr_result(6 downto 0) <= operand(7 downto 1); rr_result(7) <= carry_in; output <= rr_result; flags_out <= ( carry_bit => operand(0), zero_bit => not (rr_result(7) or rr_result(6) or rr_result(5) or rr_result(4) or rr_result(3) or rr_result(2) or rr_result(1) or rr_result(0)), parity_overflow_bit => not (rr_result(7) xor rr_result(6) xor rr_result(5) xor rr_result(4) xor rr_result(3) xor rr_result(2) xor rr_result(1) xor rr_result(0)), sign_bit => carry_in, others => '0'); end; library ieee; use ieee.std_logic_1164.all; entity daa is port( operand: in std_logic_vector(7 downto 0); flags_in: in std_logic_vector(7 downto 0); output: out std_logic_vector(7 downto 0); flags_out: out std_logic_vector(7 downto 0) ); end; -- Untested, this is nothing more than a stub with code to prevent unassigned variable warnings/errors. architecture struct_daa of daa is begin output <= operand; flags_out <= flags_in; end; library ieee; use ieee.std_logic_1164.all; library work; use work.definitions.all; entity bit_op is port( operand1: in std_logic_vector(7 downto 0); operand2: in std_logic_vector(7 downto 0); flags_out: out std_logic_vector(7 downto 0) ); end; -- Tested with Modelsim 2015/11/25, works. architecture struct_bit_op of bit_op is signal zero: std_logic; begin zero <= '1' when (operand1 and operand2) = x"00" else '0'; flags_out <= ( zero_bit => zero, half_carry_bit => '1', others => '0'); end; library ieee; use ieee.std_logic_1164.all; library work; use work.definitions.all; entity rld is port( primary_op: in std_logic_vector(7 downto 0); secondary_op: in std_logic_vector(7 downto 0); result: out std_logic_vector(7 downto 0); secondary_result: out std_logic_vector(7 downto 0); flags_out: out std_logic_vector(7 downto 0) ); end; -- Tested with Modelsim 2015/11/25, works. architecture struct_rld of rld is signal primary_result: std_logic_vector(7 downto 0); begin primary_result(7 downto 4) <= primary_op(7 downto 4); primary_result(3 downto 0) <= secondary_op(7 downto 4); result <= primary_result; secondary_result(7 downto 4) <= secondary_op(3 downto 0); secondary_result(3 downto 0) <= primary_op(3 downto 0); flags_out <= ( sign_bit => primary_result(7), zero_bit => not (primary_result(7) or primary_result(6) or primary_result(5) or primary_result(4) or primary_result(3) or primary_result(2) or primary_result(1) or primary_result(0)), parity_overflow_bit => not (primary_result(7) xor primary_result(6) xor primary_result(5) xor primary_result(4) xor primary_result(3) xor primary_result(2) xor primary_result(1) xor primary_result(0)), others => '0'); end; library ieee; use ieee.std_logic_1164.all; library work; use work.definitions.all; entity rrd is port( primary_op: in std_logic_vector(7 downto 0); secondary_op: in std_logic_vector(7 downto 0); result: out std_logic_vector(7 downto 0); secondary_result: out std_logic_vector(7 downto 0); flags_out: out std_logic_vector(7 downto 0) ); end; -- Tested with Modelsim 2015/11/25, works. architecture struct_rrd of rrd is signal primary_result: std_logic_vector(7 downto 0); begin primary_result(7 downto 4) <= primary_op(7 downto 4); primary_result(3 downto 0) <= secondary_op(3 downto 0); result <= primary_result; secondary_result(7 downto 4) <= primary_op(3 downto 0); secondary_result(3 downto 0) <= secondary_op(7 downto 4); flags_out <= ( sign_bit => primary_result(7), zero_bit => not (primary_result(7) or primary_result(6) or primary_result(5) or primary_result(4) or primary_result(3) or primary_result(2) or primary_result(1) or primary_result(0)), parity_overflow_bit => not (primary_result(7) xor primary_result(6) xor primary_result(5) xor primary_result(4) xor primary_result(3) xor primary_result(2) xor primary_result(1) xor primary_result(0)), others => '0'); end; library ieee; use ieee.std_logic_1164.all; library work; use work.definitions.all; entity in_rc_flags is port( operand: in std_logic_vector(7 downto 0); flags_out: out std_logic_vector(7 downto 0) ); end; -- Tested with Modelsim 2015/11/25, works. architecture struct_in_rc_flags of in_rc_flags is begin flags_out <= ( zero_bit => not (operand(7) or operand(6) or operand(5) or operand(4) or operand(3) or operand(2) or operand(1) or operand(0)), sign_bit => operand(7), parity_overflow_bit => not (operand(7) xor operand(6) xor operand(5) xor operand(4) xor operand(3) xor operand(2) xor operand(1) xor operand(0)), others => '0'); end; library ieee; use ieee.std_logic_1164.all; library work; use work.definitions.all; entity bmtc is port( operand1: in std_logic_vector(7 downto 0); operand2: in std_logic_vector(7 downto 0); output: out std_logic_vector(7 downto 0); flags_out: out std_logic_vector(7 downto 0) ); end; -- Tested with Modelsim 2015/11/25, works. architecture struct_bmtc of bmtc is signal result: std_logic_vector(7 downto 0); begin result <= operand1 or operand2; output <= result; flags_out <= ( parity_overflow_bit => not (result(7) or result(6) or result(5) or result(4) or result(3) or result(2) or result(1) or result(0)), others => '0'); end; library ieee; use ieee.std_logic_1164.all; library work; use work.definitions.all; entity alu is port( -- control operation: in std_logic_vector(4 downto 0); -- operands primary_operand: in std_logic_vector(7 downto 0); secondary_operand: in std_logic_vector(7 downto 0); flags_in: in std_logic_vector(7 downto 0); -- results output, flags_out: out std_logic_vector(7 downto 0); secondary_out: out std_logic_vector(7 downto 0) ); end; -- Tested 2016/11/22, works on Modelsim simulator along with all components. architecture struct_alu of alu is component bmtc is port( operand1: in std_logic_vector(7 downto 0); operand2: in std_logic_vector(7 downto 0); output: out std_logic_vector(7 downto 0); flags_out: out std_logic_vector(7 downto 0) ); end component; component srl8bit is port( operand: in std_logic_vector(7 downto 0); output: out std_logic_vector(7 downto 0); flags_out: out std_logic_vector(7 downto 0) ); end component; component sll8bit is port( operand: in std_logic_vector(7 downto 0); output: out std_logic_vector(7 downto 0); flags_out: out std_logic_vector(7 downto 0) ); end component; component sra8bit is port( operand: in std_logic_vector(7 downto 0); output: out std_logic_vector(7 downto 0); flags_out: out std_logic_vector(7 downto 0) ); end component; component sla8bit is port( operand: in std_logic_vector(7 downto 0); output: out std_logic_vector(7 downto 0); flags_out: out std_logic_vector(7 downto 0) ); end component; component in_rc_flags is port( operand: in std_logic_vector(7 downto 0); flags_out: out std_logic_vector(7 downto 0) ); end component; component ccf_operation is port( flags_in: in std_logic_vector(7 downto 0); flags_out: out std_logic_vector(7 downto 0) ); end component; component cpl is port( operand: in std_logic_vector(7 downto 0); output: out std_logic_vector(7 downto 0); flags_out: out std_logic_vector(7 downto 0) ); end component; component xor8bit is port( operand1: in std_logic_vector(7 downto 0); operand2: in std_logic_vector(7 downto 0); output: out std_logic_vector(7 downto 0); flags_out: out std_logic_vector(7 downto 0) ); end component; component or8bit is port( operand1: in std_logic_vector(7 downto 0); operand2: in std_logic_vector(7 downto 0); output: out std_logic_vector(7 downto 0); flags_out: out std_logic_vector(7 downto 0) ); end component; component and8bit is port( operand1: in std_logic_vector(7 downto 0); operand2: in std_logic_vector(7 downto 0); output: out std_logic_vector(7 downto 0); flags_out: out std_logic_vector(7 downto 0) ); end component; component subtractor8x2 is port( minuend, subtrahend: in std_logic_vector(7 downto 0); borrow_in: in std_logic; difference: out std_logic_vector(7 downto 0); flags_out: out std_logic_vector(7 downto 0) ); end component; component adder8x2 is port( addend, augend: in std_logic_vector(7 downto 0); carry_in: in std_logic; sum: out std_logic_vector(7 downto 0); flags_out: out std_logic_vector(7 downto 0) ); end component; component magnitudeN is generic( N: positive ); port( a: in std_logic_vector((N-1) downto 0); b: in std_logic_vector((N-1) downto 0); equal: out std_logic; lt: out std_logic; -- '1' if a < b gt: out std_logic -- '1' if a > b ); end component; component rrd is port( primary_op: in std_logic_vector(7 downto 0); secondary_op: in std_logic_vector(7 downto 0); result: out std_logic_vector(7 downto 0); secondary_result: out std_logic_vector(7 downto 0); flags_out: out std_logic_vector(7 downto 0) ); end component; component rld is port( primary_op: in std_logic_vector(7 downto 0); secondary_op: in std_logic_vector(7 downto 0); result: out std_logic_vector(7 downto 0); secondary_result: out std_logic_vector(7 downto 0); flags_out: out std_logic_vector(7 downto 0) ); end component; component rlc8bit is port( operand: in std_logic_vector(7 downto 0); output: out std_logic_vector(7 downto 0); flags_out: out std_logic_vector(7 downto 0) ); end component; component rl8bit is port( operand: in std_logic_vector(7 downto 0); carry_in: in std_logic; output: out std_logic_vector(7 downto 0); flags_out: out std_logic_vector(7 downto 0) ); end component; component rrc8bit is port( operand: in std_logic_vector(7 downto 0); output: out std_logic_vector(7 downto 0); flags_out: out std_logic_vector(7 downto 0) ); end component; component rr8bit is port( operand: in std_logic_vector(7 downto 0); carry_in: in std_logic; output: out std_logic_vector(7 downto 0); flags_out: out std_logic_vector(7 downto 0) ); end component; component daa is port( operand: in std_logic_vector(7 downto 0); flags_in: in std_logic_vector(7 downto 0); output: out std_logic_vector(7 downto 0); flags_out: out std_logic_vector(7 downto 0) ); end component; component bit_op is port( operand1: in std_logic_vector(7 downto 0); operand2: in std_logic_vector(7 downto 0); flags_out: out std_logic_vector(7 downto 0) ); end component; component encoder32xN is generic( N: positive ); port( data0: in std_logic_vector((N-1) downto 0); data1: in std_logic_vector((N-1) downto 0); data2: in std_logic_vector((N-1) downto 0); data3: in std_logic_vector((N-1) downto 0); data4: in std_logic_vector((N-1) downto 0); data5: in std_logic_vector((N-1) downto 0); data6: in std_logic_vector((N-1) downto 0); data7: in std_logic_vector((N-1) downto 0); data8: in std_logic_vector((N-1) downto 0); data9: in std_logic_vector((N-1) downto 0); data10: in std_logic_vector((N-1) downto 0); data11: in std_logic_vector((N-1) downto 0); data12: in std_logic_vector((N-1) downto 0); data13: in std_logic_vector((N-1) downto 0); data14: in std_logic_vector((N-1) downto 0); data15: in std_logic_vector((N-1) downto 0); data16: in std_logic_vector((N-1) downto 0); data17: in std_logic_vector((N-1) downto 0); data18: in std_logic_vector((N-1) downto 0); data19: in std_logic_vector((N-1) downto 0); data20: in std_logic_vector((N-1) downto 0); data21: in std_logic_vector((N-1) downto 0); data22: in std_logic_vector((N-1) downto 0); data23: in std_logic_vector((N-1) downto 0); data24: in std_logic_vector((N-1) downto 0); data25: in std_logic_vector((N-1) downto 0); data26: in std_logic_vector((N-1) downto 0); data27: in std_logic_vector((N-1) downto 0); data28: in std_logic_vector((N-1) downto 0); data29: in std_logic_vector((N-1) downto 0); data30: in std_logic_vector((N-1) downto 0); data31: in std_logic_vector((N-1) downto 0); address: in std_logic_vector(4 downto 0); output: out std_logic_vector((N-1) downto 0) ); end component; component encoder2xN_oe is generic( N: positive ); port( data0: in std_logic_vector((N-1) downto 0); data1: in std_logic_vector((N-1) downto 0); selector: in std_logic; enable: in std_logic; output: out std_logic_vector((N-1) downto 0) ); end component; signal add_result: std_logic_vector(7 downto 0); signal add_carry_in: std_logic; signal add_flags: std_logic_vector(7 downto 0); signal and_result: std_logic_vector(7 downto 0); signal and_flags: std_logic_vector(7 downto 0); signal or_result: std_logic_vector(7 downto 0); signal or_flags: std_logic_vector(7 downto 0); signal xor_result: std_logic_vector(7 downto 0); signal xor_flags: std_logic_vector(7 downto 0); signal cpl_result: std_logic_vector(7 downto 0); signal cpl_flags: std_logic_vector(7 downto 0); signal subtract_result: std_logic_vector(7 downto 0); signal subtract_borrow_in: std_logic; signal subtract_flags: std_logic_vector(7 downto 0); signal rlc_result: std_logic_vector(7 downto 0); signal rlc_flags: std_logic_vector(7 downto 0); signal rrc_result: std_logic_vector(7 downto 0); signal rrc_flags: std_logic_vector(7 downto 0); signal rl_result: std_logic_vector(7 downto 0); signal rl_flags: std_logic_vector(7 downto 0); signal rr_result: std_logic_vector(7 downto 0); signal rr_flags: std_logic_vector(7 downto 0); signal daa_result: std_logic_vector(7 downto 0); signal daa_flags: std_logic_vector(7 downto 0); signal scf_flags: std_logic_vector(7 downto 0); signal ccf_carry: std_logic; signal ccf_flags: std_logic_vector(7 downto 0); signal bit_zero: std_logic; signal bit_flags: std_logic_vector(7 downto 0); signal in_flags: std_logic_vector(7 downto 0); -- flags for IN r, C instruction signal secondary_out_enable: std_logic; -- '1' when executing a rrd/rld -- instruction signal rld_result: std_logic_vector(7 downto 0); signal secondary_rld_result: std_logic_vector(7 downto 0); signal rld_flags: std_logic_vector(7 downto 0); signal is_rld: std_logic; signal rrd_result: std_logic_vector(7 downto 0); signal secondary_rrd_result: std_logic_vector(7 downto 0); signal rrd_flags: std_logic_vector(7 downto 0); signal is_rrd: std_logic; signal sla_result: std_logic_vector(7 downto 0); signal sla_flags: std_logic_vector(7 downto 0); signal sra_result: std_logic_vector(7 downto 0); signal sra_flags: std_logic_vector(7 downto 0); signal sll_result: std_logic_vector(7 downto 0); signal sll_flags: std_logic_vector(7 downto 0); signal srl_result: std_logic_vector(7 downto 0); signal srl_flags: std_logic_vector(7 downto 0); signal bmtc_result: std_logic_vector(7 downto 0); signal bmtc_flags: std_logic_vector(7 downto 0); -- block move termination criterion -- flags begin -- result multiplexer, 32x8 u1: encoder32xN generic map( N => 8 ) port map( data0 => add_result, -- add, ignore carry bit data1 => add_result, -- add, add carry bit data2 => subtract_result, -- sub, ignore borrow bit data3 => subtract_result, -- sub, subtract borrow bit data4 => and_result, -- and data5 => xor_result, -- xor data6 => or_result, -- or data7 => subtract_result, -- compare (no-borrow sub with result -- discarded, used to set flags) data8 => rlc_result, -- RLC data9 => rrc_result, -- RRC data10 => rl_result, -- RL data11 => rr_result, -- RR data12 => daa_result, -- DAA data13 => cpl_result, -- CPL data14 => primary_operand, -- SCF data15 => primary_operand, -- CCF data16 => sla_result, -- SLA data17 => sra_result, -- SRA data18 => sll_result, -- SLL data19 => srl_result, -- SRL data20 => secondary_operand, -- BIT data21 => and_result, -- RES data22 => or_result, -- SET data23 => primary_operand, -- IN r, (C) data24 => rld_result, -- RLD data25 => rrd_result, -- RRD data26 => bmtc_result, -- block move termination criterion data27 => (others => '0'), -- reserved data28 => (others => '0'), -- reserved data29 => (others => '0'), -- reserved data30 => (others => '0'), -- reserved data31 => (others => '0'), -- reserved address => operation, output => output ); -- result flags multiplexer u2: encoder32xN generic map( N => 8 ) port map( data0 => add_flags, -- add data1 => add_flags, -- adc data2 => subtract_flags, -- sub data3 => subtract_flags, -- sbc data4 => and_flags, -- and data5 => xor_flags, -- xor data6 => or_flags, -- or data7 => subtract_flags, -- cmp data8 => rlc_flags, -- rlc data9 => rrc_flags, -- rrc data10 => rl_flags, -- rl data11 => rr_flags, -- rr data12 => daa_flags, -- daa data13 => cpl_flags, -- cpl data14 => scf_flags, -- scf data15 => ccf_flags, -- ccf data16 => sla_flags, -- SLA data17 => sra_flags, -- SRA data18 => sll_flags, -- SLL data19 => srl_flags, -- SRL data20 => bit_flags, -- BIT data21 => (others => '0'), -- RES, no flags affected data22 => (others => '0'), -- SET, no flags affected data23 => in_flags, -- IN r, (C) data24 => rld_flags, -- RLD data25 => rrd_flags, -- RRD data26 => bmtc_flags, -- block move termination criterion data27 => (others => '0'), -- reserved data28 => (others => '0'), -- reserved data29 => (others => '0'), -- reserved data30 => (others => '0'), -- reserved data31 => (others => '0'), -- reserved address => operation, output => flags_out ); scf_flags <= (carry_bit => '1', others => '0'); -- adder: This version gets flagged by ModelSim on the carry_in line as an error. Only signals or -- maybe variables are allowed. Expressions are not. -- u3: adder8x2 port map( -- addend => primary_operand, -- augend => secondary_operand, -- carry_in => (flags_in(carry_bit) and operation(0)), -- carry only with adc opcode, others -- -- made irrelevant by result mux -- sum => add_result, -- carry_out => carry_out, -- overflow => add_overflow, -- interdigit_carry => interdigit_carry, -- zero => add_zero -- ); -- adder u3: adder8x2 port map( addend => primary_operand, augend => secondary_operand, carry_in => add_carry_in, sum => add_result, flags_out => add_flags ); add_carry_in <= flags_in(carry_bit) and operation(0); -- carry only with adc opcode, others made -- irrelevant by result mux -- subtractor u4: subtractor8x2 port map( minuend => primary_operand, subtrahend => secondary_operand, borrow_in => subtract_borrow_in, difference => subtract_result, flags_out => subtract_flags ); -- borrow only with sbc opcode, must remove compare opcode (operation(2 downto 0) = "111"), others -- made irrelevant by result mux subtract_borrow_in <= flags_in(carry_bit) and (not operation(2)) and operation(1) and operation(0); -- bitwise and operation u5: and8bit port map( operand1 => primary_operand, operand2 => secondary_operand, output => and_result, flags_out => and_flags ); -- bitwise exclusive-or operation u6: xor8bit port map( operand1 => primary_operand, operand2 => secondary_operand, output => xor_result, flags_out => xor_flags ); -- bitwise or operation u7: or8bit port map( operand1 => primary_operand, operand2 => secondary_operand, output => or_result, flags_out => or_flags ); -- RLC generator u8: rlc8bit port map( operand => primary_operand, output => rlc_result, flags_out => rlc_flags ); -- RRC generator u9: rrc8bit port map( operand => primary_operand, output => rrc_result, flags_out => rrc_flags ); -- RL generator u10: rl8bit port map( operand => primary_operand, carry_in => flags_in(carry_bit), output => rl_result, flags_out => rl_flags ); -- RR generator u11: rr8bit port map( operand => primary_operand, carry_in => flags_in(carry_bit), output => rr_result, flags_out => rr_flags ); -- DAA u12: daa port map( operand => primary_operand, flags_in => flags_in, output => daa_result, flags_out => daa_flags ); -- bit testing of secondary operand against mask in primary operand u13: bit_op port map( operand1 => primary_operand, operand2 => secondary_operand, flags_out => bit_flags ); u14: rld port map( primary_op => primary_operand, secondary_op => secondary_operand, result => rld_result, secondary_result => secondary_rld_result, flags_out => rld_flags ); u15: magnitudeN generic map( N => 5 ) port map( a => operation, b => rrd_operation, equal => is_rrd, lt => open, gt => open ); u16: magnitudeN generic map( N => 5 ) port map( a => operation, b => rld_operation, equal => is_rld, lt => open, gt => open ); u17: rrd port map( primary_op => primary_operand, secondary_op => secondary_operand, result => rrd_result, secondary_result => secondary_rrd_result, flags_out => rrd_flags ); u18: encoder2xN_oe generic map( N => 8 ) port map( data0 => secondary_rld_result, data1 => secondary_rrd_result, selector => is_rrd, enable => secondary_out_enable, output => secondary_out ); secondary_out_enable <= is_rrd or is_rld; u19: cpl port map( operand => primary_operand, output => cpl_result, flags_out => cpl_flags ); u20: ccf_operation port map( flags_in => flags_in, flags_out => ccf_flags ); u21: in_rc_flags port map( operand => primary_operand, flags_out => in_flags ); u22: sla8bit port map( operand => primary_operand, output => sla_result, flags_out => sla_flags ); u23: sra8bit port map( operand => primary_operand, output => sra_result, flags_out => sra_flags ); u24: sll8bit port map( operand => primary_operand, output => sll_result, flags_out => sll_flags ); u25: srl8bit port map( operand => primary_operand, output => srl_result, flags_out => srl_flags ); u26: bmtc port map( operand1 => primary_operand, operand2 => secondary_operand, output => bmtc_result, flags_out => bmtc_flags ); end;
gpl-2.0
emogenet/ghdl
testsuite/vests/vhdl-93/billowitch/compliant/tc654.vhd
4
2340
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc654.vhd,v 1.3 2001-10-29 02:12:46 paw Exp $ -- $Revision: 1.3 $ -- -- --------------------------------------------------------------------- -- **************************** -- -- Ported to VHDL 93 by port93.pl - Tue Nov 5 16:37:54 1996 -- -- **************************** -- ENTITY c03s04b01x00p01n01i00654ent IS END c03s04b01x00p01n01i00654ent; ARCHITECTURE c03s04b01x00p01n01i00654arch OF c03s04b01x00p01n01i00654ent IS constant low_number : integer := 0; constant hi_number : integer := 7; subtype hi_to_low_range is integer range low_number to hi_number; type integer_vector is array (natural range <>) of integer; subtype integer_vector_range is integer_vector(hi_to_low_range); constant C1 : integer_vector_range := (others => 3); type integer_vector_range_file is file of integer_vector_range; BEGIN TESTING: PROCESS file filein : integer_vector_range_file open write_mode is "iofile.03"; BEGIN for i in 1 to 100 loop write(filein,C1); end loop; assert FALSE report "***PASSED TEST: c03s04b01x00p01n01i00654 - The output file will be verified by test s010104.vhd" severity NOTE; wait; END PROCESS TESTING; END c03s04b01x00p01n01i00654arch;
gpl-2.0
emogenet/ghdl
testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2450.vhd
4
2588
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2450.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s03b02x02p03n02i02450ent IS END c07s03b02x02p03n02i02450ent; ARCHITECTURE c07s03b02x02p03n02i02450arch OF c07s03b02x02p03n02i02450ent IS BEGIN TESTING: PROCESS type ENUM is ( ONE ); type A_ARRAY is array ( integer range <> ) of integer; type B_ARRAY is array ( boolean range <> ) of real; type C_ARRAY is array ( ENUM range <>, ENUM range <>) of bit; subtype A_CON is A_ARRAY ( 1 to 4 ); subtype B_CON is B_ARRAY ( FALSE to TRUE ); subtype C_CON is C_ARRAY ( ONE to ONE, ONE to ONE ); function F_A ( PAR : A_ARRAY ) return A_CON is begin return (1,2,3,4); end F_A; function F_B ( PAR : B_ARRAY ) return B_CON is begin return (1.0, 2.0); end F_B; function F_C ( PAR : C_ARRAY ) return C_CON is begin return (ONE=>(ONE=>'0')); end F_C; variable V_A : A_CON ; variable V_B : B_CON ; variable V_C : C_CON ; BEGIN V_A := F_A( F_A( (1,2,others=>3) ) ); -- Failure_here -- SEMANTIC ERROR: "others" used in aggregate which corresponds to -- an unconstrained formal parameter assert FALSE report "***FAILED TEST: c07s03b02x02p03n02i02450 - Others is used in an aggregate which corresponds to an unconstrained formal parameter." severity ERROR; wait; END PROCESS TESTING; END c07s03b02x02p03n02i02450arch;
gpl-2.0
emogenet/ghdl
testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc75.vhd
4
1745
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc75.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c04s03b01x02p10n04i00075ent IS END c04s03b01x02p10n04i00075ent; ARCHITECTURE c04s03b01x02p10n04i00075arch OF c04s03b01x02p10n04i00075ent IS signal X : bit; BEGIN TESTING: PROCESS(P) BEGIN X <= P; END PROCESS TESTING; TESTING1: PROCESS(Q) BEGIN X <= Q; --Failure Here END PROCESS TESTING1; TEST: PROCESS BEGIN assert FALSE report "***FAILED TEST: c04s03b01x02p10n04i00075 - A signal with multiple source should be a resolved signal." severity ERROR; wait; END PROCESS TEST; ENDc04s03b01x02p10n04i00075arch;
gpl-2.0
emogenet/ghdl
testsuite/vests/vhdl-93/billowitch/compliant/tc2904.vhd
4
1959
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2904.vhd,v 1.2 2001-10-26 16:29:50 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c02s01b01x01p02n03i02904ent IS END c02s01b01x01p02n03i02904ent; ARCHITECTURE c02s01b01x01p02n03i02904arch OF c02s01b01x01p02n03i02904ent IS procedure PX (I1 : in Bit; I2 : out Bit; I3 : inout Integer); procedure PX (I1 : in Bit; I2 : out Bit; I3 : inout Integer) is begin I2 := I1; I3 := 10; end PX; BEGIN TESTING: PROCESS variable V1 : Bit; variable V2 : Integer; BEGIN PX('1',V1,V2); wait for 5 ns; assert NOT( V1='1' and V2=10 ) report "***PASSED TEST: c02s01b01x01p02n03i02904" severity NOTE; assert ( V1='1' and V2=10 ) report "***FAILED TEST: c02s01b01x01p02n03i02904 - Mode out for procedures are not copied properly" severity ERROR; wait; END PROCESS TESTING; END c02s01b01x01p02n03i02904arch;
gpl-2.0
emogenet/ghdl
testsuite/vests/vhdl-93/billowitch/compliant/tc2182.vhd
4
1792
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2182.vhd,v 1.2 2001-10-26 16:29:46 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s02b05x00p01n02i02182ent IS END c07s02b05x00p01n02i02182ent; ARCHITECTURE c07s02b05x00p01n02i02182arch OF c07s02b05x00p01n02i02182ent IS BEGIN TESTING: PROCESS variable k : real := 0.0; variable m : real := 5.5; BEGIN k := - m; assert NOT( k = - 5.5 ) report "***PASSED TEST: c07s02b05x00p01n02i02182" severity NOTE; assert ( k = - 5.5 ) report "***FAILED TEST: c07s02b05x00p01n02i02182 - For each of these unary operators, the operand and the result have the same type." severity ERROR; wait; END PROCESS TESTING; END c07s02b05x00p01n02i02182arch;
gpl-2.0
emogenet/ghdl
testsuite/vests/vhdl-93/billowitch/compliant/tc1634.vhd
4
1903
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1634.vhd,v 1.2 2001-10-26 16:29:42 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c08s12b00x00p05n01i01634ent IS END c08s12b00x00p05n01i01634ent; ARCHITECTURE c08s12b00x00p05n01i01634arch OF c08s12b00x00p05n01i01634ent IS BEGIN TESTING: PROCESS type E is (A,B,C,D); subtype E1 is E range C to D; function F return E is variable V : E1 := C; begin return V; end F; variable k : E := A; BEGIN k := F; assert NOT(k = C) report "***PASSED TEST: c08s12b00x00p05n01i01634" severity NOTE; assert (k = C) report "***FAILED TEST: c08s12b00x00p05n01i01634 - The return type must be the same base tyep declared in the specification of the function." severity ERROR; wait; END PROCESS TESTING; END c08s12b00x00p05n01i01634arch;
gpl-2.0
emogenet/ghdl
testsuite/vests/vhdl-93/billowitch/compliant/tc333.vhd
4
1810
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc333.vhd,v 1.2 2001-10-26 16:29:53 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c03s02b01x00p06n01i00333ent IS END c03s02b01x00p06n01i00333ent; ARCHITECTURE c03s02b01x00p06n01i00333arch OF c03s02b01x00p06n01i00333ent IS type bit_vctor is array (1 to 8, 1 to 8) of integer; BEGIN TESTING: PROCESS variable k :bit_vctor; BEGIN k(1,8) := 56; assert NOT(k(1,8)=56) report "***PASSED TEST: c03s02b01x00p06n01i00333" severity NOTE; assert (k(1,8)=56) report "***FAILED TEST: c03s02b01x00p06n01i00333 - The index constraint is a list of discrete ranges enclosed within parentheses." severity ERROR; wait; END PROCESS TESTING; END c03s02b01x00p06n01i00333arch;
gpl-2.0
emogenet/ghdl
testsuite/vests/vhdl-93/billowitch/compliant/tc318.vhd
4
1920
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc318.vhd,v 1.2 2001-10-26 16:29:52 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c03s02b01x00p03n01i00318ent IS END c03s02b01x00p03n01i00318ent; ARCHITECTURE c03s02b01x00p03n01i00318arch OF c03s02b01x00p03n01i00318ent IS type MVL is ('0', '1', 'Z') ; type MVL_vector is array (0 to 63)of MVL; BEGIN TESTING: PROCESS variable k : MVL_vector; BEGIN k(5) := 'Z'; assert NOT(k(5)='Z') report "***PASSED TEST: c03s02b01x00p03n01i00318" severity NOTE; assert (k(5)='Z') report "***FAILED TEST: c03s02b01x00p03n01i00318 - In the unconstrained array definition, the reserved word array has been followed by a list of index subtype definitions enclosed with parentheses and the reserved word of." severity ERROR; wait; END PROCESS TESTING; END c03s02b01x00p03n01i00318arch;
gpl-2.0
emogenet/ghdl
testsuite/gna/issue50/idct.d/mul_469.vhd
2
503
library ieee; use ieee.std_logic_1164.all; library ieee; use ieee.numeric_std.all; entity mul_469 is port ( result : out std_logic_vector(30 downto 0); in_a : in std_logic_vector(30 downto 0); in_b : in std_logic_vector(14 downto 0) ); end mul_469; architecture augh of mul_469 is signal tmp_res : signed(45 downto 0); begin -- The actual multiplication tmp_res <= signed(in_a) * signed(in_b); -- Set the output result <= std_logic_vector(tmp_res(30 downto 0)); end architecture;
gpl-2.0
emogenet/ghdl
testsuite/vests/vhdl-93/billowitch/compliant/tc399.vhd
4
1899
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc399.vhd,v 1.2 2001-10-26 16:29:53 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c03s02b01x01p07n01i00399ent IS END c03s02b01x01p07n01i00399ent; ARCHITECTURE c03s02b01x01p07n01i00399arch OF c03s02b01x01p07n01i00399ent IS constant X : BIT_VECTOR(0 to 3) := "0101"; BEGIN TESTING: PROCESS BEGIN assert NOT(X(0)='0' and X(1)='1' and X(2)='0' and X(3)='1') report "***PASSED TEST: c03s02b01x01p07n01i00399" severity NOTE; assert (X(0)='0' and X(1)='1' and X(2)='0' and X(3)='1') report "***FAILED TEST: c03s02b01x01p07n01i00399 - For a constant declared by an object declaration, the index ranges are defined by the initial value, if the subtype of the constant is unconstrained." severity ERROR; wait; END PROCESS TESTING; END c03s02b01x01p07n01i00399arch;
gpl-2.0
emogenet/ghdl
testsuite/vests/vhdl-93/billowitch/compliant/tc1923.vhd
4
1764
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1923.vhd,v 1.2 2001-10-26 16:29:44 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s02b01x00p01n01i01923ent IS END c07s02b01x00p01n01i01923ent; ARCHITECTURE c07s02b01x00p01n01i01923arch OF c07s02b01x00p01n01i01923ent IS BEGIN TESTING: PROCESS variable b1 : Boolean := TRUE; BEGIN b1 := b1 nand b1; assert NOT(b1 = FALSE) report "***PASSED TEST: c07s02b01x00p01n01i01923" severity NOTE; assert (b1 = FALSE) report "***FAILED TEST: c07s02b01x00p01n01i01923 - Logical operators defined only for predefined types BIT and BOOLEAN." severity ERROR; wait; END PROCESS TESTING; END c07s02b01x00p01n01i01923arch;
gpl-2.0