repo_name
stringlengths 6
79
| path
stringlengths 5
236
| copies
stringclasses 54
values | size
stringlengths 1
8
| content
stringlengths 0
1.04M
⌀ | license
stringclasses 15
values |
---|---|---|---|---|---|
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1006.vhd | 4 | 1801 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1006.vhd,v 1.2 2001-10-26 16:30:05 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
package c06s03b00x00p09n01i01006pkg is
type TWO is range 1 to 2;
end c06s03b00x00p09n01i01006pkg;
use work.c06s03b00x00p09n01i01006pkg.all;
ENTITY c06s03b00x00p09n01i01006ent IS
END c06s03b00x00p09n01i01006ent;
ARCHITECTURE c06s03b00x00p09n01i01006arch OF c06s03b00x00p09n01i01006ent IS
BEGIN
TESTING: PROCESS
subtype ST12 is E.c06s03b00x00p09n01i01006ent.FOUR (1 to 1);
-- SEMANTIC ERROR: ILLEGAL EXPANDED NAME
BEGIN
assert FALSE
report "***FAILED TEST: c06s03b00x00p09n01i01006 - Expanded name is illegal."
severity ERROR;
wait;
END PROCESS TESTING;
END c06s03b00x00p09n01i01006arch;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2357.vhd | 4 | 1734 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2357.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s02b07x00p02n02i02357ent IS
END c07s02b07x00p02n02i02357ent;
ARCHITECTURE c07s02b07x00p02n02i02357arch OF c07s02b07x00p02n02i02357ent IS
BEGIN
TESTING: PROCESS
type WORD is array(0 to 31) of BIT;
type WORDPTR is access WORD;
variable WORDPTRV : WORDPTR;
variable INTV : INTEGER;
BEGIN
INTV := 2 ** WORDPTRV ;
assert FALSE
report "***FAILED TEST: c07s02b07x00p02n02i02357 - Exponent can only be of type Integer."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s02b07x00p02n02i02357arch;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/compliant/tc2698.vhd | 4 | 1818 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2698.vhd,v 1.2 2001-10-26 16:29:49 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c13s04b01x00p05n01i02698ent IS
END c13s04b01x00p05n01i02698ent;
ARCHITECTURE c13s04b01x00p05n01i02698arch OF c13s04b01x00p05n01i02698ent IS
constant a : real := 234.1;
constant b : real := 23_4.1;
BEGIN
TESTING: PROCESS
BEGIN
assert NOT( a=b )
report "***PASSED TEST: c13s04b01x00p05n01i02698"
severity NOTE;
assert ( a=b )
report "***FAILED TEST: c13s04b01x00p05n01i02698 - The underline character inserted between adjacent digits of a decimal literal should not affect the value of this abstract literal."
severity ERROR;
wait;
END PROCESS TESTING;
END c13s04b01x00p05n01i02698arch;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/compliant/tc2759.vhd | 4 | 1889 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2759.vhd,v 1.2 2001-10-26 16:29:49 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
-- Dale Martin modified this file by adding qualification to the
-- bit string literals in the comparisons to make them VHDL-93 compliant.
ENTITY c13s07b00x00p05n02i02759ent IS
END c13s07b00x00p05n02i02759ent;
ARCHITECTURE c13s07b00x00p05n02i02759arch OF c13s07b00x00p05n02i02759ent IS
BEGIN
TESTING: PROCESS
BEGIN
assert NOT( bit_vector'(X"123456789ABCDEF") /= B"1111_1011_1011" )
report "***PASSED TEST: c13s07b00x00p05n02i02759"
severity NOTE;
assert ( bit_vector'(X"123456789ABCDEF") /= B"1111_1011_1011" )
report "***FAILED TEST: c13s07b00x00p05n02i02759 - Extended digit test failed."
severity ERROR;
wait;
END PROCESS TESTING;
END c13s07b00x00p05n02i02759arch;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/ashenden/compliant/ch_08_fg_08_10.vhd | 4 | 2002 |
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_08_fg_08_10.vhd,v 1.1.1.1 2001-08-22 18:20:48 paw Exp $
-- $Revision: 1.1.1.1 $
--
-- ---------------------------------------------------------------------
entity fg_08_10 is
end entity fg_08_10;
architecture test of fg_08_10 is
-- code from book
function "<" ( a, b : bit_vector ) return boolean is
variable tmp1 : bit_vector(a'range) := a;
variable tmp2 : bit_vector(b'range) := b;
begin
tmp1(tmp1'left) := not tmp1(tmp1'left);
tmp2(tmp2'left) := not tmp2(tmp2'left);
return std.standard."<" ( tmp1, tmp2 );
end function "<";
-- end code from book
signal a, b : bit_vector(7 downto 0);
signal result : boolean;
begin
dut : result <= a < b;
stimulus : process is
begin
wait for 10 ns;
a <= X"02"; b <= X"04"; wait for 10 ns;
a <= X"02"; b <= X"02"; wait for 10 ns;
a <= X"02"; b <= X"01"; wait for 10 ns;
a <= X"02"; b <= X"FE"; wait for 10 ns;
a <= X"FE"; b <= X"02"; wait for 10 ns;
a <= X"FE"; b <= X"FE"; wait for 10 ns;
a <= X"FE"; b <= X"FC"; wait for 10 ns;
wait;
end process stimulus;
end architecture test;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2316.vhd | 4 | 1681 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2316.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s02b07x00p01n01i02316ent IS
END c07s02b07x00p01n01i02316ent;
ARCHITECTURE c07s02b07x00p01n01i02316arch OF c07s02b07x00p01n01i02316ent IS
BEGIN
TESTING: PROCESS
type WORD is array(0 to 31) of BIT;
variable WORDV : WORD;
BEGIN
WORDV := ABS WORDV;
assert FALSE
report "***FAILED TEST: c07s02b07x00p01n01i02316 - Unary operator abs is predefined for any numeric type only."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s02b07x00p01n01i02316arch;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1754.vhd | 4 | 1631 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1754.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c09s05b00x00p26n01i01754ent IS
END c09s05b00x00p26n01i01754ent;
ARCHITECTURE c09s05b00x00p26n01i01754arch OF c09s05b00x00p26n01i01754ent IS
signal err : bit;
BEGIN
B : block
BEGIN
err <= null;
assert FALSE
report "***FAILED TEST: c09s05b00x00p26n01i01754 - Null waveform element can not appear in a waveform of a concurrent signal assignment statement."
severity ERROR;
END block B;
END c09s05b00x00p26n01i01754arch;
| gpl-2.0 |
emogenet/ghdl | testsuite/gna/issue50/idct.d/add_314.vhd | 2 | 800 | library ieee;
use ieee.std_logic_1164.all;
library ieee;
use ieee.numeric_std.all;
entity add_314 is
port (
result : out std_logic_vector(26 downto 0);
in_a : in std_logic_vector(26 downto 0);
in_b : in std_logic_vector(26 downto 0)
);
end add_314;
architecture augh of add_314 is
signal carry_inA : std_logic_vector(28 downto 0);
signal carry_inB : std_logic_vector(28 downto 0);
signal carry_res : std_logic_vector(28 downto 0);
begin
-- To handle the CI input, the operation is '1' + CI
-- If CI is not present, the operation is '1' + '0'
carry_inA <= '0' & in_a & '1';
carry_inB <= '0' & in_b & '0';
-- Compute the result
carry_res <= std_logic_vector(unsigned(carry_inA) + unsigned(carry_inB));
-- Set the outputs
result <= carry_res(27 downto 1);
end architecture;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc509.vhd | 4 | 1922 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc509.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c03s02b02x00p06n03i00509ent IS
END c03s02b02x00p06n03i00509ent;
ARCHITECTURE c03s02b02x00p06n03i00509arch OF c03s02b02x00p06n03i00509ent IS
type date is
record
day :integer range 1 to 31;
-- Failure_here: cannot use element name of record as part of definition of
-- another field of same record.
month : day range 1 to 12;
year : integer range -6000 to 6000;
end record;
BEGIN
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c03s02b02x00p06n03i00509 - The use of a name that denotes a record element is not allowed within the record type definition that declares the element."
severity ERROR;
wait;
END PROCESS TESTING;
END c03s02b02x00p06n03i00509arch;
| gpl-2.0 |
emogenet/ghdl | testsuite/gna/issue50/vector.d/cmp_198.vhd | 2 | 376 | library ieee;
use ieee.std_logic_1164.all;
entity cmp_198 is
port (
eq : out std_logic;
in0 : in std_logic_vector(2 downto 0);
in1 : in std_logic_vector(2 downto 0)
);
end cmp_198;
architecture augh of cmp_198 is
signal tmp : std_logic;
begin
-- Compute the result
tmp <=
'0' when in0 /= in1 else
'1';
-- Set the outputs
eq <= tmp;
end architecture;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/compliant/tc856.vhd | 4 | 10183 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc856.vhd,v 1.2 2001-10-26 16:30:01 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
package c01s03b01x00p12n01i00856pkg_b is
constant zero : integer ;
constant one : integer ;
constant two : integer ;
constant three: integer ;
constant four : integer ;
constant five : integer ;
constant six : integer ;
constant seven: integer ;
constant eight: integer ;
constant nine : integer ;
constant fifteen: integer;
end c01s03b01x00p12n01i00856pkg_b;
package body c01s03b01x00p12n01i00856pkg_b is
constant zero : integer := 0;
constant one : integer := 1;
constant two : integer := 2;
constant three: integer := 3;
constant four : integer := 4;
constant five : integer := 5;
constant six : integer := 6;
constant seven: integer := 7;
constant eight: integer := 8;
constant nine : integer := 9;
constant fifteen:integer:= 15;
end c01s03b01x00p12n01i00856pkg_b;
use work.c01s03b01x00p12n01i00856pkg_b.all;
package c01s03b01x00p12n01i00856pkg_a is
constant low_number : integer := 0;
constant hi_number : integer := 3;
subtype hi_to_low_range is integer range low_number to hi_number;
type boolean_vector is array (natural range <>) of boolean;
type severity_level_vector is array (natural range <>) of severity_level;
type integer_vector is array (natural range <>) of integer;
type real_vector is array (natural range <>) of real;
type time_vector is array (natural range <>) of time;
type natural_vector is array (natural range <>) of natural;
type positive_vector is array (natural range <>) of positive;
type record_std_package is record
a: boolean;
b: bit;
c:character;
d:severity_level;
e:integer;
f:real;
g:time;
h:natural;
i:positive;
end record;
type array_rec_std is array (natural range <>) of record_std_package;
type four_value is ('Z','0','1','X');
--enumerated type
constant C1 : boolean := true;
constant C2 : bit := '1';
constant C3 : character := 's';
constant C4 : severity_level := note;
constant C5 : integer := 3;
constant C6 : real := 3.0;
constant C7 : time := 3 ns;
constant C8 : natural := 1;
constant C9 : positive := 1;
constant dumy : bit_vector(zero to three) := "1010";
signal Sin1 : bit_vector(zero to five) ;
signal Sin2 : boolean_vector(zero to five) ;
signal Sin4 : severity_level_vector(zero to five) ;
signal Sin5 : integer_vector(zero to five) ;
signal Sin6 : real_vector(zero to five) ;
signal Sin7 : time_vector(zero to five) ;
signal Sin8 : natural_vector(zero to five) ;
signal Sin9 : positive_vector(zero to five) ;
signal Sin10: array_rec_std(zero to five) ;
end c01s03b01x00p12n01i00856pkg_a;
use work.c01s03b01x00p12n01i00856pkg_a.all;
use work.c01s03b01x00p12n01i00856pkg_b.all;
entity test is
port(
sigin1 : in boolean ;
sigout1 : out boolean ;
sigin2 : in bit ;
sigout2 : out bit ;
sigin4 : in severity_level ;
sigout4 : out severity_level ;
sigin5 : in integer ;
sigout5 : out integer ;
sigin6 : in real ;
sigout6 : out real ;
sigin7 : in time ;
sigout7 : out time ;
sigin8 : in natural ;
sigout8 : out natural ;
sigin9 : in positive ;
sigout9 : out positive ;
sigin10 : in record_std_package ;
sigout10 : out record_std_package
);
end;
architecture test of test is
begin
sigout1 <= sigin1;
sigout2 <= sigin2;
sigout4 <= sigin4;
sigout5 <= sigin5;
sigout6 <= sigin6;
sigout7 <= sigin7;
sigout8 <= sigin8;
sigout9 <= sigin9;
sigout10 <= sigin10;
end;
configuration testbench of test is
for test
end for;
end;
use work.c01s03b01x00p12n01i00856pkg_a.all;
use work.c01s03b01x00p12n01i00856pkg_b.all;
ENTITY c01s03b01x00p12n01i00856ent IS
END c01s03b01x00p12n01i00856ent;
ARCHITECTURE c01s03b01x00p12n01i00856arch OF c01s03b01x00p12n01i00856ent IS
component test
port(
sigin1 : in boolean ;
sigout1 : out boolean ;
sigin2 : in bit ;
sigout2 : out bit ;
sigin4 : in severity_level ;
sigout4 : out severity_level ;
sigin5 : in integer ;
sigout5 : out integer ;
sigin6 : in real ;
sigout6 : out real ;
sigin7 : in time ;
sigout7 : out time ;
sigin8 : in natural ;
sigout8 : out natural ;
sigin9 : in positive ;
sigout9 : out positive ;
sigin10 : in record_std_package ;
sigout10 : out record_std_package
);
end component;
begin
Sin1(zero) <='1';
Sin2(zero) <= true;
Sin4(zero) <= note;
Sin5(zero) <= 3;
Sin6(zero) <= 3.0;
Sin7(zero) <= 3 ns;
Sin8(zero) <= 1;
Sin9(zero) <= 1;
Sin10(zero) <= (C1,C2,C3,C4,C5,C6,C7,C8,C9);
K:block
component test
port(
sigin1 : in boolean ;
sigout1 : out boolean ;
sigin2 : in bit ;
sigout2 : out bit ;
sigin4 : in severity_level ;
sigout4 : out severity_level ;
sigin5 : in integer ;
sigout5 : out integer ;
sigin6 : in real ;
sigout6 : out real ;
sigin7 : in time ;
sigout7 : out time ;
sigin8 : in natural ;
sigout8 : out natural ;
sigin9 : in positive ;
sigout9 : out positive ;
sigin10 : in record_std_package ;
sigout10 : out record_std_package
);
end component;
BEGIN
T5 : test
port map
(
Sin2(4),Sin2(5),
Sin1(4),Sin1(5),
Sin4(4),Sin4(5),
Sin5(4),Sin5(5),
Sin6(4),Sin6(5),
Sin7(4),Sin7(5),
Sin8(4),Sin8(5),
Sin9(4),Sin9(5),
Sin10(4),Sin10(5)
);
G: for i in zero to three generate
T1:test
port map
(
Sin2(i),Sin2(i+1),
Sin1(i),Sin1(i+1),
Sin4(i),Sin4(i+1),
Sin5(i),Sin5(i+1),
Sin6(i),Sin6(i+1),
Sin7(i),Sin7(i+1),
Sin8(i),Sin8(i+1),
Sin9(i),Sin9(i+1),
Sin10(i),Sin10(i+1)
);
end generate;
end block;
TESTING: PROCESS
BEGIN
wait for 1 ns;
assert Sin1(0) = Sin1(5) report "assignment of Sin1(0) to Sin1(4) is invalid through entity port" severity failure;
assert Sin2(0) = Sin2(5) report "assignment of Sin2(0) to Sin2(4) is invalid through entity port" severity failure;
assert Sin4(0) = Sin4(5) report "assignment of Sin4(0) to Sin4(4) is invalid through entity port" severity failure;
assert Sin5(0) = Sin5(5) report "assignment of Sin5(0) to Sin5(4) is invalid through entity port" severity failure;
assert Sin6(0) = Sin6(5) report "assignment of Sin6(0) to Sin6(4) is invalid through entity port" severity failure;
assert Sin7(0) = Sin7(5) report "assignment of Sin7(0) to Sin7(4) is invalid through entity port" severity failure;
assert Sin8(0) = Sin8(5) report "assignment of Sin8(0) to Sin8(4) is invalid through entity port" severity failure;
assert Sin9(0) = Sin9(5) report "assignment of Sin9(0) to Sin9(4) is invalid through entity port" severity failure;
assert Sin10(0) = Sin10(5) report "assignment of Sin10(0) to Sin10(4) is invalid through entity port" severity failure;
assert NOT( Sin1(0) = sin1(5) and
Sin2(0) = Sin2(5) and
Sin4(0) = Sin4(5) and
Sin5(0) = Sin5(5) and
Sin6(0) = Sin6(5) and
Sin7(0) = Sin7(5) and
Sin8(0) = Sin8(5) and
Sin9(0) = Sin9(5) and
Sin10(0)= Sin10(0) )
report "***PASSED TEST: c01s03b01x00p12n01i00856"
severity NOTE;
assert ( Sin1(0) = sin1(5) and
Sin2(0) = Sin2(5) and
Sin4(0) = Sin4(5) and
Sin5(0) = Sin5(5) and
Sin6(0) = Sin6(5) and
Sin7(0) = Sin7(5) and
Sin8(0) = Sin8(5) and
Sin9(0) = Sin9(5) and
Sin10(0)= Sin10(0) )
report "***FAILED TEST: c01s03b01x00p12n01i00856 - If such a block configuration contains an index specification that is a discrete range, then the block configuration applies to those implicit block statements that are generated for the specified range of values of the corresponding generate index."
severity ERROR;
wait;
END PROCESS TESTING;
END c01s03b01x00p12n01i00856arch;
configuration c01s03b01x00p12n01i00856cfg of c01s03b01x00p12n01i00856ent is
for c01s03b01x00p12n01i00856arch
for K
for T5:test use configuration work.testbench;
end for;
for G(hi_to_low_range)
for T1:test
use configuration work.testbench;
end for;
end for;
end for;
end for;
end;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-ams/ashenden/compliant/components-and-configs/notch_filter_full.vhd | 4 | 1435 |
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
library cmos_lib; use cmos_lib.bulk_cmos_nfet;
configuration full of notch_filter is
for opamp_based -- architecture of notch_filter
for all : simple_opamp
use entity work.opamp(struct);
for struct -- architecture of opamp
for m1, m2 : nfet
use entity bulk_cmos_nfet(detailed);
end for;
for others : nfet
use entity bulk_cmos_nfet(basic);
end for;
-- ...
end for; -- end of architecture struct
end for;
-- ... -- bindings for other component instances
end for; -- end of architecture opamp_based
end configuration full;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1670.vhd | 4 | 1656 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1670.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c09s01b00x00p05n01i01670ent IS
END c09s01b00x00p05n01i01670ent;
ARCHITECTURE c09s01b00x00p05n01i01670arch OF c09s01b00x00p05n01i01670ent IS
BEGIN
B:block
begin
next; -- illegal location for next statement
end block;
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c09s01b00x00p05n01i01670 - Sequential statement not allowed."
severity ERROR;
wait;
END PROCESS TESTING;
END c09s01b00x00p05n01i01670arch;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2437.vhd | 4 | 1907 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2437.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s03b02x02p01n02i02437ent IS
END c07s03b02x02p01n02i02437ent;
ARCHITECTURE c07s03b02x02p01n02i02437arch OF c07s03b02x02p01n02i02437ent IS
BEGIN
TESTING: PROCESS
type A_ARRAY is array ( boolean range <>,integer range <>) of integer;
subtype A_CON is A_ARRAY (FALSE to TRUE, 1 to 2);
function F return A_CON is
begin
return ( others => 3 ); -- Failure_here
-- ERROR : Each element association must be an n-1 dimensional array aggregate
end;
BEGIN
assert FALSE
report "***FAILED TEST: c07s03b02x02p01n02i02437 - Each element association must be a n-1 dimensional array aggregate."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s03b02x02p01n02i02437arch;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2786.vhd | 4 | 1599 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2786.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
entity BUS is
end BUS;
ENTITY c13s09b00x00p99n01i02786ent IS
END c13s09b00x00p99n01i02786ent;
ARCHITECTURE c13s09b00x00p99n01i02786arch OF c13s09b00x00p99n01i02786ent IS
BEGIN
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c13s09b00x00p99n01i02786 - Reserved word BUS can not be used as an entity name."
severity ERROR;
wait;
END PROCESS TESTING;
END c13s09b00x00p99n01i02786arch;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1780.vhd | 4 | 1878 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1780.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c09s06b00x00p04n01i01780ent IS
END c09s06b00x00p04n01i01780ent;
ARCHITECTURE c09s06b00x00p04n01i01780arch OF c09s06b00x00p04n01i01780ent IS
signal a, b, p, q: bit;
component comp1
port (p1, p2:bit);
end component;
for L1 : comp1 use entity work.ch0906_p00401_01_ent;
BEGIN
L1:comp2 -- Failure_here: comp2 not declared
port map (q, p);
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c09s06b00x00p04n01i01780 - The component name in the component instantiation statement must be the name of a component declared in a component declaration."
severity ERROR;
wait;
END PROCESS TESTING;
END c09s06b00x00p04n01i01780arch;
| gpl-2.0 |
emogenet/ghdl | testsuite/gna/issue50/idct.d/sub_528.vhd | 2 | 800 | library ieee;
use ieee.std_logic_1164.all;
library ieee;
use ieee.numeric_std.all;
entity sub_528 is
port (
result : out std_logic_vector(31 downto 0);
in_a : in std_logic_vector(31 downto 0);
in_b : in std_logic_vector(31 downto 0)
);
end sub_528;
architecture augh of sub_528 is
signal carry_inA : std_logic_vector(33 downto 0);
signal carry_inB : std_logic_vector(33 downto 0);
signal carry_res : std_logic_vector(33 downto 0);
begin
-- To handle the CI input, the operation is '0' - CI
-- If CI is not present, the operation is '0' - '0'
carry_inA <= '0' & in_a & '0';
carry_inB <= '0' & in_b & '0';
-- Compute the result
carry_res <= std_logic_vector(unsigned(carry_inA) - unsigned(carry_inB));
-- Set the outputs
result <= carry_res(32 downto 1);
end architecture;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/compliant/tc2140.vhd | 4 | 2083 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2140.vhd,v 1.2 2001-10-26 16:29:46 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s02b04x00p21n01i02140ent IS
END c07s02b04x00p21n01i02140ent;
ARCHITECTURE c07s02b04x00p21n01i02140arch OF c07s02b04x00p21n01i02140ent IS
TYPE positive_v is array (integer range <>) of positive;
SUBTYPE positive_4 is positive_v (1 to 4);
SUBTYPE positive_null is positive_v (1 to 0);
BEGIN
TESTING: PROCESS
variable result : positive_4;
variable l_operand : positive_4 := ( 1 , 89 , 1 , 89 );
variable r_operand : positive_null;
BEGIN
result := l_operand & r_operand;
wait for 5 ns;
assert NOT( result = ( 1, 89, 1, 89 ) )
report "***PASSED TEST: c07s02b04x00p21n01i02140"
severity NOTE;
assert ( result = ( 1, 89, 1, 89 ) )
report "***FAILED TEST: c07s02b04x00p21n01i02140 - Concatenation of null and POSITIVE arrays failed."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s02b04x00p21n01i02140arch;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/compliant/tc326.vhd | 4 | 2043 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc326.vhd,v 1.2 2001-10-26 16:29:53 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c03s02b01x00p04n01i00326ent IS
END c03s02b01x00p04n01i00326ent;
ARCHITECTURE c03s02b01x00p04n01i00326arch OF c03s02b01x00p04n01i00326ent IS
type rec_type is
record
x : integer;
y : real;
z : boolean;
b : bit;
end record;
type array_type is array (1 to 10) of rec_type; -- Success_here
BEGIN
TESTING: PROCESS
variable k : array_type;
BEGIN
k(1).x := 5;
k(1).y := 1.0;
k(1).z := true;
k(1).b := '1';
assert NOT(k(1).x=5 and k(1).y=1.0 and k(1).z=true and k(1).b='1')
report "***PASSED TEST: c03s02b01x00p04n01i00326"
severity NOTE;
assert (k(1).x=5 and k(1).y=1.0 and k(1).z=true and k(1).b='1')
report "***FAILED TEST: c03s02b01x00p04n01i00326 - The index constraint is not valid."
severity ERROR;
wait;
END PROCESS TESTING;
END c03s02b01x00p04n01i00326arch;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-ams/ashenden/compliant/files-and-IO/inline_04.vhd | 4 | 1485 |
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
entity inline_04 is
end entity inline_04;
----------------------------------------------------------------
architecture test of inline_04 is
begin
process is
type data_file_type is file of character;
variable ch : character;
-- code from book:
procedure write_to_file is
file data_file : data_file_type open write_mode is "datafile";
begin
-- . . .
-- not in book
write(data_file, ch);
-- end not in book
end procedure write_to_file;
-- end of code from book
begin
ch := 'A';
write_to_file;
ch := 'B';
write_to_file;
ch := 'C';
write_to_file;
wait;
end process;
end architecture test;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc989.vhd | 4 | 1846 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc989.vhd,v 1.2 2001-10-26 16:30:29 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
package c06s03b00x00p07n02i00989pkg is
function prefix_check return string;
end c06s03b00x00p07n02i00989pkg;
package body c06s03b00x00p07n02i00989pkg is
use prefix_check.all; -- not allowed.
end c06s03b00x00p07n02i00989pkg;
ENTITY c06s03b00x00p07n02i00989ent IS
END c06s03b00x00p07n02i00989ent;
ARCHITECTURE c06s03b00x00p07n02i00989arch OF c06s03b00x00p07n02i00989ent IS
BEGIN
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c06s03b00x00p07n02i00989 - Prefix of an expanded name may not be a function call.(Expanded name used in use clause)"
severity ERROR;
wait;
END PROCESS TESTING;
END c06s03b00x00p07n02i00989arch;
| gpl-2.0 |
emogenet/ghdl | testsuite/gna/ticket92/cover_report3.vhd | 2 | 909 | library ieee;
use ieee.std_logic_1164.all;
library std;
use std.env.all;
entity cover_report3 is
end entity cover_report3;
architecture test of cover_report3 is
signal s_a : std_logic;
signal s_b : std_logic;
signal s_c : std_logic;
signal s_clk : std_logic := '0';
begin
s_clk <= not(s_clk) after 5 ns;
process is
begin
s_a <= '0';
s_b <= '0';
s_c <= '0';
wait until rising_edge(s_clk);
s_a <= '1';
wait until rising_edge(s_clk);
s_a <= '0';
s_b <= '1';
wait until rising_edge(s_clk);
s_b <= '0';
s_c <= '1';
wait until rising_edge(s_clk);
s_c <= '0';
stop(0);
end process;
-- psl default clock is rising_edge(s_clk);
--
-- psl sequence test_p is ({s_a; s_b});
--
-- DOES WORK
-- -- psl TEST : cover test_p;
--
-- DOESN'T WORK:
-- psl cover test_p report "Covered";
end architecture test;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-ams/ashenden/compliant/analog-modeling/inline_03a.vhd | 4 | 1428 |
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
library ieee_proposed;
use ieee_proposed.electrical_systems.all;
use ieee_proposed.thermal_systems.all;
entity temperature_dependent_resistor is
port ( terminal n1, n2 : electrical;
quantity temp : in temperature );
end entity temperature_dependent_resistor;
architecture linear_approx of temperature_dependent_resistor is
constant resistance_at_0 : real := 1.0E6;
constant resistance_drop_per_kelvin : real := 100.0;
quantity resistance : real;
quantity V across I through n1 to n2;
begin
resistance == resistance_at_0 - temp * resistance_drop_per_kelvin;
V == I * resistance;
end architecture linear_approx;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/compliant/tc2107.vhd | 4 | 2077 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2107.vhd,v 1.2 2001-10-26 16:29:45 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s02b04x00p20n01i02107ent IS
END c07s02b04x00p20n01i02107ent;
ARCHITECTURE c07s02b04x00p20n01i02107arch OF c07s02b04x00p20n01i02107ent IS
TYPE natural_v is array (integer range <>) of natural;
SUBTYPE natural_4 is natural_v (1 to 4);
SUBTYPE natural_null is natural_v (1 to 0);
BEGIN
TESTING : PROCESS
variable result : natural_4;
variable l_operand : natural_4 := ( 0 , 23 , 0 , 23 );
variable r_operand : natural_null;
BEGIN
result := l_operand & r_operand;
wait for 20 ns;
assert NOT(result = ( 0 , 23 , 0 , 23 ))
report "***PASSED TEST: c07s02b04x00p20n01i02107"
severity NOTE;
assert (result = ( 0 , 23 , 0 , 23 ))
report "***FAILED TEST: c07s02b04x00p20n01i02107 - Concatenation of null and NATURAL arrays failed."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s02b04x00p20n01i02107arch;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/compliant/tc3081.vhd | 4 | 4064 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc3081.vhd,v 1.2 2001-10-26 16:29:51 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c12s06b02x00p05n01i03081ent IS
END c12s06b02x00p05n01i03081ent;
ARCHITECTURE c12s06b02x00p05n01i03081arch OF c12s06b02x00p05n01i03081ent IS
-- Define the resolution function we'll be using.
function WIRED_OR( Inputs: BIT_VECTOR) return BIT is
constant FLoatValue :BIT := '0';
begin
for I in Inputs'Range loop
if Inputs(I) = '1' then
return '1';
end if;
end loop;
return '0';
end;
-- Define the subtype that has this resolution function.
subtype RBIT is WIRED_OR BIT;
-- This signal will have its 'ACTIVE flag monitored.
signal MONITOR : RBIT := '0';
-- This signal will be used to check MONITOR'ACTIVE whenever
-- we want to verify that is value is OK.
signal CHECK : RBIT := '0';
BEGIN
TESTING: PROCESS
variable testOK : integer := 0;
BEGIN
-- Perform a signal value change on both signals.
MONITOR <= not MONITOR after 10 ns;
CHECK <= not CHECK after 10 ns;
wait on CHECK;
-- Verify that the flags say what we want.
assert( not( MONITOR'STABLE ) );
if (MONITOR'STABLE) then
testOK := 1;
end if;
assert( MONITOR'EVENT );
if (not(MONITOR'EVENT)) then
testOK := 1;
end if;
assert( MONITOR'ACTIVE );
if (not(MONITOR'ACTIVE)) then
testOK := 1;
end if;
assert( not( MONITOR'QUIET ) );
if (MONITOR'QUIET) then
testOK := 1;
end if;
-- Perform no signal value change on MONITOR.
MONITOR <= MONITOR after 10 ns;
CHECK <= not CHECK after 10 ns;
wait on CHECK;
-- Verify that the flags say what we want.
assert( MONITOR'STABLE );
if (not(MONITOR'STABLE)) then
testOK := 1;
end if;
assert( not( MONITOR'EVENT ) );
if (MONITOR'EVENT) then
testOK := 1;
end if;
assert( MONITOR'ACTIVE );
if (not(MONITOR'ACTIVE)) then
testOK := 1;
end if;
assert( not( MONITOR'QUIET ) );
if (MONITOR'QUIET) then
testOK := 1;
end if;
-- Perform no activity at all on MONITOR.
CHECK <= not CHECK after 10 ns;
wait on CHECK;
-- Verify that the flags say what we want.
assert( MONITOR'STABLE );
if (not(MONITOR'STABLE)) then
testOK := 1;
end if;
assert( not( MONITOR'EVENT ) );
if (MONITOR'EVENT) then
testOK := 1;
end if;
assert( not( MONITOR'ACTIVE ) );
if (MONITOR'ACTIVE) then
testOK := 1;
end if;
assert( MONITOR'QUIET );
if (not(MONITOR'QUIET)) then
testOK := 1;
end if;
assert NOT( testOK = 0 )
report "***PASSED TEST: c12s06b02x00p05n01i03081"
severity NOTE;
assert ( testOK = 0 )
report "***FAILED TEST: c12s06b02x00p05n01i03081 - A signal should be active if one of its sources is active."
severity ERROR;
wait;
END PROCESS TESTING;
END c12s06b02x00p05n01i03081arch;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-ams/ashenden/compliant/analog-modeling/tb_quad_opamp.vhd | 4 | 4254 |
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
library IEEE_proposed;
use IEEE_proposed.electrical_systems.all;
entity tb_quad_opamp is
end tb_quad_opamp ;
architecture TB_quad_opamp of tb_quad_opamp is
-- Component declarations
-- Signal declarations
terminal amp_out : electrical_vector(1 to 4);
terminal inm : electrical_vector(1 to 4);
terminal inp : electrical_vector(1 to 4);
begin
-- Signal assignments
-- Component instances
opamp_quad_slew1 : entity work.quad_opamp_wa(slew_limited)
port map(
n1 => inp,
n2 => inm,
output => amp_out
);
R4 : entity work.resistor(ideal)
generic map(
res => 10.0e3
)
port map(
p1 => ELECTRICAL_REF,
p2 => amp_out(4)
);
v4 : entity work.v_pulse(ideal)
generic map(
period => 200 us,
width => 100 us,
delay => 10 us,
tp2i => 0.9 us,
ti2p => 0.70 us,
pulse => 5.0
)
port map(
pos => inm(1),
neg => ELECTRICAL_REF
);
R5 : entity work.resistor(ideal)
generic map(
res => 10.0e3
)
port map(
p1 => ELECTRICAL_REF,
p2 => amp_out(3)
);
R6 : entity work.resistor(ideal)
generic map(
res => 10.0e3
)
port map(
p1 => ELECTRICAL_REF,
p2 => amp_out(2)
);
R7 : entity work.resistor(ideal)
generic map(
res => 10.0e3
)
port map(
p1 => ELECTRICAL_REF,
p2 => amp_out(1)
);
v5 : entity work.v_pulse(ideal)
generic map(
pulse => 5.0,
ti2p => 0.70 us,
tp2i => 0.9 us,
delay => 10 us,
width => 100 us,
period => 200 us
)
port map(
pos => inm(2),
neg => ELECTRICAL_REF
);
v6 : entity work.v_pulse(ideal)
generic map(
pulse => 5.0,
ti2p => 0.70 us,
tp2i => 0.9 us,
delay => 10 us,
width => 100 us,
period => 200 us
)
port map(
pos => inm(3),
neg => ELECTRICAL_REF
);
v7 : entity work.v_pulse(ideal)
generic map(
pulse => 5.0,
ti2p => 0.70 us,
tp2i => 0.9 us,
delay => 10 us,
width => 100 us,
period => 200 us
)
port map(
pos => inm(4),
neg => ELECTRICAL_REF
);
R8 : entity work.resistor(ideal)
generic map(
res => 10.0e-3
)
port map(
p1 => ELECTRICAL_REF,
p2 => inp(1)
);
R9 : entity work.resistor(ideal)
generic map(
res => 10.0e-3
)
port map(
p1 => ELECTRICAL_REF,
p2 => inp(2)
);
R10 : entity work.resistor(ideal)
generic map(
res => 10.0e-3
)
port map(
p1 => ELECTRICAL_REF,
p2 => inp(3)
);
R11 : entity work.resistor(ideal)
generic map(
res => 10.0e-3
)
port map(
p1 => ELECTRICAL_REF,
p2 => inp(4)
);
end TB_quad_opamp ;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/compliant/tc1717.vhd | 4 | 2662 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1717.vhd,v 1.2 2001-10-26 16:29:43 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c09s02b00x00p13n01i01717ent IS
END c09s02b00x00p13n01i01717ent;
ARCHITECTURE c09s02b00x00p13n01i01717arch OF c09s02b00x00p13n01i01717ent IS
-- Local signals.
signal A, B : BIT := '0';
BEGIN
TESTING: PROCESS
-- Local variables.
variable STARTED: BOOLEAN := FALSE;
variable OldTime: TIME := 250 ns;
variable OldInt : INTEGER := 13;
variable OldA,
OldB : BIT;
variable I : INTEGER;
BEGIN
-- Initialize variables for this first pass.
if (NOT(STARTED)) then
OldTime := NOW;
OldInt := 47;
OldA := A;
OldB := B;
I := 0;
STARTED := TRUE;
elsif (I > 15) then
assert NOT(I = 16)
report "***PASSED TEST: c09s02b00x00p13n01i01717"
severity NOTE;
assert (I = 16)
report "***FAILED TEST: c09s02b00x00p13n01i01717 - The execution of a process statement consists of the repetitive execution of its sequence of statements."
severity ERROR;
wait;
end if;
-- Verify that no variables, time or signals have changed.
assert( OldInt = 47 ) severity ERROR;
assert( OldTime = NOW ) severity ERROR;
assert( OldA = A ) severity ERROR;
assert( OldB = B ) severity ERROR;
I := I + 1;
END PROCESS TESTING;
-- This process merely makes assignments to the signals A and B.
ASSIGN_PROCESS: process
begin
A <= '1' ;
B <= '1';
wait;
end process;
END c09s02b00x00p13n01i01717arch;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-ams/ashenden/compliant/sequential-statements/tb_counter.vhd | 4 | 1305 |
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
entity tb_counter is
end entity tb_counter;
----------------------------------------------------------------
architecture test_behavior of tb_counter is
signal clk : bit := '0';
signal count : natural;
begin
dut : entity work.counter(behavior)
port map ( clk => clk, count => count );
stimulus : process is
begin
for cycle_count in 1 to 100 loop
wait for 20 ns;
clk <= '1', '0' after 10 ns;
end loop;
wait;
end process stimulus;
end architecture test_behavior;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/compliant/tc2513.vhd | 4 | 1758 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2513.vhd,v 1.2 2001-10-26 16:29:48 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s03b05x00p02n01i02513ent IS
END c07s03b05x00p02n01i02513ent;
ARCHITECTURE c07s03b05x00p02n01i02513arch OF c07s03b05x00p02n01i02513ent IS
BEGIN
TESTING: PROCESS
variable k1 : integer := 65;
variable k2 : real := 1.2;
BEGIN
k1 := integer(k2);
wait for 1 ns;
assert NOT(k1 = 1)
report "***PASSED TEST: c07s03b05x00p02n01i02513"
severity NOTE;
assert (k1 = 1)
report "***FAILED TEST: c07s03b05x00p02n01i02513 - Missing expression."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s03b05x00p02n01i02513arch;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/compliant/tc1331.vhd | 4 | 1770 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1331.vhd,v 1.2 2001-10-26 16:29:40 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s04b01x00p04n01i01331ent IS
END c08s04b01x00p04n01i01331ent;
ARCHITECTURE c08s04b01x00p04n01i01331arch OF c08s04b01x00p04n01i01331ent IS
signal X : integer := 0;
BEGIN
TESTING: PROCESS
BEGIN
X <= 5 after 0 ns;
wait for 1 ns;
assert NOT( X=5 )
report "***PASSED TEST: c08s04b01x00p04n01i01331"
severity NOTE;
assert ( X=5 )
report "***FAILED TEST: c08s04b01x00p04n01i01331 - Time expression may have a static value of zero and it is of the type TIME."
severity ERROR;
wait;
END PROCESS TESTING;
END c08s04b01x00p04n01i01331arch;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1816.vhd | 4 | 1776 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1816.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s01b00x00p08n01i01816ent IS
type small_int is range 0 to 7;
type cmd_bus is array (small_int) of bit;
END c07s01b00x00p08n01i01816ent;
ARCHITECTURE c07s01b00x00p08n01i01816arch OF c07s01b00x00p08n01i01816ent IS
signal s_int : small_int := 0;
signal s_bus : cmd_bus;
BEGIN
TESTING : PROCESS
BEGIN
s_int <= s_bus'right(small_int);
wait;
assert FALSE
report "***FAILED TEST: c07s01b00x00p08n01i01816 - Type names are not permitted as primaries in an attribute argument."
severity ERROR;
END PROCESS TESTING;
END c07s01b00x00p08n01i01816arch;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/clifton-labs/compliant/functional/packages/simple_package_body_test.vhdl | 4 | 492 | package test_pkg is
function return_one
return integer;
end test_pkg;
package body test_pkg is
function return_one
return integer is
begin -- return_one
return 1;
end return_one;
end test_pkg;
use work.test_pkg.all;
entity test is
end test;
architecture only of test is
begin -- only
p: process
begin -- process p
assert ( return_one = 1 ) report "TEST FAILED" severity FAILURE;
report "TEST PASSED" severity NOTE;
wait;
end process p;
end only;
| gpl-2.0 |
emogenet/ghdl | testsuite/gna/bug21274/21274.vhd | 3 | 364 | library ieee;
use ieee.std_logic_1164.all;
entity e is
generic(SIZE: INTEGER := 8);
end entity e;
architecture a of e is
signal bufreg: STD_LOGIC_VECTOR((2 * SIZE - 1) downto 0);
alias ADreg1 is bufreg((2 * SIZE - 1) downto SIZE);
alias ADreg2: std_logic_vector((2 * SIZE - 1) downto SIZE) is bufreg((2 * SIZE - 1) downto SIZE);
begin
end architecture;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/clifton-labs/compliant/functional/variable/simple-integer-initialize.vhdl | 8 | 301 | entity foo is
end foo;
use std.textio.all;
architecture only of foo is
begin -- only
process
variable x : integer := 0;
begin -- process
assert x = 0 report "TEST FAILED - x does not equal 1" severity failure;
report "TEST PASSED" severity note;
wait;
end process;
end only;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/compliant/tc2307.vhd | 4 | 1723 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2307.vhd,v 1.2 2001-10-26 16:29:47 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s02b07x00p01n01i02307ent IS
END c07s02b07x00p01n01i02307ent;
ARCHITECTURE c07s02b07x00p01n01i02307arch OF c07s02b07x00p01n01i02307ent IS
BEGIN
TESTING: PROCESS
constant x : integer := abs 10;
BEGIN
assert NOT(x = 10)
report "***PASSED TEST: c07s02b07x00p01n01i02307"
severity NOTE;
assert (x = 10)
report "***FAILED TEST: c07s02b07x00p01n01i02307 - Unary operator abs is predefined for any numeric type only."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s02b07x00p01n01i02307arch;
| gpl-2.0 |
emogenet/ghdl | testsuite/gna/issue50/idct.d/mul_511.vhd | 2 | 503 | library ieee;
use ieee.std_logic_1164.all;
library ieee;
use ieee.numeric_std.all;
entity mul_511 is
port (
result : out std_logic_vector(30 downto 0);
in_a : in std_logic_vector(30 downto 0);
in_b : in std_logic_vector(14 downto 0)
);
end mul_511;
architecture augh of mul_511 is
signal tmp_res : signed(45 downto 0);
begin
-- The actual multiplication
tmp_res <= signed(in_a) * signed(in_b);
-- Set the output
result <= std_logic_vector(tmp_res(30 downto 0));
end architecture;
| gpl-2.0 |
emogenet/ghdl | testsuite/gna/bug24064/pp_fir_filter.vhd | 2 | 14621 | --------------------------------------------------------------------------------
--! @file
--! @brief pp_fir_filter.
--! This implements a poly-phase fir filter that can be used for
--! rational resampling or rational sample delay.
--! The taps of the FIR filter are generated at compile time and start
--! as a Hann-windowed sinc function. 0-phase offset is then normalized
--! to be 0.98 amplitude.
--! The generics determine the resolution of the fir-filter, as well as
--! as the number of phases.
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;
library work;
use work.er_pack.all;
entity pp_fir_filter is
generic (
--! The width of each tap in bits
taps_width_g : natural := 16;
--! The number of lobes. This is basically the number of taps per filter
num_lobes_g : natural := 8;
--! The number of parallel channels
num_channels_g : natural := 1;
--! The number of taps per lobe
taps_per_lobe_g : natural := 512;
--! The number of taps to skip to get to the next tap
step_size_g : natural := 512);
port (
-- standard ports
clk_i : in std_logic;
rst_i : in std_logic;
-- input data ports
--! Run the filter without taking another sample
run_i : in std_logic;
phase_i : in std_logic_vector(log2(taps_per_lobe_g) downto 0);
data_en_i : in std_logic;
data_i : in std_logic_vector(num_channels_g*taps_width_g-1 downto 0);
-- output data ports
data_o : out std_logic_vector(num_channels_g*taps_width_g-1 downto 0);
data_en_o : out std_logic);
end entity pp_fir_filter;
architecture behavior of pp_fir_filter is
----------------------------------------------------------------------------
-- Types, Subtypes, and Constants
----------------------------------------------------------------------------
subtype word_t is signed(1*taps_width_g-1 downto 0);
subtype dword_t is signed(2*taps_width_g-1 downto 0);
subtype save_range is natural range 2*taps_width_g-2 downto 1*taps_width_g-1;
type word_vector_t is array (integer range <>) of word_t;
type dword_vector_t is array (integer range <>) of dword_t;
type rom_t is array (integer range <>) of signed(data_i'range);
-- The state machine deals with the MACCs
type state_type is (
idle_state, -- Waiting for input signal
load_state, -- Load the sample into the input ram
mult_state, -- First multiply does not accumulate product
macc_state, -- P += A*B
save_state); -- Save the output
type dsp_opcode_type is (
clear, -- P = 0
mult, -- P = A*B
macc, -- P += A*B
hold); -- P = P
constant round_val : dword_t := shift_left(to_signed(1, dword_t'length), taps_width_g-2);
-- We want the phase offset to be in relation to the middle of the center
-- lobe. For this reason, we will need to determine the offset of the first
-- sample in relation to the step_size, taps_per_lobe, and the number of
-- lobes
constant phase_offset_c : natural :=
-- (num_lobes_g * (taps_per_lobe_g - step_size_g+1)) mod taps_per_lobe_g;
(num_lobes_g/2 * (taps_per_lobe_g - step_size_g));
constant num_regs_c : natural :=
-- (num_lobes_g * (taps_per_lobe_g / step_size_g));
(num_lobes_g);
----------------------------------------------------------------------------
-- functions
----------------------------------------------------------------------------
function load_sinc_rom (
taps_per_lobe : natural;
num_lobes : natural)
return word_vector_t is
-- The returned ram
variable rom : word_vector_t(0 to taps_per_lobe * num_lobes-1);
-- Stuff for the actual sinc calculation
variable real_rom : real_vector(rom'range);
variable half : real := real(rom'length/2);
variable nm1 : real := real(rom'length-1);
variable phase : real;
variable sinc : real;
variable hann : real;
-- for power calculation
variable power : real;
begin
------------------------------------------------------------------------
-- Tap generation
------------------------------------------------------------------------
for idx in real_rom'range loop
-- Determine the phase, but multiply it by PI to get the correct
-- phase shift
phase := math_pi * (real(idx) - half) / real(taps_per_lobe);
-- Don't divide by zero
if phase = 0.0 then
sinc := 1.0;
else
sinc := sin(phase) / phase;
end if;
-- Multiply it by a hann window
hann := 0.5 * (1.0 - cos(2.0*math_pi*real(idx)/nm1));
-- Put it in the rom
real_rom(idx) := sinc*hann;
end loop;
------------------------------------------------------------------------
-- Energy measurement
------------------------------------------------------------------------
-- Now that the ram is complete, we still need to make sure that we
-- scale everything to be a power of one. This is to make sure that we
-- don't overflow during the actual addition.
power := 0.0;
for idx in 0 to num_regs_c-1 loop
power := power + real_rom(phase_offset_c + idx*step_size_g);
end loop;
------------------------------------------------------------------------
-- Normalization
------------------------------------------------------------------------
-- Now put it in the actual ram
for idx in rom'range loop
real_rom(idx) := real_rom(idx) * (0.98 / power);
rom (idx) := signed(to_slv(real_rom(idx), word_t'length));
end loop;
-- return it
return rom;
end function load_sinc_rom;
-----------------------------------------------------------------------------
constant taps_rom : word_vector_t := load_sinc_rom(taps_per_lobe_g, num_lobes_g);
----------------------------------------------------------------------------
-- Signals
----------------------------------------------------------------------------
signal phase_reg : natural;
signal data_reg : std_logic_vector(data_i'range);
signal state : state_type;
signal dsp_opcode : dsp_opcode_type;
-- DSP Signals
signal a : word_vector_t (0 to num_channels_g-1);
signal b : word_t;
signal p : dword_vector_t(0 to num_channels_g-1);
signal r : word_vector_t (0 to num_channels_g-1);
-- RAM/ROM Signals
signal taps_addr : natural;
signal next_taps_addr : natural;
signal z_addr : natural;
signal z_ram : rom_t(0 to num_regs_c-1);
signal z_ram_en : std_logic;
-- Quantization signals
signal q : dword_vector_t(0 to num_channels_g-1);
-- for internal testing
signal rom_data_test : word_t;
signal rom_addr_test : natural;
--------------------------------------------------------------------------------
begin
--------------------------------------------------------------------------------
-- The actual fir filter part
-----------------------------------------------------------------------------
-- Direct signal assignments
-----------------------------------------------------------------------------
a_gen : for idx in 0 to num_channels_g-1 generate
-- Get the input for the multiplication
a(idx) <= z_ram(z_addr)((idx+1)*taps_width_g-1 downto idx*taps_width_g);
-- Since the rounding is combinational, we can sum it up here
q(idx) <= p(idx) + round_val;
-- Now the data out
data_o((idx+1)*taps_width_g-1 downto idx*taps_width_g) <=
std_logic_vector(r(idx));
end generate a_gen;
-- This one is easy
b <= taps_rom(taps_addr); -- Select MUX
-----------------------------------------------------------------------------
-- FIR process controls the main state machine behind the serial FIR
-----------------------------------------------------------------------------
fsm_proc : process(clk_i)
variable idx_hi : natural;
variable idx_lo : natural;
begin
if rising_edge(clk_i) then
if rst_i = '1' then
state <= idle_state;
dsp_opcode <= clear;
z_ram_en <= '0';
z_addr <= 0 ;
taps_addr <= 0 ;
next_taps_addr <= 0 ;
data_en_o <= '0';
-- data_o <= (others => '0');
else
-- Default cases
z_ram_en <= '0';
data_en_o <= '0';
next_taps_addr <= next_taps_addr + step_size_g;
-- Other cases
case state is
-----------------------------------------------------------------
when idle_state =>
dsp_opcode <= clear;
z_addr <= 0 ;
taps_addr <= 0 ;
if data_en_i = '1' or run_i = '1' then
z_ram_en <= data_en_i;
state <= load_state;
phase_reg <= phase_offset_c + to_integer(unsigned(phase_i));
data_reg <= data_i;
end if;
-----------------------------------------------------------------
when load_state =>
dsp_opcode <= clear;
z_addr <= 0 ;
taps_addr <= phase_reg;
next_taps_addr <= phase_reg;
state <= mult_state;
-----------------------------------------------------------------
when mult_state =>
dsp_opcode <= mult;
z_addr <= 0 ;
taps_addr <= phase_reg;
state <= macc_state;
-----------------------------------------------------------------
when macc_state =>
dsp_opcode <= macc;
-- The delayed version of the incoming signal
-- if next_taps_addr >= taps_rom'length then
if z_addr = z_ram'high then
state <= save_state;
else
z_addr <= z_addr + 1;
taps_addr <= next_taps_addr;
end if;
-----------------------------------------------------------------
when save_state =>
dsp_opcode <= macc;
z_addr <= 0 ;
data_en_o <= '1';
state <= idle_state;
for idx in q'range loop
r(idx) <= q(idx)(save_range);
end loop;
-----------------------------------------------------------------
end case;
end if;
end if;
end process fsm_proc;
-----------------------------------------------------------------------------
-- DSP48 process emulates a DSP48 (partially)
-----------------------------------------------------------------------------
alu_proc : process(clk_i)
begin
if rising_edge(clk_i) then
if rst_i = '1' then
p <= (others => (others => '0'));
else
case dsp_opcode is
------------------------------------------------------------
when clear =>
p <= (others => (others => '0'));
------------------------------------------------------------
when mult =>
for idx in p'range loop
p(idx) <= a(idx) * b;
end loop;
------------------------------------------------------------
when macc =>
for idx in p'range loop
p(idx) <= p(idx) + a(idx) * b;
end loop;
------------------------------------------------------------
when hold =>
null;
------------------------------------------------------------
end case;
end if;
end if;
end process alu_proc;
-----------------------------------------------------------------------------
-- Shift RAM
-----------------------------------------------------------------------------
-- I'm calling it the z ram, since it is the z delay of the incoming signal
shift_ram_proc : process(clk_i)
begin
if rising_edge(clk_i) then
if rst_i = '1' then
z_ram <= (others => (others => '0'));
elsif z_ram_en = '1' then
z_ram <= signed(data_reg) & z_ram(0 to z_ram'length-2);
end if;
end if;
end process shift_ram_proc;
----------------------------------------------------------------------------
-- tests
----------------------------------------------------------------------------
-- synthesis off
-- Test the rom by iterating through the rom
rom_test_proc : process(clk_i)
begin
if rising_edge(clk_i) then
if rst_i = '1' then
rom_addr_test <= 0;
else
if rom_addr_test >= taps_rom'length-1 then
rom_addr_test <= 0;
else
rom_addr_test <= rom_addr_test + 1;
end if;
end if;
end if;
end process rom_test_proc;
-- combinational read
rom_data_test <= taps_rom(rom_addr_test);
-- synthesis on
end architecture behavior;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1228.vhd | 4 | 1625 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1228.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s01b00x00p29n02i01228ent IS
port(s1, s2 : bit);
END c08s01b00x00p29n02i01228ent;
ARCHITECTURE c08s01b00x00p29n02i01228arch OF c08s01b00x00p29n02i01228ent IS
BEGIN
TESTING: PROCESS(s1,s2)
BEGIN
wait on s1, s2;
assert FALSE
report "***FAILED TEST: c08s01b00x00p29n02i01228 - Wait not allowed in a process with a sensitivity list"
severity ERROR;
wait;
END PROCESS TESTING;
END c08s01b00x00p29n02i01228arch;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/compliant/tc953.vhd | 4 | 1931 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc953.vhd,v 1.2 2001-10-26 16:30:02 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c06s01b00x00p10n01i00953ent IS
END c06s01b00x00p10n01i00953ent;
ARCHITECTURE c06s01b00x00p10n01i00953arch OF c06s01b00x00p10n01i00953ent IS
BEGIN
TESTING: PROCESS
type TWO is range 1 to 2;
type R1 is record
X1: TWO;
RE1: BOOLEAN;
end record;
type A11 is array (TWO, TWO) of R1;
variable V1: BOOLEAN;
variable V4: A11;
BEGIN
V1 := V4(1,2).RE1;
assert NOT(V1 = false)
report "***PASSED TEST: c06s01b00x00p10n01i00953"
severity NOTE;
assert (V1 = false)
report "***FAILED TEST: c06s01b00x00p10n01i00953 - Prefix of a selected name cannot be an aggregate."
severity ERROR;
wait;
END PROCESS TESTING;
END c06s01b00x00p10n01i00953arch;
| gpl-2.0 |
emogenet/ghdl | testsuite/gna/issue50/idct.d/add_179.vhd | 2 | 800 | library ieee;
use ieee.std_logic_1164.all;
library ieee;
use ieee.numeric_std.all;
entity add_179 is
port (
result : out std_logic_vector(26 downto 0);
in_a : in std_logic_vector(26 downto 0);
in_b : in std_logic_vector(26 downto 0)
);
end add_179;
architecture augh of add_179 is
signal carry_inA : std_logic_vector(28 downto 0);
signal carry_inB : std_logic_vector(28 downto 0);
signal carry_res : std_logic_vector(28 downto 0);
begin
-- To handle the CI input, the operation is '1' + CI
-- If CI is not present, the operation is '1' + '0'
carry_inA <= '0' & in_a & '1';
carry_inB <= '0' & in_b & '0';
-- Compute the result
carry_res <= std_logic_vector(unsigned(carry_inA) + unsigned(carry_inB));
-- Set the outputs
result <= carry_res(27 downto 1);
end architecture;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/ashenden/compliant/ch_15_mux2-b.vhd | 4 | 1182 |
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_15_mux2-b.vhd,v 1.2 2001-10-26 16:29:36 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
architecture behavior of mux2 is
begin
with To_bit(sel) select
y <= i0 after Tpd when '0',
i1 after Tpd when '1';
end architecture behavior;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/compliant/tc935.vhd | 4 | 1960 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc935.vhd,v 1.2 2001-10-26 16:30:02 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
package c10s04b00x00p06n01i00935pkg is
constant x : integer := 10;
end c10s04b00x00p06n01i00935pkg;
ENTITY c10s04b00x00p06n01i00935ent IS
END c10s04b00x00p06n01i00935ent;
ARCHITECTURE c10s04b00x00p06n01i00935arch OF c10s04b00x00p06n01i00935ent IS
procedure xxx is
constant x : integer := 5; -- homograph of x
-- here we place the declaration after the local homograph !
use work.c10s04b00x00p06n01i00935pkg.all;
begin
assert NOT( x=5 )
report "***PASSED TEST: c10s04b00x00p06n01i00935"
severity NOTE;
assert ( x=5 )
report "***FAILED TEST: c10s04b00x00p06n01i00935 - A potentially visible declaration is not visible within the immediate scope of a homograph."
severity ERROR;
end xxx;
BEGIN
xxx;
END c10s04b00x00p06n01i00935arch;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/compliant/tc2390.vhd | 4 | 2032 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2390.vhd,v 1.2 2001-10-26 16:29:47 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s03b02x00p07n01i02390ent IS
END c07s03b02x00p07n01i02390ent;
ARCHITECTURE c07s03b02x00p07n01i02390arch OF c07s03b02x00p07n01i02390ent IS
type ARRAY_TYPE is array (INTEGER range <>) of BOOLEAN;
type RECORD_TYPE is record
E1,E2 : BOOLEAN;
end record;
signal S2 : RECORD_TYPE;
BEGIN
TESTING: PROCESS
BEGIN
S2 <= ( E1=>TRUE, E2=>TRUE);
-- positional and named associations are legal.
wait for 1 ns;
assert NOT(S2.E1=TRUE and S2.E2=TRUE)
report "***PASSED TEST: c07s03b02x00p07n01i02390"
severity NOTE;
assert (S2.E1=TRUE and S2.E2=TRUE)
report "***FAILED TEST: c07s03b02x00p07n01i02390 - Both named and positional associations can be used in the same aggregate."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s03b02x00p07n01i02390arch;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/compliant/tc1032.vhd | 4 | 2198 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1032.vhd,v 1.2 2001-10-26 16:29:38 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c06s04b00x00p02n01i01032ent IS
END c06s04b00x00p02n01i01032ent;
ARCHITECTURE c06s04b00x00p02n01i01032arch OF c06s04b00x00p02n01i01032ent IS
BEGIN
TESTING: PROCESS
type TWO is range 1 to 2;
type A0 is array (TWO) of BOOLEAN;
type A1 is array (TWO) of A0;
type A2 is array (TWO) of A1;
type A3 is array (TWO) of A2;
type A4 is array (TWO) of A3;
type A5 is array (TWO) of A4;
type A6 is array (TWO) of A5;
type A7 is array (TWO) of A6;
type A8 is array (TWO) of A7;
type A9 is array (TWO) of A8;
variable V1: A9;
BEGIN
V1(1)(2)(1)(2)(1)(2)(1)(2)(1)(2) := TRUE;
assert NOT(V1(1)(2)(1)(2)(1)(2)(1)(2)(1)(2) = TRUE)
report "***PASSED TEST: c06s04b00x00p02n01i01032"
severity NOTE;
assert (V1(1)(2)(1)(2)(1)(2)(1)(2)(1)(2) = TRUE)
report "***FAILED TEST: c06s04b00x00p02n01i01032 - The prefix of an indexed name can be a indexed name."
severity ERROR;
wait;
END PROCESS TESTING;
END c06s04b00x00p02n01i01032arch;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/compliant/tc1546.vhd | 4 | 1924 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1546.vhd,v 1.2 2001-10-26 16:29:42 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s09b00x00p10n01i01546ent IS
END c08s09b00x00p10n01i01546ent;
ARCHITECTURE c08s09b00x00p10n01i01546arch OF c08s09b00x00p10n01i01546ent IS
BEGIN
TESTING: PROCESS
variable counter : integer := 0;
BEGIN
for i in character loop
counter := counter + 1;
end loop;
assert NOT(counter=character'Pos(character'High)-character'Pos(character'Low)+1)
report "***PASSED TEST: c08s09b00x00p10n01i01546"
severity NOTE;
assert (counter=character'Pos(character'High)-character'Pos(character'Low)+1)
report "***FAILED TEST: c08s09b00x00p10n01i01546 - The loop is executed once for each of the values in the range."
severity ERROR;
wait;
END PROCESS TESTING;
END c08s09b00x00p10n01i01546arch;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-ams/ashenden/compliant/digital-modeling/tb_and_or_inv.vhd | 4 | 1772 |
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
entity tb_and_or_inv is
end entity tb_and_or_inv;
architecture test of tb_and_or_inv is
signal a1, a2, b1, b2, y : bit;
begin
dut : entity work.and_or_inv(primitive)
port map ( a1 => a1, a2 => a2, b1 => b1, b2 => b2,
y => y );
stimulus : process is
subtype stim_vector_type is bit_vector(0 to 3);
type stim_vector_array is array ( natural range <> ) of stim_vector_type;
constant stim_vector : stim_vector_array
:= ( "0000",
"0001",
"0010",
"0011",
"0100",
"0101",
"0110",
"0111",
"1000",
"1001",
"1010",
"1011",
"1100",
"1101",
"1110",
"1111" );
begin
for i in stim_vector'range loop
(a1, a2, b1, b2) <= stim_vector(i);
wait for 10 ns;
assert y = not ( (stim_vector(i)(0) and stim_vector(i)(1))
or (stim_vector(i)(2) and stim_vector(i)(3)) );
end loop;
wait;
end process stimulus;
end architecture test;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/compliant/tc1949.vhd | 4 | 16598 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1949.vhd,v 1.2 2001-10-26 16:29:44 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
package c07s02b01x00p01n02i01949pkg is
--
-- Index types for array declarations
--
SUBTYPE st_ind1 IS INTEGER RANGE 1 TO 4; -- index from 1 (POSITIVE)
SUBTYPE st_ind2 IS INTEGER RANGE 0 TO 3; -- index from 0 (NATURAL)
SUBTYPE st_ind3 IS CHARACTER RANGE 'a' TO 'd'; -- non-INTEGER index
SUBTYPE st_ind4 IS INTEGER RANGE 0 DOWNTO -3; -- descending range
--
-- Logic types for subelements
--
SUBTYPE st_scl1 IS BIT;
SUBTYPE st_scl2 IS BOOLEAN;
-- -----------------------------------------------------------------------------------------
-- Composite type declarations
-- -----------------------------------------------------------------------------------------
--
-- Unconstrained arrays
--
TYPE t_usa1_1 IS ARRAY (st_ind1 RANGE <>) OF BIT;
TYPE t_usa1_2 IS ARRAY (st_ind2 RANGE <>) OF BOOLEAN;
TYPE t_usa1_3 IS ARRAY (st_ind3 RANGE <>) OF BIT;
TYPE t_usa1_4 IS ARRAY (st_ind4 RANGE <>) OF BOOLEAN;
--
-- Constrained arrays of scalars (make compatable with unconstrained types
--
SUBTYPE t_csa1_1 IS t_usa1_1 (st_ind1);
SUBTYPE t_csa1_2 IS t_usa1_2 (st_ind2);
SUBTYPE t_csa1_3 IS t_usa1_3 (st_ind3);
SUBTYPE t_csa1_4 IS t_usa1_4 (st_ind4);
-- -----------------------------------------------------------------------------------------
--
-- TYPE declarations for resolution function (Constrained types only)
--
TYPE t_csa1_1_vct IS ARRAY (POSITIVE RANGE <>) OF t_csa1_1;
TYPE t_csa1_2_vct IS ARRAY (POSITIVE RANGE <>) OF t_csa1_2;
TYPE t_csa1_3_vct IS ARRAY (POSITIVE RANGE <>) OF t_csa1_3;
TYPE t_csa1_4_vct IS ARRAY (POSITIVE RANGE <>) OF t_csa1_4;
end;
use work.c07s02b01x00p01n02i01949pkg.all;
ENTITY c07s02b01x00p01n02i01949ent IS
END c07s02b01x00p01n02i01949ent;
ARCHITECTURE c07s02b01x00p01n02i01949arch OF c07s02b01x00p01n02i01949ent IS
--
-- CONSTANT Declarations
--
CONSTANT ARGA_C_csa1_1 : t_csa1_1 := ( '1', '1', '0', '0' );
CONSTANT ARGA_C_usa1_1 : t_usa1_1(st_ind1) := ( '1', '1', '0', '0' );
CONSTANT ARGB_C_csa1_1 : t_csa1_1 := ( '1', '0', '1', '0' );
CONSTANT ARGB_C_usa1_1 : t_usa1_1(st_ind1) := ( '1', '0', '1', '0' );
CONSTANT XOR_C_csa1_1 : t_csa1_1 := ( '0', '1', '1', '0' );
CONSTANT XOR_C_usa1_1 : t_usa1_1(st_ind1) := ( '0', '1', '1', '0' );
CONSTANT ARGA_C_csa1_2 : t_csa1_2 := ( TRUE, TRUE, FALSE, FALSE );
CONSTANT ARGA_C_usa1_2 : t_usa1_2(st_ind2) := ( TRUE, TRUE, FALSE, FALSE );
CONSTANT ARGB_C_csa1_2 : t_csa1_2 := ( TRUE, FALSE, TRUE, FALSE );
CONSTANT ARGB_C_usa1_2 : t_usa1_2(st_ind2) := ( TRUE, FALSE, TRUE, FALSE );
CONSTANT XOR_C_csa1_2 : t_csa1_2 := ( FALSE, TRUE, TRUE, FALSE );
CONSTANT XOR_C_usa1_2 : t_usa1_2(st_ind2) := ( FALSE, TRUE, TRUE, FALSE );
CONSTANT ARGA_C_csa1_3 : t_csa1_3 := ( '1', '1', '0', '0' );
CONSTANT ARGA_C_usa1_3 : t_usa1_3(st_ind3) := ( '1', '1', '0', '0' );
CONSTANT ARGB_C_csa1_3 : t_csa1_3 := ( '1', '0', '1', '0' );
CONSTANT ARGB_C_usa1_3 : t_usa1_3(st_ind3) := ( '1', '0', '1', '0' );
CONSTANT XOR_C_csa1_3 : t_csa1_3 := ( '0', '1', '1', '0' );
CONSTANT XOR_C_usa1_3 : t_usa1_3(st_ind3) := ( '0', '1', '1', '0' );
CONSTANT ARGA_C_csa1_4 : t_csa1_4 := ( TRUE, TRUE, FALSE, FALSE );
CONSTANT ARGA_C_usa1_4 : t_usa1_4(st_ind4) := ( TRUE, TRUE, FALSE, FALSE );
CONSTANT ARGB_C_csa1_4 : t_csa1_4 := ( TRUE, FALSE, TRUE, FALSE );
CONSTANT ARGB_C_usa1_4 : t_usa1_4(st_ind4) := ( TRUE, FALSE, TRUE, FALSE );
CONSTANT XOR_C_csa1_4 : t_csa1_4 := ( FALSE, TRUE, TRUE, FALSE );
CONSTANT XOR_C_usa1_4 : t_usa1_4(st_ind4) := ( FALSE, TRUE, TRUE, FALSE );
--
-- SIGNAL Declarations
--
SIGNAL ARGA_S_csa1_1 : t_csa1_1 := ( '1', '1', '0', '0' );
SIGNAL ARGA_S_usa1_1 : t_usa1_1(st_ind1) := ( '1', '1', '0', '0' );
SIGNAL ARGB_S_csa1_1 : t_csa1_1 := ( '1', '0', '1', '0' );
SIGNAL ARGB_S_usa1_1 : t_usa1_1(st_ind1) := ( '1', '0', '1', '0' );
SIGNAL XOR_S_csa1_1 : t_csa1_1 := ( '0', '1', '1', '0' );
SIGNAL XOR_S_usa1_1 : t_usa1_1(st_ind1) := ( '0', '1', '1', '0' );
SIGNAL ARGA_S_csa1_2 : t_csa1_2 := ( TRUE, TRUE, FALSE, FALSE );
SIGNAL ARGA_S_usa1_2 : t_usa1_2(st_ind2) := ( TRUE, TRUE, FALSE, FALSE );
SIGNAL ARGB_S_csa1_2 : t_csa1_2 := ( TRUE, FALSE, TRUE, FALSE );
SIGNAL ARGB_S_usa1_2 : t_usa1_2(st_ind2) := ( TRUE, FALSE, TRUE, FALSE );
SIGNAL XOR_S_csa1_2 : t_csa1_2 := ( FALSE, TRUE, TRUE, FALSE );
SIGNAL XOR_S_usa1_2 : t_usa1_2(st_ind2) := ( FALSE, TRUE, TRUE, FALSE );
SIGNAL ARGA_S_csa1_3 : t_csa1_3 := ( '1', '1', '0', '0' );
SIGNAL ARGA_S_usa1_3 : t_usa1_3(st_ind3) := ( '1', '1', '0', '0' );
SIGNAL ARGB_S_csa1_3 : t_csa1_3 := ( '1', '0', '1', '0' );
SIGNAL ARGB_S_usa1_3 : t_usa1_3(st_ind3) := ( '1', '0', '1', '0' );
SIGNAL XOR_S_csa1_3 : t_csa1_3 := ( '0', '1', '1', '0' );
SIGNAL XOR_S_usa1_3 : t_usa1_3(st_ind3) := ( '0', '1', '1', '0' );
SIGNAL ARGA_S_csa1_4 : t_csa1_4 := ( TRUE, TRUE, FALSE, FALSE );
SIGNAL ARGA_S_usa1_4 : t_usa1_4(st_ind4) := ( TRUE, TRUE, FALSE, FALSE );
SIGNAL ARGB_S_csa1_4 : t_csa1_4 := ( TRUE, FALSE, TRUE, FALSE );
SIGNAL ARGB_S_usa1_4 : t_usa1_4(st_ind4) := ( TRUE, FALSE, TRUE, FALSE );
SIGNAL XOR_S_csa1_4 : t_csa1_4 := ( FALSE, TRUE, TRUE, FALSE );
SIGNAL XOR_S_usa1_4 : t_usa1_4(st_ind4) := ( FALSE, TRUE, TRUE, FALSE );
BEGIN
TESTING: PROCESS
--
-- VARIABLE Declarations
--
VARIABLE ARGA_V_csa1_1 : t_csa1_1 := ( '1', '1', '0', '0' );
VARIABLE ARGA_V_usa1_1 : t_usa1_1(st_ind1) := ( '1', '1', '0', '0' );
VARIABLE ARGB_V_csa1_1 : t_csa1_1 := ( '1', '0', '1', '0' );
VARIABLE ARGB_V_usa1_1 : t_usa1_1(st_ind1) := ( '1', '0', '1', '0' );
VARIABLE XOR_V_csa1_1 : t_csa1_1 := ( '0', '1', '1', '0' );
VARIABLE XOR_V_usa1_1 : t_usa1_1(st_ind1) := ( '0', '1', '1', '0' );
VARIABLE ARGA_V_csa1_2 : t_csa1_2 := ( TRUE, TRUE, FALSE, FALSE );
VARIABLE ARGA_V_usa1_2 : t_usa1_2(st_ind2) := ( TRUE, TRUE, FALSE, FALSE );
VARIABLE ARGB_V_csa1_2 : t_csa1_2 := ( TRUE, FALSE, TRUE, FALSE );
VARIABLE ARGB_V_usa1_2 : t_usa1_2(st_ind2) := ( TRUE, FALSE, TRUE, FALSE );
VARIABLE XOR_V_csa1_2 : t_csa1_2 := ( FALSE, TRUE, TRUE, FALSE );
VARIABLE XOR_V_usa1_2 : t_usa1_2(st_ind2) := ( FALSE, TRUE, TRUE, FALSE );
VARIABLE ARGA_V_csa1_3 : t_csa1_3 := ( '1', '1', '0', '0' );
VARIABLE ARGA_V_usa1_3 : t_usa1_3(st_ind3) := ( '1', '1', '0', '0' );
VARIABLE ARGB_V_csa1_3 : t_csa1_3 := ( '1', '0', '1', '0' );
VARIABLE ARGB_V_usa1_3 : t_usa1_3(st_ind3) := ( '1', '0', '1', '0' );
VARIABLE XOR_V_csa1_3 : t_csa1_3 := ( '0', '1', '1', '0' );
VARIABLE XOR_V_usa1_3 : t_usa1_3(st_ind3) := ( '0', '1', '1', '0' );
VARIABLE ARGA_V_csa1_4 : t_csa1_4 := ( TRUE, TRUE, FALSE, FALSE );
VARIABLE ARGA_V_usa1_4 : t_usa1_4(st_ind4) := ( TRUE, TRUE, FALSE, FALSE );
VARIABLE ARGB_V_csa1_4 : t_csa1_4 := ( TRUE, FALSE, TRUE, FALSE );
VARIABLE ARGB_V_usa1_4 : t_usa1_4(st_ind4) := ( TRUE, FALSE, TRUE, FALSE );
VARIABLE XOR_V_csa1_4 : t_csa1_4 := ( FALSE, TRUE, TRUE, FALSE );
VARIABLE XOR_V_usa1_4 : t_usa1_4(st_ind4) := ( FALSE, TRUE, TRUE, FALSE );
BEGIN
--
-- Test XOR operator on: CONSTANTs
--
ASSERT ( ARGA_C_csa1_1 XOR ARGB_C_csa1_1 ) = XOR_C_csa1_1
REPORT "ERROR: composite XOR operator failed; CONSTANT; csa1_1"
SEVERITY FAILURE;
ASSERT ( ARGA_C_csa1_2 XOR ARGB_C_csa1_2 ) = XOR_C_csa1_2
REPORT "ERROR: composite XOR operator failed; CONSTANT; csa1_2"
SEVERITY FAILURE;
ASSERT ( ARGA_C_csa1_3 XOR ARGB_C_csa1_3 ) = XOR_C_csa1_3
REPORT "ERROR: composite XOR operator failed; CONSTANT; csa1_3"
SEVERITY FAILURE;
ASSERT ( ARGA_C_csa1_4 XOR ARGB_C_csa1_4 ) = XOR_C_csa1_4
REPORT "ERROR: composite XOR operator failed; CONSTANT; csa1_4"
SEVERITY FAILURE;
ASSERT ( ARGA_C_usa1_1 XOR ARGB_C_usa1_1 ) = XOR_C_usa1_1
REPORT "ERROR: composite XOR operator failed; CONSTANT; usa1_1"
SEVERITY FAILURE;
ASSERT ( ARGA_C_usa1_2 XOR ARGB_C_usa1_2 ) = XOR_C_usa1_2
REPORT "ERROR: composite XOR operator failed; CONSTANT; usa1_2"
SEVERITY FAILURE;
ASSERT ( ARGA_C_usa1_3 XOR ARGB_C_usa1_3 ) = XOR_C_usa1_3
REPORT "ERROR: composite XOR operator failed; CONSTANT; usa1_3"
SEVERITY FAILURE;
ASSERT ( ARGA_C_usa1_4 XOR ARGB_C_usa1_4 ) = XOR_C_usa1_4
REPORT "ERROR: composite XOR operator failed; CONSTANT; usa1_4"
SEVERITY FAILURE;
--
-- Test XOR operator on: SIGNALs
--
ASSERT ( ARGA_S_csa1_1 XOR ARGB_S_csa1_1 ) = XOR_S_csa1_1
REPORT "ERROR: composite XOR operator failed; SIGNAL; csa1_1"
SEVERITY FAILURE;
ASSERT ( ARGA_S_csa1_2 XOR ARGB_S_csa1_2 ) = XOR_S_csa1_2
REPORT "ERROR: composite XOR operator failed; SIGNAL; csa1_2"
SEVERITY FAILURE;
ASSERT ( ARGA_S_csa1_3 XOR ARGB_S_csa1_3 ) = XOR_S_csa1_3
REPORT "ERROR: composite XOR operator failed; SIGNAL; csa1_3"
SEVERITY FAILURE;
ASSERT ( ARGA_S_csa1_4 XOR ARGB_S_csa1_4 ) = XOR_S_csa1_4
REPORT "ERROR: composite XOR operator failed; SIGNAL; csa1_4"
SEVERITY FAILURE;
ASSERT ( ARGA_S_usa1_1 XOR ARGB_S_usa1_1 ) = XOR_S_usa1_1
REPORT "ERROR: composite XOR operator failed; SIGNAL; usa1_1"
SEVERITY FAILURE;
ASSERT ( ARGA_S_usa1_2 XOR ARGB_S_usa1_2 ) = XOR_S_usa1_2
REPORT "ERROR: composite XOR operator failed; SIGNAL; usa1_2"
SEVERITY FAILURE;
ASSERT ( ARGA_S_usa1_3 XOR ARGB_S_usa1_3 ) = XOR_S_usa1_3
REPORT "ERROR: composite XOR operator failed; SIGNAL; usa1_3"
SEVERITY FAILURE;
ASSERT ( ARGA_S_usa1_4 XOR ARGB_S_usa1_4 ) = XOR_S_usa1_4
REPORT "ERROR: composite XOR operator failed; SIGNAL; usa1_4"
SEVERITY FAILURE;
--
-- Test XOR operator on: VARIABLEs
--
ASSERT ( ARGA_V_csa1_1 XOR ARGB_V_csa1_1 ) = XOR_V_csa1_1
REPORT "ERROR: composite XOR operator failed; VARIABLE; csa1_1"
SEVERITY FAILURE;
ASSERT ( ARGA_V_csa1_2 XOR ARGB_V_csa1_2 ) = XOR_V_csa1_2
REPORT "ERROR: composite XOR operator failed; VARIABLE; csa1_2"
SEVERITY FAILURE;
ASSERT ( ARGA_V_csa1_3 XOR ARGB_V_csa1_3 ) = XOR_V_csa1_3
REPORT "ERROR: composite XOR operator failed; VARIABLE; csa1_3"
SEVERITY FAILURE;
ASSERT ( ARGA_V_csa1_4 XOR ARGB_V_csa1_4 ) = XOR_V_csa1_4
REPORT "ERROR: composite XOR operator failed; VARIABLE; csa1_4"
SEVERITY FAILURE;
ASSERT ( ARGA_V_usa1_1 XOR ARGB_V_usa1_1 ) = XOR_V_usa1_1
REPORT "ERROR: composite XOR operator failed; VARIABLE; usa1_1"
SEVERITY FAILURE;
ASSERT ( ARGA_V_usa1_2 XOR ARGB_V_usa1_2 ) = XOR_V_usa1_2
REPORT "ERROR: composite XOR operator failed; VARIABLE; usa1_2"
SEVERITY FAILURE;
ASSERT ( ARGA_V_usa1_3 XOR ARGB_V_usa1_3 ) = XOR_V_usa1_3
REPORT "ERROR: composite XOR operator failed; VARIABLE; usa1_3"
SEVERITY FAILURE;
ASSERT ( ARGA_V_usa1_4 XOR ARGB_V_usa1_4 ) = XOR_V_usa1_4
REPORT "ERROR: composite XOR operator failed; VARIABLE; usa1_4"
SEVERITY FAILURE;
wait for 5 ns;
assert NOT( ( ARGA_C_csa1_1 XOR ARGB_C_csa1_1 ) = XOR_C_csa1_1 and
( ARGA_C_csa1_2 XOR ARGB_C_csa1_2 ) = XOR_C_csa1_2 and
( ARGA_C_csa1_3 XOR ARGB_C_csa1_3 ) = XOR_C_csa1_3 and
( ARGA_C_csa1_4 XOR ARGB_C_csa1_4 ) = XOR_C_csa1_4 and
( ARGA_C_usa1_1 XOR ARGB_C_usa1_1 ) = XOR_C_usa1_1 and
( ARGA_C_usa1_2 XOR ARGB_C_usa1_2 ) = XOR_C_usa1_2 and
( ARGA_C_usa1_3 XOR ARGB_C_usa1_3 ) = XOR_C_usa1_3 and
( ARGA_C_usa1_4 XOR ARGB_C_usa1_4 ) = XOR_C_usa1_4 and
( ARGA_S_csa1_1 XOR ARGB_S_csa1_1 ) = XOR_S_csa1_1 and
( ARGA_S_csa1_2 XOR ARGB_S_csa1_2 ) = XOR_S_csa1_2 and
( ARGA_S_csa1_3 XOR ARGB_S_csa1_3 ) = XOR_S_csa1_3 and
( ARGA_S_csa1_4 XOR ARGB_S_csa1_4 ) = XOR_S_csa1_4 and
( ARGA_S_usa1_1 XOR ARGB_S_usa1_1 ) = XOR_S_usa1_1 and
( ARGA_S_usa1_2 XOR ARGB_S_usa1_2 ) = XOR_S_usa1_2 and
( ARGA_S_usa1_3 XOR ARGB_S_usa1_3 ) = XOR_S_usa1_3 and
( ARGA_S_usa1_4 XOR ARGB_S_usa1_4 ) = XOR_S_usa1_4 and
( ARGA_V_csa1_1 XOR ARGB_V_csa1_1 ) = XOR_V_csa1_1 and
( ARGA_V_csa1_2 XOR ARGB_V_csa1_2 ) = XOR_V_csa1_2 and
( ARGA_V_csa1_3 XOR ARGB_V_csa1_3 ) = XOR_V_csa1_3 and
( ARGA_V_csa1_4 XOR ARGB_V_csa1_4 ) = XOR_V_csa1_4 and
( ARGA_V_usa1_1 XOR ARGB_V_usa1_1 ) = XOR_V_usa1_1 and
( ARGA_V_usa1_2 XOR ARGB_V_usa1_2 ) = XOR_V_usa1_2 and
( ARGA_V_usa1_3 XOR ARGB_V_usa1_3 ) = XOR_V_usa1_3 and
( ARGA_V_usa1_4 XOR ARGB_V_usa1_4 ) = XOR_V_usa1_4 )
report "***PASSED TEST: c07s02b01x00p01n02i01949"
severity NOTE;
assert ( ( ARGA_C_csa1_1 XOR ARGB_C_csa1_1 ) = XOR_C_csa1_1 and
( ARGA_C_csa1_2 XOR ARGB_C_csa1_2 ) = XOR_C_csa1_2 and
( ARGA_C_csa1_3 XOR ARGB_C_csa1_3 ) = XOR_C_csa1_3 and
( ARGA_C_csa1_4 XOR ARGB_C_csa1_4 ) = XOR_C_csa1_4 and
( ARGA_C_usa1_1 XOR ARGB_C_usa1_1 ) = XOR_C_usa1_1 and
( ARGA_C_usa1_2 XOR ARGB_C_usa1_2 ) = XOR_C_usa1_2 and
( ARGA_C_usa1_3 XOR ARGB_C_usa1_3 ) = XOR_C_usa1_3 and
( ARGA_C_usa1_4 XOR ARGB_C_usa1_4 ) = XOR_C_usa1_4 and
( ARGA_S_csa1_1 XOR ARGB_S_csa1_1 ) = XOR_S_csa1_1 and
( ARGA_S_csa1_2 XOR ARGB_S_csa1_2 ) = XOR_S_csa1_2 and
( ARGA_S_csa1_3 XOR ARGB_S_csa1_3 ) = XOR_S_csa1_3 and
( ARGA_S_csa1_4 XOR ARGB_S_csa1_4 ) = XOR_S_csa1_4 and
( ARGA_S_usa1_1 XOR ARGB_S_usa1_1 ) = XOR_S_usa1_1 and
( ARGA_S_usa1_2 XOR ARGB_S_usa1_2 ) = XOR_S_usa1_2 and
( ARGA_S_usa1_3 XOR ARGB_S_usa1_3 ) = XOR_S_usa1_3 and
( ARGA_S_usa1_4 XOR ARGB_S_usa1_4 ) = XOR_S_usa1_4 and
( ARGA_V_csa1_1 XOR ARGB_V_csa1_1 ) = XOR_V_csa1_1 and
( ARGA_V_csa1_2 XOR ARGB_V_csa1_2 ) = XOR_V_csa1_2 and
( ARGA_V_csa1_3 XOR ARGB_V_csa1_3 ) = XOR_V_csa1_3 and
( ARGA_V_csa1_4 XOR ARGB_V_csa1_4 ) = XOR_V_csa1_4 and
( ARGA_V_usa1_1 XOR ARGB_V_usa1_1 ) = XOR_V_usa1_1 and
( ARGA_V_usa1_2 XOR ARGB_V_usa1_2 ) = XOR_V_usa1_2 and
( ARGA_V_usa1_3 XOR ARGB_V_usa1_3 ) = XOR_V_usa1_3 and
( ARGA_V_usa1_4 XOR ARGB_V_usa1_4 ) = XOR_V_usa1_4 )
report "***FAILED TEST: c07s02b01x00p01n02i01949 - Logical operator XOR for any user-defined one-dimensional array type test failed."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s02b01x00p01n02i01949arch;
| gpl-2.0 |
emogenet/ghdl | testsuite/gna/bug15993/testbench_15993.vhdl | 3 | 3700 | -- Test Bench
-- inspired from http://ghdl.free.fr/ghdl/A-full-adder.html#A-full-adder
-------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
use IEEE.NUMERIC_STD.ALL;
-------------------------------------------------------------------------------
ENTITY add_tb IS
END add_tb;
-------------------------------------------------------------------------------
ARCHITECTURE behave OF add_tb IS
COMPONENT add4
GENERIC ( n : INTEGER := 4 );
PORT ( a, b : IN STD_LOGIC_VECTOR ( n-1 DOWNTO 0 );
cin : IN STD_LOGIC;
sum : OUT STD_LOGIC_VECTOR ( n DOWNTO 0 ) );
END COMPONENT;
FOR ALL: add4 USE ENTITY work.addern;
SIGNAL i0, i1 : STD_LOGIC_VECTOR ( 3 DOWNTO 0 );
SIGNAL s : STD_LOGIC_VECTOR ( 4 DOWNTO 0 );
SIGNAL ci : STD_LOGIC;
subtype hexstring is string(1 to 12);
function to_hex(n: in std_logic_vector) return hexstring is
variable n_int : std_logic_vector(n'high + 3 downto 0);
variable digit : unsigned(3 downto 0);
variable d_pos : natural;
variable s : hexstring := (others => ' ');
begin
-- assert n'high < 32 report "Hex conversion failed; supports 32 bits max!" severity warning;
n_int := (others => '0');
n_int(n'range) := n;
for i in 1 to (n'length + 3)/4 loop
d_pos := ((n'length + 3)/4 - i) * 4;
digit := unsigned(n_int(d_pos+3 downto d_pos));
-- look after metavalues...
if Is_X(std_logic_vector(digit)) then
s(i) := 'X';
elsif digit > 9 then
s(i) := character'val(character'pos('A')
+ to_integer(digit) - 10);
else
s(i) := character'val(character'pos('0')
+ to_integer(digit));
end if;
end loop;
return s;
end to_hex;
BEGIN
adder0: add4
PORT MAP ( a => i0, b => i1, cin => ci, sum => s );
-- This process does the real job.
PROCESS
TYPE pattern_type IS RECORD
-- The inputs of the adder.
i0, i1 : STD_LOGIC_VECTOR( 3 DOWNTO 0 );
ci : STD_LOGIC;
-- The expected outputs of the adder.
s : STD_LOGIC_VECTOR( 4 DOWNTO 0 );
END RECORD;
-- The patterns to apply.
TYPE pattern_array IS ARRAY (natural RANGE <>) OF pattern_type;
CONSTANT patterns : pattern_array :=
(("0000", "0000", '0', "00000"),
("0000", "0001", '0', "00001"),
("0001", "0000", '0', "00001"),
("0001", "0001", '0', "00010"),
("0001", "0001", '1', "00011"),
("0001", "0010", '0', "00011"),
("0001", "0010", '1', "00100"),
("0010", "0010", '0', "00100"));
BEGIN
-- Check each pattern.
FOR i IN patterns'RANGE LOOP
-- Set the inputs.
i0 <= patterns(i).i0;
i1 <= patterns(i).i1;
ci <= patterns(i).ci;
-- Wait for the results.
WAIT FOR 1 ns;
-- Check the outputs.
ASSERT s = patterns(i).s
REPORT "bad sum : value " & to_hex(s) & "should be " & to_hex(patterns(i).s) SEVERITY note;
-- assert co = patterns(i).co
-- report "bad carray out value" severity error;
END LOOP;
ASSERT false REPORT "end of test" SEVERITY note;
-- Wait forever; this will finish the simulation.
WAIT;
END PROCESS;
END behave;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/compliant/tc1306.vhd | 4 | 1913 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1306.vhd,v 1.2 2001-10-26 16:29:39 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s04b00x00p06n01i01306ent IS
END c08s04b00x00p06n01i01306ent;
ARCHITECTURE c08s04b00x00p06n01i01306arch OF c08s04b00x00p06n01i01306ent IS
signal S1 : BIT := '1';
type REC_1 is record
RE_1:BIT;
RE_2:INTEGER;
end record;
signal S3 : REC_1;
BEGIN
TESTING: PROCESS
BEGIN
S3.RE_1 <= S1;
wait for 1 ns;
assert NOT(S3.RE_1 = '1')
report "***PASSED TEST: c08s04b00x00p06n01i01306"
severity NOTE;
assert (S3.RE_1 = '1')
report "***FAILED TEST: c08s04b00x00p06n01i01306 - A indexed name can be used on the left-hand side of a signal assignment."
severity ERROR;
wait;
END PROCESS TESTING;
END c08s04b00x00p06n01i01306arch;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2276.vhd | 4 | 1911 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2276.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s02b06x00p14n01i02276ent IS
END c07s02b06x00p14n01i02276ent;
ARCHITECTURE c07s02b06x00p14n01i02276arch OF c07s02b06x00p14n01i02276ent IS
BEGIN
TESTING: PROCESS
type DISTANCE is range 1 to 118
units
FURLONG;
end units;
variable D : DISTANCE;
variable T : TIME := 1 sec;
BEGIN
D := T * 1 FURLONG; -- Failure_here
-- SEMANTIC ERROR: if one operand is physical, then the other must
-- an integer or floating point type.
assert FALSE
report "***FAILED TEST: c07s02b06x00p14n01i02276 - If one operand is of type physical, the other has to be of type integer or real."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s02b06x00p14n01i02276arch;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/compliant/tc576.vhd | 4 | 2652 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc576.vhd,v 1.3 2001-10-29 02:12:45 paw Exp $
-- $Revision: 1.3 $
--
-- ---------------------------------------------------------------------
-- **************************** --
-- Ported to VHDL 93 by port93.pl - Tue Nov 5 16:37:35 1996 --
-- **************************** --
-- **************************** --
-- Reversed to VHDL 87 by reverse87.pl - Tue Nov 5 11:25:35 1996 --
-- **************************** --
-- **************************** --
-- Ported to VHDL 93 by port93.pl - Mon Nov 4 17:36:07 1996 --
-- **************************** --
ENTITY c03s04b01x00p01n01i00576ent IS
END c03s04b01x00p01n01i00576ent;
ARCHITECTURE c03s04b01x00p01n01i00576arch OF c03s04b01x00p01n01i00576ent IS
type natural_file is file of natural;
signal k : integer := 0;
BEGIN
TESTING: PROCESS
file filein : natural_file open read_mode is "iofile.18";
variable v : natural;
BEGIN
for i in 1 to 100 loop
assert(endfile(filein) = false) report"end of file reached before expected";
read(filein,v);
if (v /= 3 ) then
k <= 1;
end if;
end loop;
wait for 1 ns;
assert NOT(k = 0)
report "***PASSED TEST: c03s04b01x00p01n01i00576"
severity NOTE;
assert (k = 0)
report "***FAILED TEST: c03s04b01x00p01n01i00576 - File reading operation failed."
severity ERROR;
wait;
END PROCESS TESTING;
END c03s04b01x00p01n01i00576arch;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/compliant/tc1499.vhd | 4 | 2937 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1499.vhd,v 1.2 2001-10-26 16:29:41 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s08b00x00p14n01i01499ent IS
END c08s08b00x00p14n01i01499ent;
ARCHITECTURE c08s08b00x00p14n01i01499arch OF c08s08b00x00p14n01i01499ent IS
BEGIN
TESTING: PROCESS
subtype sub_int is integer range 1 to 2;
variable V1 : integer;
variable k1 : integer := 0;
variable k2 : integer := 0;
variable k3 : integer := 0;
variable k4 : integer := 0;
BEGIN
V1 := 0;
case V1 is
when sub_int'low
to sub_int'high => assert (false)
report "V1 in specified range"
severity failure;
when others => k1 := 1;
end case;
V1 := 1;
case V1 is
when sub_int'low
to sub_int'high => k2 := 1;
when others => assert (false)
report "V1 NOT in specified range"
severity failure;
end case;
V1 := 2;
case V1 is
when sub_int'low
to sub_int'high => k3 := 1;
when others => assert (false)
report "V1 NOT in specified range"
severity failure;
end case;
V1 := 3;
case V1 is
when sub_int'low
to sub_int'high => assert (false)
report "V1 in specified range"
severity failure;
when others => k4 := 1;
end case;
assert NOT(k1=1 and k2=1 and k3=1 and k4=1)
report "***PASSED TEST: c08s08b00x00p14n01i01499"
severity NOTE;
assert (k1=1 and k2=1 and k3=1 and k4=1)
report "***FAILED TEST: c08s08b00x00p14n01i01499 - A choice can be a discrete range using attributes."
severity ERROR;
wait;
END PROCESS TESTING;
END c08s08b00x00p14n01i01499arch;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/compliant/tc434.vhd | 4 | 3226 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc434.vhd,v 1.2 2001-10-26 16:29:54 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY model IS
PORT
(
F1: OUT integer := 3;
F2: INOUT integer := 3;
F3: IN integer
);
END model;
architecture model of model is
begin
process
begin
wait for 1 ns;
assert F3= 3
report"wrong initialization of F3 through type conversion" severity failure;
assert F2 = 3
report"wrong initialization of F2 through type conversion" severity failure;
wait;
end process;
end;
ENTITY c03s02b01x01p19n01i00434ent IS
END c03s02b01x01p19n01i00434ent;
ARCHITECTURE c03s02b01x01p19n01i00434arch OF c03s02b01x01p19n01i00434ent IS
type column is range 1 to 2;
type row is range 1 to 8;
type s2real_cons_vector is array (row,column) of real;
constant C1 : s2real_cons_vector := (others => (others => 3.0));
function complex_scalar(s : s2real_cons_vector) return integer is
begin
return 3;
end complex_scalar;
function scalar_complex(s : integer) return s2real_cons_vector is
begin
return C1;
end scalar_complex;
component model1
PORT
(
F1: OUT integer;
F2: INOUT integer;
F3: IN integer
);
end component;
for T1 : model1 use entity work.model(model);
signal S1 : s2real_cons_vector;
signal S2 : s2real_cons_vector;
signal S3 : s2real_cons_vector := C1;
BEGIN
T1: model1
port map (
scalar_complex(F1) => S1,
scalar_complex(F2) => complex_scalar(S2),
F3 => complex_scalar(S3)
);
TESTING: PROCESS
BEGIN
wait for 1 ns;
assert NOT((S1 = C1) and (S2 = C1))
report "***PASSED TEST: c03s02b01x01p19n01i00434"
severity NOTE;
assert ((S1 = C1) and (S2 = C1))
report "***FAILED TEST: c03s02b01x01p19n01i00434 - For an interface object of mode out, buffer, inout, or linkage, if the formal part includes a type conversion function, then the parameter subtype of that function must be a constrained array subtype."
severity ERROR;
wait;
END PROCESS TESTING;
END c03s02b01x01p19n01i00434arch;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1185.vhd | 4 | 1619 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1185.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s01b00x00p02n01i01185ent IS
END c08s01b00x00p02n01i01185ent;
ARCHITECTURE c08s01b00x00p02n01i01185arch OF c08s01b00x00p02n01i01185ent IS
BEGIN
TESTING: PROCESS
variable i : integer := 0;
BEGIN
wait for 60 ns on i;
assert FALSE
report "***FAILED TEST: c08s01b00x00p02n01i01185 - Timeout clause before sensitivity clause."
severity ERROR;
wait;
END PROCESS TESTING;
END c08s01b00x00p02n01i01185arch;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-ams/ashenden/compliant/analog-modeling/ball.vhd | 4 | 1455 |
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
library ieee_proposed; use ieee_proposed.mechanical_systems.all;
entity ball is
end entity ball;
----------------------------------------------------------------
architecture bouncer of ball is
quantity v : velocity := 0.0;
quantity s : displacement := 10.0;
constant g : real := 9.81;
constant air_res : real := 0.1;
begin
if v'above(0.0) use
v'dot == -g - v**2*air_res;
else
v'dot == -g + v**2*air_res;
end use;
reversal_tester : process is
begin
wait on s'above(0.0);
break v => -v when s < 0.0;
end process reversal_tester;
s'dot == v;
end architecture bouncer;
| gpl-2.0 |
emogenet/ghdl | testsuite/gna/issue50/idct.d/output_split3.vhd | 2 | 1410 | library ieee;
use ieee.std_logic_1164.all;
library ieee;
use ieee.numeric_std.all;
entity output_split3 is
port (
wa0_data : in std_logic_vector(7 downto 0);
wa0_addr : in std_logic_vector(2 downto 0);
ra0_data : out std_logic_vector(7 downto 0);
ra0_addr : in std_logic_vector(2 downto 0);
wa0_en : in std_logic;
clk : in std_logic
);
end output_split3;
architecture augh of output_split3 is
-- Embedded RAM
type ram_type is array (0 to 7) of std_logic_vector(7 downto 0);
signal ram : ram_type := (others => (others => '0'));
-- Little utility functions to make VHDL syntactically correct
-- with the syntax to_integer(unsigned(vector)) when 'vector' is a std_logic.
-- This happens when accessing arrays with <= 2 cells, for example.
function to_integer(B: std_logic) return integer is
variable V: std_logic_vector(0 to 0);
begin
V(0) := B;
return to_integer(unsigned(V));
end;
function to_integer(V: std_logic_vector) return integer is
begin
return to_integer(unsigned(V));
end;
begin
-- Sequential process
-- It handles the Writes
process (clk)
begin
if rising_edge(clk) then
-- Write to the RAM
-- Note: there should be only one port.
if wa0_en = '1' then
ram( to_integer(wa0_addr) ) <= wa0_data;
end if;
end if;
end process;
-- The Read side (the outputs)
ra0_data <= ram( to_integer(ra0_addr) );
end architecture;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/compliant/tc281.vhd | 4 | 2044 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc281.vhd,v 1.2 2001-10-26 16:29:49 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c03s01b03x00p08n02i00281ent IS
END c03s01b03x00p08n02i00281ent;
ARCHITECTURE c03s01b03x00p08n02i00281arch OF c03s01b03x00p08n02i00281ent IS
type UPLE is range 1 to 8
units
single;
duple = 2 single;
triple = 3 single;
quadruple = 2 duple;
pentuple = 5 single;
sextuple = 2 triple;
septuple = 7 single;
octuple = 2 quadruple;
end units;
BEGIN
TESTING: PROCESS
variable k : UPLE := 1 duple;
BEGIN
assert NOT(k = 2 single)
report "***PASSED TEST: c03s01b03x00p08n02i00281"
severity NOTE;
assert (k = 2 single)
report "***FAILED TEST: c03s01b03x00p08n02i00281 - The relative order of secondary unit declarations is not fixed as long as units are not used before they are declared."
severity ERROR;
wait;
END PROCESS TESTING;
END c03s01b03x00p08n02i00281arch;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc808.vhd | 4 | 1585 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc808.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c01s02b00x00p02n01i00808ent IS
END c01s02b00x00p02n01i00808ent;
ARCHITECTURE c01s02b00x00p02n01i00808arch OF c01s02b00x00p02n01i00808ent --failure here
BEGIN
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c01s02b00x00p02n01i00808 - Reserved word is has to follow reserved word for."
severity ERROR;
wait;
END PROCESS TESTING;
END c01s02b00x00p02n01i00808arch;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/compliant/tc428.vhd | 4 | 3300 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc428.vhd,v 1.2 2001-10-26 16:29:54 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY model IS
PORT
(
F1: OUT integer := 3;
F2: INOUT integer := 3;
F3: IN integer
);
END model;
architecture model of model is
begin
process
begin
wait for 1 ns;
assert F3= 3
report"wrong initialization of F3 through type conversion" severity failure;
assert F2 = 3
report"wrong initialization of F2 through type conversion" severity failure;
wait;
end process;
end;
ENTITY c03s02b01x01p19n01i00428ent IS
END c03s02b01x01p19n01i00428ent;
ARCHITECTURE c03s02b01x01p19n01i00428arch OF c03s02b01x01p19n01i00428ent IS
type positive_cons_vector is array (15 downto 0) of positive;
type positive_cons_vectorofvector is array (0 to 15) of positive_cons_vector;
constant C1 : positive_cons_vectorofvector := (others => (others => 1));
function complex_scalar(s : positive_cons_vectorofvector) return integer is
begin
return 3;
end complex_scalar;
function scalar_complex(s : integer) return positive_cons_vectorofvector is
begin
return C1;
end scalar_complex;
component model1
PORT
(
F1: OUT integer;
F2: INOUT integer;
F3: IN integer
);
end component;
for T1 : model1 use entity work.model(model);
signal S1 : positive_cons_vectorofvector;
signal S2 : positive_cons_vectorofvector;
signal S3 : positive_cons_vectorofvector := C1;
BEGIN
T1: model1
port map (
scalar_complex(F1) => S1,
scalar_complex(F2) => complex_scalar(S2),
F3 => complex_scalar(S3)
);
TESTING: PROCESS
BEGIN
wait for 1 ns;
assert NOT((S1 = C1) and (S2 = C1))
report "***PASSED TEST: c03s02b01x01p19n01i00428"
severity NOTE;
assert ((S1 = C1) and (S2 = C1))
report "***FAILED TEST: c03s02b01x01p19n01i00428 - For an interface object of mode out, buffer, inout, or linkage, if the formal part includes a type conversion function, then the parameter subtype of that function must be a constrained array subtype."
severity ERROR;
wait;
END PROCESS TESTING;
END c03s02b01x01p19n01i00428arch;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc848.vhd | 4 | 2198 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc848.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c01s03b01x00p09n01i00848ent IS
port ( PT : Boolean );
END c01s03b01x00p09n01i00848ent;
ARCHITECTURE c01s03b01x00p09n01i00848arch OF c01s03b01x00p09n01i00848ent IS
BEGIN
BD : block
component comp1
end component ;
begin
CIS : comp1;
BD_nested : block
begin
process
begin
null;
wait;
End process;
end block;
end block BD ;
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c01s03b01x00p09n01i00848 - Invalid block specification."
severity ERROR;
wait;
END PROCESS TESTING;
END c01s03b01x00p09n01i00848arch;
configuration c01s03b01x00p09n01i00848cfg of c01s03b01x00p09n01i00848ent is
for c01s03b01x00p09n01i00848arch
for CIS -- Failure_here
-- ERROR: the CIS is not a declared block in the declarative region.
end for ;
for BD_nested -- failure_here
-- ERROR :: BD_nested is not a block label in the related declarative region.
end for;
end for;
end c01s03b01x00p09n01i00848cfg;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1767.vhd | 4 | 1718 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1767.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c09s05b02x00p03n01i01767ent IS
END c09s05b02x00p03n01i01767ent;
ARCHITECTURE c09s05b02x00p03n01i01767arch OF c09s05b02x00p03n01i01767ent IS
signal TS : integer;
signal B : bit;
BEGIN
with B select
TS <= transport 1 when '0'
2 when '1'; -- Failure_here
-- comma is missing
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c09s05b02x00p03n01i01767 - Comma is missing between conditional waveforms."
severity ERROR;
wait;
END PROCESS TESTING;
END c09s05b02x00p03n01i01767arch;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/ashenden/compliant/ch_09_fg_09_04.vhd | 4 | 1549 |
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_09_fg_09_04.vhd,v 1.2 2001-10-26 16:29:34 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
-- not in book
entity DMA_controller is
end entity DMA_controller;
-- end not in book
architecture behavioral of DMA_controller is
use work.DMA_controller_types_and_utilities.all;
begin
behavior : process is
variable address_reg0, address_reg1 : address;
variable count_reg0, count_reg1 : word;
-- . . .
begin
-- . . .
address_reg0 := address_reg0 + X"0000_0004";
-- . . .
end process behavior;
end architecture behavioral;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/compliant/tc603.vhd | 4 | 2095 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc603.vhd,v 1.3 2001-10-29 02:12:45 paw Exp $
-- $Revision: 1.3 $
--
-- ---------------------------------------------------------------------
-- **************************** --
-- Ported to VHDL 93 by port93.pl - Tue Nov 5 16:37:41 1996 --
-- **************************** --
ENTITY c03s04b01x00p01n01i00603ent IS
END c03s04b01x00p01n01i00603ent;
ARCHITECTURE c03s04b01x00p01n01i00603arch OF c03s04b01x00p01n01i00603ent IS
type natural_cons_vector is array (15 downto 0) of natural;
type natural_cons_vector_file is file of natural_cons_vector;
constant C19 : natural_cons_vector := (others => 3);
BEGIN
TESTING: PROCESS
file filein : natural_cons_vector_file open write_mode is "iofile.30";
BEGIN
for i in 1 to 100 loop
write(filein, C19);
end loop;
assert FALSE
report "***PASSED TEST: c03s04b01x00p01n01i00603 - The output file will be verified by test s010248.vhd."
severity NOTE;
wait;
END PROCESS TESTING;
END c03s04b01x00p01n01i00603arch;
| gpl-2.0 |
emogenet/ghdl | testsuite/gna/bug040/tb.vhd | 2 | 158618 |
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library std;
use std.textio.all;
entity tb is
end tb;
architecture augh of tb is
constant simu_max_cycles : natural := 1000000;
constant simu_disp_cycles : std_logic := '0';
constant simu_err_end_in : std_logic := '0';
constant reset_cycles : natural := 4;
component top is
port (
clock : in std_logic;
reset : in std_logic;
start : in std_logic;
stdout_rdy : out std_logic;
stdout_ack : in std_logic;
stdin_ack : in std_logic;
stdout_data : out std_logic_vector(7 downto 0);
stdin_data : in std_logic_vector(7 downto 0);
stdin_rdy : out std_logic
);
end component;
signal clock : std_logic := '0';
signal reset : std_logic := '0';
signal start : std_logic := '0';
signal clock_next : std_logic := '0';
-- Access 'clock' model 'clock'
-- Access 'reset' model 'reset'
-- Access 'start' model 'start'
-- Access 'stdin' model 'fifo_in'
signal stdin_data : std_logic_vector(7 downto 0) := (others => '0');
signal stdin_rdy : std_logic := '0';
signal stdin_ack : std_logic := '0';
signal stdin_vector_idx : natural := 0;
signal stdin_vector : std_logic_vector(7 downto 0) := (others => '0');
-- Test vectors
constant stdin_vectors_nb : natural := 5207;
type stdin_vec_type is array (0 to stdin_vectors_nb-1) of std_logic_vector(7 downto 0);
constant stdin_vectors : stdin_vec_type := (
X"ff", X"d8", X"ff", X"e0", X"00", X"10", X"4a", X"46", X"49", X"46", X"00", X"01", X"01", X"00", X"00",
X"01", X"00", X"01", X"00", X"00", X"ff", X"db", X"00", X"43", X"00", X"03", X"02", X"02", X"02", X"02",
X"02", X"03", X"02", X"02", X"02", X"03", X"03", X"03", X"03", X"04", X"06", X"04", X"04", X"04", X"04",
X"04", X"08", X"06", X"06", X"05", X"06", X"09", X"08", X"0a", X"0a", X"09", X"08", X"09", X"09", X"0a",
X"0c", X"0f", X"0c", X"0a", X"0b", X"0e", X"0b", X"09", X"09", X"0d", X"11", X"0d", X"0e", X"0f", X"10",
X"10", X"11", X"10", X"0a", X"0c", X"12", X"13", X"12", X"10", X"13", X"0f", X"10", X"10", X"10", X"ff",
X"db", X"00", X"43", X"01", X"03", X"03", X"03", X"04", X"03", X"04", X"08", X"04", X"04", X"08", X"10",
X"0b", X"09", X"0b", X"10", X"10", X"10", X"10", X"10", X"10", X"10", X"10", X"10", X"10", X"10", X"10",
X"10", X"10", X"10", X"10", X"10", X"10", X"10", X"10", X"10", X"10", X"10", X"10", X"10", X"10", X"10",
X"10", X"10", X"10", X"10", X"10", X"10", X"10", X"10", X"10", X"10", X"10", X"10", X"10", X"10", X"10",
X"10", X"10", X"10", X"10", X"10", X"10", X"10", X"10", X"ff", X"c0", X"00", X"11", X"08", X"00", X"3b",
X"00", X"5a", X"03", X"01", X"22", X"00", X"02", X"11", X"01", X"03", X"11", X"01", X"ff", X"c4", X"00",
X"1f", X"00", X"00", X"01", X"05", X"01", X"01", X"01", X"01", X"01", X"01", X"00", X"00", X"00", X"00",
X"00", X"00", X"00", X"00", X"01", X"02", X"03", X"04", X"05", X"06", X"07", X"08", X"09", X"0a", X"0b",
X"ff", X"c4", X"00", X"b5", X"10", X"00", X"02", X"01", X"03", X"03", X"02", X"04", X"03", X"05", X"05",
X"04", X"04", X"00", X"00", X"01", X"7d", X"01", X"02", X"03", X"00", X"04", X"11", X"05", X"12", X"21",
X"31", X"41", X"06", X"13", X"51", X"61", X"07", X"22", X"71", X"14", X"32", X"81", X"91", X"a1", X"08",
X"23", X"42", X"b1", X"c1", X"15", X"52", X"d1", X"f0", X"24", X"33", X"62", X"72", X"82", X"09", X"0a",
X"16", X"17", X"18", X"19", X"1a", X"25", X"26", X"27", X"28", X"29", X"2a", X"34", X"35", X"36", X"37",
X"38", X"39", X"3a", X"43", X"44", X"45", X"46", X"47", X"48", X"49", X"4a", X"53", X"54", X"55", X"56",
X"57", X"58", X"59", X"5a", X"63", X"64", X"65", X"66", X"67", X"68", X"69", X"6a", X"73", X"74", X"75",
X"76", X"77", X"78", X"79", X"7a", X"83", X"84", X"85", X"86", X"87", X"88", X"89", X"8a", X"92", X"93",
X"94", X"95", X"96", X"97", X"98", X"99", X"9a", X"a2", X"a3", X"a4", X"a5", X"a6", X"a7", X"a8", X"a9",
X"aa", X"b2", X"b3", X"b4", X"b5", X"b6", X"b7", X"b8", X"b9", X"ba", X"c2", X"c3", X"c4", X"c5", X"c6",
X"c7", X"c8", X"c9", X"ca", X"d2", X"d3", X"d4", X"d5", X"d6", X"d7", X"d8", X"d9", X"da", X"e1", X"e2",
X"e3", X"e4", X"e5", X"e6", X"e7", X"e8", X"e9", X"ea", X"f1", X"f2", X"f3", X"f4", X"f5", X"f6", X"f7",
X"f8", X"f9", X"fa", X"ff", X"c4", X"00", X"1f", X"01", X"00", X"03", X"01", X"01", X"01", X"01", X"01",
X"01", X"01", X"01", X"01", X"00", X"00", X"00", X"00", X"00", X"00", X"01", X"02", X"03", X"04", X"05",
X"06", X"07", X"08", X"09", X"0a", X"0b", X"ff", X"c4", X"00", X"b5", X"11", X"00", X"02", X"01", X"02",
X"04", X"04", X"03", X"04", X"07", X"05", X"04", X"04", X"00", X"01", X"02", X"77", X"00", X"01", X"02",
X"03", X"11", X"04", X"05", X"21", X"31", X"06", X"12", X"41", X"51", X"07", X"61", X"71", X"13", X"22",
X"32", X"81", X"08", X"14", X"42", X"91", X"a1", X"b1", X"c1", X"09", X"23", X"33", X"52", X"f0", X"15",
X"62", X"72", X"d1", X"0a", X"16", X"24", X"34", X"e1", X"25", X"f1", X"17", X"18", X"19", X"1a", X"26",
X"27", X"28", X"29", X"2a", X"35", X"36", X"37", X"38", X"39", X"3a", X"43", X"44", X"45", X"46", X"47",
X"48", X"49", X"4a", X"53", X"54", X"55", X"56", X"57", X"58", X"59", X"5a", X"63", X"64", X"65", X"66",
X"67", X"68", X"69", X"6a", X"73", X"74", X"75", X"76", X"77", X"78", X"79", X"7a", X"82", X"83", X"84",
X"85", X"86", X"87", X"88", X"89", X"8a", X"92", X"93", X"94", X"95", X"96", X"97", X"98", X"99", X"9a",
X"a2", X"a3", X"a4", X"a5", X"a6", X"a7", X"a8", X"a9", X"aa", X"b2", X"b3", X"b4", X"b5", X"b6", X"b7",
X"b8", X"b9", X"ba", X"c2", X"c3", X"c4", X"c5", X"c6", X"c7", X"c8", X"c9", X"ca", X"d2", X"d3", X"d4",
X"d5", X"d6", X"d7", X"d8", X"d9", X"da", X"e2", X"e3", X"e4", X"e5", X"e6", X"e7", X"e8", X"e9", X"ea",
X"f2", X"f3", X"f4", X"f5", X"f6", X"f7", X"f8", X"f9", X"fa", X"ff", X"da", X"00", X"0c", X"03", X"01",
X"00", X"02", X"11", X"03", X"11", X"00", X"3f", X"00", X"f5", X"af", X"00", X"5a", X"ea", X"17", X"b3",
X"af", X"8a", X"3c", X"4f", X"31", X"f1", X"a4", X"7a", X"9b", X"cf", X"a9", X"e9", X"be", X"22", X"b0",
X"67", X"16", X"7a", X"6c", X"56", X"f1", X"2a", X"5b", X"da", X"ac", X"0c", X"49", X"2c", X"c7", X"cc",
X"26", X"40", X"19", X"64", X"2c", X"78", X"e3", X"0b", X"c0", X"e8", X"ba", X"37", X"ed", X"3b", X"a3",
X"4d", X"e3", X"4d", X"3b", X"5b", X"f1", X"7f", X"85", X"35", X"4d", X"06", X"d2", X"d6", X"e2", X"f6",
X"3b", X"b8", X"44", X"f0", X"dd", X"58", X"4b", X"72", X"04", X"88", X"33", X"90", X"56", X"28", X"90",
X"3c", X"98", X"2c", X"55", X"be", X"51", X"ec", X"bf", X"2a", X"78", X"33", X"f6", X"8b", X"f1", X"0f",
X"c2", X"5f", X"88", X"6d", X"a4", X"45", X"ac", X"ea", X"67", X"c2", X"d1", X"de", X"2c", X"71", X"da",
X"c3", X"75", X"98", X"23", X"b6", X"91", X"8c", X"8c", X"21", X"8d", X"b7", X"04", X"43", X"bf", X"20",
X"20", X"00", X"02", X"70", X"3a", X"57", X"b0", X"f8", X"17", X"f6", X"c6", X"f0", X"c0", X"f1", X"61",
X"f1", X"47", X"88", X"fc", X"31", X"2c", X"1a", X"bf", X"8e", X"ae", X"23", X"b6", X"ba", X"d5", X"12",
X"ff", X"00", X"cb", X"b6", X"d2", X"74", X"f5", X"8e", X"3b", X"68", X"a4", X"72", X"dc", X"c8", X"04",
X"b0", X"b3", X"48", X"59", X"40", X"0a", X"c4", X"8e", X"a5", X"1f", X"b7", X"0d", X"8e", X"a5", X"cf",
X"19", X"29", X"6b", X"36", X"9b", X"be", X"9a", X"35", X"b7", X"9e", X"bd", X"fe", X"f3", X"17", X"24",
X"d2", X"e8", X"7d", X"0b", X"a9", X"6a", X"16", X"1e", X"35", X"f8", X"18", X"26", X"f1", X"4e", X"81",
X"a4", X"78", X"96", X"4d", X"46", X"da", X"3b", X"e9", X"2d", X"74", X"eb", X"69", X"ef", X"ed", X"75",
X"0b", X"79", X"44", X"5b", X"af", X"e3", X"8a", X"75", X"8e", X"48", X"90", X"0d", X"d3", X"18", X"93",
X"2c", X"81", X"41", X"52", X"e1", X"81", X"3f", X"28", X"f8", X"93", X"f6", X"61", X"f0", X"ab", X"93",
X"a6", X"e8", X"cf", X"ac", X"4b", X"7f", X"73", X"31", X"6b", X"3b", X"ab", X"0b", X"79", X"2f", X"74",
X"bb", X"a8", X"e5", X"5d", X"e9", X"11", X"5c", X"97", X"b7", X"75", X"1f", X"2e", X"72", X"c1", X"80",
X"1d", X"49", X"c0", X"fa", X"ab", X"c5", X"9e", X"0a", X"ba", X"d7", X"be", X"c9", X"1b", X"f8", X"aa",
X"d6", X"ee", X"41", X"63", X"75", X"35", X"be", X"95", X"67", X"6b", X"1d", X"c5", X"94", X"93", X"88",
X"d5", X"33", X"05", X"c7", X"dd", X"b7", X"3c", X"43", X"22", X"92", X"e1", X"88", X"32", X"2f", X"28",
X"5e", X"bc", X"27", X"e1", X"87", X"c5", X"4f", X"8c", X"b0", X"7c", X"47", X"82", X"d3", X"c4", X"63",
X"56", X"9f", X"45", X"b4", X"69", X"2d", X"66", X"d2", X"ac", X"6d", X"12", X"5b", X"95", X"73", X"84",
X"86", X"6d", X"eb", X"f2", X"ac", X"46", X"56", X"60", X"1d", X"9f", X"0e", X"55", X"8f", X"40", X"71",
X"ea", X"ca", X"18", X"5a", X"8b", X"92", X"b2", X"4d", X"6c", X"b4", X"f4", X"d2", X"fd", X"1d", X"ff",
X"00", X"22", X"bc", X"d9", X"f3", X"4f", X"c2", X"cd", X"43", X"c7", X"1f", X"03", X"be", X"25", X"e9",
X"5e", X"2b", X"83", X"45", X"7d", X"28", X"43", X"a8", X"bf", X"87", X"ef", X"6e", X"ae", X"ad", X"9f",
X"ec", X"f1", X"c9", X"24", X"8a", X"b2", X"c5", X"b9", X"86", X"03", X"aa", X"ec", X"6f", X"50", X"3b",
X"57", X"d6", X"1f", X"b5", X"64", X"2b", X"60", X"9a", X"45", X"97", X"88", X"fc", X"09", X"a0", X"9b",
X"5d", X"50", X"c5", X"a8", X"b6", X"a5", X"16", X"9e", X"0c", X"df", X"68", X"52", X"43", X"42", X"d2",
X"e0", X"61", X"f2", X"01", X"20", X"9e", X"47", X"6e", X"e3", X"63", X"e1", X"6f", X"c3", X"2f", X"09",
X"7c", X"45", X"b6", X"f1", X"2f", X"8a", X"7c", X"75", X"3e", X"bf", X"6e", X"d6", X"7e", X"36", X"d7",
X"e6", X"b8", X"85", X"54", X"ba", X"31", X"69", X"96", X"23", X"94", X"19", X"8d", X"dc", X"28", X"64",
X"25", X"79", X"04", X"b1", X"07", X"1c", X"8e", X"b7", X"c3", X"fe", X"28", X"5d", X"41", X"6d", X"3e",
X"0a", X"7c", X"4a", X"b5", X"d4", X"b5", X"d3", X"a9", X"d9", X"df", X"ed", X"d4", X"2e", X"ed", X"a1",
X"31", X"6a", X"91", X"c5", X"b5", X"44", X"de", X"64", X"60", X"20", X"66", X"59", X"10", X"02", X"83",
X"76", X"76", X"93", X"8e", X"b5", X"e7", X"52", X"c0", X"ca", X"ae", X"0e", X"58", X"6a", X"53", X"b7",
X"3a", X"de", X"d7", X"b5", X"fa", X"7c", X"cc", X"b5", X"94", X"4f", X"84", X"3c", X"49", X"06", X"b3",
X"ac", X"47", X"7d", X"ab", X"5c", X"da", X"ac", X"96", X"92", X"30", X"36", X"a8", X"17", X"e4", X"b7",
X"80", X"1c", X"02", X"3d", X"73", X"ec", X"3b", X"f1", X"c5", X"69", X"7c", X"21", X"f8", X"07", X"e2",
X"af", X"8e", X"1a", X"f3", X"be", X"9d", X"ac", X"db", X"da", X"c3", X"a4", X"5f", X"5a", X"a6", X"a1",
X"6e", X"08", X"37", X"11", X"d9", X"49", X"9f", X"df", X"44", X"87", X"87", X"3b", X"94", X"a6", X"de",
X"c4", X"e7", X"9c", X"60", X"fd", X"11", X"f1", X"13", X"e0", X"9f", X"82", X"3c", X"2f", X"e1", X"f7",
X"f0", X"57", X"87", X"6d", X"ae", X"13", X"59", X"f0", X"ed", X"bc", X"7a", X"c5", X"ad", X"c5", X"c9",
X"95", X"ee", X"1b", X"4f", X"09", X"fb", X"d5", X"b8", X"91", X"9b", X"cb", X"7e", X"59", X"86", X"11",
X"72", X"18", X"02", X"00", X"c1", X"af", X"09", X"f8", X"7d", X"e1", X"6f", X"88", X"56", X"9e", X"3b",
X"8f", X"e2", X"0f", X"c2", X"2d", X"40", X"4b", X"3c", X"12", X"ad", X"ac", X"d0", X"29", X"3e", X"4b",
X"dc", X"05", X"12", X"24", X"05", X"41", X"07", X"6b", X"0e", X"41", X"cf", X"5e", X"87", X"9c", X"0f",
X"03", X"0f", X"95", X"d5", X"c1", X"62", X"a1", X"4e", X"b2", X"52", X"4d", X"74", X"76", X"fc", X"ec",
X"f4", X"ea", X"ec", X"34", X"ef", X"2d", X"74", X"b1", X"ce", X"fc", X"6d", X"f8", X"0b", X"f1", X"13",
X"e1", X"7f", X"89", X"b5", X"4b", X"7b", X"ab", X"35", X"b9", X"d2", X"22", X"bc", X"5b", X"7d", X"3e",
X"ea", X"58", X"d6", X"29", X"6f", X"22", X"c2", X"95", X"6d", X"99", X"e0", X"e0", X"95", X"3d", X"06",
X"53", X"23", X"ae", X"2b", X"99", X"f1", X"77", X"81", X"67", X"9b", X"5b", X"82", X"e6", X"c3", X"4d",
X"91", X"55", X"ec", X"95", X"b7", X"05", X"c0", X"46", X"f9", X"ba", X"fe", X"95", X"ec", X"3f", X"17",
X"3e", X"3e", X"f8", X"eb", X"e2", X"b7", X"8a", X"75", X"6f", X"02", X"f8", X"db", X"51", X"b7", X"d1",
X"6f", X"6d", X"8a", X"db", X"c3", X"6b", X"0c", X"2a", X"b1", X"f9", X"e8", X"a0", X"ed", X"67", X"70",
X"64", X"ce", X"7d", X"ff", X"00", X"a8", X"a6", X"7c", X"2e", X"b6", X"f1", X"ce", X"b1", X"e1", X"7b",
X"cb", X"1f", X"16", X"e8", X"b3", X"33", X"08", X"64", X"fb", X"2d", X"d2", X"5b", X"b3", X"29", X"74",
X"18", X"20", X"b0", X"18", X"ef", X"eb", X"5e", X"66", X"73", X"56", X"50", X"c5", X"3f", X"ab", X"a7",
X"ee", X"e8", X"d3", X"f3", X"fc", X"d7", X"99", X"a2", X"69", X"e8", X"d9", X"f3", X"76", X"9b", X"6d",
X"a8", X"68", X"b7", X"d1", X"dd", X"6a", X"9a", X"44", X"b3", X"24", X"33", X"6e", X"90", X"94", X"3b",
X"5b", X"19", X"f5", X"ea", X"2b", X"aa", X"7f", X"11", X"f8", X"76", X"57", X"69", X"17", X"45", X"da",
X"1c", X"96", X"03", X"6a", X"f0", X"0f", X"e3", X"51", X"6a", X"ba", X"37", X"8c", X"5b", X"75", X"f5",
X"d5", X"b5", X"cb", X"da", X"29", X"2c", X"e2", X"3c", X"10", X"07", X"51", X"90", X"39", X"ee", X"3f",
X"ad", X"72", X"0f", X"1d", X"e1", X"76", X"29", X"6d", X"31", X"52", X"4e", X"38", X"23", X"8a", X"ba",
X"78", X"87", X"6b", X"e8", X"5f", X"3b", X"a7", X"a2", X"47", X"af", X"fc", X"24", X"f8", X"75", X"17",
X"8e", X"6e", X"af", X"6f", X"f5", X"dd", X"5b", X"4b", X"83", X"4f", X"b3", X"b8", X"d2", X"ac", X"5e",
X"39", X"e4", X"58", X"6f", X"24", X"8a", X"e2", X"ee", X"18", X"44", X"d6", X"ca", X"e3", X"1b", X"11",
X"58", X"a3", X"15", X"63", X"b0", X"b4", X"7d", X"b1", X"9f", X"4c", X"f8", X"a1", X"fb", X"3f", X"4d",
X"f0", X"ef", X"c1", X"56", X"97", X"fa", X"c6", X"89", X"f6", X"bd", X"13", X"53", X"b3", X"3f", X"d8",
X"ba", X"ea", X"98", X"18", X"3a", X"cd", X"03", X"4d", X"f6", X"79", X"c0", X"61", X"22", X"31", X"c6",
X"e5", X"23", X"31", X"b9", X"73", X"80", X"4b", X"28", X"ae", X"2b", X"e1", X"bf", X"c4", X"8d", X"5b",
X"e0", X"67", X"ed", X"10", X"fe", X"13", X"f0", X"d6", X"a6", X"97", X"5e", X"16", X"83", X"56", X"1a",
X"72", X"3f", X"88", X"a5", X"fb", X"27", X"d9", X"2c", X"ae", X"1e", X"30", X"f2", X"79", X"b2", X"30",
X"5b", X"60", X"aa", X"cc", X"cc", X"dc", X"23", X"a2", X"7c", X"c3", X"a1", X"1f", X"50", X"7c", X"46",
X"f1", X"ee", X"81", X"e3", X"4d", X"63", X"e2", X"2e", X"91", X"e1", X"bd", X"6f", X"43", X"d4", X"fc",
X"05", X"a0", X"f8", X"6a", X"35", X"36", X"f1", X"5f", X"db", X"cd", X"61", X"79", X"af", X"dd", X"c3",
X"21", X"86", X"4b", X"49", X"1c", X"c8", X"b1", X"a5", X"bc", X"2c", X"f3", X"4a", X"b1", X"15", X"5f",
X"b5", X"30", X"0f", X"b5", X"d0", X"4d", X"5f", X"4b", X"83", X"8e", X"1e", X"78", X"58", X"ca", X"1a",
X"bb", X"3e", X"8b", X"aa", X"6f", X"ce", X"eb", X"5f", X"91", X"ce", X"e1", X"6b", X"a7", X"b8", X"bf",
X"09", X"35", X"5f", X"88", X"5e", X"29", X"b0", X"f0", X"96", X"a1", X"f1", X"93", X"5a", X"7d", X"57",
X"4c", X"f1", X"4e", X"99", X"35", X"c8", X"b1", X"93", X"58", X"5b", X"78", X"e3", X"d3", X"e1", X"b6",
X"1e", X"5d", X"e1", X"59", X"08", X"59", X"1c", X"80", X"b1", X"ba", X"e1", X"54", X"2c", X"8d", X"b9",
X"c3", X"90", X"6b", X"85", X"f1", X"3f", X"83", X"fc", X"2d", X"e1", X"4f", X"07", X"fc", X"5d", X"d5",
X"fe", X"19", X"fc", X"6e", X"bb", X"d4", X"7c", X"29", X"a4", X"5b", X"a3", X"c9", X"a5", X"41", X"a5",
X"bd", X"f4", X"f1", X"86", X"8d", X"02", X"b4", X"17", X"30", X"4c", X"82", X"35", X"f3", X"83", X"c4",
X"66", X"75", X"61", X"09", X"8c", X"97", X"69", X"4f", X"cd", X"5e", X"25", X"e0", X"ff", X"00", X"da",
X"03", X"e2", X"87", X"84", X"ed", X"cc", X"9a", X"6e", X"af", X"0c", X"49", X"e1", X"bd", X"1e", X"ff",
X"00", X"c3", X"16", X"8b", X"3d", X"a2", X"c8", X"23", X"b5", X"bc", X"1b", X"c6", X"c0", X"c5", X"70",
X"c2", X"65", X"50", X"c5", X"9b", X"05", X"42", X"8c", X"65", X"4a", X"bf", X"d8", X"bf", X"00", X"61",
X"f8", X"6a", X"9e", X"11", X"f0", X"d6", X"9d", X"e2", X"4d", X"1f", X"c3", X"1a", X"6e", X"b3", X"a6",
X"da", X"47", X"a4", X"a0", X"b3", X"d7", X"ad", X"22", X"96", X"7b", X"50", X"88", X"d0", X"a4", X"72",
X"cf", X"2c", X"72", X"2c", X"b2", X"0f", X"20", X"14", X"24", X"6f", X"95", X"37", X"2b", X"48", X"85",
X"56", X"2d", X"b0", X"53", X"86", X"63", X"15", X"da", X"1b", X"b6", X"9d", X"f5", X"5b", X"5d", X"5b",
X"c9", X"ef", X"aa", X"dc", X"77", X"ba", X"b9", X"f3", X"af", X"ec", X"cd", X"f1", X"73", X"54", X"d3",
X"3c", X"4b", X"e2", X"af", X"0c", X"cd", X"e1", X"0d", X"0a", X"fe", X"43", X"e1", X"8d", X"4f", X"53",
X"b6", X"d6", X"67", X"d2", X"fc", X"eb", X"bb", X"1b", X"b7", X"b2", X"31", X"ed", X"92", X"6c", X"11",
X"24", X"77", X"12", X"98", X"d5", X"84", X"d8", X"05", X"c0", X"c3", X"1c", X"98", X"64", X"f5", X"ef",
X"8b", X"1f", X"17", X"bc", X"2d", X"a5", X"78", X"a7", X"c0", X"9e", X"2c", X"bc", X"d2", X"75", X"3f",
X"0d", X"78", X"4e", X"e8", X"8d", X"5b", X"49", X"f1", X"6e", X"9f", X"6c", X"d2", X"4b", X"67", X"6c",
X"61", X"49", X"a1", X"d3", X"84", X"20", X"b4", X"4e", X"a1", X"27", X"41", X"36", X"e4", X"95", X"c8",
X"56", X"55", X"89", X"3e", X"fa", X"75", X"df", X"13", X"7e", X"1b", X"68", X"5f", X"0b", X"7e", X"1d",
X"cd", X"ab", X"7c", X"1a", X"9f", X"48", X"16", X"f0", X"de", X"dd", X"e8", X"fe", X"27", X"68", X"a4",
X"82", X"e2", X"7d", X"52", X"01", X"20", X"2e", X"cf", X"1c", X"5f", X"bb", X"17", X"51", X"f9", X"9b",
X"37", X"48", X"81", X"a3", X"42", X"a2", X"3f", X"2f", X"e4", X"65", X"e1", X"ac", X"be", X"20", X"78",
X"93", X"4a", X"fd", X"9d", X"fc", X"21", X"e1", X"7f", X"08", X"78", X"72", X"e2", X"0d", X"4e", X"e6",
X"4b", X"9b", X"09", X"75", X"b9", X"46", X"fb", X"3b", X"6b", X"bb", X"59", X"96", X"d7", X"ce", X"5d",
X"e1", X"97", X"73", X"47", X"22", X"c2", X"61", X"0a", X"a5", X"9f", X"2d", X"b7", X"6a", X"c8", X"e1",
X"61", X"e7", X"57", X"0d", X"28", X"51", X"a5", X"53", X"9b", X"dd", X"be", X"9e", X"4d", X"2d", X"15",
X"fe", X"57", X"d0", X"13", X"e5", X"f7", X"64", X"b5", X"47", X"b4", X"bf", X"8a", X"be", X"1c", X"7c",
X"61", X"f0", X"a6", X"a6", X"da", X"6e", X"a3", X"e1", X"3f", X"17", X"e2", X"c2", X"56", X"d2", X"ed",
X"6d", X"23", X"b8", X"d2", X"b5", X"18", X"6f", X"17", X"ce", X"8e", X"7f", X"31", X"26", X"67", X"68",
X"c4", X"cc", X"47", X"96", X"ee", X"9b", X"4a", X"c8", X"bb", X"81", X"18", X"91", X"be", X"78", X"f8",
X"51", X"1e", X"b1", X"f0", X"33", X"c4", X"f1", X"f8", X"a2", X"3f", X"0e", X"1f", X"15", X"e8", X"9e",
X"3c", X"10", X"be", X"92", X"d1", X"c5", X"2d", X"b4", X"6b", X"22", X"39", X"60", X"e8", X"85", X"49",
X"f9", X"06", X"ec", X"b9", X"00", X"61", X"41", X"ca", X"f7", X"e0", X"bc", X"59", X"e3", X"7d", X"4f",
X"c4", X"3e", X"1f", X"f0", X"50", X"f8", X"68", X"b6", X"b6", X"7a", X"a4", X"fa", X"79", X"b7", X"d4",
X"35", X"4b", X"6d", X"3e", X"0b", X"09", X"2c", X"2e", X"2d", X"94", X"5b", X"0b", X"51", X"2c", X"67",
X"76", X"c5", X"8d", X"0c", X"68", X"32", X"59", X"91", X"57", X"92", X"0e", X"17", X"d9", X"fe", X"16",
X"fc", X"5c", X"d4", X"fe", X"25", X"69", X"3e", X"09", X"f0", X"3f", X"c6", X"af", X"14", X"58", X"69",
X"16", X"ba", X"ad", X"dd", X"ed", X"c4", X"17", X"2d", X"1a", X"c1", X"3e", X"bb", X"24", X"8d", X"24",
X"96", X"ca", X"e5", X"00", X"55", X"59", X"da", X"48", X"f0", X"aa", X"23", X"59", X"54", X"c2", X"18",
X"16", X"68", X"98", X"63", X"88", X"cc", X"69", X"54", X"94", X"53", X"4f", X"9a", X"fa", X"3f", X"37",
X"6d", X"35", X"ec", X"9b", X"ba", X"7b", X"7c", X"8b", X"94", X"5a", X"d5", X"1e", X"5b", X"f1", X"bf",
X"c0", X"e3", X"c6", X"3f", X"1d", X"63", X"f1", X"57", X"8c", X"fc", X"3d", X"ab", X"6a", X"1e", X"10",
X"b5", X"45", X"b8", X"9b", X"4a", X"d3", X"9a", X"2b", X"7b", X"d8", X"92", X"4d", X"a2", X"49", X"96",
X"68", X"f1", X"e6", X"95", X"25", X"18", X"82", X"41", X"23", X"1e", X"a0", X"1f", X"a3", X"93", X"5b",
X"d0", X"3f", X"67", X"3d", X"36", X"d2", X"18", X"35", X"1d", X"74", X"f8", X"56", X"dd", X"63", X"b7",
X"bb", X"63", X"7a", X"93", X"35", X"bd", X"c3", X"8d", X"f0", X"0c", X"9c", X"b6", X"46", X"c6", X"dc",
X"31", X"b4", X"ef", X"03", X"3c", X"f1", X"f2", X"8f", X"c5", X"7d", X"7f", X"c6", X"bf", X"0e", X"3c",
X"63", X"af", X"78", X"20", X"6b", X"8e", X"9a", X"cc", X"16", X"e8", X"2f", X"05", X"cc", X"6a", X"ef",
X"77", X"1c", X"cb", X"e6", X"b8", X"ca", X"91", X"1a", X"60", X"30", X"04", X"2e", X"39", X"c0", X"18",
X"0a", X"b4", X"db", X"9d", X"1f", X"c5", X"91", X"fc", X"1a", X"b7", X"d7", X"ae", X"7e", X"d6", X"ba",
X"1f", X"89", X"6d", X"a3", X"32", X"dd", X"5c", X"93", X"3c", X"6c", X"d1", X"b9", X"75", X"d8", X"d9",
X"26", X"26", X"0c", X"a4", X"15", X"eb", X"80", X"78", X"ca", X"a8", X"3c", X"f5", X"f3", X"08", X"c2",
X"53", X"53", X"83", X"72", X"57", X"bf", X"5b", X"2e", X"97", X"6b", X"a6", X"da", X"8d", X"2d", X"39",
X"91", X"8b", X"fb", X"45", X"eb", X"7f", X"08", X"64", X"d6", X"66", X"f1", X"0f", X"c1", X"8d", X"46",
X"45", X"8f", X"57", X"bb", X"37", X"32", X"5a", X"01", X"32", X"47", X"6a", X"c7", X"e6", X"90", X"04",
X"93", X"3b", X"54", X"b9", X"61", X"b0", X"70", X"0e", X"ec", X"71", X"8a", X"e2", X"ad", X"f5", X"4d",
X"2d", X"e0", X"8d", X"e7", X"4b", X"33", X"2b", X"20", X"2e", X"41", X"1f", X"7b", X"1c", X"fe", X"b5",
X"cd", X"d8", X"e8", X"76", X"fa", X"cc", X"1a", X"84", X"cf", X"2b", X"46", X"22", X"96", X"35", X"0e",
X"4f", X"0a", X"a5", X"b0", X"c4", X"8f", X"a6", X"33", X"5d", X"3a", X"f8", X"23", X"c1", X"b1", X"01",
X"19", X"f1", X"cd", X"be", X"50", X"6d", X"e0", X"8c", X"71", X"5f", X"35", X"88", X"9d", X"1c", X"44",
X"dc", X"9a", X"e5", X"f2", X"5f", X"d3", X"1c", X"6a", X"24", X"bd", X"e4", X"68", X"78", X"8b", X"44",
X"f0", X"ec", X"da", X"fe", X"bd", X"af", X"db", X"c7", X"7d", X"76", X"fa", X"14", X"33", X"d9", X"6a",
X"36", X"80", X"2c", X"72", X"c1", X"3b", X"20", X"84", X"5d", X"c6", X"1c", X"62", X"48", X"c5", X"c3",
X"10", X"f1", X"fc", X"ad", X"1c", X"8f", X"b8", X"36", X"d9", X"03", X"2f", X"a7", X"ff", X"00", X"c3",
X"33", X"fc", X"67", X"f0", X"b7", X"84", X"2d", X"b4", X"81", X"af", X"46", X"3c", X"0b", X"af", X"db",
X"db", X"6b", X"17", X"d7", X"30", X"44", X"b7", X"36", X"31", X"7d", X"a6", X"28", X"51", X"ae", X"15",
X"23", X"6f", X"32", X"e3", X"74", X"2d", X"1c", X"70", X"be", X"e8", X"c7", X"ef", X"48", X"dc", X"8c",
X"ec", X"4f", X"55", X"f0", X"9b", X"c0", X"5e", X"24", X"f8", X"ef", X"e3", X"73", X"e2", X"3f", X"8a",
X"de", X"0f", X"d2", X"bc", X"67", X"e1", X"d1", X"a7", X"5a", X"e9", X"57", X"da", X"9f", X"9f", X"71",
X"63", X"a9", X"d8", X"8b", X"76", X"91", X"62", X"b9", X"83", X"0c", X"66", X"95", X"d1", X"da", X"48",
X"4b", X"30", X"c9", X"8a", X"dd", X"17", X"92", X"be", X"5b", X"7d", X"57", X"a9", X"dd", X"7c", X"3d",
X"d0", X"74", X"25", X"f1", X"26", X"9f", X"71", X"ac", X"7d", X"8f", X"e1", X"d6", X"b5", X"a9", X"59",
X"bd", X"b6", X"96", X"a2", X"ce", X"0b", X"78", X"84", X"9b", X"2f", X"8d", X"ea", X"a1", X"2b", X"39",
X"42", X"af", X"bb", X"04", X"0c", X"dc", X"b1", X"95", X"76", X"3b", X"13", X"f4", X"58", X"5c", X"05",
X"e3", X"2a", X"94", X"fd", X"e8", X"b7", X"a5", X"9d", X"b4", X"4f", X"55", X"d9", X"ad", X"f6", X"ec",
X"65", X"0f", X"79", X"dd", X"bb", X"1f", X"9d", X"ba", X"97", X"86", X"f4", X"af", X"0f", X"fc", X"6f",
X"d6", X"fc", X"31", X"6d", X"e2", X"4b", X"58", X"b4", X"6d", X"33", X"56", X"2e", X"d7", X"9a", X"b5",
X"a1", X"78", X"a6", X"8e", X"39", X"be", X"d1", X"e6", X"cb", X"6e", X"84", X"99", X"23", X"df", X"13",
X"6e", X"0a", X"c3", X"f7", X"7b", X"b3", X"91", X"95", X"3c", X"ff", X"00", X"85", X"bc", X"59", X"e1",
X"2f", X"05", X"78", X"f6", X"df", X"c5", X"2e", X"fa", X"75", X"c5", X"ad", X"b6", X"a3", X"1e", X"a7",
X"78", X"34", X"dd", X"ab", X"05", X"b4", X"b0", X"dc", X"bb", X"c5", X"1d", X"bc", X"32", X"a3", X"06",
X"0a", X"c6", X"39", X"55", X"24", X"12", X"21", X"87", X"70", X"71", X"94", X"6c", X"6c", X"7c", X"72",
X"f8", X"bb", X"73", X"e3", X"2f", X"8a", X"1a", X"cc", X"b6", X"b7", X"16", X"17", X"f6", X"10", X"ea",
X"da", X"8c", X"b6", X"8d", X"6e", X"64", X"b8", X"b7", X"9d", X"52", X"69", X"13", X"7a", X"97", X"66",
X"61", X"14", X"d1", X"6e", X"63", X"fc", X"3b", X"5d", X"49", X"1d", X"5a", X"be", X"bf", X"f8", X"11",
X"63", X"f0", X"5b", X"e2", X"af", X"82", X"fc", X"03", X"a7", X"5e", X"5b", X"6a", X"3a", X"b7", X"88",
X"fc", X"2a", X"7e", X"cb", X"6b", X"ac", X"6a", X"4a", X"b6", X"ba", X"b5", X"94", X"2b", X"14", X"a0",
X"b4", X"33", X"08", X"1a", X"29", X"92", X"22", X"1b", X"ca", X"47", X"32", X"15", X"f2", X"b2", X"4b",
X"bc", X"6a", X"42", X"c0", X"61", X"af", X"5e", X"71", X"a3", X"2d", X"22", X"ee", X"be", X"fb", X"3d",
X"2f", X"7d", X"3f", X"22", X"69", X"df", X"e1", X"38", X"3f", X"89", X"df", X"b5", X"e7", X"88", X"ad",
X"7c", X"14", X"9f", X"0f", X"bc", X"15", X"e0", X"af", X"b0", X"78", X"a3", X"5d", X"bf", X"9a", X"f7",
X"51", X"83", X"54", X"b3", X"33", X"2c", X"fa", X"75", X"d8", X"dd", X"6d", X"76", X"ad", X"03", X"a4",
X"91", X"5c", X"23", X"14", X"81", X"d6", X"42", X"57", X"71", X"26", X"30", X"ca", X"76", X"47", X"b3",
X"f0", X"83", X"f6", X"be", X"d1", X"87", X"81", X"63", X"b4", X"f1", X"76", X"ab", X"a7", X"f8", X"7e",
X"eb", X"46", X"36", X"16", X"b3", X"58", X"59", X"e9", X"41", X"ad", X"f5", X"25", X"78", X"a5", X"8e",
X"e2", X"f3", X"50", X"88", X"21", X"cc", X"b1", X"4c", X"62", X"90", X"c7", X"a7", X"b4", X"37", X"13",
X"ca", X"e4", X"ba", X"6c", X"3b", X"e0", X"d1", X"f8", X"45", X"1f", X"c6", X"fb", X"f7", X"f8", X"eb",
X"f0", X"da", X"f7", X"e1", X"f7", X"85", X"35", X"9f", X"12", X"dc", X"6a", X"0f", X"34", X"96", X"76",
X"2c", X"5b", X"4d", X"92", X"7b", X"bd", X"38", X"25", X"89", X"33", X"19", X"55", X"e4", X"b6", X"95",
X"20", X"28", X"9f", X"30", X"65", X"f2", X"e5", X"32", X"fe", X"f0", X"92", X"be", X"35", X"e1", X"bf",
X"d9", X"e7", X"c5", X"b7", X"82", X"6f", X"05", X"e8", X"b0", X"69", X"7a", X"d6", X"a5", X"76", X"91",
X"49", X"7f", X"6b", X"7f", X"66", X"22", X"6b", X"4b", X"3d", X"cc", X"b2", X"5e", X"88", X"e4", X"1e",
X"64", X"8b", X"1c", X"8a", X"b1", X"ae", X"c0", X"ad", X"be", X"64", X"77", X"58", X"95", X"b1", X"5d",
X"15", X"e1", X"8b", X"a7", X"28", X"fd", X"56", X"f2", X"5b", X"5b", X"6b", X"6b", X"fd", X"6d", X"f9",
X"1a", X"37", X"ad", X"cb", X"be", X"3f", X"93", X"c2", X"5e", X"20", X"9f", X"5c", X"f0", X"2d", X"b6",
X"b1", X"75", X"ae", X"69", X"b7", X"9f", X"65", X"6b", X"8f", X"14", X"dd", X"db", X"c9", X"0d", X"d2",
X"de", X"86", X"50", X"b0", X"a5", X"b9", X"28", X"63", X"91", X"6d", X"62", X"86", X"09", X"8b", X"87",
X"91", X"76", X"cf", X"08", X"27", X"31", X"ac", X"1e", X"7b", X"a1", X"f8", X"ff", X"00", X"4f", X"d0",
X"be", X"25", X"5a", X"5d", X"78", X"f3", X"50", X"bb", X"f1", X"46", X"a4", X"b3", X"43", X"69", X"2c",
X"aa", X"d0", X"25", X"8a", X"69", X"ec", X"88", X"b2", X"43", X"24", X"31", X"29", X"8c", X"6c", X"8d",
X"42", X"18", X"d0", X"2b", X"2b", X"ab", X"2e", X"55", X"94", X"14", X"e8", X"be", X"12", X"e9", X"16",
X"13", X"f8", X"8b", X"c3", X"ba", X"a6", X"bd", X"7d", X"77", X"f1", X"17", X"5b", X"d6", X"24", X"6d",
X"17", X"4a", X"d1", X"2c", X"ee", X"25", X"b4", X"b7", X"96", X"f2", X"65", X"8e", X"d2", X"07", X"b8",
X"d5", X"2e", X"15", X"45", X"ac", X"42", X"08", X"60", X"2b", X"b0", X"4b", X"23", X"83", X"82", X"10",
X"39", X"61", X"eb", X"7f", X"0f", X"bf", X"66", X"0f", X"01", X"6b", X"da", X"46", X"9f", X"e3", X"0d",
X"67", X"c7", X"11", X"f8", X"76", X"da", X"6b", X"fb", X"ab", X"7d", X"62", X"de", X"3d", X"7a", X"d9",
X"ac", X"6d", X"5a", X"7b", X"b9", X"a0", X"b7", X"f2", X"de", X"45", X"4b", X"88", X"97", X"cf", X"6b",
X"72", X"ab", X"31", X"de", X"eb", X"21", X"47", X"d9", X"2a", X"18", X"5f", X"9a", X"58", X"6a", X"d9",
X"8e", X"21", X"d4", X"82", X"49", X"47", X"a3", X"76", X"dd", X"f5", X"7a", X"e9", X"f7", X"bb", X"5c",
X"6d", X"cd", X"49", X"34", X"70", X"9f", X"b4", X"4c", X"d6", X"df", X"1a", X"34", X"4b", X"7f", X"1d",
X"f8", X"1e", X"74", X"d5", X"2d", X"34", X"3d", X"3a", X"db", X"51", X"bb", X"8a", X"56", X"8a", X"19",
X"ed", X"1e", X"67", X"9a", X"27", X"b5", X"44", X"00", X"4f", X"2a", X"2c", X"50", X"a4", X"8e", X"8f",
X"26", X"e8", X"fc", X"e8", X"88", X"2f", X"92", X"aa", X"9f", X"06", X"ff", X"00", X"69", X"ef", X"86",
X"f3", X"e9", X"93", X"fc", X"21", X"f8", X"89", X"e1", X"8b", X"58", X"bc", X"3f", X"ac", X"a2", X"5b",
X"4c", X"ed", X"71", X"04", X"56", X"b0", X"ca", X"54", X"01", X"28", X"8a", X"0b", X"78", X"8c", X"65",
X"9d", X"e4", X"62", X"e6", X"46", X"23", X"e5", X"0b", X"b7", X"15", X"85", X"f0", X"57", X"c0", X"7a",
X"cd", X"ff", X"00", X"c4", X"ab", X"9d", X"4d", X"f5", X"4b", X"5b", X"bd", X"17", X"4e", X"bc", X"d4",
X"34", X"d5", X"8f", X"56", X"bd", X"7b", X"08", X"b5", X"3b", X"74", X"9c", X"c2", X"54", X"4f", X"83",
X"24", X"8c", X"40", X"24", X"ab", X"23", X"2c", X"60", X"b0", X"28", X"77", X"15", X"af", X"a0", X"fe",
X"2a", X"7c", X"19", X"f0", X"36", X"a1", X"e2", X"4f", X"16", X"78", X"97", X"c2", X"16", X"da", X"65",
X"96", X"8f", X"a5", X"68", X"10", X"6a", X"57", X"1a", X"b4", X"d6", X"90", X"b6", X"9f", X"72", X"26",
X"33", X"ab", X"b5", X"ac", X"fb", X"85", X"bf", X"96", X"be", X"53", X"2c", X"9f", X"bd", X"01", X"1d",
X"19", X"49", X"db", X"90", X"6a", X"8f", X"b6", X"c5", X"4d", X"d4", X"83", X"49", X"fc", X"2d", X"3d",
X"53", X"b7", X"45", X"75", X"b7", X"4d", X"cb", X"a7", X"34", X"9d", X"e4", X"ae", X"7c", X"69", X"a2",
X"d9", X"68", X"3e", X"1f", X"f1", X"c6", X"a3", X"e1", X"9d", X"4b", X"4e", X"b9", X"bc", X"f0", X"a4",
X"b7", X"93", X"c0", X"93", X"99", X"95", X"84", X"a0", X"33", X"18", X"d0", X"48", X"bc", X"36", X"55",
X"7d", X"89", X"eb", X"c5", X"74", X"d2", X"f8", X"ab", X"c1", X"91", X"4a", X"f1", X"da", X"7c", X"39",
X"f0", X"42", X"c0", X"8c", X"56", X"25", X"97", X"51", X"88", X"38", X"40", X"78", X"0c", X"33", X"d7",
X"18", X"cd", X"72", X"77", X"53", X"68", X"76", X"da", X"65", X"ad", X"87", X"87", X"b5", X"18", X"6f",
X"d2", X"3d", X"6a", X"62", X"f7", X"a2", X"dd", X"62", X"46", X"90", X"a3", X"32", X"c4", X"02", X"49",
X"22", X"32", X"8c", X"2a", X"ef", X"56", X"20", X"ef", X"3f", X"dd", X"39", X"e6", X"57", X"e0", X"ac",
X"5a", X"8a", X"8d", X"41", X"24", X"d5", X"51", X"6e", X"87", X"9c", X"15", X"6c", X"72", X"00", X"6e",
X"70", X"0e", X"79", X"1c", X"d7", X"cb", X"d5", X"a3", X"05", X"5e", X"71", X"c4", X"3e", X"46", X"9f",
X"47", X"75", X"bf", X"93", X"35", X"e5", X"e4", X"76", X"86", X"d6", X"4f", X"6b", X"ee", X"77", X"5a",
X"7f", X"ed", X"43", X"e2", X"cf", X"0e", X"fd", X"a2", X"fb", X"c2", X"7a", X"80", X"d3", X"75", X"3b",
X"39", X"a3", X"b3", X"5b", X"78", X"96", X"48", X"a1", X"d4", X"34", X"ff", X"00", X"3a", X"39", X"36",
X"f9", X"8a", X"de", X"6e", X"4c", X"b0", X"a7", X"98", X"85", X"d7", X"7a", X"48", X"fb", X"b7", X"7c",
X"cb", X"5f", X"5b", X"78", X"eb", X"e2", X"b6", X"bb", X"fb", X"41", X"fc", X"39", X"f1", X"f7", X"86",
X"24", X"36", X"3e", X"1e", X"d3", X"a7", X"d2", X"b4", X"f5", X"86", X"3b", X"6d", X"5c", X"bd", X"e2",
X"34", X"86", X"32", X"c8", X"62", X"24", X"33", X"c4", X"b3", X"47", X"2a", X"17", X"09", X"1a", X"6c",
X"94", X"a9", X"46", X"12", X"b9", X"87", X"f3", X"57", X"c5", X"96", X"d0", X"e9", X"1e", X"28", X"d5",
X"ec", X"f4", X"e0", X"f1", X"45", X"69", X"ab", X"5c", X"c1", X"0f", X"ce", X"cc", X"ca", X"91", X"ca",
X"e1", X"06", X"e2", X"49", X"38", X"00", X"72", X"4e", X"78", X"af", X"47", X"f1", X"57", X"8e", X"3c",
X"4b", X"a3", X"7c", X"28", X"f8", X"69", X"e2", X"cd", X"3e", X"f6", X"14", X"d5", X"a7", X"5d", X"47",
X"7d", X"d3", X"d9", X"c3", X"23", X"16", X"8e", X"f2", X"e1", X"51", X"f0", X"e8", X"40", X"75", X"12",
X"30", X"0e", X"06", X"e1", X"c6", X"0f", X"ca", X"b8", X"fa", X"dc", X"1c", X"1e", X"1e", X"94", X"a9",
X"d3", X"d9", X"6b", X"6e", X"8f", X"a3", X"5b", X"37", X"67", X"73", X"99", X"46", X"d7", X"47", X"0e",
X"f7", X"ef", X"a7", X"b2", X"88", X"6c", X"a0", X"86", X"e2", X"13", X"22", X"3b", X"a4", X"8c", X"58",
X"1f", X"99", X"4a", X"19", X"14", X"ed", X"60", X"46", X"ff", X"00", X"bb", X"f2", X"b2", X"b7", X"19",
X"19", X"07", X"dc", X"b4", X"9f", X"8a", X"5f", X"19", X"fc", X"23", X"e1", X"8f", X"0c", X"6a", X"b3",
X"69", X"d7", X"76", X"9a", X"35", X"95", X"c4", X"70", X"68", X"53", X"cb", X"a0", X"bc", X"16", X"f2",
X"db", X"b2", X"cf", X"89", X"8c", X"b1", X"2a", X"34", X"db", X"d5", X"ae", X"42", X"e6", X"42", X"59",
X"bc", X"d2", X"8a", X"5b", X"cc", X"6a", X"f1", X"ed", X"2a", X"ca", X"db", X"56", X"f0", X"37", X"89",
X"7c", X"41", X"a9", X"c6", X"6e", X"b5", X"2f", X"ed", X"0b", X"34", X"37", X"52", X"b1", X"69", X"08",
X"9a", X"57", X"32", X"fc", X"c4", X"e7", X"2c", X"46", X"49", X"eb", X"c9", X"f5", X"35", X"fa", X"f1",
X"fb", X"2e", X"78", X"33", X"c2", X"9f", X"13", X"7f", X"64", X"af", X"87", X"3a", X"27", X"8e", X"f4",
X"0b", X"3d", X"5f", X"4f", X"f8", X"85", X"15", X"fe", X"99", X"e2", X"3b", X"69", X"63", X"0b", X"1d",
X"f4", X"36", X"52", X"5c", X"c3", X"68", X"db", X"53", X"02", X"29", X"a2", X"8e", X"de", X"dd", X"52",
X"78", X"f6", X"ca", X"3c", X"88", X"8e", X"fc", X"c6", X"a4", X"70", X"50", X"9d", X"45", X"37", X"1a",
X"4e", X"dd", X"1f", X"e0", X"d7", X"ae", X"b6", X"2a", X"14", X"d4", X"93", X"67", X"8b", X"7c", X"33",
X"f8", X"bb", X"f0", X"3f", X"c4", X"bf", X"b3", X"de", X"8d", X"1f", X"8f", X"3c", X"4f", X"06", X"90",
X"da", X"95", X"ee", X"ff", X"00", X"14", X"e9", X"ba", X"4e", X"9d", X"73", X"15", X"c6", X"9b", X"22",
X"6a", X"29", X"9b", X"d9", X"ee", X"6d", X"22", X"4f", X"2e", X"3c", X"cb", X"04", X"d2", X"cb", X"88",
X"f7", X"3c", X"fb", X"51", X"bc", X"c2", X"ae", X"9b", X"5a", X"bf", X"8d", X"3e", X"35", X"6b", X"3e",
X"3f", X"f1", X"3e", X"b7", X"f0", X"e7", X"c4", X"5a", X"34", X"7a", X"4d", X"96", X"9b", X"6d", X"e2",
X"1b", X"0d", X"52", X"47", X"7b", X"8d", X"3f", X"c4", X"17", X"82", X"26", X"68", X"ad", X"c4", X"f7",
X"12", X"c7", X"6f", X"1c", X"2f", X"14", X"52", X"2c", X"d7", X"5e", X"63", X"15", X"f3", X"90", X"0c",
X"6f", X"62", X"3c", X"07", X"f6", X"8f", X"f0", X"ae", X"8d", X"f0", X"43", X"5e", X"f1", X"85", X"9f",
X"c2", X"a8", X"ee", X"fc", X"3b", X"0d", X"b7", X"c2", X"fd", X"2a", X"28", X"d6", X"da", X"fa", X"76",
X"f9", X"35", X"0d", X"53", X"ec", X"97", X"aa", X"db", X"dd", X"b7", X"89", X"61", X"66", X"52", X"1b",
X"38", X"63", X"bc", X"61", X"c0", X"6a", X"fa", X"4f", X"e0", X"35", X"85", X"be", X"8d", X"e0", X"2d",
X"25", X"34", X"ff", X"00", X"35", X"21", X"b7", X"d3", X"7c", X"6f", X"61", X"15", X"bc", X"93", X"3c",
X"b0", X"2c", X"1a", X"6e", X"bf", X"67", X"05", X"92", X"f9", X"4e", X"4a", X"66", X"38", X"de", X"41",
X"bb", X"1b", X"98", X"bb", X"b3", X"96", X"66", X"24", X"fb", X"38", X"3a", X"8e", X"a5", X"47", X"2a",
X"9b", X"ab", X"27", X"6e", X"ba", X"ff", X"00", X"c1", X"b9", X"4a", X"2d", X"fb", X"b7", X"d8", X"f9",
X"cb", X"45", X"d6", X"fe", X"23", X"7c", X"24", X"f1", X"b7", X"8b", X"7e", X"28", X"7c", X"3d", X"f8",
X"71", X"69", X"37", X"87", X"64", X"b0", X"d5", X"af", X"f4", X"6d", X"2a", X"eb", X"51", X"8a", X"58",
X"f4", X"dd", X"08", X"24", X"91", X"a3", X"c3", X"e5", X"b1", X"77", X"8e", X"19", X"64", X"59", X"66",
X"b6", X"8f", X"27", X"6d", X"bb", X"45", X"21", X"1e", X"5a", X"c8", X"bc", X"b7", X"ec", X"e7", X"f1",
X"c3", X"c4", X"b6", X"9e", X"39", X"4f", X"16", X"fc", X"4b", X"8e", X"4d", X"6b", X"45", X"d5", X"64",
X"6b", X"4b", X"61", X"2d", X"9d", X"b4", X"70", X"c7", X"2a", X"5c", X"5b", X"4c", X"d3", X"c4", X"1b",
X"08", X"b2", X"a9", X"b5", X"85", X"9a", X"73", X"ca", X"3c", X"30", X"b1", X"93", X"78", X"dd", X"5f",
X"a1", X"ba", X"bf", X"86", X"b4", X"2b", X"4f", X"8c", X"56", X"da", X"ad", X"be", X"9b", X"12", X"5c",
X"e9", X"de", X"0d", X"d4", X"2d", X"6d", X"9b", X"92", X"ab", X"14", X"77", X"5a", X"64", X"88", X"85",
X"4f", X"ca", X"c1", X"5d", X"98", X"8d", X"c0", X"e3", X"73", X"0e", X"84", X"8a", X"f8", X"03", X"fe",
X"0a", X"0f", X"69", X"6b", X"63", X"fb", X"54", X"6a", X"90", X"58", X"c0", X"96", X"c9", X"a8", X"59",
X"69", X"33", X"5c", X"88", X"46", X"cf", X"32", X"47", X"0f", X"0b", X"b1", X"c7", X"73", X"1c", X"31",
X"a9", X"3d", X"4e", X"df", X"52", X"49", X"bc", X"6d", X"39", X"65", X"eb", X"da", X"d2", X"77", X"8a",
X"96", X"cf", X"d3", X"ca", X"dd", X"cc", X"b9", X"a4", X"9d", X"ae", X"56", X"f1", X"2f", X"c4", X"2d",
X"2f", X"5b", X"f0", X"dd", X"f7", X"89", X"a5", X"bc", X"36", X"de", X"22", X"d5", X"24", X"7d", X"43",
X"52", X"98", X"ee", X"9e", X"df", X"4d", X"69", X"54", X"ec", X"58", X"d5", X"db", X"6a", X"dd", X"4a",
X"c0", X"05", X"6e", X"91", X"a8", X"df", X"21", X"dd", X"b1", X"59", X"3e", X"1d", X"7c", X"7e", X"f8",
X"a1", X"a3", X"f8", X"07", X"c3", X"7e", X"1f", X"f0", X"d4", X"5e", X"1a", X"b7", X"f0", X"7f", X"84",
X"6f", X"ae", X"be", X"dd", X"1d", X"fc", X"b6", X"b6", X"f6", X"ba", X"a4", X"57", X"1b", X"d9", X"ac",
X"24", X"86", X"77", X"df", X"75", X"0e", X"c9", X"6e", X"01", X"11", X"24", X"b2", X"37", X"da", X"30",
X"e1", X"d9", X"93", X"77", X"85", X"f8", X"8f", X"52", X"d4", X"34", X"2d", X"5a", X"da", X"1d", X"1e",
X"fa", X"e2", X"ce", X"38", X"d4", X"00", X"b0", X"c8", X"54", X"73", X"c9", X"27", X"d4", X"9c", X"9c",
X"93", X"c9", X"ef", X"57", X"ee", X"2f", X"26", X"d7", X"74", X"3d", X"6b", X"52", X"d4", X"84", X"6f",
X"75", X"61", X"30", X"48", X"25", X"8e", X"25", X"84", X"80", X"66", X"2a", X"77", X"6c", X"03", X"7f",
X"03", X"f8", X"b3", X"dc", X"f5", X"26", X"be", X"52", X"38", X"ba", X"91", X"93", X"c4", X"c5", X"d9",
X"cf", X"7b", X"69", X"be", X"bf", X"99", X"97", X"33", X"56", X"48", X"b5", X"e2", X"1b", X"9f", X"09",
X"3d", X"ed", X"cd", X"8f", X"86", X"74", X"d3", X"a5", X"e8", X"e6", X"f1", X"ee", X"ed", X"ac", X"2d",
X"e7", X"33", X"2d", X"b9", X"6e", X"06", X"e7", X"20", X"09", X"25", X"55", X"c2", X"b1", X"8c", X"79",
X"65", X"95", X"ca", X"6e", X"52", X"09", X"f5", X"1b", X"1f", X"da", X"3f", X"c4", X"96", X"56", X"56",
X"f6", X"76", X"ff", X"00", X"0d", X"b4", X"c6", X"8a", X"08", X"92", X"24", X"3b", X"e3", X"5c", X"aa",
X"80", X"07", X"1b", X"b8", X"e0", X"74", X"ae", X"37", X"e2", X"2f", X"86", X"74", X"1d", X"1f", X"41",
X"b4", X"9b", X"4c", X"d3", X"22", X"b7", X"70", X"96", X"e8", X"59", X"33", X"96", X"04", X"39", X"cb",
X"73", X"f3", X"1c", X"a8", X"e4", X"e4", X"f5", X"e7", X"93", X"5e", X"cd", X"e1", X"8f", X"02", X"78",
X"5a", X"f3", X"c3", X"7a", X"4d", X"dd", X"ce", X"9a", X"ef", X"34", X"f6", X"30", X"49", X"23", X"7d",
X"a6", X"51", X"b9", X"9a", X"35", X"24", X"e0", X"36", X"3a", X"9a", X"f0", X"31", X"52", X"85", X"47",
X"cd", X"35", X"7d", X"5e", X"f7", X"fd", X"19", X"dd", X"4a", X"b5", X"58", X"d4", X"92", X"52", X"3f",
X"ff", X"d9"
);
-- Access 'stdout' model 'fifo_out'
signal stdout_data : std_logic_vector(7 downto 0) := (others => '0');
signal stdout_rdy : std_logic := '0';
signal stdout_ack : std_logic := '0';
signal stdout_vector_idx : natural := 0;
signal stdout_vector : std_logic_vector(7 downto 0) := (others => '0');
-- Test vectors
constant stdout_vectors_nb : natural := 15932;
type stdout_vec_type is array (0 to stdout_vectors_nb-1) of std_logic_vector(7 downto 0);
constant stdout_vectors : stdout_vec_type := (
X"bc", X"d1", X"be", X"99", X"8f", X"67", X"95", X"c0", X"ac", X"9d", X"bd", X"c0", X"a4", X"c3", X"bc",
X"b5", X"c1", X"a7", X"bc", X"d2", X"b1", X"8e", X"25", X"0a", X"1f", X"28", X"27", X"34", X"20", X"1d",
X"22", X"0e", X"0a", X"12", X"0e", X"1a", X"07", X"6c", X"b5", X"be", X"b5", X"7f", X"5b", X"63", X"49",
X"24", X"0b", X"24", X"42", X"5d", X"5a", X"41", X"33", X"20", X"24", X"23", X"26", X"35", X"38", X"23",
X"19", X"19", X"20", X"31", X"29", X"36", X"20", X"1f", X"1f", X"22", X"18", X"19", X"26", X"2b", X"20",
X"0b", X"09", X"0d", X"14", X"19", X"17", X"11", X"10", X"12", X"1e", X"19", X"21", X"2d", X"24", X"1d",
X"a6", X"c1", X"65", X"5a", X"aa", X"81", X"92", X"d5", X"a9", X"58", X"91", X"c7", X"cf", X"a5", X"89",
X"71", X"c9", X"9b", X"8b", X"d1", X"bf", X"c9", X"ab", X"67", X"33", X"1d", X"11", X"09", X"1d", X"2b",
X"21", X"24", X"18", X"0e", X"18", X"18", X"04", X"6c", X"b9", X"b4", X"ba", X"bc", X"b7", X"c3", X"c0",
X"6c", X"30", X"4b", X"67", X"55", X"45", X"31", X"2e", X"37", X"2c", X"2a", X"32", X"33", X"29", X"1e",
X"12", X"0e", X"1e", X"30", X"30", X"36", X"22", X"28", X"26", X"24", X"1f", X"1c", X"34", X"37", X"2d",
X"0f", X"0e", X"10", X"17", X"15", X"0f", X"0b", X"11", X"19", X"1f", X"1a", X"2c", X"49", X"22", X"27",
X"91", X"84", X"2e", X"b5", X"cd", X"d1", X"bb", X"aa", X"8c", X"38", X"34", X"8e", X"7a", X"b0", X"c6",
X"53", X"9a", X"d7", X"c8", X"d1", X"b8", X"a4", X"c4", X"ae", X"80", X"37", X"59", X"4c", X"1c", X"26",
X"2f", X"2e", X"23", X"1b", X"27", X"1f", X"10", X"3a", X"74", X"89", X"a9", X"b8", X"b4", X"af", X"a6",
X"78", X"49", X"5b", X"61", X"54", X"20", X"24", X"3e", X"3a", X"28", X"29", X"30", X"2d", X"20", X"14",
X"0f", X"10", X"0c", X"1b", X"18", X"24", X"28", X"10", X"22", X"18", X"16", X"21", X"3d", X"41", X"37",
X"12", X"15", X"16", X"1d", X"13", X"12", X"0f", X"15", X"1c", X"21", X"1d", X"30", X"4b", X"24", X"26",
X"6f", X"0f", X"3e", X"e2", X"cf", X"bb", X"cd", X"bc", X"3d", X"02", X"0d", X"1f", X"09", X"69", X"8c",
X"31", X"18", X"bf", X"d1", X"c6", X"ba", X"b8", X"93", X"75", X"59", X"3a", X"8a", X"b3", X"87", X"3e",
X"34", X"33", X"17", X"14", X"22", X"1c", X"1a", X"0a", X"2a", X"70", X"97", X"9d", X"8b", X"7a", X"71",
X"36", X"4f", X"54", X"60", X"2e", X"13", X"20", X"35", X"3a", X"28", X"28", X"27", X"26", X"15", X"15",
X"11", X"17", X"06", X"6e", X"65", X"0e", X"12", X"07", X"0f", X"1b", X"07", X"1b", X"40", X"46", X"3f",
X"16", X"1e", X"20", X"26", X"16", X"08", X"0a", X"12", X"14", X"1a", X"1b", X"2b", X"3a", X"2c", X"28",
X"8b", X"8c", X"81", X"9c", X"71", X"b1", X"d7", X"ae", X"6f", X"65", X"24", X"0e", X"14", X"8b", X"62",
X"6a", X"51", X"25", X"61", X"df", X"c8", X"d9", X"95", X"99", X"94", X"83", X"46", X"92", X"b2", X"ac",
X"83", X"50", X"0d", X"11", X"2b", X"10", X"12", X"14", X"18", X"62", X"82", X"a3", X"90", X"55", X"47",
X"51", X"4e", X"58", X"44", X"1d", X"27", X"38", X"3b", X"31", X"28", X"29", X"27", X"14", X"0b", X"3f",
X"50", X"01", X"59", X"b3", X"ba", X"60", X"00", X"25", X"0f", X"15", X"14", X"1b", X"40", X"4c", X"49",
X"1d", X"1f", X"21", X"2b", X"22", X"0d", X"0c", X"13", X"10", X"10", X"0e", X"1c", X"26", X"3c", X"32",
X"b3", X"c4", X"d9", X"84", X"37", X"79", X"d5", X"b4", X"b1", X"b7", X"ae", X"66", X"a1", X"b6", X"8c",
X"93", X"c5", X"ce", X"a4", X"d6", X"d9", X"af", X"28", X"8d", X"ae", X"b7", X"7b", X"44", X"61", X"77",
X"7b", X"7e", X"31", X"15", X"27", X"11", X"17", X"1c", X"0e", X"7b", X"95", X"c2", X"c1", X"5f", X"4b",
X"49", X"46", X"3f", X"3b", X"32", X"30", X"37", X"3a", X"2a", X"30", X"36", X"20", X"24", X"0c", X"55",
X"9f", X"6e", X"7d", X"b1", X"bb", X"89", X"19", X"36", X"3a", X"37", X"12", X"13", X"3b", X"4d", X"4c",
X"22", X"1e", X"20", X"30", X"2f", X"22", X"12", X"0d", X"0d", X"0c", X"05", X"0c", X"12", X"34", X"39",
X"88", X"86", X"af", X"d6", X"86", X"a1", X"be", X"8d", X"b1", X"bd", X"8d", X"a4", X"cb", X"b3", X"b9",
X"90", X"49", X"8d", X"ce", X"94", X"b5", X"c0", X"71", X"45", X"95", X"b0", X"8d", X"74", X"53", X"2e",
X"65", X"70", X"4f", X"1f", X"19", X"28", X"1e", X"1a", X"0c", X"62", X"a2", X"c3", X"d7", X"7a", X"37",
X"41", X"3d", X"44", X"40", X"2e", X"44", X"41", X"43", X"50", X"38", X"24", X"2c", X"2f", X"1b", X"37",
X"b1", X"c8", X"af", X"a9", X"b2", X"9c", X"72", X"7e", X"6b", X"3d", X"2e", X"32", X"3c", X"4e", X"4c",
X"2e", X"2a", X"30", X"3b", X"3a", X"2d", X"19", X"14", X"16", X"1a", X"13", X"13", X"0f", X"38", X"3a",
X"29", X"72", X"c4", X"c3", X"d0", X"c5", X"ba", X"b0", X"ae", X"cc", X"79", X"88", X"d0", X"cd", X"c9",
X"56", X"0c", X"be", X"b4", X"b1", X"94", X"b2", X"dd", X"91", X"61", X"92", X"88", X"99", X"a9", X"8a",
X"93", X"bf", X"a7", X"39", X"2f", X"a8", X"7c", X"21", X"05", X"36", X"bd", X"b4", X"c5", X"bc", X"88",
X"44", X"36", X"3f", X"32", X"4e", X"53", X"4a", X"50", X"5a", X"3a", X"2c", X"3c", X"2d", X"2c", X"12",
X"80", X"c2", X"b0", X"c9", X"cb", X"c4", X"af", X"8e", X"6b", X"3d", X"2c", X"3d", X"4a", X"56", X"53",
X"3e", X"40", X"47", X"48", X"3f", X"3f", X"37", X"39", X"34", X"31", X"27", X"24", X"18", X"3d", X"39",
X"13", X"4b", X"c2", X"a5", X"a8", X"ba", X"c4", X"bb", X"cb", X"cf", X"56", X"41", X"82", X"c0", X"d6",
X"b8", X"90", X"ae", X"b4", X"d1", X"93", X"4c", X"6c", X"74", X"9b", X"9a", X"bb", X"ac", X"b3", X"b4",
X"bb", X"c7", X"cc", X"39", X"36", X"a5", X"7f", X"2f", X"5a", X"43", X"bd", X"b3", X"aa", X"d6", X"c3",
X"9f", X"67", X"28", X"20", X"40", X"61", X"42", X"35", X"35", X"43", X"4d", X"2e", X"2f", X"27", X"0a",
X"35", X"7a", X"97", X"ca", X"d5", X"d6", X"bc", X"a1", X"91", X"6a", X"3c", X"3a", X"4e", X"5d", X"61",
X"65", X"66", X"56", X"55", X"47", X"39", X"32", X"37", X"36", X"36", X"34", X"2b", X"28", X"15", X"16",
X"71", X"0b", X"2e", X"42", X"68", X"8c", X"a4", X"a7", X"b1", X"d2", X"47", X"73", X"69", X"be", X"a3",
X"b5", X"bb", X"b8", X"8e", X"b1", X"6b", X"cf", X"80", X"71", X"79", X"9c", X"99", X"8f", X"a1", X"9a",
X"a5", X"b6", X"b6", X"37", X"2d", X"74", X"2a", X"34", X"78", X"5e", X"7d", X"b4", X"bb", X"ba", X"c7",
X"bf", X"ba", X"4e", X"00", X"2b", X"60", X"76", X"52", X"13", X"4c", X"4c", X"47", X"37", X"1d", X"09",
X"08", X"3b", X"6f", X"a8", X"bd", X"cd", X"bb", X"a6", X"8a", X"6f", X"32", X"29", X"37", X"4c", X"5e",
X"6b", X"74", X"65", X"5c", X"48", X"33", X"32", X"2f", X"27", X"2b", X"25", X"1d", X"28", X"19", X"1b",
X"64", X"44", X"33", X"2e", X"38", X"51", X"5c", X"98", X"b0", X"ca", X"47", X"8e", X"cf", X"c4", X"c5",
X"90", X"b5", X"b5", X"69", X"78", X"4f", X"40", X"b3", X"70", X"66", X"61", X"40", X"3f", X"33", X"5e",
X"b4", X"a4", X"93", X"25", X"44", X"a9", X"5e", X"64", X"61", X"8a", X"01", X"44", X"b2", X"b5", X"ad",
X"a6", X"b6", X"a3", X"33", X"77", X"a5", X"bb", X"bb", X"41", X"27", X"4f", X"52", X"47", X"0f", X"0e",
X"0a", X"0c", X"40", X"90", X"b9", X"b0", X"74", X"46", X"3c", X"29", X"27", X"19", X"17", X"2b", X"3f",
X"56", X"6e", X"6e", X"64", X"4b", X"31", X"1b", X"20", X"1b", X"12", X"15", X"24", X"25", X"1d", X"20",
X"5d", X"3e", X"22", X"1f", X"47", X"3e", X"66", X"af", X"90", X"6a", X"3a", X"c9", X"aa", X"bf", X"bd",
X"a7", X"6e", X"aa", X"ae", X"86", X"79", X"54", X"a1", X"78", X"55", X"3e", X"40", X"2b", X"09", X"4e",
X"ba", X"b9", X"aa", X"14", X"64", X"b4", X"9f", X"b0", X"ac", X"bd", X"64", X"6a", X"a9", X"aa", X"99",
X"b4", X"bd", X"bb", X"bf", X"96", X"67", X"8a", X"9b", X"7d", X"31", X"4d", X"3d", X"3e", X"48", X"17",
X"12", X"15", X"1b", X"27", X"52", X"50", X"35", X"35", X"47", X"54", X"3a", X"21", X"04", X"12", X"23",
X"3f", X"65", X"78", X"6b", X"46", X"27", X"1c", X"1d", X"19", X"1c", X"18", X"20", X"21", X"22", X"1f",
X"62", X"3b", X"20", X"11", X"22", X"1c", X"45", X"79", X"1a", X"2e", X"4c", X"ac", X"6b", X"13", X"8e",
X"99", X"88", X"92", X"d6", X"a5", X"bb", X"cf", X"b3", X"7e", X"3f", X"51", X"26", X"2d", X"26", X"61",
X"ad", X"b8", X"9b", X"18", X"64", X"ce", X"b0", X"c6", X"ce", X"e2", X"98", X"6b", X"81", X"99", X"92",
X"bc", X"bb", X"aa", X"b3", X"b3", X"7c", X"9b", X"b3", X"9a", X"5d", X"49", X"41", X"51", X"42", X"39",
X"14", X"3a", X"3c", X"24", X"2f", X"38", X"19", X"31", X"4a", X"5a", X"55", X"2c", X"08", X"0c", X"18",
X"30", X"53", X"7a", X"78", X"57", X"25", X"1d", X"1c", X"17", X"17", X"14", X"1d", X"1f", X"17", X"14",
X"61", X"33", X"09", X"0f", X"0e", X"10", X"17", X"58", X"20", X"25", X"4b", X"30", X"12", X"11", X"18",
X"c5", X"c8", X"aa", X"c4", X"bf", X"ca", X"cd", X"b6", X"64", X"38", X"23", X"1c", X"71", X"5c", X"47",
X"5d", X"56", X"56", X"22", X"4e", X"ba", X"c2", X"b6", X"c1", X"b4", X"a5", X"4e", X"56", X"7a", X"40",
X"69", X"c3", X"a3", X"94", X"bc", X"94", X"96", X"96", X"76", X"78", X"5f", X"49", X"4d", X"4b", X"46",
X"3f", X"4f", X"5f", X"40", X"52", X"3b", X"1f", X"14", X"2b", X"46", X"5d", X"54", X"1d", X"1d", X"2c",
X"3e", X"4e", X"70", X"78", X"5d", X"2c", X"22", X"22", X"23", X"11", X"11", X"1c", X"14", X"17", X"1a",
X"55", X"3a", X"15", X"18", X"1d", X"11", X"0d", X"1f", X"0d", X"15", X"59", X"1f", X"2d", X"13", X"15",
X"92", X"e1", X"d2", X"ce", X"b9", X"42", X"a4", X"b7", X"bf", X"95", X"3c", X"42", X"77", X"34", X"4a",
X"37", X"8e", X"9e", X"14", X"4b", X"9a", X"a1", X"c9", X"93", X"8a", X"b6", X"8f", X"7a", X"54", X"15",
X"74", X"ae", X"ae", X"a8", X"c6", X"80", X"49", X"4e", X"a6", X"9f", X"4e", X"49", X"35", X"24", X"71",
X"7c", X"58", X"80", X"7f", X"6a", X"42", X"09", X"17", X"14", X"29", X"4a", X"59", X"41", X"34", X"3e",
X"49", X"3f", X"5b", X"6f", X"62", X"36", X"46", X"3d", X"3f", X"3c", X"32", X"1d", X"16", X"19", X"1c",
X"25", X"50", X"19", X"17", X"10", X"04", X"0b", X"16", X"0d", X"16", X"56", X"1d", X"12", X"32", X"19",
X"08", X"77", X"a3", X"a0", X"b9", X"a1", X"9e", X"bd", X"b3", X"84", X"77", X"74", X"27", X"81", X"ab",
X"89", X"b4", X"ae", X"13", X"75", X"c2", X"c0", X"c1", X"ae", X"9f", X"77", X"a5", X"b1", X"a8", X"2c",
X"79", X"a1", X"b9", X"c8", X"c2", X"a7", X"52", X"52", X"a2", X"a2", X"4a", X"33", X"37", X"0f", X"63",
X"9c", X"76", X"7f", X"b1", X"a3", X"48", X"09", X"0d", X"0a", X"05", X"25", X"47", X"4d", X"46", X"6d",
X"8d", X"7b", X"85", X"89", X"75", X"49", X"4f", X"45", X"53", X"50", X"52", X"43", X"2f", X"1c", X"1a",
X"0d", X"4d", X"17", X"12", X"0f", X"10", X"10", X"22", X"21", X"15", X"55", X"0e", X"00", X"25", X"2c",
X"00", X"b3", X"e1", X"bb", X"ce", X"d1", X"8d", X"95", X"4e", X"39", X"3f", X"48", X"81", X"9f", X"a4",
X"6d", X"61", X"73", X"1f", X"24", X"5b", X"19", X"5d", X"d7", X"6c", X"64", X"b4", X"b5", X"78", X"44",
X"52", X"a8", X"c3", X"c2", X"95", X"b9", X"b3", X"83", X"48", X"83", X"4b", X"37", X"2e", X"20", X"3d",
X"90", X"96", X"81", X"c4", X"b6", X"79", X"57", X"51", X"06", X"05", X"14", X"26", X"38", X"64", X"99",
X"ac", X"cc", X"cc", X"c6", X"d4", X"56", X"20", X"41", X"33", X"3b", X"39", X"3b", X"34", X"33", X"2c",
X"2b", X"1e", X"20", X"14", X"32", X"46", X"31", X"13", X"1a", X"28", X"45", X"64", X"4b", X"32", X"28",
X"12", X"64", X"c0", X"68", X"64", X"69", X"76", X"83", X"81", X"2a", X"4c", X"23", X"49", X"7c", X"92",
X"68", X"01", X"1c", X"4b", X"62", X"c5", X"a6", X"b1", X"d4", X"c9", X"af", X"ae", X"c0", X"8e", X"63",
X"21", X"57", X"d8", X"bd", X"c1", X"d1", X"b9", X"bc", X"7e", X"7e", X"5c", X"56", X"3a", X"23", X"1c",
X"74", X"ae", X"b5", X"bc", X"b4", X"a6", X"88", X"56", X"10", X"01", X"00", X"06", X"17", X"3f", X"67",
X"88", X"ae", X"c0", X"9b", X"c6", X"ad", X"23", X"10", X"2a", X"33", X"28", X"26", X"3a", X"2b", X"2c",
X"1a", X"1d", X"19", X"0e", X"25", X"4b", X"23", X"3b", X"13", X"3b", X"3d", X"65", X"37", X"51", X"4f",
X"1d", X"30", X"56", X"6d", X"51", X"42", X"bb", X"8f", X"b6", X"8e", X"0d", X"02", X"27", X"4e", X"90",
X"69", X"1c", X"22", X"54", X"1b", X"5d", X"fd", X"a9", X"f2", X"5d", X"d8", X"a1", X"9b", X"c2", X"e7",
X"8b", X"07", X"5b", X"cd", X"cc", X"e2", X"b8", X"df", X"ea", X"be", X"70", X"5c", X"2b", X"25", X"14",
X"84", X"c2", X"c6", X"cb", X"ae", X"8a", X"3a", X"07", X"00", X"1a", X"66", X"6e", X"5b", X"79", X"78",
X"84", X"6d", X"ab", X"a7", X"a5", X"d9", X"b0", X"52", X"4d", X"44", X"33", X"16", X"26", X"2c", X"2c",
X"05", X"16", X"1d", X"1d", X"07", X"63", X"1e", X"8b", X"65", X"35", X"3c", X"64", X"87", X"4c", X"40",
X"20", X"24", X"33", X"36", X"57", X"6f", X"93", X"f0", X"ba", X"ac", X"cd", X"2e", X"00", X"2e", X"15",
X"0b", X"3e", X"25", X"2d", X"1a", X"00", X"2e", X"c2", X"ba", X"3c", X"ef", X"62", X"8c", X"c0", X"79",
X"13", X"b2", X"a4", X"5e", X"b7", X"43", X"57", X"c2", X"c2", X"c4", X"be", X"53", X"22", X"20", X"38",
X"55", X"ab", X"b6", X"af", X"ce", X"99", X"3d", X"01", X"06", X"40", X"a1", X"c6", X"b3", X"ac", X"b3",
X"aa", X"70", X"a2", X"dd", X"bf", X"b9", X"b5", X"88", X"5a", X"56", X"39", X"1d", X"1b", X"2c", X"2b",
X"35", X"14", X"0c", X"12", X"21", X"60", X"38", X"9c", X"8b", X"84", X"3d", X"2f", X"75", X"71", X"65",
X"24", X"1b", X"05", X"3f", X"a6", X"7b", X"15", X"a3", X"cf", X"e0", X"b4", X"81", X"d4", X"9f", X"c1",
X"cd", X"2a", X"14", X"33", X"17", X"1b", X"11", X"30", X"f0", X"34", X"b1", X"b1", X"95", X"40", X"01",
X"8c", X"ba", X"b4", X"cc", X"d3", X"d5", X"65", X"bb", X"c6", X"96", X"3a", X"70", X"81", X"56", X"37",
X"3f", X"4d", X"4a", X"66", X"92", X"9a", X"63", X"35", X"30", X"1c", X"57", X"b3", X"b1", X"af", X"b2",
X"af", X"74", X"86", X"9d", X"b2", X"c1", X"b4", X"94", X"7d", X"55", X"1c", X"14", X"12", X"3a", X"3b",
X"1f", X"2b", X"0a", X"47", X"b1", X"92", X"2d", X"99", X"76", X"b8", X"8d", X"33", X"1b", X"38", X"4d",
X"2d", X"1e", X"48", X"91", X"9f", X"5a", X"58", X"a8", X"8e", X"b7", X"d4", X"56", X"ad", X"cc", X"c9",
X"84", X"00", X"21", X"31", X"15", X"09", X"00", X"7d", X"be", X"3d", X"51", X"b1", X"5f", X"48", X"17",
X"0f", X"60", X"ad", X"d6", X"b4", X"e5", X"da", X"ba", X"b9", X"df", X"48", X"92", X"a0", X"81", X"56",
X"3e", X"4e", X"42", X"5d", X"6f", X"71", X"5a", X"49", X"52", X"4a", X"03", X"42", X"96", X"bf", X"b5",
X"68", X"78", X"5a", X"74", X"72", X"7d", X"ab", X"7a", X"84", X"42", X"15", X"19", X"1b", X"3b", X"3c",
X"25", X"21", X"0d", X"1a", X"c9", X"bf", X"34", X"aa", X"89", X"bd", X"d9", X"d0", X"98", X"1a", X"6f",
X"28", X"0a", X"94", X"a9", X"a2", X"99", X"86", X"cc", X"cb", X"ab", X"92", X"3d", X"65", X"ca", X"8e",
X"00", X"09", X"2c", X"36", X"13", X"0c", X"00", X"24", X"a7", X"52", X"1c", X"d9", X"7b", X"66", X"0c",
X"35", X"17", X"00", X"2f", X"00", X"c3", X"c2", X"bc", X"ac", X"b0", X"ae", X"3d", X"65", X"9d", X"88",
X"6d", X"28", X"2c", X"7d", X"92", X"93", X"80", X"4d", X"61", X"71", X"53", X"36", X"ac", X"be", X"a5",
X"9f", X"bf", X"55", X"41", X"3f", X"21", X"2d", X"3f", X"3c", X"21", X"15", X"13", X"10", X"3f", X"3d",
X"22", X"33", X"39", X"30", X"24", X"89", X"18", X"7a", X"5a", X"e6", X"c2", X"d2", X"be", X"50", X"2a",
X"33", X"12", X"95", X"d3", X"9f", X"27", X"7b", X"ac", X"60", X"3f", X"09", X"4a", X"2a", X"94", X"e0",
X"77", X"00", X"35", X"34", X"1e", X"00", X"2b", X"bd", X"75", X"5e", X"25", X"9f", X"9d", X"d6", X"67",
X"16", X"1e", X"0d", X"3a", X"3c", X"2c", X"43", X"64", X"a8", X"a3", X"b3", X"36", X"8b", X"db", X"c1",
X"71", X"44", X"8c", X"8f", X"8d", X"a5", X"79", X"7e", X"57", X"92", X"7c", X"5f", X"a6", X"a7", X"82",
X"9c", X"bd", X"6c", X"2a", X"2b", X"29", X"11", X"28", X"1e", X"1d", X"17", X"13", X"12", X"3d", X"3c",
X"0d", X"23", X"5e", X"7a", X"32", X"75", X"cd", X"ac", X"93", X"6c", X"dd", X"ba", X"b7", X"89", X"26",
X"25", X"1f", X"6a", X"d0", X"9c", X"12", X"af", X"e5", X"8b", X"00", X"69", X"72", X"63", X"0c", X"92",
X"8d", X"a2", X"34", X"2a", X"00", X"3e", X"b1", X"ba", X"88", X"5e", X"20", X"7b", X"92", X"d2", X"c1",
X"6c", X"a8", X"91", X"08", X"c1", X"24", X"3d", X"45", X"3d", X"a6", X"9c", X"cb", X"7f", X"c3", X"e4",
X"92", X"a9", X"8d", X"5a", X"88", X"6d", X"5f", X"62", X"5a", X"99", X"8f", X"56", X"52", X"61", X"60",
X"63", X"7f", X"84", X"26", X"2e", X"24", X"1e", X"18", X"23", X"17", X"19", X"1b", X"0c", X"10", X"1e",
X"0e", X"00", X"04", X"16", X"72", X"99", X"9e", X"ad", X"bc", X"bc", X"2f", X"70", X"f8", X"6d", X"cd",
X"2e", X"22", X"4f", X"b5", X"6a", X"2c", X"b6", X"c9", X"8d", X"00", X"96", X"ac", X"7e", X"aa", X"a0",
X"86", X"7a", X"6b", X"37", X"cc", X"6d", X"3e", X"98", X"eb", X"5c", X"26", X"86", X"65", X"b7", X"d9",
X"94", X"98", X"9f", X"73", X"bf", X"b0", X"9b", X"90", X"5f", X"3e", X"34", X"ba", X"92", X"d8", X"b2",
X"fb", X"60", X"49", X"7e", X"8a", X"38", X"61", X"37", X"67", X"78", X"7e", X"49", X"30", X"22", X"39",
X"30", X"8d", X"8a", X"39", X"21", X"22", X"23", X"17", X"16", X"1f", X"1c", X"14", X"17", X"21", X"1e",
X"2e", X"01", X"13", X"1d", X"1b", X"7c", X"a4", X"ac", X"ca", X"da", X"b0", X"64", X"18", X"6e", X"bd",
X"32", X"2c", X"25", X"63", X"1d", X"1f", X"62", X"a4", X"30", X"47", X"c5", X"99", X"ca", X"a7", X"9c",
X"c4", X"c0", X"38", X"80", X"d9", X"af", X"7d", X"99", X"31", X"6a", X"0f", X"80", X"ef", X"b6", X"c3",
X"ab", X"5c", X"25", X"6e", X"56", X"76", X"94", X"62", X"37", X"22", X"1e", X"15", X"30", X"e8", X"d1",
X"6a", X"57", X"6b", X"ac", X"1e", X"47", X"56", X"58", X"48", X"3e", X"31", X"42", X"2e", X"40", X"50",
X"44", X"4c", X"6f", X"2d", X"2a", X"23", X"22", X"1c", X"1a", X"31", X"2b", X"16", X"1d", X"20", X"20",
X"90", X"29", X"00", X"15", X"02", X"00", X"58", X"a0", X"a7", X"c0", X"a8", X"57", X"00", X"a9", X"eb",
X"32", X"23", X"16", X"0c", X"62", X"00", X"17", X"3d", X"00", X"47", X"35", X"f0", X"90", X"ce", X"b2",
X"bc", X"e1", X"c8", X"a7", X"ce", X"df", X"76", X"0c", X"4b", X"6d", X"24", X"51", X"e1", X"c2", X"90",
X"b6", X"49", X"20", X"20", X"70", X"a7", X"5d", X"32", X"2c", X"1e", X"11", X"33", X"2b", X"5d", X"75",
X"71", X"49", X"d2", X"a2", X"63", X"57", X"57", X"41", X"22", X"22", X"16", X"15", X"23", X"48", X"67",
X"63", X"3a", X"38", X"2f", X"2d", X"28", X"1a", X"1e", X"22", X"3e", X"39", X"20", X"25", X"1e", X"1e",
X"b7", X"70", X"00", X"08", X"0b", X"1a", X"00", X"77", X"cd", X"94", X"d2", X"00", X"3c", X"e1", X"53",
X"3a", X"23", X"1e", X"06", X"25", X"3d", X"07", X"69", X"1f", X"24", X"07", X"59", X"e5", X"ab", X"ac",
X"c3", X"db", X"d3", X"af", X"b1", X"db", X"90", X"4a", X"2f", X"6a", X"25", X"32", X"c2", X"bc", X"64",
X"53", X"19", X"40", X"31", X"bb", X"af", X"84", X"19", X"2b", X"19", X"20", X"30", X"1e", X"4a", X"6c",
X"5a", X"8b", X"d2", X"c5", X"83", X"6a", X"4f", X"2a", X"56", X"40", X"23", X"24", X"26", X"44", X"5d",
X"57", X"67", X"36", X"57", X"29", X"29", X"15", X"18", X"1d", X"3d", X"3a", X"26", X"37", X"14", X"1e",
X"91", X"4c", X"05", X"0a", X"02", X"23", X"00", X"91", X"bf", X"d7", X"3b", X"05", X"96", X"b3", X"89",
X"2e", X"2c", X"18", X"09", X"18", X"46", X"3e", X"15", X"0b", X"41", X"8d", X"57", X"7f", X"ad", X"8b",
X"a9", X"6c", X"d4", X"b5", X"96", X"c2", X"ca", X"9a", X"52", X"3a", X"50", X"3c", X"59", X"8d", X"54",
X"00", X"68", X"68", X"1a", X"52", X"a1", X"55", X"0c", X"10", X"3c", X"4c", X"48", X"02", X"52", X"7c",
X"61", X"a2", X"f1", X"b9", X"74", X"91", X"2a", X"31", X"58", X"44", X"2a", X"14", X"1b", X"41", X"55",
X"64", X"4f", X"5d", X"3a", X"4c", X"1e", X"16", X"15", X"1c", X"3d", X"3a", X"25", X"41", X"20", X"1c",
X"63", X"28", X"05", X"09", X"0b", X"17", X"2a", X"dc", X"c4", X"ff", X"00", X"1a", X"e2", X"a4", X"82",
X"6e", X"1d", X"1f", X"00", X"1f", X"46", X"29", X"25", X"27", X"66", X"7e", X"9c", X"d1", X"9e", X"d7",
X"f9", X"e2", X"de", X"c3", X"e8", X"e2", X"ca", X"a3", X"5d", X"3f", X"54", X"33", X"83", X"9d", X"00",
X"8b", X"4b", X"72", X"3b", X"0b", X"7c", X"5e", X"1c", X"45", X"6e", X"61", X"2f", X"2c", X"91", X"68",
X"63", X"27", X"b5", X"bc", X"b9", X"b7", X"36", X"12", X"27", X"2e", X"43", X"2d", X"07", X"67", X"a3",
X"86", X"6d", X"4d", X"4b", X"28", X"1a", X"16", X"18", X"23", X"3e", X"40", X"25", X"32", X"20", X"1f",
X"87", X"68", X"0a", X"02", X"14", X"14", X"1a", X"00", X"1e", X"0e", X"15", X"4f", X"88", X"6c", X"65",
X"26", X"38", X"16", X"19", X"1f", X"41", X"3d", X"0e", X"16", X"3b", X"77", X"3a", X"52", X"62", X"4a",
X"29", X"9d", X"c8", X"48", X"52", X"da", X"9d", X"a6", X"79", X"52", X"71", X"11", X"9e", X"d1", X"92",
X"7f", X"3e", X"7e", X"38", X"07", X"46", X"62", X"61", X"49", X"49", X"62", X"04", X"a6", X"6a", X"75",
X"2a", X"25", X"01", X"b6", X"cb", X"b2", X"3c", X"1e", X"19", X"2d", X"37", X"29", X"16", X"40", X"b7",
X"c5", X"b4", X"61", X"42", X"27", X"22", X"15", X"16", X"28", X"38", X"44", X"2c", X"1f", X"1f", X"21",
X"55", X"20", X"3e", X"00", X"14", X"11", X"23", X"2c", X"13", X"17", X"00", X"93", X"d0", X"49", X"55",
X"0e", X"46", X"09", X"24", X"25", X"3a", X"4e", X"17", X"2f", X"04", X"0b", X"14", X"03", X"3e", X"41",
X"12", X"92", X"c5", X"86", X"6f", X"c0", X"df", X"ba", X"a0", X"7f", X"7d", X"3c", X"ab", X"d2", X"d4",
X"cf", X"a8", X"ae", X"90", X"bf", X"00", X"94", X"78", X"23", X"42", X"1d", X"4f", X"b6", X"5f", X"47",
X"19", X"5e", X"72", X"47", X"a6", X"bf", X"93", X"60", X"18", X"14", X"2f", X"1f", X"27", X"28", X"70",
X"a8", X"d1", X"98", X"4d", X"27", X"11", X"0c", X"1d", X"33", X"41", X"4a", X"2c", X"0f", X"07", X"15",
X"51", X"42", X"0c", X"28", X"00", X"0d", X"5a", X"18", X"13", X"23", X"01", X"95", X"2f", X"5c", X"5b",
X"07", X"44", X"0a", X"2c", X"1c", X"1c", X"5f", X"00", X"a0", X"6a", X"0e", X"1d", X"0c", X"45", X"2b",
X"15", X"f5", X"bf", X"7a", X"c3", X"e5", X"d1", X"cf", X"9b", X"61", X"8a", X"fe", X"af", X"76", X"f7",
X"d9", X"d9", X"b7", X"5e", X"6b", X"73", X"42", X"66", X"8a", X"0d", X"10", X"9c", X"80", X"2f", X"16",
X"0f", X"2c", X"a2", X"8a", X"9b", X"a5", X"a7", X"ac", X"58", X"03", X"23", X"1f", X"87", X"86", X"b4",
X"9b", X"c0", X"be", X"a5", X"35", X"09", X"11", X"1c", X"35", X"4b", X"4e", X"46", X"37", X"1e", X"26",
X"39", X"71", X"07", X"21", X"0a", X"1f", X"45", X"17", X"2a", X"0d", X"1c", X"08", X"01", X"37", X"1b",
X"3b", X"3d", X"1b", X"29", X"21", X"0d", X"25", X"28", X"84", X"8f", X"08", X"16", X"10", X"2c", X"58",
X"54", X"48", X"98", X"a8", X"ac", X"c1", X"93", X"c9", X"b2", X"65", X"00", X"e0", X"e7", X"fa", X"30",
X"03", X"c1", X"e9", X"3c", X"4e", X"21", X"9f", X"8f", X"d4", X"00", X"32", X"00", X"09", X"0b", X"4b",
X"27", X"41", X"43", X"c6", X"c8", X"91", X"38", X"52", X"4f", X"14", X"32", X"53", X"27", X"69", X"33",
X"46", X"b9", X"d2", X"d0", X"bd", X"39", X"0f", X"19", X"29", X"3b", X"48", X"50", X"70", X"1f", X"24",
X"40", X"72", X"61", X"00", X"18", X"58", X"95", X"1c", X"0f", X"2e", X"10", X"09", X"36", X"3e", X"01",
X"00", X"6e", X"3f", X"10", X"1b", X"21", X"38", X"17", X"11", X"00", X"2b", X"2e", X"42", X"3e", X"0c",
X"7f", X"a7", X"bd", X"39", X"fb", X"e3", X"7a", X"02", X"00", X"07", X"44", X"36", X"5f", X"50", X"f7",
X"a5", X"d4", X"40", X"6f", X"3e", X"db", X"32", X"7f", X"2b", X"53", X"38", X"24", X"11", X"31", X"42",
X"7b", X"6f", X"79", X"91", X"7f", X"af", X"bb", X"54", X"60", X"5f", X"0f", X"21", X"38", X"82", X"82",
X"ce", X"a8", X"bb", X"ce", X"b3", X"90", X"2d", X"2b", X"1b", X"18", X"4b", X"6e", X"7a", X"23", X"1d",
X"5e", X"49", X"4b", X"86", X"0d", X"22", X"50", X"4a", X"0b", X"79", X"6f", X"2d", X"33", X"1d", X"22",
X"be", X"d5", X"7a", X"11", X"19", X"19", X"0b", X"6e", X"73", X"21", X"2c", X"42", X"0a", X"2b", X"05",
X"42", X"73", X"85", X"39", X"4a", X"f4", X"82", X"00", X"23", X"10", X"14", X"59", X"2a", X"3c", X"e6",
X"db", X"4e", X"c5", X"eb", X"8b", X"93", X"2e", X"0a", X"7a", X"75", X"6f", X"5a", X"36", X"38", X"43",
X"52", X"3a", X"10", X"8b", X"91", X"9e", X"d2", X"67", X"12", X"25", X"30", X"23", X"20", X"25", X"09",
X"21", X"23", X"78", X"a1", X"af", X"79", X"44", X"2e", X"0e", X"16", X"38", X"67", X"7a", X"47", X"5c",
X"57", X"53", X"00", X"5c", X"7f", X"14", X"2c", X"0f", X"76", X"7c", X"79", X"50", X"2f", X"53", X"95",
X"8c", X"b6", X"41", X"11", X"1a", X"31", X"41", X"66", X"ba", X"74", X"16", X"82", X"0d", X"00", X"3f",
X"28", X"37", X"18", X"65", X"0a", X"1c", X"82", X"02", X"15", X"2e", X"08", X"2f", X"3a", X"7a", X"fb",
X"13", X"6a", X"d0", X"b4", X"d4", X"65", X"06", X"37", X"5c", X"3e", X"7d", X"41", X"59", X"27", X"22",
X"1e", X"02", X"21", X"6f", X"56", X"77", X"d5", X"00", X"a3", X"5d", X"11", X"19", X"23", X"1b", X"1b",
X"25", X"23", X"3f", X"69", X"aa", X"7d", X"32", X"18", X"02", X"10", X"2b", X"52", X"4e", X"62", X"61",
X"58", X"12", X"1b", X"00", X"6f", X"7c", X"17", X"22", X"8f", X"d7", X"9c", X"b9", X"9f", X"7c", X"6e",
X"5c", X"a1", X"40", X"16", X"0f", X"3b", X"42", X"41", X"4e", X"39", X"23", X"16", X"2a", X"0c", X"58",
X"00", X"17", X"21", X"77", X"53", X"2b", X"00", X"12", X"20", X"36", X"16", X"32", X"37", X"03", X"25",
X"9d", X"40", X"00", X"0b", X"92", X"16", X"42", X"63", X"44", X"5c", X"4e", X"46", X"a7", X"1a", X"18",
X"07", X"0c", X"2c", X"3c", X"05", X"57", X"90", X"34", X"c2", X"aa", X"55", X"19", X"1c", X"1e", X"0f",
X"19", X"16", X"15", X"35", X"7c", X"7e", X"52", X"36", X"0c", X"1c", X"24", X"38", X"3d", X"67", X"6b",
X"87", X"0d", X"19", X"29", X"18", X"8e", X"2d", X"1c", X"13", X"f3", X"ca", X"ba", X"aa", X"eb", X"60",
X"6f", X"8c", X"50", X"2c", X"08", X"23", X"15", X"64", X"14", X"0f", X"09", X"00", X"0b", X"25", X"00",
X"1f", X"01", X"67", X"8e", X"64", X"00", X"0c", X"56", X"28", X"68", X"3e", X"0c", X"14", X"30", X"32",
X"33", X"13", X"35", X"8e", X"13", X"3c", X"54", X"64", X"43", X"3f", X"5d", X"58", X"02", X"29", X"16",
X"16", X"1c", X"2a", X"32", X"28", X"2c", X"23", X"56", X"78", X"8b", X"77", X"39", X"12", X"14", X"08",
X"02", X"12", X"16", X"07", X"26", X"33", X"43", X"3a", X"3c", X"5b", X"26", X"30", X"47", X"6a", X"72",
X"a8", X"f8", X"46", X"14", X"29", X"00", X"13", X"38", X"6d", X"30", X"d1", X"bd", X"9c", X"79", X"fb",
X"ac", X"ca", X"75", X"82", X"73", X"3e", X"11", X"51", X"10", X"2b", X"bf", X"a5", X"43", X"02", X"12",
X"30", X"b2", X"a0", X"72", X"00", X"8f", X"cc", X"bb", X"a9", X"2b", X"28", X"24", X"2e", X"23", X"4e",
X"39", X"06", X"11", X"56", X"b4", X"1e", X"67", X"1c", X"17", X"73", X"62", X"3e", X"14", X"41", X"3a",
X"37", X"48", X"56", X"52", X"51", X"50", X"41", X"17", X"39", X"5c", X"9a", X"40", X"61", X"4e", X"3a",
X"28", X"21", X"2b", X"5b", X"27", X"19", X"0a", X"20", X"49", X"48", X"21", X"31", X"38", X"0c", X"00",
X"4e", X"8c", X"be", X"00", X"5c", X"6c", X"12", X"0d", X"8b", X"c4", X"c7", X"d7", X"3a", X"4a", X"5d",
X"d7", X"ad", X"60", X"3a", X"55", X"5f", X"15", X"31", X"52", X"00", X"66", X"db", X"be", X"96", X"03",
X"97", X"7f", X"9e", X"9d", X"00", X"cd", X"dd", X"ad", X"bc", X"67", X"2b", X"30", X"24", X"48", X"5b",
X"7b", X"6b", X"38", X"09", X"5d", X"02", X"58", X"0e", X"51", X"60", X"49", X"3c", X"14", X"3e", X"2d",
X"36", X"44", X"29", X"39", X"1f", X"18", X"3c", X"5a", X"08", X"34", X"53", X"81", X"c3", X"81", X"5d",
X"4e", X"58", X"83", X"6c", X"68", X"34", X"1d", X"2f", X"59", X"4d", X"33", X"34", X"54", X"01", X"03",
X"5a", X"46", X"82", X"01", X"8a", X"c1", X"cc", X"85", X"9f", X"af", X"b8", X"dc", X"4d", X"67", X"b6",
X"b9", X"d1", X"83", X"68", X"2c", X"30", X"2d", X"1a", X"4a", X"16", X"00", X"88", X"df", X"bb", X"b4",
X"a2", X"44", X"e2", X"39", X"31", X"a9", X"98", X"d6", X"d6", X"b2", X"15", X"32", X"2c", X"3c", X"35",
X"51", X"67", X"3d", X"26", X"20", X"16", X"32", X"02", X"43", X"ac", X"b7", X"b1", X"1b", X"23", X"17",
X"0f", X"0e", X"72", X"50", X"28", X"2d", X"1c", X"0c", X"09", X"56", X"ac", X"af", X"b7", X"b7", X"7d",
X"57", X"7d", X"58", X"82", X"5a", X"3b", X"12", X"41", X"6f", X"4e", X"25", X"22", X"3c", X"01", X"05",
X"0c", X"1e", X"08", X"11", X"17", X"7c", X"c4", X"df", X"d4", X"92", X"79", X"c6", X"e6", X"e6", X"a2",
X"d4", X"83", X"43", X"23", X"27", X"0e", X"1e", X"11", X"26", X"2b", X"ba", X"c0", X"b3", X"da", X"b8",
X"d8", X"f9", X"1e", X"16", X"05", X"2b", X"ad", X"a5", X"9c", X"00", X"35", X"05", X"3a", X"34", X"44",
X"67", X"1f", X"29", X"0e", X"10", X"50", X"20", X"0e", X"63", X"f8", X"c3", X"56", X"68", X"bc", X"ca",
X"57", X"52", X"b6", X"8c", X"59", X"14", X"12", X"12", X"2f", X"30", X"6c", X"e1", X"b0", X"c6", X"ce",
X"62", X"61", X"75", X"5a", X"47", X"29", X"0e", X"2a", X"63", X"35", X"1d", X"1c", X"29", X"07", X"00",
X"1f", X"66", X"1a", X"36", X"09", X"00", X"9c", X"c5", X"e3", X"c7", X"cb", X"c8", X"eb", X"c2", X"8a",
X"ca", X"9f", X"0e", X"2f", X"20", X"00", X"34", X"24", X"0c", X"6c", X"96", X"a4", X"b3", X"b3", X"62",
X"9d", X"cf", X"01", X"0e", X"00", X"67", X"76", X"98", X"9f", X"00", X"22", X"99", X"60", X"4d", X"7f",
X"12", X"04", X"67", X"13", X"1a", X"4e", X"40", X"a1", X"e5", X"34", X"00", X"00", X"44", X"a9", X"82",
X"e7", X"48", X"d0", X"c5", X"7c", X"05", X"2e", X"5d", X"2f", X"47", X"54", X"37", X"e5", X"ca", X"cc",
X"61", X"71", X"96", X"9a", X"88", X"8a", X"84", X"7d", X"34", X"49", X"16", X"4b", X"29", X"16", X"00",
X"59", X"4b", X"38", X"49", X"4c", X"88", X"71", X"e2", X"b5", X"86", X"b0", X"d6", X"d6", X"67", X"96",
X"87", X"d5", X"00", X"2f", X"12", X"05", X"0f", X"35", X"18", X"1c", X"3f", X"7f", X"c6", X"c5", X"ab",
X"a1", X"db", X"cc", X"1e", X"00", X"75", X"5d", X"6e", X"9a", X"34", X"95", X"5e", X"67", X"8f", X"91",
X"00", X"7f", X"92", X"7d", X"43", X"b3", X"b4", X"6b", X"00", X"09", X"59", X"c3", X"00", X"d1", X"9a",
X"8c", X"c3", X"97", X"eb", X"a9", X"02", X"2d", X"31", X"47", X"9a", X"94", X"b0", X"bd", X"da", X"6b",
X"47", X"bc", X"c7", X"97", X"4b", X"35", X"50", X"4a", X"32", X"44", X"15", X"44", X"38", X"04", X"0b",
X"20", X"21", X"4d", X"87", X"c5", X"c0", X"b4", X"93", X"cf", X"5b", X"93", X"8d", X"c3", X"b6", X"61",
X"64", X"93", X"01", X"2c", X"14", X"0c", X"0d", X"08", X"21", X"20", X"19", X"1e", X"52", X"73", X"96",
X"d2", X"c0", X"df", X"d6", X"00", X"2d", X"c6", X"b1", X"b9", X"dc", X"57", X"5c", X"84", X"34", X"a9",
X"ba", X"9a", X"a6", X"3a", X"29", X"58", X"2d", X"14", X"18", X"57", X"ff", X"52", X"a2", X"be", X"e6",
X"d4", X"c0", X"c1", X"f1", X"53", X"13", X"2e", X"3e", X"81", X"b4", X"a8", X"b6", X"e4", X"ed", X"65",
X"07", X"ae", X"bf", X"ab", X"a4", X"6d", X"43", X"0a", X"3b", X"3c", X"1b", X"1f", X"36", X"0f", X"0c",
X"55", X"1f", X"89", X"5c", X"ad", X"ca", X"c0", X"bc", X"eb", X"1a", X"5b", X"dd", X"9f", X"d0", X"b5",
X"18", X"0b", X"13", X"0c", X"12", X"04", X"0e", X"41", X"19", X"28", X"1a", X"6e", X"4c", X"86", X"6d",
X"cb", X"c0", X"a0", X"ab", X"43", X"00", X"f7", X"c7", X"d4", X"f1", X"a6", X"8d", X"8d", X"4a", X"1c",
X"d9", X"ce", X"bf", X"0b", X"40", X"30", X"67", X"64", X"3c", X"3c", X"e6", X"7b", X"31", X"83", X"7a",
X"ae", X"d7", X"00", X"77", X"68", X"00", X"6b", X"88", X"57", X"5c", X"74", X"82", X"4f", X"62", X"f9",
X"82", X"cf", X"d5", X"a0", X"00", X"00", X"13", X"21", X"3a", X"4d", X"29", X"27", X"3f", X"11", X"12",
X"57", X"48", X"78", X"59", X"4a", X"de", X"dd", X"af", X"b6", X"d3", X"df", X"ab", X"e1", X"c1", X"88",
X"08", X"0c", X"0b", X"0f", X"00", X"0d", X"01", X"52", X"19", X"22", X"2d", X"af", X"50", X"9b", X"3e",
X"a3", X"a2", X"85", X"00", X"8d", X"ac", X"c0", X"c8", X"47", X"92", X"f8", X"c3", X"8c", X"b5", X"f0",
X"9d", X"d8", X"d3", X"02", X"55", X"71", X"59", X"1a", X"a0", X"e0", X"a8", X"99", X"61", X"51", X"c1",
X"2a", X"c9", X"03", X"d1", X"be", X"5a", X"47", X"81", X"59", X"3f", X"3f", X"6a", X"04", X"04", X"d2",
X"b1", X"d6", X"9c", X"ec", X"26", X"0b", X"07", X"19", X"5f", X"60", X"29", X"31", X"3d", X"40", X"81",
X"36", X"7c", X"67", X"60", X"5f", X"b9", X"12", X"93", X"d0", X"b8", X"a0", X"b9", X"a8", X"d1", X"00",
X"0f", X"16", X"07", X"15", X"1a", X"1b", X"15", X"04", X"1e", X"18", X"8d", X"a5", X"bc", X"6d", X"2d",
X"7e", X"79", X"8f", X"b4", X"ba", X"c1", X"e7", X"a4", X"44", X"08", X"a4", X"c1", X"71", X"7c", X"8d",
X"af", X"a8", X"e3", X"7e", X"24", X"34", X"00", X"a0", X"88", X"bb", X"cb", X"6a", X"aa", X"52", X"3b",
X"38", X"cb", X"e8", X"aa", X"98", X"62", X"2a", X"2c", X"4f", X"62", X"84", X"39", X"0b", X"58", X"c6",
X"c1", X"ad", X"56", X"a5", X"f6", X"3f", X"21", X"0c", X"49", X"38", X"2a", X"29", X"31", X"7a", X"7c",
X"6d", X"69", X"51", X"54", X"16", X"48", X"41", X"94", X"c3", X"dc", X"b8", X"d3", X"87", X"ca", X"34",
X"00", X"0e", X"20", X"2e", X"2f", X"2c", X"19", X"23", X"1d", X"19", X"26", X"44", X"08", X"39", X"4e",
X"59", X"87", X"2c", X"8b", X"a5", X"be", X"aa", X"ca", X"59", X"c7", X"c1", X"c8", X"88", X"80", X"9b",
X"62", X"24", X"c5", X"ea", X"3a", X"00", X"25", X"48", X"72", X"ef", X"a1", X"35", X"00", X"13", X"00",
X"3f", X"a3", X"9a", X"b8", X"96", X"59", X"62", X"68", X"66", X"7b", X"4a", X"07", X"59", X"06", X"7e",
X"e8", X"38", X"11", X"3e", X"c6", X"75", X"69", X"43", X"25", X"1c", X"2b", X"32", X"1c", X"83", X"8d",
X"2f", X"1c", X"23", X"5b", X"46", X"23", X"10", X"16", X"ce", X"bc", X"41", X"54", X"c8", X"8e", X"6d",
X"1a", X"25", X"15", X"06", X"1d", X"05", X"1e", X"0f", X"11", X"1f", X"0b", X"0b", X"2b", X"3e", X"26",
X"08", X"14", X"5e", X"66", X"b2", X"a1", X"9c", X"92", X"eb", X"ad", X"9d", X"87", X"6f", X"62", X"18",
X"27", X"23", X"26", X"d4", X"73", X"00", X"30", X"3f", X"14", X"ee", X"00", X"05", X"0c", X"01", X"12",
X"22", X"86", X"8f", X"cf", X"3e", X"55", X"61", X"7d", X"9a", X"40", X"00", X"34", X"09", X"23", X"3a",
X"64", X"16", X"13", X"19", X"66", X"d6", X"31", X"2e", X"20", X"37", X"34", X"2e", X"31", X"8c", X"89",
X"3b", X"17", X"08", X"57", X"6e", X"00", X"17", X"02", X"1a", X"a2", X"25", X"59", X"31", X"be", X"76",
X"4f", X"03", X"0b", X"11", X"15", X"15", X"0b", X"15", X"04", X"2e", X"11", X"17", X"2d", X"33", X"2e",
X"1a", X"36", X"00", X"0d", X"fe", X"e9", X"b8", X"d0", X"96", X"f0", X"a8", X"90", X"53", X"08", X"04",
X"ec", X"cc", X"06", X"b8", X"00", X"22", X"3b", X"34", X"0f", X"00", X"19", X"2d", X"03", X"0b", X"37",
X"1c", X"68", X"76", X"b6", X"1b", X"44", X"5e", X"9e", X"82", X"0a", X"76", X"3b", X"1e", X"29", X"3f",
X"15", X"12", X"2b", X"2c", X"0b", X"60", X"07", X"2c", X"33", X"33", X"2d", X"33", X"35", X"6d", X"93",
X"44", X"00", X"01", X"00", X"5b", X"41", X"07", X"0a", X"4b", X"6f", X"4a", X"18", X"c7", X"8f", X"8d",
X"63", X"00", X"0a", X"2f", X"0c", X"16", X"19", X"10", X"10", X"26", X"0a", X"05", X"3c", X"59", X"08",
X"15", X"44", X"12", X"37", X"eb", X"db", X"ff", X"c3", X"6b", X"ff", X"d3", X"c0", X"7a", X"0b", X"a3",
X"a6", X"b2", X"17", X"4c", X"23", X"21", X"4f", X"19", X"30", X"0e", X"2c", X"0d", X"0d", X"13", X"07",
X"1a", X"3c", X"47", X"53", X"1b", X"33", X"85", X"7b", X"00", X"5d", X"68", X"13", X"27", X"20", X"20",
X"1f", X"00", X"02", X"15", X"68", X"06", X"8c", X"2b", X"23", X"20", X"2a", X"21", X"36", X"88", X"90",
X"13", X"18", X"06", X"00", X"02", X"2f", X"04", X"13", X"45", X"58", X"62", X"84", X"db", X"c7", X"61",
X"74", X"53", X"00", X"12", X"12", X"08", X"14", X"1b", X"0c", X"0e", X"0d", X"14", X"43", X"04", X"0c",
X"2f", X"09", X"19", X"10", X"4c", X"ac", X"55", X"17", X"19", X"62", X"c4", X"b8", X"4a", X"13", X"b1",
X"8d", X"da", X"f2", X"5b", X"bb", X"80", X"55", X"6b", X"d8", X"5c", X"00", X"10", X"0f", X"0b", X"39",
X"55", X"12", X"35", X"92", X"17", X"56", X"55", X"03", X"58", X"3a", X"20", X"33", X"1d", X"10", X"0a",
X"2a", X"c8", X"9b", X"a8", X"24", X"61", X"15", X"16", X"1b", X"1d", X"31", X"2a", X"1d", X"98", X"9d",
X"1a", X"0b", X"0f", X"0a", X"0c", X"0c", X"0a", X"19", X"50", X"50", X"4d", X"1b", X"5f", X"a1", X"73",
X"68", X"15", X"11", X"0c", X"0f", X"28", X"0e", X"12", X"0d", X"04", X"73", X"d4", X"6d", X"c0", X"21",
X"1e", X"37", X"2e", X"1b", X"0f", X"4f", X"20", X"de", X"3e", X"08", X"06", X"8f", X"a8", X"a0", X"ac",
X"b2", X"b3", X"55", X"55", X"41", X"cd", X"78", X"37", X"89", X"d1", X"0b", X"0e", X"00", X"4d", X"78",
X"22", X"27", X"4b", X"31", X"29", X"34", X"12", X"2b", X"5b", X"43", X"0e", X"0f", X"06", X"16", X"1a",
X"6b", X"3f", X"1c", X"00", X"27", X"20", X"18", X"1d", X"14", X"20", X"1f", X"27", X"2d", X"98", X"9b",
X"0f", X"0d", X"00", X"00", X"0e", X"0c", X"04", X"22", X"3e", X"35", X"5d", X"38", X"49", X"06", X"27",
X"78", X"6e", X"00", X"10", X"00", X"00", X"09", X"0d", X"00", X"20", X"bc", X"28", X"cf", X"f3", X"e2",
X"00", X"06", X"0e", X"14", X"60", X"2f", X"18", X"b3", X"f8", X"2b", X"06", X"56", X"dc", X"96", X"b3",
X"cf", X"a3", X"a2", X"8a", X"cc", X"d2", X"4a", X"2b", X"c6", X"a6", X"be", X"d3", X"ae", X"07", X"34",
X"27", X"22", X"38", X"1e", X"1f", X"0b", X"33", X"3f", X"37", X"3b", X"57", X"53", X"46", X"2c", X"32",
X"1b", X"20", X"22", X"23", X"20", X"26", X"1f", X"21", X"1a", X"3b", X"39", X"2d", X"34", X"43", X"49",
X"00", X"00", X"06", X"03", X"0b", X"08", X"02", X"05", X"2c", X"23", X"aa", X"33", X"4c", X"3a", X"8d",
X"52", X"0f", X"00", X"00", X"10", X"21", X"3c", X"05", X"00", X"3c", X"ae", X"c5", X"69", X"e2", X"f4",
X"f2", X"00", X"00", X"52", X"41", X"1b", X"10", X"2d", X"a4", X"00", X"00", X"83", X"71", X"71", X"48",
X"d7", X"bf", X"cd", X"ae", X"c7", X"d0", X"89", X"00", X"cf", X"af", X"ea", X"d2", X"f0", X"3a", X"23",
X"1a", X"22", X"19", X"14", X"0c", X"38", X"3c", X"12", X"16", X"16", X"1a", X"2e", X"42", X"5e", X"4f",
X"56", X"1e", X"10", X"12", X"1d", X"16", X"50", X"4d", X"39", X"1e", X"38", X"26", X"2d", X"46", X"4c",
X"5b", X"02", X"04", X"09", X"12", X"01", X"03", X"04", X"00", X"00", X"7d", X"63", X"1a", X"02", X"00",
X"00", X"07", X"06", X"06", X"1c", X"60", X"3e", X"04", X"16", X"1f", X"1d", X"f9", X"f9", X"d1", X"a4",
X"ec", X"ef", X"a4", X"ce", X"ae", X"07", X"42", X"a8", X"00", X"07", X"8c", X"00", X"c1", X"ef", X"32",
X"da", X"b9", X"97", X"cf", X"d8", X"ad", X"bd", X"4b", X"dc", X"cb", X"a8", X"e0", X"cd", X"80", X"00",
X"27", X"14", X"03", X"2a", X"33", X"34", X"2c", X"17", X"0c", X"0a", X"0a", X"14", X"29", X"0a", X"21",
X"22", X"3e", X"44", X"19", X"12", X"4e", X"56", X"55", X"45", X"51", X"31", X"25", X"23", X"53", X"5b",
X"bf", X"d5", X"c2", X"9b", X"8f", X"63", X"93", X"bc", X"af", X"a1", X"c1", X"c2", X"a4", X"bf", X"ba",
X"b1", X"c1", X"a5", X"b9", X"d3", X"bc", X"92", X"2f", X"12", X"1f", X"26", X"24", X"35", X"2b", X"21",
X"2c", X"16", X"13", X"1a", X"11", X"18", X"08", X"6b", X"bb", X"d2", X"be", X"87", X"5e", X"61", X"4a",
X"23", X"11", X"38", X"54", X"6a", X"62", X"4d", X"43", X"2e", X"28", X"20", X"38", X"42", X"40", X"2f",
X"29", X"27", X"24", X"2e", X"2a", X"37", X"21", X"21", X"25", X"26", X"1e", X"24", X"27", X"2c", X"21",
X"0d", X"0f", X"11", X"1a", X"24", X"24", X"1b", X"15", X"13", X"1c", X"15", X"1b", X"26", X"31", X"27",
X"a7", X"bf", X"62", X"57", X"ac", X"88", X"96", X"d4", X"aa", X"56", X"8e", X"c4", X"d1", X"ac", X"8d",
X"70", X"c8", X"97", X"88", X"cf", X"be", X"c6", X"ad", X"6a", X"32", X"19", X"0e", X"07", X"1c", X"28",
X"23", X"27", X"21", X"18", X"1d", X"18", X"01", X"6a", X"c1", X"c7", X"c3", X"c6", X"bc", X"c3", X"bd",
X"6a", X"38", X"5e", X"76", X"5a", X"49", X"3d", X"3f", X"46", X"34", X"2d", X"41", X"38", X"2d", X"2a",
X"23", X"1d", X"26", X"33", X"36", X"3b", X"24", X"2f", X"32", X"2d", X"26", X"26", X"3a", X"3c", X"2f",
X"16", X"1a", X"19", X"1e", X"1f", X"18", X"12", X"13", X"17", X"1b", X"13", X"25", X"41", X"27", X"28",
X"8d", X"81", X"2f", X"af", X"ca", X"d9", X"c2", X"ab", X"88", X"35", X"35", X"88", X"77", X"b8", X"cd",
X"54", X"9a", X"d6", X"c7", X"d0", X"b6", X"a2", X"be", X"aa", X"80", X"36", X"58", X"4b", X"1a", X"24",
X"29", X"2a", X"2e", X"26", X"2f", X"21", X"0d", X"3f", X"83", X"a0", X"b4", X"c3", X"bc", X"b1", X"a3",
X"7d", X"58", X"72", X"6a", X"5a", X"27", X"30", X"4e", X"48", X"2e", X"29", X"39", X"33", X"27", X"20",
X"1f", X"1e", X"12", X"1b", X"1b", X"2a", X"2f", X"1a", X"30", X"26", X"21", X"2a", X"40", X"47", X"3e",
X"1c", X"23", X"24", X"28", X"1c", X"15", X"12", X"16", X"1a", X"1f", X"19", X"2e", X"48", X"22", X"22",
X"70", X"0c", X"3d", X"de", X"ce", X"c5", X"d2", X"bc", X"3e", X"00", X"0c", X"1b", X"08", X"73", X"91",
X"31", X"17", X"bf", X"d4", X"c5", X"b9", X"b6", X"8d", X"6e", X"58", X"3a", X"8d", X"b2", X"86", X"3c",
X"2e", X"2c", X"22", X"1e", X"27", X"20", X"1b", X"10", X"39", X"85", X"a2", X"a7", X"90", X"7e", X"72",
X"3c", X"5e", X"69", X"6b", X"3b", X"20", X"2f", X"45", X"46", X"29", X"23", X"32", X"33", X"22", X"24",
X"21", X"23", X"07", X"69", X"64", X"10", X"17", X"10", X"19", X"26", X"11", X"25", X"3f", X"48", X"44",
X"1f", X"28", X"2b", X"30", X"20", X"0e", X"0f", X"17", X"18", X"1e", X"1f", X"2f", X"40", X"26", X"21",
X"96", X"8c", X"7f", X"96", X"71", X"bb", X"db", X"a7", X"7a", X"65", X"22", X"08", X"14", X"95", X"66",
X"63", X"51", X"24", X"65", X"dc", X"c2", X"d1", X"8f", X"95", X"94", X"82", X"4a", X"8f", X"ac", X"a4",
X"7d", X"4c", X"19", X"18", X"2e", X"12", X"14", X"14", X"1b", X"6a", X"8e", X"aa", X"93", X"57", X"49",
X"51", X"51", X"60", X"57", X"2c", X"37", X"49", X"48", X"35", X"27", X"27", X"3a", X"23", X"1b", X"50",
X"5d", X"05", X"58", X"b1", X"bc", X"60", X"00", X"2c", X"19", X"1d", X"1c", X"22", X"42", X"4c", X"48",
X"24", X"29", X"29", X"33", X"29", X"13", X"14", X"1a", X"19", X"1b", X"19", X"27", X"31", X"45", X"39",
X"c7", X"ca", X"db", X"88", X"3a", X"7c", X"d3", X"ad", X"c5", X"bd", X"b0", X"6a", X"a4", X"b9", X"8a",
X"8c", X"c4", X"c9", X"a5", X"d1", X"d8", X"a7", X"23", X"8d", X"ad", X"b2", X"7c", X"3f", X"60", X"6f",
X"76", X"7e", X"3d", X"1b", X"28", X"13", X"19", X"1c", X"0e", X"7d", X"a1", X"c8", X"c2", X"61", X"4d",
X"49", X"46", X"41", X"3f", X"34", X"3e", X"4a", X"47", X"30", X"32", X"32", X"24", X"26", X"1a", X"68",
X"ac", X"74", X"7f", X"ad", X"bc", X"8d", X"20", X"3e", X"40", X"41", X"1c", X"18", X"3c", X"51", X"53",
X"2a", X"24", X"2a", X"3a", X"34", X"27", X"19", X"16", X"17", X"18", X"11", X"19", X"1f", X"36", X"37",
X"9d", X"90", X"b5", X"dc", X"8c", X"ab", X"c6", X"8b", X"c6", X"c7", X"93", X"aa", X"d1", X"bd", X"c1",
X"8e", X"4a", X"8c", X"cb", X"92", X"b7", X"bf", X"73", X"45", X"96", X"af", X"8a", X"72", X"55", X"2d",
X"67", X"70", X"5c", X"25", X"1a", X"2a", X"1f", X"1a", X"0d", X"62", X"af", X"c9", X"d8", X"7c", X"38",
X"41", X"3e", X"44", X"42", X"33", X"4f", X"4b", X"47", X"51", X"38", X"23", X"2e", X"34", X"26", X"41",
X"b5", X"c9", X"af", X"a8", X"b2", X"a5", X"83", X"8c", X"74", X"48", X"3a", X"39", X"3c", X"57", X"5d",
X"3c", X"33", X"3b", X"47", X"41", X"31", X"1e", X"1a", X"1f", X"24", X"1d", X"1d", X"19", X"34", X"33",
X"38", X"76", X"c6", X"cc", X"dd", X"d6", X"cb", X"bb", X"bd", X"d0", X"7b", X"91", X"dd", X"de", X"da",
X"61", X"0b", X"c0", X"b3", X"ad", X"90", X"b5", X"e5", X"92", X"60", X"94", X"87", X"95", X"a5", X"8d",
X"9b", X"c0", X"b5", X"40", X"32", X"a9", X"76", X"1c", X"05", X"33", X"cb", X"bb", X"c8", X"bd", X"82",
X"3f", X"36", X"3c", X"27", X"4d", X"59", X"55", X"5f", X"61", X"3f", X"36", X"31", X"2c", X"32", X"1d",
X"8f", X"c9", X"b5", X"d3", X"cc", X"cb", X"be", X"a2", X"7b", X"4a", X"38", X"49", X"4b", X"5d", X"62",
X"52", X"50", X"54", X"54", X"4b", X"40", X"39", X"3d", X"3b", X"38", X"31", X"2b", X"1f", X"36", X"31",
X"16", X"4f", X"c6", X"a7", X"a8", X"b6", X"c2", X"b7", X"ce", X"d3", X"5a", X"43", X"82", X"bc", X"d4",
X"b4", X"90", X"ac", X"b1", X"d2", X"9e", X"50", X"76", X"7c", X"9b", X"98", X"b8", X"ad", X"be", X"b8",
X"c5", X"cf", X"d5", X"41", X"39", X"a3", X"80", X"2e", X"60", X"57", X"c6", X"bb", X"ad", X"d4", X"c4",
X"9e", X"6d", X"3c", X"32", X"4d", X"69", X"4e", X"45", X"43", X"47", X"4a", X"40", X"3c", X"2f", X"16",
X"45", X"88", X"9b", X"c7", X"d6", X"d7", X"bd", X"a3", X"97", X"6e", X"42", X"45", X"4f", X"5e", X"62",
X"67", X"6c", X"5a", X"5b", X"52", X"46", X"3c", X"3c", X"37", X"34", X"30", X"25", X"21", X"22", X"20",
X"72", X"09", X"2b", X"3f", X"6a", X"93", X"a8", X"a6", X"b2", X"d0", X"44", X"70", X"6b", X"c5", X"a7",
X"b4", X"ba", X"b4", X"8b", X"af", X"6a", X"cc", X"82", X"74", X"78", X"98", X"96", X"8d", X"a0", X"97",
X"a7", X"b9", X"bf", X"41", X"32", X"74", X"27", X"32", X"80", X"71", X"86", X"be", X"c0", X"ba", X"c4",
X"bd", X"c2", X"61", X"0f", X"30", X"64", X"82", X"63", X"22", X"54", X"4f", X"56", X"3c", X"21", X"15",
X"19", X"4a", X"77", X"ab", X"c3", X"d2", X"bd", X"ad", X"96", X"78", X"39", X"33", X"3d", X"51", X"60",
X"72", X"80", X"6e", X"63", X"52", X"3c", X"39", X"31", X"25", X"27", X"1e", X"16", X"20", X"1e", X"1c",
X"60", X"41", X"34", X"28", X"35", X"59", X"63", X"99", X"ac", X"c7", X"48", X"88", X"cc", X"cc", X"cc",
X"91", X"b5", X"b4", X"68", X"77", X"4d", X"3e", X"ad", X"6c", X"66", X"60", X"3f", X"3e", X"31", X"5c",
X"ae", X"a0", X"9e", X"30", X"4c", X"ab", X"5b", X"69", X"70", X"a1", X"0c", X"4f", X"ba", X"b7", X"aa",
X"ab", X"c5", X"ba", X"3c", X"7d", X"ac", X"c7", X"cb", X"4f", X"2d", X"4f", X"5b", X"4d", X"16", X"1a",
X"1a", X"1a", X"46", X"90", X"bc", X"b6", X"7b", X"50", X"4a", X"37", X"32", X"22", X"1a", X"31", X"46",
X"60", X"7c", X"7c", X"6f", X"54", X"34", X"1e", X"21", X"19", X"10", X"11", X"22", X"22", X"1b", X"1c",
X"5e", X"3b", X"21", X"1b", X"46", X"48", X"6b", X"af", X"91", X"67", X"39", X"c5", X"a9", X"c9", X"c2",
X"a7", X"6d", X"aa", X"b1", X"85", X"78", X"52", X"9b", X"71", X"54", X"3e", X"43", X"2a", X"08", X"4c",
X"b4", X"b2", X"b5", X"1e", X"69", X"b8", X"a0", X"b6", X"bb", X"d2", X"6f", X"74", X"ae", X"ae", X"9a",
X"ba", X"cc", X"d0", X"ca", X"a3", X"74", X"99", X"ab", X"89", X"32", X"48", X"48", X"4b", X"55", X"26",
X"22", X"21", X"1c", X"22", X"51", X"52", X"3a", X"3e", X"51", X"5f", X"44", X"2b", X"03", X"14", X"28",
X"48", X"6f", X"83", X"75", X"50", X"2d", X"21", X"22", X"1d", X"20", X"1c", X"24", X"27", X"1c", X"18",
X"6d", X"3b", X"1e", X"0b", X"22", X"26", X"49", X"72", X"25", X"2e", X"4a", X"a6", X"6b", X"1d", X"92",
X"92", X"88", X"91", X"da", X"a2", X"b5", X"c7", X"ad", X"7a", X"3f", X"50", X"2a", X"2a", X"20", X"59",
X"a7", X"b4", X"a7", X"1f", X"67", X"d0", X"b2", X"c6", X"d1", X"ea", X"a4", X"72", X"84", X"9b", X"94",
X"bc", X"be", X"b2", X"c6", X"c2", X"8c", X"ac", X"c0", X"9e", X"5c", X"47", X"54", X"60", X"52", X"4a",
X"21", X"3e", X"3b", X"22", X"31", X"38", X"18", X"38", X"54", X"62", X"5d", X"33", X"0a", X"0c", X"17",
X"37", X"5d", X"82", X"80", X"5e", X"2b", X"25", X"23", X"20", X"22", X"1f", X"28", X"2a", X"20", X"1b",
X"75", X"39", X"0b", X"13", X"11", X"13", X"15", X"51", X"34", X"2b", X"4d", X"34", X"15", X"14", X"16",
X"be", X"c7", X"a5", X"c5", X"ba", X"c9", X"c5", X"b1", X"64", X"37", X"1e", X"1d", X"6c", X"5b", X"3f",
X"58", X"56", X"62", X"28", X"4f", X"bc", X"c4", X"b6", X"c1", X"b6", X"b1", X"54", X"57", X"7c", X"42",
X"69", X"c3", X"a5", X"98", X"be", X"a2", X"a9", X"a3", X"7c", X"7a", X"5b", X"4d", X"4f", X"59", X"59",
X"4c", X"55", X"61", X"3c", X"53", X"3f", X"26", X"1c", X"31", X"50", X"67", X"59", X"1e", X"21", X"33",
X"46", X"54", X"7a", X"82", X"62", X"31", X"29", X"2b", X"2d", X"1d", X"1d", X"29", X"21", X"19", X"18",
X"6a", X"44", X"1b", X"1e", X"23", X"1b", X"15", X"1d", X"22", X"1f", X"5f", X"25", X"33", X"1d", X"1d",
X"90", X"e2", X"d1", X"cb", X"b7", X"44", X"a3", X"b9", X"bf", X"96", X"3b", X"3f", X"75", X"36", X"49",
X"39", X"8e", X"ab", X"1a", X"4c", X"9c", X"a2", X"c9", X"94", X"8a", X"c3", X"95", X"7b", X"56", X"16",
X"74", X"af", X"ae", X"aa", X"cb", X"8b", X"53", X"52", X"a7", X"9f", X"4d", X"4b", X"3a", X"2f", X"7b",
X"80", X"59", X"80", X"7e", X"6a", X"4b", X"1a", X"25", X"1d", X"34", X"56", X"60", X"41", X"3d", X"4f",
X"57", X"48", X"66", X"7b", X"69", X"3a", X"4b", X"43", X"48", X"46", X"3c", X"27", X"20", X"15", X"15",
X"34", X"54", X"1b", X"20", X"1d", X"15", X"1c", X"21", X"1c", X"1a", X"58", X"26", X"1f", X"43", X"2a",
X"13", X"76", X"a5", X"9f", X"b5", X"9d", X"a1", X"c5", X"b4", X"83", X"79", X"73", X"23", X"7d", X"ae",
X"91", X"b5", X"bc", X"1a", X"78", X"c3", X"ba", X"bc", X"ae", X"9c", X"85", X"ac", X"b4", X"a9", X"26",
X"74", X"a1", X"b6", X"bd", X"c1", X"ad", X"5d", X"61", X"a9", X"a7", X"54", X"28", X"36", X"15", X"6e",
X"ab", X"7d", X"84", X"bb", X"a4", X"4f", X"18", X"21", X"1a", X"12", X"31", X"53", X"4e", X"4d", X"7c",
X"a1", X"8b", X"92", X"95", X"81", X"4a", X"51", X"49", X"5a", X"57", X"5c", X"4a", X"36", X"15", X"12",
X"16", X"5b", X"22", X"25", X"1b", X"1b", X"18", X"32", X"2a", X"23", X"60", X"21", X"0b", X"30", X"34",
X"09", X"b4", X"e2", X"bc", X"d0", X"dd", X"95", X"98", X"54", X"3a", X"40", X"49", X"83", X"ab", X"ac",
X"70", X"67", X"85", X"21", X"22", X"57", X"1c", X"64", X"d9", X"63", X"76", X"b6", X"b3", X"74", X"47",
X"59", X"aa", X"ba", X"bf", X"94", X"ba", X"b7", X"89", X"50", X"82", X"4a", X"34", X"2d", X"21", X"41",
X"96", X"9e", X"80", X"c3", X"b6", X"79", X"5d", X"58", X"0e", X"06", X"13", X"25", X"38", X"64", X"9f",
X"b3", X"d4", X"cd", X"c5", X"d3", X"5f", X"27", X"49", X"3a", X"42", X"41", X"44", X"40", X"3c", X"33",
X"36", X"2e", X"27", X"1b", X"39", X"50", X"34", X"1d", X"25", X"38", X"4c", X"6b", X"52", X"3c", X"2b",
X"1c", X"6b", X"c0", X"67", X"63", X"6a", X"7c", X"8d", X"89", X"31", X"4c", X"22", X"48", X"7d", X"98",
X"72", X"09", X"2c", X"4f", X"62", X"c6", X"ab", X"b4", X"d4", X"c5", X"bf", X"b2", X"c0", X"8f", X"68",
X"24", X"57", X"d4", X"bd", X"c8", X"d2", X"ba", X"bf", X"8a", X"80", X"5c", X"56", X"41", X"24", X"1d",
X"77", X"ba", X"b7", X"bc", X"b7", X"aa", X"8c", X"5a", X"15", X"03", X"00", X"07", X"1a", X"43", X"6b",
X"8c", X"b3", X"c2", X"9b", X"c7", X"ae", X"26", X"14", X"2e", X"35", X"2e", X"2c", X"40", X"33", X"33",
X"27", X"2b", X"21", X"14", X"26", X"50", X"29", X"49", X"20", X"49", X"45", X"6b", X"38", X"56", X"55",
X"2b", X"38", X"5e", X"70", X"4e", X"45", X"c1", X"8e", X"b6", X"96", X"15", X"05", X"24", X"51", X"96",
X"68", X"1c", X"36", X"5f", X"19", X"5b", X"fe", X"a7", X"f4", X"59", X"ec", X"ac", X"99", X"c0", X"e8",
X"89", X"09", X"57", X"c8", X"ce", X"e5", X"b7", X"e2", X"f0", X"c6", X"78", X"57", X"2d", X"28", X"13",
X"87", X"c8", X"ce", X"d3", X"af", X"90", X"3e", X"08", X"00", X"1c", X"66", X"6c", X"5c", X"7f", X"7c",
X"85", X"6e", X"ad", X"a7", X"a3", X"d6", X"af", X"52", X"4e", X"45", X"35", X"18", X"28", X"33", X"34",
X"14", X"23", X"24", X"21", X"03", X"60", X"21", X"9a", X"74", X"42", X"43", X"68", X"83", X"49", X"43",
X"2f", X"29", X"36", X"3a", X"58", X"72", X"9f", X"f3", X"c2", X"b1", X"d0", X"32", X"00", X"31", X"21",
X"0e", X"46", X"3c", X"3b", X"1f", X"01", X"30", X"c0", X"be", X"3c", X"ff", X"70", X"91", X"c1", X"7b",
X"11", X"b6", X"a4", X"5e", X"b5", X"43", X"55", X"c8", X"c3", X"cd", X"c5", X"53", X"20", X"20", X"36",
X"5b", X"ac", X"bf", X"b6", X"cb", X"9e", X"3d", X"00", X"04", X"44", X"a2", X"cb", X"b0", X"b1", X"b3",
X"a9", X"6e", X"a6", X"de", X"c4", X"c1", X"bc", X"8e", X"5d", X"56", X"36", X"1a", X"17", X"35", X"37",
X"3f", X"19", X"0c", X"0e", X"1f", X"60", X"38", X"a9", X"95", X"89", X"3d", X"2b", X"73", X"71", X"65",
X"31", X"25", X"0a", X"44", X"ac", X"7c", X"1a", X"a3", X"cf", X"ea", X"b9", X"86", X"da", X"a0", X"c6",
X"cd", X"2a", X"24", X"39", X"1a", X"20", X"1b", X"33", X"f3", X"38", X"c1", X"b7", X"98", X"45", X"0b",
X"8f", X"bd", X"b8", X"c9", X"d4", X"d4", X"66", X"c2", X"c9", X"9f", X"43", X"6d", X"82", X"55", X"38",
X"46", X"50", X"53", X"6f", X"97", X"a2", X"63", X"34", X"30", X"1e", X"59", X"bf", X"b6", X"b7", X"b2",
X"ae", X"74", X"88", X"9f", X"be", X"cf", X"c1", X"9f", X"86", X"59", X"1f", X"18", X"17", X"3b", X"3e",
X"26", X"32", X"12", X"48", X"b1", X"93", X"2f", X"a1", X"7d", X"bf", X"95", X"34", X"1b", X"39", X"4f",
X"35", X"2c", X"55", X"95", X"a3", X"5a", X"56", X"a7", X"91", X"c5", X"e1", X"5a", X"b1", X"cc", X"c7",
X"83", X"00", X"24", X"35", X"20", X"16", X"07", X"80", X"c5", X"4a", X"54", X"b5", X"6a", X"55", X"24",
X"12", X"67", X"ba", X"d6", X"b0", X"e8", X"e0", X"bf", X"bd", X"ec", X"52", X"92", X"9c", X"84", X"5c",
X"43", X"52", X"4f", X"67", X"77", X"7b", X"5e", X"4a", X"54", X"51", X"0b", X"4c", X"9e", X"c9", X"b9",
X"69", X"7a", X"61", X"7c", X"7c", X"91", X"bd", X"89", X"8f", X"4a", X"1d", X"22", X"26", X"3f", X"40",
X"26", X"20", X"16", X"21", X"ca", X"c2", X"37", X"b0", X"8a", X"bc", X"e2", X"d7", X"99", X"1d", X"72",
X"2e", X"1a", X"a4", X"b6", X"ab", X"9c", X"86", X"d1", X"cf", X"bb", X"a2", X"4a", X"6e", X"cd", X"8e",
X"03", X"0d", X"24", X"38", X"19", X"18", X"0c", X"26", X"ae", X"5a", X"14", X"db", X"81", X"72", X"1a",
X"37", X"1e", X"02", X"37", X"00", X"c8", X"c5", X"c2", X"b1", X"bc", X"b0", X"45", X"62", X"a2", X"8b",
X"73", X"2d", X"38", X"7f", X"95", X"99", X"87", X"4f", X"65", X"78", X"5d", X"41", X"af", X"c4", X"ac",
X"a1", X"c3", X"5c", X"4b", X"4a", X"2f", X"3b", X"4b", X"48", X"2d", X"21", X"23", X"22", X"41", X"43",
X"24", X"33", X"41", X"38", X"2c", X"8e", X"16", X"7d", X"5c", X"e6", X"ca", X"da", X"c6", X"55", X"28",
X"36", X"23", X"a1", X"e3", X"a1", X"22", X"79", X"af", X"66", X"50", X"15", X"5a", X"2c", X"8f", X"de",
X"7a", X"02", X"2b", X"34", X"24", X"09", X"3f", X"be", X"77", X"61", X"1b", X"9f", X"a3", X"e9", X"7b",
X"17", X"20", X"10", X"38", X"40", X"2f", X"3f", X"65", X"b1", X"a8", X"b7", X"34", X"8f", X"de", X"bd",
X"72", X"4d", X"91", X"93", X"95", X"a9", X"81", X"84", X"56", X"8a", X"7d", X"6b", X"ae", X"ab", X"8a",
X"a2", X"bc", X"64", X"2b", X"37", X"32", X"1c", X"34", X"2a", X"29", X"24", X"22", X"22", X"43", X"42",
X"16", X"31", X"69", X"8d", X"3e", X"80", X"d5", X"bc", X"9c", X"7a", X"e8", X"cd", X"c3", X"94", X"2e",
X"35", X"20", X"6b", X"d1", X"9e", X"1e", X"b7", X"e8", X"91", X"00", X"6a", X"73", X"65", X"18", X"9a",
X"90", X"a8", X"46", X"2c", X"00", X"3a", X"b4", X"c1", X"8a", X"55", X"32", X"7d", X"90", X"ce", X"c4",
X"73", X"aa", X"88", X"05", X"c0", X"25", X"41", X"4b", X"45", X"a5", X"9b", X"c8", X"7e", X"c4", X"e8",
X"98", X"b1", X"8c", X"59", X"88", X"6d", X"65", X"69", X"62", X"9a", X"8e", X"55", X"52", X"61", X"66",
X"6a", X"87", X"85", X"25", X"2d", X"2d", X"25", X"20", X"2a", X"1e", X"21", X"24", X"18", X"19", X"25",
X"19", X"10", X"0b", X"1d", X"79", X"a3", X"a1", X"b7", X"c7", X"cc", X"36", X"77", X"ff", X"77", X"d0",
X"38", X"29", X"4f", X"b4", X"69", X"2d", X"bc", X"d3", X"95", X"04", X"96", X"ab", X"7d", X"ab", X"a6",
X"90", X"82", X"7b", X"3b", X"cc", X"6e", X"43", X"9b", X"eb", X"58", X"36", X"8a", X"65", X"b8", X"de",
X"97", X"98", X"9b", X"73", X"c6", X"b1", X"9c", X"93", X"6b", X"40", X"34", X"ba", X"99", X"d9", X"b3",
X"fe", X"6c", X"4b", X"7e", X"8d", X"3c", X"65", X"3b", X"6c", X"7a", X"7e", X"4a", X"33", X"26", X"3d",
X"34", X"92", X"8c", X"39", X"22", X"23", X"26", X"1b", X"1a", X"21", X"22", X"1a", X"1d", X"29", X"25",
X"3b", X"0f", X"1b", X"23", X"1c", X"81", X"aa", X"ba", X"d7", X"e8", X"b8", X"6a", X"19", X"73", X"c3",
X"40", X"34", X"2d", X"66", X"1a", X"22", X"68", X"a3", X"30", X"4f", X"cd", X"9c", X"c7", X"aa", X"a2",
X"c3", X"c0", X"4c", X"8b", X"d7", X"ad", X"7e", X"97", X"33", X"66", X"23", X"8b", X"ed", X"b4", X"c4",
X"a9", X"5e", X"21", X"69", X"58", X"79", X"93", X"65", X"3d", X"2a", X"26", X"10", X"32", X"eb", X"d0",
X"6d", X"5d", X"73", X"b4", X"1f", X"4d", X"5a", X"59", X"49", X"40", X"31", X"40", X"2f", X"46", X"54",
X"45", X"4d", X"71", X"2d", X"28", X"20", X"21", X"1c", X"1b", X"32", X"2d", X"18", X"1f", X"27", X"28",
X"9f", X"36", X"00", X"19", X"00", X"00", X"5b", X"af", X"b6", X"cd", X"af", X"5b", X"00", X"a6", X"ee",
X"41", X"28", X"19", X"10", X"63", X"02", X"23", X"40", X"04", X"4c", X"38", X"f4", X"91", X"d1", X"be",
X"bf", X"e9", X"df", X"b5", X"d3", X"e0", X"78", X"0a", X"4f", X"6d", X"3b", X"5f", X"e6", X"c3", X"92",
X"b4", X"4d", X"20", X"20", X"6e", X"a7", X"5b", X"38", X"2d", X"27", X"18", X"33", X"29", X"5d", X"73",
X"77", X"4a", X"db", X"a9", X"60", X"5c", X"57", X"40", X"20", X"26", X"17", X"1a", X"20", X"4d", X"67",
X"62", X"38", X"3c", X"30", X"32", X"30", X"21", X"24", X"25", X"3e", X"36", X"1d", X"21", X"27", X"2a",
X"c1", X"75", X"00", X"04", X"09", X"1a", X"00", X"84", X"d7", X"99", X"d2", X"00", X"3a", X"e1", X"53",
X"47", X"2d", X"23", X"0b", X"2b", X"3e", X"0c", X"69", X"1f", X"2e", X"0c", X"5e", X"eb", X"ac", X"b1",
X"c3", X"db", X"e3", X"b5", X"b4", X"e0", X"9a", X"4d", X"32", X"6e", X"35", X"38", X"c5", X"c1", X"6e",
X"56", X"1c", X"44", X"2e", X"bc", X"ae", X"85", X"20", X"2e", X"22", X"29", X"2d", X"1f", X"49", X"6d",
X"61", X"8e", X"db", X"ce", X"88", X"72", X"4f", X"29", X"56", X"42", X"25", X"30", X"2b", X"4c", X"5d",
X"56", X"67", X"38", X"59", X"35", X"37", X"22", X"23", X"26", X"41", X"3d", X"2a", X"3c", X"15", X"21",
X"98", X"53", X"0d", X"0b", X"02", X"24", X"00", X"99", X"c6", X"de", X"43", X"06", X"96", X"b4", X"8b",
X"36", X"3a", X"25", X"0d", X"1c", X"46", X"3c", X"14", X"0e", X"4f", X"9a", X"5b", X"83", X"ad", X"89",
X"a8", X"6f", X"d7", X"b9", X"a1", X"cf", X"d7", X"9d", X"59", X"47", X"53", X"40", X"64", X"9a", X"61",
X"01", X"6f", X"75", X"1a", X"4e", X"a4", X"5b", X"11", X"14", X"49", X"56", X"48", X"00", X"55", X"82",
X"66", X"a6", X"fe", X"c3", X"7c", X"9b", X"2e", X"32", X"5a", X"4b", X"32", X"1e", X"23", X"4b", X"59",
X"65", X"51", X"64", X"42", X"56", X"32", X"28", X"24", X"27", X"45", X"42", X"2e", X"4c", X"24", X"20",
X"64", X"27", X"0e", X"10", X"0c", X"1a", X"2d", X"e2", X"c5", X"fe", X"09", X"21", X"e3", X"a7", X"85",
X"74", X"2d", X"2f", X"0d", X"28", X"49", X"29", X"2a", X"2b", X"76", X"8e", X"a9", X"da", X"a1", X"d7",
X"fe", X"e6", X"d6", X"c5", X"ee", X"ee", X"d8", X"a5", X"64", X"47", X"4c", X"35", X"89", X"a9", X"0a",
X"8d", X"52", X"7a", X"43", X"08", X"81", X"61", X"22", X"4a", X"7a", X"63", X"37", X"29", X"96", X"6b",
X"69", X"2c", X"c1", X"be", X"bc", X"bd", X"3d", X"14", X"2b", X"35", X"4d", X"38", X"0a", X"6d", X"aa",
X"88", X"71", X"54", X"55", X"33", X"28", X"24", X"24", X"2f", X"4a", X"4c", X"35", X"44", X"22", X"25",
X"89", X"68", X"12", X"0a", X"1c", X"19", X"18", X"00", X"20", X"0e", X"1d", X"57", X"90", X"71", X"63",
X"29", X"49", X"22", X"29", X"21", X"3c", X"3b", X"11", X"1c", X"4c", X"83", X"4a", X"54", X"5d", X"48",
X"2c", X"a3", X"be", X"48", X"58", X"ed", X"b1", X"a7", X"7b", X"55", X"67", X"11", X"a4", X"e4", X"a6",
X"80", X"40", X"81", X"36", X"0b", X"49", X"5e", X"62", X"52", X"4e", X"66", X"02", X"aa", X"6d", X"71",
X"2b", X"2e", X"06", X"ba", X"d3", X"b6", X"44", X"24", X"18", X"25", X"38", X"35", X"1e", X"44", X"bf",
X"cb", X"b3", X"59", X"43", X"33", X"2b", X"20", X"22", X"34", X"44", X"51", X"3b", X"2f", X"25", X"27",
X"61", X"2b", X"47", X"0e", X"1d", X"13", X"23", X"2d", X"1f", X"22", X"09", X"a1", X"d9", X"4b", X"55",
X"0f", X"5b", X"1a", X"32", X"28", X"3b", X"53", X"1a", X"30", X"19", X"1c", X"22", X"06", X"3f", X"46",
X"15", X"93", X"c9", X"86", X"6d", X"c2", X"e3", X"bd", X"a0", X"7c", X"81", X"3c", X"a9", X"d4", X"d8",
X"d2", X"a8", X"ab", X"8c", X"bd", X"00", X"99", X"81", X"2a", X"3f", X"17", X"4b", X"b4", X"5e", X"4c",
X"22", X"65", X"6f", X"41", X"a2", X"b7", X"94", X"6a", X"20", X"15", X"2e", X"26", X"23", X"20", X"71",
X"b2", X"d9", X"99", X"4c", X"2e", X"21", X"23", X"2a", X"36", X"46", X"50", X"34", X"16", X"17", X"2c",
X"56", X"4e", X"1a", X"39", X"08", X"1a", X"65", X"1e", X"18", X"2f", X"0f", X"a6", X"3c", X"69", X"66",
X"0d", X"57", X"1f", X"3a", X"22", X"21", X"67", X"03", X"a3", X"7d", X"23", X"2b", X"12", X"4a", X"33",
X"1b", X"f8", X"be", X"7d", X"c5", X"ee", X"d9", X"d2", X"a0", X"63", X"89", X"ff", X"b1", X"7f", X"ff",
X"dc", X"de", X"b9", X"5e", X"6b", X"71", X"47", X"75", X"95", X"13", X"0b", X"9c", X"80", X"2d", X"1b",
X"1e", X"37", X"a8", X"85", X"9c", X"a6", X"ab", X"b3", X"58", X"00", X"19", X"11", X"88", X"87", X"b8",
X"a2", X"c0", X"b8", X"9b", X"27", X"15", X"21", X"26", X"39", X"49", X"49", X"3e", X"2d", X"2b", X"29",
X"3f", X"7e", X"15", X"2e", X"12", X"2d", X"54", X"1f", X"30", X"1a", X"2a", X"15", X"09", X"45", X"2a",
X"43", X"4a", X"2d", X"38", X"2b", X"16", X"2b", X"2f", X"87", X"9c", X"1a", X"25", X"1a", X"35", X"5e",
X"5b", X"4b", X"9b", X"aa", X"b2", X"cb", X"9e", X"d2", X"b7", X"66", X"03", X"e2", X"ed", X"ff", X"3b",
X"0c", X"c6", X"ea", X"37", X"4f", X"26", X"a7", X"a0", X"e3", X"07", X"30", X"00", X"0a", X"10", X"53",
X"38", X"50", X"4d", X"c4", X"c9", X"96", X"3e", X"5a", X"51", X"0e", X"27", X"3e", X"28", X"6e", X"39",
X"4e", X"bb", X"cc", X"c5", X"a8", X"39", X"0f", X"1f", X"35", X"44", X"4f", X"5a", X"76", X"24", X"2a",
X"47", X"7f", X"74", X"0d", X"1e", X"5c", X"9b", X"1c", X"16", X"3b", X"23", X"18", X"3c", X"42", X"07",
X"00", X"7d", X"4f", X"21", X"28", X"2a", X"3f", X"20", X"19", X"0b", X"3b", X"3f", X"4f", X"47", X"13",
X"88", X"af", X"bc", X"3e", X"ff", X"ed", X"85", X"0a", X"05", X"0d", X"43", X"3b", X"63", X"5a", X"ff",
X"ad", X"dc", X"46", X"71", X"41", X"e0", X"43", X"92", X"3c", X"5d", X"3c", X"26", X"14", X"36", X"53",
X"8e", X"80", X"83", X"95", X"8b", X"b8", X"be", X"56", X"62", X"5a", X"08", X"15", X"44", X"8b", X"85",
X"d0", X"aa", X"b6", X"c7", X"a7", X"8b", X"24", X"2d", X"2d", X"27", X"58", X"82", X"8b", X"2b", X"24",
X"69", X"55", X"59", X"91", X"14", X"20", X"50", X"46", X"16", X"85", X"7d", X"38", X"3a", X"1b", X"22",
X"ba", X"dc", X"84", X"22", X"29", X"20", X"0e", X"71", X"73", X"28", X"36", X"53", X"1a", X"32", X"08",
X"45", X"73", X"84", X"3a", X"4a", X"fa", X"8c", X"0a", X"32", X"1a", X"13", X"5a", X"2a", X"42", X"f0",
X"e7", X"5d", X"cf", X"ef", X"90", X"9b", X"3e", X"19", X"8b", X"84", X"7f", X"5e", X"3b", X"40", X"53",
X"61", X"4b", X"1f", X"9b", X"9f", X"a8", X"db", X"6f", X"17", X"28", X"2f", X"24", X"2e", X"2f", X"12",
X"29", X"28", X"7b", X"a0", X"b0", X"7b", X"37", X"2e", X"1f", X"17", X"2c", X"60", X"6e", X"53", X"6c",
X"65", X"5f", X"07", X"5d", X"80", X"11", X"2a", X"07", X"84", X"88", X"82", X"51", X"30", X"50", X"93",
X"84", X"bc", X"4f", X"21", X"2e", X"3c", X"42", X"6b", X"b6", X"7a", X"24", X"92", X"21", X"0a", X"40",
X"2d", X"33", X"1b", X"66", X"0a", X"1f", X"8b", X"10", X"22", X"38", X"0b", X"30", X"3a", X"7d", X"ff",
X"21", X"77", X"da", X"bc", X"dc", X"6a", X"0c", X"41", X"64", X"49", X"7a", X"49", X"61", X"2c", X"28",
X"28", X"0a", X"2c", X"6c", X"5c", X"81", X"e0", X"00", X"a4", X"60", X"13", X"1f", X"29", X"25", X"26",
X"28", X"24", X"42", X"6b", X"b0", X"82", X"20", X"17", X"1a", X"14", X"23", X"55", X"4a", X"6c", X"65",
X"61", X"20", X"24", X"03", X"6f", X"78", X"12", X"20", X"98", X"e5", X"a5", X"bc", X"9f", X"78", X"69",
X"5a", X"aa", X"50", X"21", X"1d", X"43", X"3f", X"41", X"4b", X"42", X"33", X"21", X"38", X"14", X"55",
X"00", X"14", X"23", X"7d", X"55", X"30", X"01", X"16", X"28", X"39", X"18", X"38", X"39", X"08", X"2a",
X"a1", X"48", X"00", X"0f", X"95", X"18", X"46", X"67", X"43", X"5c", X"45", X"4a", X"aa", X"1c", X"1c",
X"0b", X"0b", X"2c", X"33", X"0a", X"64", X"9d", X"3a", X"c6", X"ae", X"57", X"1a", X"21", X"2b", X"1c",
X"1f", X"1a", X"19", X"37", X"7d", X"7d", X"34", X"30", X"28", X"27", X"25", X"4c", X"4f", X"65", X"66",
X"97", X"1e", X"1c", X"2d", X"1c", X"95", X"2f", X"23", X"23", X"ff", X"cd", X"be", X"ae", X"f2", X"62",
X"76", X"94", X"60", X"3b", X"16", X"31", X"17", X"5f", X"0e", X"17", X"19", X"08", X"19", X"33", X"00",
X"1a", X"00", X"69", X"92", X"66", X"00", X"0e", X"58", X"2a", X"66", X"40", X"10", X"16", X"31", X"34",
X"35", X"15", X"33", X"8f", X"13", X"3d", X"58", X"64", X"42", X"3d", X"5a", X"59", X"02", X"2a", X"1a",
X"16", X"1b", X"28", X"2f", X"31", X"3c", X"2c", X"58", X"79", X"8d", X"77", X"34", X"1b", X"24", X"11",
X"04", X"13", X"18", X"07", X"21", X"42", X"2d", X"35", X"54", X"56", X"13", X"31", X"46", X"62", X"68",
X"b4", X"ff", X"4f", X"22", X"32", X"00", X"13", X"39", X"79", X"3b", X"da", X"cb", X"a5", X"7b", X"fb",
X"ad", X"df", X"86", X"90", X"76", X"3f", X"16", X"54", X"11", X"40", X"d0", X"b3", X"46", X"03", X"17",
X"33", X"b3", X"a4", X"72", X"00", X"91", X"d0", X"be", X"a9", X"28", X"2c", X"24", X"2c", X"25", X"52",
X"3c", X"06", X"0e", X"52", X"b2", X"1d", X"6c", X"25", X"1e", X"70", X"5c", X"3a", X"12", X"40", X"3f",
X"40", X"4f", X"53", X"4c", X"4d", X"48", X"42", X"21", X"41", X"5d", X"99", X"47", X"5d", X"46", X"3b",
X"32", X"29", X"2c", X"5a", X"2e", X"29", X"21", X"2d", X"4c", X"4d", X"27", X"39", X"3f", X"1c", X"0d",
X"53", X"98", X"cc", X"0a", X"69", X"79", X"1d", X"13", X"90", X"d0", X"d5", X"e8", X"47", X"57", X"68",
X"dd", X"c0", X"75", X"48", X"5b", X"64", X"1d", X"37", X"55", X"0d", X"7b", X"e9", X"c4", X"9b", X"0b",
X"9d", X"82", X"9d", X"a0", X"00", X"d6", X"e5", X"b0", X"c1", X"69", X"2a", X"33", X"26", X"51", X"63",
X"7e", X"70", X"3a", X"09", X"5d", X"00", X"5d", X"1d", X"5c", X"66", X"44", X"3c", X"14", X"3c", X"32",
X"45", X"4f", X"2f", X"34", X"20", X"19", X"40", X"61", X"08", X"2e", X"49", X"73", X"c4", X"82", X"61",
X"55", X"58", X"7d", X"62", X"5a", X"40", X"2d", X"39", X"5d", X"4b", X"2e", X"2c", X"4a", X"0e", X"06",
X"60", X"53", X"90", X"0e", X"92", X"cf", X"db", X"8d", X"a5", X"bc", X"c6", X"e9", X"55", X"75", X"c5",
X"c1", X"de", X"95", X"77", X"36", X"39", X"33", X"21", X"4d", X"23", X"0a", X"97", X"e9", X"c4", X"ba",
X"a9", X"47", X"e5", X"3b", X"37", X"b3", X"a3", X"df", X"db", X"b3", X"18", X"34", X"32", X"46", X"40",
X"5a", X"6c", X"3e", X"21", X"21", X"1b", X"3a", X"13", X"52", X"b6", X"b5", X"ac", X"1c", X"28", X"1f",
X"20", X"1d", X"7c", X"4e", X"29", X"32", X"22", X"14", X"0b", X"50", X"a1", X"9a", X"b8", X"bc", X"83",
X"5f", X"7f", X"52", X"77", X"45", X"3b", X"12", X"47", X"7b", X"57", X"2c", X"2c", X"42", X"06", X"0b",
X"13", X"2b", X"1b", X"20", X"1d", X"80", X"ca", X"df", X"db", X"9f", X"8c", X"d5", X"ec", X"ea", X"a8",
X"d4", X"92", X"53", X"34", X"34", X"17", X"25", X"1a", X"2e", X"3a", X"ca", X"d1", X"c0", X"e3", X"bf",
X"e1", X"ff", X"1d", X"1b", X"09", X"35", X"b8", X"ad", X"a4", X"03", X"34", X"0a", X"3e", X"3e", X"4f",
X"6f", X"27", X"2f", X"10", X"13", X"55", X"31", X"21", X"74", X"ff", X"c7", X"58", X"6b", X"c1", X"db",
X"6a", X"63", X"c0", X"90", X"65", X"1d", X"15", X"14", X"31", X"2b", X"65", X"d5", X"bc", X"cf", X"d1",
X"64", X"63", X"70", X"53", X"3b", X"24", X"05", X"2c", X"75", X"44", X"2a", X"30", X"3a", X"0f", X"06",
X"2a", X"72", X"28", X"41", X"10", X"00", X"9c", X"c1", X"ee", X"d3", X"d9", X"d3", X"f2", X"c0", X"8a",
X"c6", X"a6", X"18", X"40", X"30", X"07", X"37", X"27", X"0c", X"73", X"a0", X"b5", X"c3", X"ba", X"65",
X"a0", X"cf", X"00", X"0f", X"00", X"6d", X"80", X"a4", X"ae", X"07", X"21", X"9a", X"60", X"53", X"89",
X"1e", X"13", X"71", X"17", X"1f", X"56", X"50", X"b0", X"f6", X"43", X"0c", X"00", X"49", X"b1", X"92",
X"f6", X"59", X"df", X"d5", X"8a", X"0f", X"37", X"65", X"34", X"4a", X"53", X"38", X"f3", X"d4", X"d5",
X"69", X"76", X"99", X"99", X"89", X"8c", X"77", X"7d", X"45", X"4a", X"0a", X"44", X"1d", X"22", X"0d",
X"67", X"57", X"41", X"4a", X"4d", X"85", X"6f", X"da", X"c3", X"92", X"b9", X"d7", X"d7", X"64", X"94",
X"7f", X"db", X"0d", X"3f", X"26", X"10", X"10", X"3a", X"14", X"22", X"4d", X"8f", X"da", X"d0", X"ac",
X"a6", X"d7", X"cf", X"1f", X"00", X"78", X"66", X"7c", X"a7", X"3e", X"98", X"5f", X"67", X"92", X"9a",
X"0a", X"8c", X"9c", X"85", X"4b", X"b8", X"ba", X"75", X"05", X"14", X"56", X"cb", X"08", X"d6", X"a0",
X"96", X"cb", X"a2", X"e8", X"af", X"0c", X"38", X"34", X"48", X"9d", X"96", X"b6", X"c3", X"e4", X"76",
X"4a", X"bd", X"ca", X"99", X"51", X"3a", X"3e", X"49", X"4a", X"48", X"0d", X"47", X"34", X"0e", X"0f",
X"29", X"2f", X"56", X"8a", X"c5", X"bc", X"af", X"91", X"d8", X"69", X"9c", X"90", X"c3", X"b2", X"5c",
X"62", X"9c", X"11", X"37", X"22", X"14", X"0a", X"08", X"1e", X"29", X"29", X"29", X"60", X"7b", X"93",
X"d2", X"bd", X"e1", X"dc", X"00", X"32", X"cb", X"b5", X"c1", X"df", X"59", X"62", X"86", X"39", X"ae",
X"be", X"a2", X"a9", X"3e", X"2c", X"5a", X"31", X"18", X"17", X"57", X"f6", X"56", X"a5", X"c0", X"ea",
X"d8", X"bf", X"c1", X"e8", X"58", X"20", X"3b", X"44", X"85", X"b8", X"aa", X"b7", X"e9", X"fa", X"72",
X"0d", X"b2", X"c3", X"ad", X"a5", X"6c", X"25", X"04", X"57", X"47", X"1c", X"33", X"48", X"0d", X"07",
X"65", X"30", X"8c", X"60", X"b1", X"d1", X"c2", X"c3", X"fb", X"2b", X"5e", X"e1", X"a3", X"d7", X"b7",
X"1f", X"13", X"23", X"1b", X"20", X"12", X"10", X"3c", X"13", X"30", X"2a", X"7d", X"5a", X"94", X"6f",
X"c6", X"ba", X"a2", X"af", X"45", X"00", X"f9", X"c9", X"d6", X"ef", X"a8", X"91", X"8f", X"4b", X"1e",
X"db", X"d0", X"bd", X"0c", X"40", X"31", X"6b", X"64", X"3b", X"3a", X"e3", X"7c", X"31", X"84", X"7e",
X"ae", X"d6", X"00", X"74", X"71", X"0e", X"74", X"8a", X"58", X"5e", X"74", X"7d", X"58", X"72", X"ff",
X"84", X"d0", X"d7", X"a0", X"00", X"09", X"00", X"1c", X"52", X"48", X"16", X"28", X"3e", X"09", X"08",
X"6a", X"5b", X"84", X"5f", X"47", X"dd", X"da", X"bb", X"c9", X"e6", X"eb", X"b1", X"de", X"c0", X"85",
X"14", X"15", X"18", X"1f", X"0c", X"17", X"00", X"5b", X"21", X"2b", X"3a", X"bf", X"5e", X"a5", X"3a",
X"ac", X"aa", X"89", X"00", X"84", X"ab", X"be", X"c7", X"46", X"8e", X"fc", X"c2", X"83", X"b4", X"ee",
X"9c", X"d7", X"cf", X"03", X"57", X"70", X"54", X"12", X"9f", X"db", X"a2", X"9a", X"63", X"50", X"bc",
X"22", X"c8", X"00", X"cb", X"c8", X"6a", X"52", X"8d", X"61", X"3f", X"3f", X"68", X"0e", X"14", X"dd",
X"bd", X"de", X"9c", X"ec", X"24", X"00", X"02", X"19", X"5b", X"55", X"17", X"1d", X"2b", X"30", X"7c",
X"45", X"8d", X"75", X"63", X"5a", X"ba", X"13", X"98", X"df", X"c9", X"ae", X"bc", X"a3", X"d2", X"00",
X"14", X"20", X"12", X"21", X"27", X"25", X"16", X"0c", X"25", X"22", X"98", X"b1", X"c9", X"77", X"2e",
X"86", X"80", X"97", X"b5", X"b5", X"c2", X"e8", X"a8", X"48", X"06", X"ac", X"c2", X"6c", X"7d", X"8e",
X"b3", X"ac", X"e1", X"82", X"29", X"39", X"03", X"9f", X"8c", X"bb", X"c9", X"6e", X"af", X"57", X"3e",
X"37", X"cf", X"e8", X"a8", X"a0", X"6e", X"32", X"37", X"5a", X"6a", X"8c", X"41", X"13", X"64", X"ce",
X"cc", X"b8", X"5e", X"ad", X"fe", X"3a", X"27", X"19", X"51", X"38", X"23", X"21", X"2c", X"7a", X"78",
X"74", X"74", X"60", X"5d", X"16", X"4f", X"47", X"97", X"ca", X"e7", X"c7", X"dc", X"87", X"d1", X"3a",
X"00", X"14", X"2b", X"39", X"38", X"32", X"1c", X"29", X"26", X"1f", X"31", X"4f", X"11", X"3f", X"51",
X"5f", X"90", X"3a", X"8e", X"a4", X"c0", X"af", X"d3", X"5f", X"c8", X"cf", X"cb", X"87", X"82", X"a0",
X"6b", X"2a", X"c6", X"f3", X"45", X"06", X"2f", X"50", X"7d", X"f3", X"a2", X"3e", X"05", X"1f", X"04",
X"47", X"ae", X"9e", X"b9", X"a1", X"65", X"6d", X"74", X"74", X"89", X"58", X"15", X"64", X"12", X"89",
X"f4", X"46", X"1f", X"4c", X"d4", X"79", X"79", X"59", X"36", X"26", X"2c", X"31", X"1e", X"78", X"7b",
X"38", X"25", X"2d", X"61", X"43", X"22", X"14", X"19", X"d7", X"c5", X"4b", X"5a", X"c5", X"8d", X"71",
X"1d", X"2b", X"1e", X"10", X"24", X"09", X"21", X"10", X"1a", X"25", X"14", X"15", X"32", X"42", X"29",
X"09", X"1d", X"6c", X"6d", X"b6", X"a6", X"9f", X"96", X"ec", X"aa", X"ab", X"8e", X"73", X"67", X"1b",
X"2b", X"24", X"23", X"d4", X"78", X"08", X"39", X"4a", X"24", X"fa", X"08", X"05", X"11", X"0b", X"1b",
X"2d", X"96", X"9b", X"d9", X"50", X"63", X"6d", X"8a", X"ab", X"54", X"07", X"42", X"1b", X"31", X"46",
X"71", X"27", X"27", X"27", X"74", X"dd", X"43", X"46", X"37", X"49", X"40", X"38", X"3c", X"78", X"77",
X"3f", X"1d", X"11", X"5f", X"6f", X"00", X"1a", X"0f", X"1e", X"a8", X"2e", X"61", X"32", X"bc", X"79",
X"5c", X"0b", X"14", X"1a", X"18", X"15", X"0d", X"13", X"07", X"36", X"1a", X"20", X"30", X"33", X"30",
X"18", X"39", X"03", X"12", X"ff", X"ec", X"b6", X"cb", X"92", X"e9", X"ab", X"95", X"56", X"0b", X"02",
X"e7", X"c8", X"00", X"b4", X"00", X"29", X"3f", X"3b", X"1b", X"07", X"22", X"29", X"03", X"12", X"3b",
X"23", X"74", X"80", X"bf", X"2d", X"50", X"69", X"ac", X"92", X"20", X"87", X"4b", X"30", X"35", X"4a",
X"23", X"22", X"41", X"3d", X"1b", X"5f", X"12", X"3e", X"44", X"41", X"35", X"3a", X"3e", X"68", X"99",
X"42", X"04", X"09", X"04", X"5d", X"3a", X"05", X"0e", X"49", X"74", X"52", X"20", X"c9", X"88", X"8b",
X"67", X"05", X"13", X"39", X"0d", X"13", X"16", X"0b", X"10", X"2f", X"13", X"0f", X"3d", X"56", X"05",
X"10", X"44", X"0d", X"3a", X"f1", X"de", X"fd", X"b7", X"68", X"fa", X"ce", X"c3", X"80", X"0e", X"a0",
X"9a", X"af", X"0f", X"47", X"22", X"25", X"51", X"1d", X"37", X"16", X"34", X"08", X"0c", X"17", X"09",
X"1e", X"43", X"4f", X"5b", X"2d", X"3f", X"92", X"88", X"08", X"73", X"7a", X"21", X"39", X"2c", X"2d",
X"2c", X"0c", X"18", X"27", X"76", X"05", X"96", X"3d", X"34", X"2a", X"2c", X"22", X"37", X"95", X"98",
X"10", X"1c", X"0d", X"03", X"04", X"2a", X"06", X"1a", X"42", X"5c", X"69", X"89", X"dd", X"c2", X"63",
X"7b", X"5a", X"05", X"1f", X"15", X"03", X"0a", X"18", X"0c", X"15", X"16", X"21", X"46", X"00", X"02",
X"2c", X"09", X"11", X"11", X"55", X"b3", X"52", X"0c", X"14", X"5d", X"bc", X"b9", X"53", X"1a", X"ae",
X"82", X"d5", X"ed", X"56", X"ba", X"84", X"56", X"6f", X"e1", X"66", X"07", X"0b", X"0e", X"0f", X"3a",
X"59", X"1b", X"3f", X"9b", X"2b", X"63", X"62", X"11", X"6a", X"4e", X"30", X"3e", X"31", X"1d", X"17",
X"38", X"da", X"af", X"b8", X"2f", X"5e", X"20", X"29", X"2c", X"2a", X"37", X"2e", X"22", X"98", X"96",
X"19", X"0d", X"15", X"10", X"0f", X"06", X"0a", X"1b", X"4f", X"52", X"53", X"21", X"62", X"9b", X"73",
X"6a", X"20", X"1c", X"1a", X"11", X"24", X"04", X"11", X"0b", X"0f", X"7e", X"e2", X"6f", X"bc", X"17",
X"1d", X"35", X"28", X"1d", X"1d", X"58", X"1e", X"d6", X"38", X"01", X"00", X"91", X"b6", X"a9", X"aa",
X"aa", X"ad", X"4e", X"50", X"40", X"d4", X"7b", X"3d", X"93", X"dd", X"15", X"09", X"00", X"54", X"7b",
X"28", X"31", X"57", X"3b", X"3e", X"41", X"1f", X"3a", X"6d", X"57", X"20", X"1b", X"1b", X"23", X"27",
X"7a", X"51", X"30", X"0f", X"33", X"22", X"27", X"34", X"29", X"2e", X"27", X"2c", X"33", X"90", X"96",
X"22", X"20", X"0a", X"03", X"0b", X"0b", X"01", X"2e", X"51", X"48", X"69", X"3e", X"46", X"05", X"24",
X"84", X"77", X"07", X"20", X"09", X"09", X"05", X"16", X"06", X"29", X"c9", X"38", X"dd", X"fd", X"de",
X"03", X"0e", X"12", X"13", X"57", X"2e", X"16", X"b2", X"f7", X"27", X"0a", X"55", X"d3", X"95", X"b1",
X"ce", X"a2", X"9e", X"8b", X"ce", X"d1", X"45", X"23", X"c5", X"a1", X"b8", X"d4", X"b0", X"06", X"2f",
X"1f", X"21", X"33", X"18", X"29", X"1b", X"3e", X"4b", X"3f", X"3b", X"57", X"51", X"50", X"3c", X"3d",
X"27", X"28", X"22", X"23", X"1e", X"16", X"1a", X"21", X"16", X"30", X"27", X"19", X"22", X"33", X"44",
X"0a", X"0a", X"14", X"06", X"06", X"09", X"03", X"0a", X"3b", X"34", X"b8", X"36", X"47", X"3b", X"8e",
X"57", X"19", X"09", X"0c", X"1d", X"2b", X"3d", X"0d", X"01", X"46", X"b9", X"d1", X"76", X"ec", X"f5",
X"fa", X"01", X"02", X"53", X"3c", X"1c", X"11", X"31", X"a8", X"00", X"06", X"84", X"6c", X"72", X"49",
X"db", X"c3", X"cb", X"b2", X"cc", X"d5", X"8c", X"00", X"d3", X"af", X"e8", X"d6", X"f5", X"3f", X"26",
X"19", X"26", X"19", X"12", X"14", X"44", X"44", X"1d", X"21", X"1e", X"22", X"36", X"4a", X"6a", X"57",
X"61", X"29", X"18", X"1a", X"25", X"11", X"56", X"5a", X"41", X"1e", X"31", X"1e", X"28", X"46", X"48",
X"62", X"0d", X"13", X"12", X"12", X"08", X"09", X"07", X"03", X"08", X"8c", X"6c", X"1a", X"09", X"03",
X"00", X"0d", X"11", X"11", X"25", X"66", X"41", X"0a", X"1f", X"25", X"28", X"ff", X"ff", X"d7", X"a7",
X"f2", X"f8", X"b2", X"d1", X"ad", X"09", X"47", X"b1", X"00", X"08", X"9a", X"03", X"c0", X"f1", X"37",
X"e3", X"bf", X"98", X"d8", X"e3", X"b9", X"c7", X"53", X"e7", X"cf", X"a9", X"e9", X"d8", X"8c", X"06",
X"2f", X"1f", X"07", X"2b", X"3e", X"40", X"37", X"23", X"1a", X"18", X"18", X"22", X"34", X"16", X"2c",
X"2e", X"4c", X"52", X"27", X"20", X"52", X"66", X"6b", X"56", X"5b", X"32", X"24", X"25", X"48", X"49",
X"ec", X"de", X"b4", X"96", X"a9", X"95", X"bb", X"d4", X"dc", X"aa", X"b3", X"bd", X"be", X"f1", X"e2",
X"c9", X"c1", X"bd", X"d8", X"e7", X"9e", X"93", X"0c", X"00", X"1f", X"3e", X"43", X"49", X"0d", X"22",
X"09", X"00", X"00", X"03", X"18", X"30", X"1c", X"93", X"d3", X"9f", X"a9", X"70", X"65", X"79", X"5e",
X"4b", X"29", X"05", X"20", X"3f", X"3d", X"25", X"1f", X"13", X"19", X"19", X"04", X"17", X"1b", X"07",
X"05", X"0c", X"15", X"27", X"2e", X"32", X"1b", X"0a", X"00", X"15", X"14", X"00", X"2b", X"27", X"1b",
X"00", X"00", X"00", X"10", X"00", X"09", X"03", X"00", X"01", X"0d", X"09", X"0f", X"1c", X"16", X"0f",
X"b9", X"cc", X"6b", X"68", X"b9", X"8e", X"af", X"fe", X"bc", X"63", X"97", X"d5", X"de", X"b2", X"a6",
X"9a", X"da", X"bc", X"b3", X"f4", X"dd", X"f5", X"bc", X"61", X"44", X"3e", X"39", X"2c", X"3b", X"57",
X"32", X"1e", X"0c", X"00", X"17", X"30", X"2e", X"99", X"cb", X"99", X"ae", X"ac", X"b6", X"db", X"ea",
X"99", X"42", X"30", X"3d", X"42", X"31", X"0f", X"15", X"33", X"27", X"1c", X"08", X"20", X"15", X"00",
X"00", X"0a", X"19", X"22", X"2a", X"34", X"1f", X"0e", X"00", X"02", X"14", X"0e", X"2e", X"35", X"2a",
X"00", X"00", X"00", X"0c", X"07", X"05", X"02", X"05", X"0b", X"12", X"0d", X"1f", X"3e", X"11", X"16",
X"a8", X"7a", X"0f", X"c9", X"f7", X"db", X"d4", X"d7", X"a3", X"2e", X"15", X"a2", X"a4", X"ba", X"df",
X"80", X"b6", X"f8", X"e7", X"f0", X"de", X"c7", X"ec", X"cd", X"9c", X"58", X"78", X"6b", X"42", X"49",
X"57", X"4d", X"20", X"15", X"20", X"2e", X"38", X"55", X"62", X"58", X"a6", X"b2", X"ad", X"be", X"ce",
X"93", X"37", X"2a", X"3d", X"34", X"06", X"0c", X"2a", X"3b", X"3c", X"41", X"0c", X"0d", X"06", X"00",
X"00", X"11", X"20", X"33", X"2e", X"26", X"1d", X"00", X"00", X"00", X"00", X"0f", X"53", X"43", X"2c",
X"00", X"00", X"00", X"00", X"01", X"00", X"00", X"06", X"0d", X"12", X"0e", X"22", X"3f", X"13", X"16",
X"72", X"1f", X"39", X"f9", X"ed", X"bd", X"e7", X"e0", X"40", X"12", X"08", X"36", X"27", X"6b", X"a6",
X"55", X"36", X"d9", X"d9", X"e4", X"db", X"cd", X"bb", X"a4", X"77", X"54", X"92", X"d1", X"a8", X"53",
X"5c", X"62", X"1c", X"1d", X"2a", X"2c", X"37", X"1c", X"1c", X"4c", X"9c", X"a6", X"93", X"8a", X"8e",
X"48", X"41", X"30", X"43", X"05", X"00", X"08", X"14", X"32", X"45", X"4b", X"0a", X"00", X"00", X"00",
X"00", X"0f", X"23", X"91", X"8e", X"27", X"13", X"00", X"00", X"00", X"00", X"00", X"69", X"5f", X"40",
X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"05", X"06", X"16", X"26", X"1a", X"17",
X"92", X"ae", X"94", X"c2", X"89", X"98", X"dc", X"d3", X"76", X"87", X"37", X"34", X"2c", X"72", X"67",
X"8f", X"77", X"4c", X"68", X"ff", X"f2", X"ff", X"bf", X"b6", X"ba", X"aa", X"4d", X"be", X"dc", X"df",
X"ad", X"6d", X"15", X"28", X"4d", X"2b", X"2a", X"36", X"3c", X"75", X"8a", X"ba", X"b2", X"70", X"5f",
X"73", X"72", X"6b", X"1f", X"0d", X"1d", X"1d", X"1a", X"24", X"2c", X"2a", X"02", X"04", X"01", X"24",
X"2f", X"00", X"5d", X"b4", X"c9", X"7c", X"0c", X"0b", X"00", X"00", X"00", X"00", X"4f", X"68", X"56",
X"03", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"09", X"13", X"32", X"29",
X"a2", X"e2", X"e7", X"89", X"43", X"81", X"eb", X"cc", X"a0", X"d5", X"bc", X"6b", X"ad", X"be", X"a2",
X"ab", X"e3", X"ff", X"bb", X"f9", X"ea", X"e0", X"4b", X"a9", X"cc", X"e8", X"92", X"67", X"72", X"a8",
X"9e", X"9a", X"39", X"35", X"54", X"2c", X"25", X"3e", X"40", X"a4", X"9d", X"e2", X"ee", X"7a", X"59",
X"6b", X"78", X"68", X"64", X"6d", X"49", X"1d", X"1b", X"0c", X"1a", X"41", X"49", X"5f", X"25", X"3b",
X"80", X"50", X"67", X"bc", X"c1", X"7f", X"00", X"17", X"1a", X"05", X"00", X"00", X"41", X"43", X"31",
X"03", X"00", X"00", X"00", X"00", X"07", X"00", X"00", X"00", X"00", X"00", X"00", X"04", X"28", X"2b",
X"65", X"88", X"ab", X"ce", X"8a", X"a2", X"c8", X"b3", X"8e", X"bf", X"89", X"9c", X"cf", X"b4", X"c3",
X"b6", X"60", X"ab", X"e6", X"aa", X"b2", X"cd", X"80", X"6b", X"ac", X"ce", X"a5", X"8a", X"50", X"3b",
X"74", X"96", X"55", X"3d", X"39", X"39", X"31", X"32", X"29", X"86", X"a8", X"e1", X"f7", X"8b", X"4a",
X"59", X"5a", X"68", X"4e", X"50", X"65", X"55", X"52", X"55", X"42", X"4d", X"3a", X"51", X"3c", X"4b",
X"c0", X"cd", X"b9", X"d2", X"cc", X"8a", X"3b", X"5b", X"61", X"1e", X"00", X"0f", X"56", X"3c", X"15",
X"0b", X"20", X"11", X"07", X"17", X"10", X"00", X"00", X"04", X"0a", X"03", X"03", X"00", X"2b", X"2d",
X"0f", X"77", X"c5", X"af", X"c2", X"b6", X"a9", X"bf", X"94", X"d1", X"7a", X"74", X"c2", X"be", X"b8",
X"65", X"2a", X"bb", X"c3", X"d0", X"b1", X"ba", X"da", X"b0", X"7f", X"8f", X"97", X"b8", X"c6", X"92",
X"90", X"de", X"a4", X"52", X"41", X"bb", X"a6", X"44", X"1f", X"6a", X"ba", X"cd", X"d7", X"cf", X"b2",
X"67", X"50", X"73", X"4f", X"6d", X"7b", X"57", X"34", X"51", X"55", X"40", X"59", X"4c", X"54", X"1f",
X"64", X"b9", X"cb", X"dd", X"e8", X"d3", X"97", X"65", X"57", X"2f", X"12", X"23", X"67", X"65", X"3b",
X"15", X"2c", X"39", X"2e", X"25", X"16", X"12", X"1a", X"19", X"17", X"0f", X"09", X"00", X"30", X"2e",
X"43", X"58", X"b8", X"a2", X"c2", X"e8", X"ea", X"cf", X"fb", X"dc", X"4c", X"3e", X"9c", X"ee", X"fc",
X"cc", X"90", X"c4", X"d0", X"e6", X"80", X"51", X"53", X"63", X"9b", X"b0", X"d7", X"c1", X"a0", X"b9",
X"a2", X"b6", X"c0", X"2a", X"40", X"bb", X"94", X"56", X"78", X"24", X"b1", X"a4", X"b4", X"ec", X"d8",
X"c6", X"85", X"09", X"00", X"22", X"44", X"26", X"21", X"28", X"38", X"43", X"0c", X"11", X"0a", X"00",
X"21", X"6d", X"8c", X"c0", X"da", X"d2", X"b7", X"8c", X"71", X"5d", X"38", X"1d", X"53", X"59", X"5c",
X"50", X"46", X"49", X"51", X"2a", X"2b", X"24", X"26", X"25", X"25", X"24", X"19", X"17", X"07", X"08",
X"84", X"16", X"34", X"50", X"77", X"99", X"c1", X"d0", X"c4", X"dd", X"4d", X"81", X"78", X"cb", X"c0",
X"de", X"cc", X"d9", X"b6", X"d4", X"89", X"fb", X"91", X"6b", X"8a", X"bd", X"c1", X"b2", X"bf", X"c6",
X"b6", X"b0", X"aa", X"27", X"2c", X"8c", X"54", X"61", X"8a", X"43", X"71", X"a4", X"ba", X"d2", X"f1",
X"ec", X"cc", X"33", X"00", X"18", X"4c", X"54", X"39", X"0f", X"47", X"3e", X"1d", X"24", X"09", X"00",
X"00", X"37", X"6a", X"9a", X"b7", X"cb", X"b8", X"8c", X"58", X"4d", X"27", X"1b", X"31", X"4a", X"5b",
X"51", X"42", X"43", X"51", X"3a", X"29", X"29", X"23", X"19", X"1e", X"18", X"10", X"1d", X"08", X"0a",
X"7b", X"3a", X"14", X"42", X"62", X"5b", X"75", X"c5", X"c7", X"c0", X"28", X"a2", X"f9", X"ce", X"de",
X"bd", X"d1", X"d6", X"88", X"97", X"75", X"63", X"db", X"8f", X"82", X"82", X"5f", X"5e", X"59", X"81",
X"dc", X"c3", X"90", X"1f", X"3d", X"b8", X"86", X"7f", X"4f", X"59", X"00", X"3e", X"ab", X"c4", X"d5",
X"c1", X"a4", X"72", X"0f", X"57", X"8b", X"a3", X"a7", X"42", X"3b", X"67", X"2e", X"27", X"00", X"00",
X"00", X"0d", X"54", X"a8", X"cf", X"b2", X"69", X"2d", X"0f", X"00", X"0a", X"07", X"2d", X"2d", X"34",
X"3d", X"41", X"41", X"47", X"39", X"1f", X"0b", X"11", X"0c", X"03", X"06", X"16", X"19", X"0c", X"10",
X"60", X"4e", X"1d", X"36", X"65", X"40", X"80", X"d3", X"93", X"7a", X"35", X"e0", X"c8", X"c1", X"d7",
X"cb", X"8c", X"c4", X"b6", X"a4", X"9a", X"69", X"c9", X"a7", X"73", X"58", X"48", X"49", X"2a", X"63",
X"e2", X"e8", X"af", X"1d", X"6c", X"c4", X"bc", X"c2", X"9e", X"99", X"69", X"73", X"b1", X"ba", X"b6",
X"c6", X"af", X"97", X"a2", X"6d", X"48", X"72", X"7a", X"75", X"4e", X"70", X"20", X"15", X"29", X"00",
X"00", X"0d", X"38", X"4a", X"7b", X"69", X"36", X"1f", X"1d", X"25", X"0f", X"00", X"2d", X"2b", X"24",
X"29", X"3b", X"49", X"40", X"1b", X"0b", X"01", X"04", X"02", X"07", X"03", X"0b", X"0d", X"10", X"0e",
X"69", X"5d", X"33", X"37", X"3a", X"03", X"4a", X"9e", X"21", X"50", X"5f", X"d2", X"83", X"00", X"93",
X"be", X"ae", X"b9", X"dd", X"d1", X"e5", X"ff", X"dd", X"9b", X"65", X"78", X"2d", X"59", X"50", X"94",
X"d7", X"d5", X"a3", X"2f", X"86", X"e9", X"c8", X"e8", X"f2", X"f5", X"a0", X"82", X"a3", X"b4", X"aa",
X"de", X"df", X"bd", X"8e", X"a3", X"72", X"80", X"92", X"8d", X"61", X"4a", X"1c", X"41", X"38", X"1e",
X"00", X"2d", X"40", X"25", X"3e", X"54", X"26", X"17", X"18", X"27", X"21", X"00", X"17", X"28", X"25",
X"16", X"21", X"47", X"44", X"1b", X"05", X"00", X"01", X"01", X"04", X"01", X"0a", X"0c", X"0d", X"0b",
X"50", X"51", X"17", X"14", X"1a", X"18", X"2d", X"70", X"0f", X"43", X"59", X"35", X"1e", X"19", X"2e",
X"dd", X"e6", X"db", X"db", X"e2", X"db", X"fe", X"d9", X"80", X"56", X"54", X"33", X"94", X"6d", X"78",
X"80", X"72", X"5e", X"42", X"7b", X"d5", X"d0", X"d8", X"f3", X"dd", X"ad", X"6e", X"83", X"95", X"4e",
X"8b", X"f5", X"cc", X"bd", X"f7", X"ad", X"7c", X"77", X"58", X"62", X"6a", X"72", X"88", X"64", X"2c",
X"20", X"31", X"49", X"4b", X"58", X"31", X"04", X"00", X"0b", X"14", X"20", X"21", X"23", X"13", X"11",
X"1f", X"2e", X"3e", X"3b", X"2a", X"11", X"0a", X"0e", X"13", X"05", X"05", X"0e", X"06", X"0b", X"0c",
X"32", X"3c", X"11", X"10", X"21", X"12", X"17", X"45", X"00", X"17", X"55", X"17", X"31", X"14", X"1f",
X"b8", X"f8", X"f0", X"e6", X"cf", X"3f", X"b1", X"c6", X"e5", X"ac", X"5a", X"5a", X"8d", X"31", X"57",
X"46", X"b4", X"a4", X"32", X"6b", X"ab", X"b4", X"e1", X"b0", X"ae", X"bc", X"ad", X"9a", X"65", X"28",
X"8c", X"cb", X"d2", X"b6", X"e8", X"a1", X"5d", X"5d", X"ab", X"a9", X"77", X"57", X"57", X"45", X"85",
X"8b", X"5d", X"8a", X"a8", X"84", X"30", X"00", X"00", X"0a", X"0a", X"16", X"36", X"5b", X"22", X"07",
X"26", X"35", X"3c", X"3b", X"3f", X"19", X"2b", X"27", X"2d", X"2c", X"22", X"0d", X"05", X"0c", X"0f",
X"0b", X"55", X"1a", X"03", X"02", X"00", X"00", X"25", X"00", X"1b", X"57", X"09", X"04", X"23", X"08",
X"17", X"95", X"a0", X"af", X"d8", X"be", X"a6", X"ba", X"d2", X"a2", X"74", X"83", X"46", X"9e", X"b3",
X"86", X"d3", X"ab", X"2c", X"87", X"d5", X"ea", X"e4", X"c8", X"d3", X"74", X"be", X"c3", X"bb", X"56",
X"9c", X"bb", X"ed", X"e5", X"e1", X"cf", X"5f", X"36", X"99", X"bd", X"5e", X"50", X"56", X"37", X"70",
X"80", X"6d", X"9a", X"c5", X"c0", X"57", X"00", X"00", X"00", X"00", X"0b", X"2d", X"6a", X"55", X"55",
X"64", X"67", X"77", X"6f", X"5b", X"20", X"2a", X"26", X"38", X"36", X"3a", X"28", X"14", X"0f", X"0f",
X"00", X"37", X"28", X"08", X"0d", X"0d", X"23", X"15", X"0b", X"00", X"66", X"04", X"00", X"22", X"3f",
X"00", X"c8", X"f8", X"d0", X"e7", X"b7", X"88", X"ab", X"54", X"4e", X"56", X"5d", X"9a", X"85", X"9f",
X"83", X"67", X"5f", X"37", X"37", X"89", X"47", X"81", X"ff", X"a2", X"50", X"cc", X"c8", X"a6", X"72",
X"76", X"d1", X"f9", X"ea", X"be", X"d6", X"c3", X"87", X"37", X"92", X"5c", X"5f", X"57", X"3d", X"4d",
X"94", X"85", X"90", X"d5", X"d8", X"9d", X"5d", X"50", X"00", X"18", X"18", X"21", X"5a", X"88", X"9f",
X"ab", X"c5", X"df", X"ca", X"cf", X"44", X"06", X"22", X"11", X"19", X"18", X"17", X"0e", X"21", X"12",
X"25", X"09", X"44", X"35", X"41", X"48", X"5f", X"29", X"14", X"13", X"69", X"85", X"5a", X"34", X"56",
X"28", X"5b", X"da", X"89", X"8d", X"88", X"7c", X"73", X"7e", X"21", X"66", X"44", X"72", X"9b", X"98",
X"58", X"00", X"0f", X"58", X"62", X"c0", X"ae", X"d7", X"ec", X"de", X"a2", X"bb", X"c0", X"89", X"6b",
X"47", X"6f", X"ed", X"d9", X"c1", X"ee", X"d9", X"d0", X"64", X"8c", X"76", X"72", X"3a", X"40", X"3c",
X"88", X"94", X"c3", X"d6", X"be", X"b6", X"97", X"65", X"18", X"12", X"09", X"01", X"21", X"4f", X"76",
X"97", X"b6", X"d1", X"a5", X"c1", X"b2", X"1d", X"00", X"0b", X"0d", X"02", X"00", X"10", X"0c", X"0a",
X"13", X"12", X"2e", X"20", X"38", X"4a", X"37", X"3c", X"0c", X"30", X"52", X"77", X"4a", X"50", X"63",
X"1e", X"2b", X"4f", X"7f", X"7b", X"58", X"bd", X"ba", X"dc", X"89", X"06", X"14", X"51", X"64", X"92",
X"94", X"42", X"10", X"51", X"24", X"60", X"f9", X"be", X"f3", X"72", X"c6", X"9e", X"a4", X"c5", X"e3",
X"a0", X"08", X"70", X"f0", X"dd", X"f6", X"df", X"f5", X"fc", X"b9", X"6d", X"7f", X"3c", X"39", X"3b",
X"9a", X"d4", X"c1", X"c8", X"c5", X"82", X"3f", X"1c", X"13", X"28", X"7e", X"81", X"72", X"71", X"7d",
X"99", X"82", X"b9", X"bf", X"b8", X"f5", X"bd", X"48", X"2f", X"1d", X"06", X"00", X"00", X"0a", X"0b",
X"00", X"1c", X"34", X"2c", X"26", X"7d", X"44", X"97", X"53", X"3b", X"53", X"73", X"a6", X"66", X"66",
X"2c", X"2d", X"49", X"46", X"6e", X"7b", X"77", X"ff", X"c5", X"b5", X"e3", X"3e", X"14", X"3a", X"00",
X"21", X"49", X"10", X"20", X"1b", X"00", X"22", X"cb", X"b0", X"44", X"da", X"55", X"8d", X"bc", X"6d",
X"1c", X"a8", X"ac", X"6a", X"cc", X"5b", X"86", X"c6", X"ef", X"cc", X"cb", X"5f", X"37", X"38", X"67",
X"59", X"d8", X"be", X"bc", X"f8", X"97", X"47", X"12", X"19", X"47", X"b6", X"c5", X"dd", X"aa", X"bd",
X"bb", X"83", X"a9", X"f2", X"be", X"b6", X"aa", X"74", X"3e", X"3c", X"23", X"0b", X"0b", X"08", X"05",
X"36", X"2e", X"3e", X"40", X"37", X"6c", X"5e", X"a0", X"8c", X"9e", X"6f", X"5d", X"8b", X"7d", X"8b",
X"28", X"1c", X"1f", X"4a", X"9e", X"90", X"1e", X"c7", X"ff", X"e1", X"ce", X"8c", X"cc", X"b4", X"ca",
X"f1", X"5c", X"1a", X"5d", X"45", X"26", X"00", X"38", X"ff", X"51", X"b7", X"db", X"c3", X"4b", X"00",
X"94", X"d0", X"d1", X"da", X"d6", X"e2", X"7c", X"ba", X"dc", X"9e", X"4a", X"7e", X"84", X"63", X"4e",
X"3e", X"63", X"52", X"76", X"91", X"8b", X"6f", X"3a", X"32", X"1b", X"65", X"9b", X"b0", X"a0", X"be",
X"b4", X"76", X"85", X"ab", X"9a", X"b4", X"a5", X"81", X"6b", X"41", X"0a", X"00", X"00", X"3f", X"35",
X"04", X"2b", X"15", X"66", X"c9", X"97", X"45", X"a3", X"5b", X"b8", X"98", X"52", X"33", X"3d", X"65",
X"37", X"11", X"3a", X"a0", X"a6", X"72", X"88", X"d3", X"b4", X"aa", X"c6", X"65", X"b4", X"e4", X"f9",
X"af", X"20", X"45", X"5b", X"34", X"0f", X"00", X"91", X"cf", X"38", X"75", X"db", X"7e", X"4e", X"08",
X"23", X"71", X"a8", X"d6", X"c9", X"d5", X"c6", X"ab", X"c0", X"c1", X"47", X"92", X"b5", X"71", X"42",
X"2f", X"55", X"24", X"5c", X"68", X"56", X"4d", X"42", X"3c", X"28", X"00", X"31", X"8f", X"a4", X"a8",
X"61", X"62", X"38", X"63", X"61", X"5e", X"8d", X"5e", X"6f", X"31", X"06", X"07", X"06", X"26", X"1d",
X"04", X"25", X"05", X"2b", X"e9", X"cb", X"40", X"ae", X"68", X"c1", X"d1", X"e1", X"b8", X"26", X"7b",
X"2c", X"00", X"80", X"88", X"8c", X"a1", X"a8", X"e6", X"e8", X"96", X"7e", X"1c", X"4f", X"d2", X"b0",
X"18", X"26", X"39", X"47", X"3b", X"24", X"00", X"3c", X"b6", X"64", X"29", X"ea", X"a3", X"7e", X"03",
X"4d", X"26", X"0c", X"08", X"12", X"aa", X"b2", X"9e", X"9b", X"8e", X"c6", X"16", X"77", X"84", X"78",
X"4f", X"17", X"0a", X"95", X"b8", X"95", X"66", X"37", X"40", X"4c", X"2b", X"17", X"d2", X"c0", X"8b",
X"89", X"9e", X"30", X"19", X"20", X"0e", X"18", X"25", X"20", X"07", X"00", X"00", X"00", X"19", X"17",
X"00", X"29", X"36", X"42", X"2e", X"92", X"3b", X"84", X"1b", X"dc", X"bf", X"e4", X"c8", X"59", X"4d",
X"3d", X"00", X"97", X"a5", X"ae", X"58", X"a1", X"d0", X"74", X"2c", X"0b", X"1c", X"39", X"c5", X"ff",
X"9b", X"10", X"1f", X"28", X"3e", X"00", X"00", X"dd", X"90", X"84", X"0f", X"93", X"bd", X"c9", X"3c",
X"36", X"39", X"33", X"43", X"28", X"14", X"50", X"55", X"78", X"90", X"ba", X"3f", X"77", X"c3", X"ce",
X"62", X"14", X"79", X"96", X"a2", X"b2", X"58", X"58", X"44", X"b1", X"7f", X"39", X"bb", X"b4", X"61",
X"76", X"aa", X"8b", X"2d", X"05", X"13", X"00", X"04", X"00", X"00", X"00", X"00", X"00", X"15", X"12",
X"00", X"0d", X"6f", X"70", X"30", X"72", X"e0", X"9f", X"7d", X"56", X"ee", X"b0", X"b5", X"86", X"39",
X"18", X"34", X"81", X"e5", X"b5", X"00", X"aa", X"fb", X"91", X"12", X"80", X"87", X"7c", X"00", X"8d",
X"a3", X"a8", X"20", X"42", X"12", X"6c", X"df", X"de", X"b1", X"94", X"0c", X"93", X"a5", X"ff", X"ef",
X"90", X"d1", X"c7", X"30", X"ea", X"41", X"4d", X"49", X"2c", X"b5", X"ad", X"f3", X"a8", X"e0", X"f4",
X"96", X"98", X"9c", X"6b", X"aa", X"91", X"65", X"61", X"53", X"ac", X"93", X"51", X"74", X"85", X"66",
X"62", X"78", X"97", X"2a", X"29", X"12", X"04", X"00", X"01", X"00", X"00", X"00", X"00", X"00", X"04",
X"08", X"00", X"28", X"37", X"81", X"9b", X"cc", X"c3", X"b6", X"a7", X"53", X"91", X"ff", X"6f", X"fb",
X"44", X"19", X"69", X"d6", X"93", X"4b", X"bc", X"b9", X"8a", X"00", X"b0", X"cd", X"a7", X"c9", X"a6",
X"76", X"77", X"5e", X"44", X"cc", X"68", X"46", X"be", X"ff", X"71", X"19", X"93", X"65", X"b2", X"e1",
X"ba", X"b0", X"b4", X"8f", X"bf", X"cd", X"bb", X"a4", X"45", X"4c", X"4e", X"d6", X"92", X"f5", X"d2",
X"ff", X"46", X"57", X"98", X"94", X"48", X"70", X"46", X"6f", X"89", X"88", X"44", X"3a", X"32", X"48",
X"3f", X"95", X"9b", X"43", X"1c", X"27", X"1d", X"02", X"00", X"00", X"00", X"00", X"00", X"02", X"00",
X"27", X"00", X"28", X"2f", X"2e", X"7b", X"b8", X"ad", X"c3", X"cf", X"c5", X"76", X"2b", X"6d", X"d1",
X"33", X"27", X"1e", X"75", X"47", X"35", X"64", X"cf", X"56", X"42", X"be", X"ab", X"f4", X"bd", X"9e",
X"ef", X"e6", X"26", X"7d", X"e2", X"b2", X"79", X"ae", X"32", X"7f", X"00", X"7d", X"f8", X"b9", X"bf",
X"c0", X"5d", X"3a", X"91", X"67", X"8a", X"bb", X"78", X"49", X"1d", X"1b", X"38", X"41", X"fc", X"f8",
X"80", X"69", X"66", X"a9", X"35", X"3f", X"5b", X"6d", X"5d", X"4c", X"49", X"55", X"45", X"38", X"55",
X"59", X"61", X"7d", X"45", X"3d", X"3f", X"2f", X"12", X"00", X"0a", X"00", X"00", X"00", X"00", X"00",
X"7e", X"2f", X"10", X"24", X"21", X"19", X"7e", X"ac", X"95", X"c6", X"bf", X"66", X"1e", X"c3", X"ff",
X"3e", X"2c", X"2c", X"1c", X"79", X"0b", X"00", X"53", X"07", X"50", X"4b", X"ff", X"a7", X"da", X"96",
X"d2", X"ec", X"b3", X"9a", X"cf", X"db", X"6a", X"15", X"41", X"75", X"0f", X"44", X"e2", X"be", X"84",
X"bf", X"3f", X"28", X"2c", X"85", X"bf", X"8c", X"36", X"59", X"26", X"1e", X"3f", X"40", X"75", X"a4",
X"75", X"76", X"da", X"af", X"8d", X"55", X"61", X"52", X"35", X"29", X"2b", X"14", X"4d", X"46", X"71",
X"74", X"4d", X"3f", X"44", X"2c", X"25", X"0f", X"0a", X"06", X"24", X"23", X"0e", X"15", X"00", X"00",
X"b8", X"8a", X"30", X"36", X"21", X"26", X"22", X"7b", X"ce", X"ae", X"ff", X"2b", X"52", X"ed", X"79",
X"3e", X"24", X"38", X"11", X"1d", X"52", X"10", X"8d", X"51", X"25", X"21", X"64", X"dd", X"c0", X"b5",
X"e7", X"ff", X"d9", X"d9", X"df", X"e6", X"77", X"52", X"45", X"87", X"2b", X"5c", X"f0", X"c7", X"4b",
X"5b", X"2f", X"5d", X"3f", X"be", X"bc", X"9b", X"18", X"41", X"21", X"30", X"3e", X"21", X"57", X"83",
X"59", X"a1", X"da", X"d5", X"82", X"5b", X"5b", X"2f", X"58", X"3f", X"31", X"0c", X"25", X"35", X"69",
X"5c", X"69", X"35", X"65", X"11", X"1c", X"06", X"05", X"0b", X"29", X"28", X"11", X"1e", X"19", X"18",
X"76", X"4c", X"10", X"29", X"1a", X"28", X"14", X"9b", X"a4", X"d7", X"46", X"24", X"ae", X"b8", X"a1",
X"38", X"1f", X"0a", X"18", X"1f", X"5e", X"6e", X"40", X"31", X"34", X"7f", X"66", X"86", X"c5", X"bb",
X"d4", X"92", X"f8", X"df", X"b5", X"c8", X"bb", X"ae", X"63", X"35", X"74", X"66", X"78", X"93", X"45",
X"12", X"79", X"63", X"1a", X"67", X"91", X"41", X"00", X"17", X"1e", X"4b", X"48", X"17", X"42", X"68",
X"52", X"a9", X"d3", X"b8", X"6d", X"76", X"1d", X"2a", X"42", X"22", X"19", X"03", X"14", X"26", X"48",
X"5d", X"39", X"3b", X"29", X"3b", X"00", X"00", X"00", X"07", X"2c", X"2b", X"13", X"2c", X"0b", X"00",
X"42", X"2c", X"00", X"1a", X"2b", X"23", X"36", X"e0", X"a3", X"ff", X"00", X"2b", X"ff", X"b0", X"8e",
X"72", X"08", X"0b", X"00", X"09", X"4e", X"4b", X"3f", X"44", X"51", X"6a", X"7b", X"bb", X"a6", X"f9",
X"ff", X"ff", X"eb", X"d4", X"ff", X"fa", X"c1", X"bb", X"6c", X"51", X"61", X"44", X"ab", X"b5", X"00",
X"a3", X"5a", X"84", X"14", X"1d", X"63", X"4e", X"00", X"34", X"4c", X"79", X"08", X"3e", X"78", X"58",
X"45", X"16", X"93", X"d4", X"df", X"b9", X"1c", X"00", X"06", X"09", X"1b", X"0e", X"2d", X"69", X"89",
X"70", X"4c", X"28", X"23", X"09", X"07", X"01", X"00", X"07", X"24", X"24", X"06", X"10", X"00", X"00",
X"48", X"5e", X"07", X"14", X"1e", X"1d", X"3d", X"07", X"00", X"04", X"12", X"61", X"92", X"75", X"88",
X"30", X"25", X"18", X"00", X"2e", X"72", X"63", X"32", X"2a", X"28", X"79", X"0c", X"61", X"93", X"70",
X"4d", X"b1", X"b2", X"3c", X"72", X"cd", X"72", X"c6", X"94", X"78", X"5b", X"05", X"be", X"c4", X"67",
X"9f", X"59", X"a4", X"41", X"00", X"2e", X"6f", X"52", X"19", X"36", X"69", X"0d", X"92", X"52", X"82",
X"1b", X"00", X"00", X"bd", X"e0", X"bf", X"1b", X"00", X"06", X"4c", X"3a", X"03", X"2b", X"4d", X"96",
X"9f", X"a1", X"80", X"45", X"01", X"0c", X"00", X"00", X"02", X"16", X"26", X"12", X"08", X"00", X"00",
X"31", X"09", X"36", X"00", X"0a", X"22", X"25", X"1d", X"00", X"00", X"00", X"7e", X"c6", X"5a", X"57",
X"00", X"32", X"00", X"0f", X"2d", X"3f", X"3d", X"23", X"4f", X"00", X"00", X"00", X"0b", X"43", X"30",
X"1e", X"b2", X"b1", X"90", X"92", X"d9", X"ee", X"d0", X"b8", X"9b", X"69", X"46", X"ce", X"eb", X"e3",
X"e5", X"c0", X"ca", X"a5", X"c0", X"04", X"85", X"62", X"09", X"36", X"01", X"64", X"b7", X"63", X"38",
X"03", X"44", X"66", X"2b", X"a3", X"e6", X"99", X"47", X"13", X"29", X"3c", X"04", X"24", X"4f", X"76",
X"8f", X"cc", X"ad", X"5a", X"0c", X"04", X"00", X"00", X"0b", X"1e", X"2a", X"05", X"00", X"00", X"00",
X"50", X"28", X"00", X"0f", X"00", X"00", X"43", X"12", X"12", X"09", X"00", X"7c", X"2a", X"4d", X"44",
X"01", X"3a", X"00", X"17", X"14", X"0d", X"52", X"00", X"b4", X"60", X"00", X"08", X"04", X"36", X"1e",
X"11", X"ff", X"c4", X"76", X"d2", X"dd", X"cc", X"e5", X"99", X"62", X"8f", X"fa", X"be", X"6e", X"f2",
X"ef", X"d7", X"b8", X"66", X"6b", X"86", X"43", X"3e", X"77", X"00", X"05", X"a4", X"80", X"42", X"17",
X"00", X"19", X"86", X"7f", X"a0", X"ab", X"9c", X"94", X"5a", X"19", X"34", X"32", X"8c", X"8c", X"a9",
X"83", X"c2", X"d4", X"b6", X"48", X"07", X"00", X"00", X"00", X"0c", X"0f", X"00", X"00", X"00", X"00",
X"35", X"50", X"00", X"1d", X"26", X"1e", X"35", X"2c", X"26", X"00", X"06", X"04", X"1d", X"36", X"0b",
X"50", X"50", X"07", X"17", X"11", X"01", X"21", X"28", X"96", X"a2", X"00", X"04", X"00", X"20", X"54",
X"54", X"5a", X"92", X"a9", X"a8", X"b1", X"80", X"bd", X"b3", X"68", X"00", X"e1", X"e3", X"ea", X"1d",
X"00", X"c2", X"ec", X"6f", X"53", X"20", X"9a", X"73", X"c4", X"00", X"3b", X"32", X"0e", X"0a", X"46",
X"0b", X"31", X"28", X"cf", X"e5", X"9a", X"34", X"33", X"2c", X"00", X"11", X"51", X"44", X"72", X"2f",
X"27", X"96", X"b4", X"af", X"bb", X"3b", X"00", X"00", X"07", X"17", X"26", X"26", X"52", X"00", X"04",
X"4f", X"63", X"3c", X"00", X"2c", X"79", X"99", X"34", X"1e", X"1f", X"00", X"00", X"4a", X"5f", X"05",
X"15", X"76", X"33", X"00", X"0c", X"25", X"37", X"0f", X"0a", X"04", X"1f", X"12", X"33", X"42", X"0b",
X"77", X"a0", X"cc", X"3a", X"fe", X"d2", X"67", X"00", X"00", X"01", X"53", X"37", X"62", X"3f", X"e4",
X"a0", X"c3", X"3a", X"7e", X"46", X"d9", X"0f", X"62", X"1a", X"5c", X"3b", X"33", X"19", X"2f", X"1f",
X"5e", X"5e", X"82", X"94", X"73", X"b5", X"d1", X"55", X"4d", X"44", X"00", X"05", X"2c", X"88", X"98",
X"cf", X"95", X"a0", X"aa", X"97", X"78", X"00", X"06", X"05", X"06", X"3a", X"4f", X"5f", X"00", X"03",
X"6f", X"55", X"3e", X"83", X"04", X"2d", X"38", X"47", X"1c", X"85", X"62", X"2a", X"2a", X"28", X"0a",
X"bb", X"f8", X"8d", X"02", X"05", X"2a", X"21", X"76", X"8b", X"44", X"3f", X"33", X"00", X"3c", X"1b",
X"4a", X"8b", X"a4", X"4c", X"64", X"f6", X"71", X"00", X"00", X"00", X"33", X"6c", X"44", X"3e", X"d5",
X"c3", X"24", X"aa", X"f8", X"8a", X"84", X"0d", X"02", X"78", X"65", X"3e", X"67", X"35", X"29", X"22",
X"4a", X"38", X"00", X"5a", X"6e", X"8e", X"c6", X"5a", X"00", X"15", X"2d", X"14", X"00", X"15", X"00",
X"14", X"10", X"68", X"9e", X"a0", X"54", X"00", X"00", X"00", X"09", X"30", X"58", X"72", X"45", X"3f",
X"66", X"5d", X"0c", X"7c", X"82", X"1a", X"13", X"04", X"85", X"86", X"87", X"70", X"32", X"59", X"7c",
X"81", X"d4", X"42", X"07", X"00", X"34", X"61", X"67", X"d9", X"92", X"17", X"78", X"00", X"02", X"5f",
X"29", X"56", X"20", X"7a", X"26", X"30", X"76", X"00", X"00", X"20", X"10", X"44", X"56", X"8e", X"ef",
X"00", X"4b", X"c2", X"a3", X"b5", X"56", X"0c", X"42", X"71", X"3b", X"a5", X"30", X"3a", X"18", X"28",
X"29", X"17", X"1e", X"97", X"52", X"69", X"c2", X"0e", X"c2", X"71", X"2a", X"1d", X"1f", X"0d", X"08",
X"37", X"42", X"53", X"82", X"ae", X"6c", X"00", X"00", X"00", X"00", X"18", X"44", X"4b", X"38", X"28",
X"70", X"05", X"21", X"14", X"89", X"9d", X"30", X"2e", X"a7", X"ca", X"a2", X"cd", X"b9", X"9d", X"87",
X"68", X"a9", X"2b", X"1d", X"10", X"4e", X"74", X"4d", X"5e", X"41", X"0e", X"1d", X"2b", X"1f", X"8a",
X"0b", X"27", X"30", X"6f", X"62", X"34", X"05", X"22", X"1b", X"48", X"25", X"2a", X"46", X"0c", X"2e",
X"ad", X"3b", X"0f", X"0e", X"8a", X"0b", X"38", X"72", X"7d", X"78", X"7e", X"49", X"9f", X"0f", X"0e",
X"16", X"45", X"48", X"6c", X"10", X"38", X"6f", X"38", X"d2", X"b7", X"6e", X"39", X"27", X"00", X"00",
X"1d", X"26", X"22", X"4e", X"9c", X"a9", X"3e", X"1a", X"00", X"00", X"05", X"19", X"25", X"28", X"2c",
X"6a", X"00", X"3f", X"48", X"35", X"9d", X"56", X"35", X"00", X"cd", X"f0", X"d9", X"c7", X"fa", X"89",
X"88", X"97", X"33", X"1e", X"00", X"18", X"30", X"88", X"2a", X"1a", X"00", X"00", X"00", X"1a", X"17",
X"43", X"17", X"7f", X"9b", X"73", X"14", X"25", X"6e", X"41", X"95", X"56", X"19", X"23", X"47", X"4b",
X"4b", X"2c", X"62", X"91", X"11", X"2f", X"40", X"80", X"62", X"54", X"65", X"5b", X"00", X"1c", X"02",
X"32", X"3b", X"3f", X"3a", X"2c", X"0d", X"1b", X"64", X"7d", X"8c", X"79", X"52", X"16", X"00", X"00",
X"10", X"17", X"17", X"09", X"3f", X"49", X"18", X"0d", X"18", X"2c", X"00", X"00", X"10", X"21", X"37",
X"84", X"e1", X"3e", X"00", X"1f", X"0e", X"15", X"29", X"49", X"19", X"c9", X"a8", X"92", X"8a", X"fd",
X"9d", X"b6", X"62", X"6d", X"7b", X"43", X"00", X"5d", X"30", X"17", X"ac", X"90", X"4b", X"07", X"01",
X"3c", X"d2", X"8c", X"7c", X"21", X"a8", X"db", X"d1", X"c1", X"47", X"14", X"2e", X"51", X"3c", X"5d",
X"4f", X"1e", X"2d", X"6b", X"b5", X"22", X"58", X"06", X"00", X"67", X"46", X"53", X"15", X"45", X"2b",
X"21", X"2e", X"4a", X"36", X"4e", X"77", X"47", X"00", X"34", X"71", X"a7", X"25", X"5e", X"75", X"40",
X"0f", X"1c", X"40", X"68", X"0c", X"0c", X"00", X"01", X"21", X"25", X"01", X"0a", X"1e", X"00", X"00",
X"4d", X"72", X"a8", X"00", X"57", X"5d", X"00", X"07", X"8a", X"aa", X"b1", X"be", X"35", X"3b", X"46",
X"d1", X"a3", X"3d", X"25", X"4d", X"50", X"08", X"2d", X"66", X"00", X"43", X"c6", X"b6", X"87", X"00",
X"93", X"93", X"a3", X"99", X"0c", X"c5", X"d8", X"c3", X"ba", X"68", X"30", X"2c", X"33", X"40", X"56",
X"91", X"69", X"39", X"11", X"5d", X"15", X"59", X"00", X"3e", X"44", X"3e", X"44", X"14", X"51", X"2e",
X"0e", X"31", X"0d", X"2e", X"24", X"1e", X"31", X"42", X"0a", X"4a", X"64", X"94", X"c8", X"87", X"52",
X"36", X"5a", X"99", X"7d", X"7b", X"32", X"00", X"05", X"20", X"0e", X"00", X"00", X"19", X"00", X"00",
X"56", X"25", X"6c", X"00", X"a6", X"c0", X"bc", X"9a", X"9b", X"8e", X"a2", X"d8", X"69", X"66", X"a6",
X"ce", X"e4", X"6f", X"56", X"1c", X"24", X"29", X"1a", X"5c", X"29", X"00", X"76", X"cf", X"af", X"b0",
X"a2", X"56", X"dc", X"3a", X"2d", X"99", X"85", X"ca", X"d7", X"b5", X"0f", X"33", X"28", X"2c", X"22",
X"45", X"68", X"40", X"59", X"25", X"15", X"2d", X"00", X"33", X"91", X"c0", X"e4", X"20", X"22", X"12",
X"00", X"00", X"57", X"59", X"45", X"36", X"18", X"00", X"00", X"38", X"8b", X"ad", X"d4", X"c0", X"79",
X"38", X"5a", X"3a", X"61", X"58", X"3d", X"00", X"23", X"4d", X"2a", X"03", X"00", X"1e", X"00", X"00",
X"1b", X"0f", X"00", X"00", X"2b", X"9d", X"c8", X"f7", X"e3", X"83", X"54", X"b4", X"fa", X"ff", X"a6",
X"ec", X"8b", X"37", X"07", X"18", X"12", X"1d", X"09", X"1f", X"33", X"ae", X"a4", X"a4", X"de", X"b7",
X"d0", X"f2", X"2d", X"17", X"08", X"1a", X"9a", X"a0", X"8b", X"00", X"44", X"06", X"3d", X"23", X"31",
X"62", X"0e", X"23", X"1d", X"18", X"4e", X"00", X"00", X"52", X"ff", X"c6", X"65", X"70", X"ba", X"a7",
X"3a", X"41", X"bf", X"8f", X"4d", X"1a", X"28", X"13", X"1c", X"15", X"48", X"c5", X"a4", X"cc", X"e4",
X"63", X"4e", X"5a", X"36", X"2b", X"11", X"00", X"05", X"4d", X"23", X"0c", X"00", X"0e", X"00", X"00",
X"30", X"72", X"0d", X"33", X"00", X"0b", X"84", X"c2", X"f4", X"d3", X"be", X"c5", X"e2", X"cd", X"72",
X"c7", X"c2", X"21", X"20", X"0c", X"11", X"4a", X"2c", X"24", X"8f", X"a9", X"95", X"9f", X"c4", X"78",
X"a5", X"e7", X"20", X"21", X"17", X"69", X"65", X"80", X"75", X"00", X"41", X"ac", X"7a", X"4f", X"6e",
X"00", X"00", X"4c", X"20", X"19", X"3f", X"1f", X"99", X"e3", X"24", X"00", X"09", X"43", X"9a", X"61",
X"df", X"46", X"c0", X"94", X"59", X"00", X"22", X"50", X"1c", X"37", X"51", X"28", X"c2", X"ba", X"c0",
X"54", X"5e", X"86", X"97", X"79", X"65", X"40", X"4b", X"1b", X"3c", X"0e", X"3c", X"21", X"14", X"00",
X"68", X"55", X"46", X"69", X"4f", X"8e", X"58", X"d7", X"c4", X"90", X"be", X"f6", X"d9", X"6d", X"7d",
X"7c", X"f3", X"00", X"25", X"00", X"08", X"2f", X"36", X"37", X"3a", X"40", X"75", X"a5", X"c8", X"cb",
X"a2", X"fa", X"d4", X"33", X"1b", X"89", X"51", X"47", X"7b", X"26", X"9d", X"73", X"83", X"a3", X"85",
X"00", X"60", X"84", X"6c", X"24", X"a4", X"ba", X"76", X"12", X"06", X"81", X"b2", X"00", X"c2", X"a0",
X"97", X"d8", X"94", X"ff", X"a5", X"00", X"1a", X"43", X"66", X"ae", X"ad", X"b4", X"b9", X"cc", X"58",
X"59", X"db", X"db", X"b0", X"4f", X"24", X"0e", X"10", X"0c", X"25", X"02", X"36", X"35", X"00", X"00",
X"38", X"14", X"53", X"9b", X"df", X"e1", X"cd", X"9f", X"e7", X"4e", X"99", X"a1", X"dd", X"d7", X"7a",
X"70", X"9b", X"00", X"33", X"15", X"1f", X"3f", X"14", X"31", X"28", X"04", X"25", X"53", X"86", X"c8",
X"de", X"d0", X"ee", X"ce", X"0c", X"36", X"cf", X"c1", X"b4", X"ee", X"66", X"54", X"93", X"3d", X"b2",
X"ca", X"95", X"b8", X"3d", X"21", X"4d", X"23", X"23", X"51", X"73", X"ff", X"55", X"9a", X"b3", X"dc",
X"e3", X"f9", X"dd", X"ff", X"5e", X"00", X"0d", X"42", X"91", X"c1", X"c1", X"d6", X"ef", X"ce", X"44",
X"0b", X"be", X"cc", X"c4", X"c4", X"98", X"2f", X"00", X"26", X"1f", X"00", X"00", X"1e", X"00", X"00",
X"38", X"00", X"af", X"7b", X"ca", X"d9", X"e9", X"d5", X"ce", X"00", X"81", X"fc", X"bc", X"df", X"de",
X"31", X"16", X"00", X"00", X"05", X"00", X"29", X"65", X"2f", X"33", X"00", X"60", X"3f", X"7b", X"88",
X"ef", X"d6", X"b8", X"b8", X"52", X"14", X"ff", X"df", X"ed", X"ff", X"be", X"9a", X"9c", X"61", X"35",
X"f1", X"e7", X"ec", X"0e", X"3e", X"23", X"53", X"80", X"5b", X"51", X"ee", X"7e", X"2f", X"76", X"66",
X"ca", X"f6", X"15", X"7f", X"6c", X"00", X"63", X"96", X"5c", X"5d", X"76", X"9b", X"53", X"43", X"f1",
X"90", X"d4", X"d6", X"a2", X"19", X"10", X"00", X"00", X"16", X"1e", X"00", X"00", X"08", X"00", X"00",
X"32", X"24", X"76", X"6f", X"64", X"ef", X"ff", X"a3", X"91", X"af", X"dd", X"c1", X"fb", X"d2", X"b0",
X"00", X"00", X"04", X"05", X"00", X"0e", X"38", X"56", X"2e", X"16", X"26", X"a5", X"47", X"9c", X"75",
X"a7", X"b7", X"a2", X"07", X"97", X"8d", X"c9", X"e9", X"58", X"a6", X"ff", X"ca", X"96", X"96", X"f9",
X"be", X"e9", X"e7", X"00", X"4c", X"75", X"6a", X"37", X"9b", X"f1", X"be", X"94", X"58", X"55", X"d2",
X"47", X"c4", X"14", X"e7", X"e1", X"3d", X"2a", X"5d", X"4a", X"65", X"57", X"7f", X"27", X"00", X"b5",
X"8d", X"c7", X"c2", X"ff", X"3b", X"00", X"00", X"00", X"36", X"1f", X"00", X"00", X"00", X"30", X"5f",
X"1c", X"61", X"5c", X"72", X"82", X"bc", X"29", X"ad", X"b6", X"9d", X"95", X"cb", X"cb", X"d4", X"14",
X"29", X"05", X"02", X"17", X"16", X"0d", X"28", X"00", X"2d", X"07", X"88", X"a7", X"b8", X"5f", X"40",
X"79", X"88", X"a4", X"c9", X"cd", X"b2", X"ed", X"b1", X"54", X"1b", X"b9", X"d6", X"84", X"6d", X"93",
X"bc", X"b8", X"f6", X"8b", X"25", X"35", X"0a", X"b1", X"7b", X"c7", X"e1", X"77", X"ab", X"53", X"45",
X"49", X"be", X"f4", X"c0", X"c4", X"5a", X"19", X"0f", X"3a", X"6c", X"7f", X"2c", X"37", X"50", X"b5",
X"a4", X"98", X"60", X"a0", X"e9", X"24", X"00", X"00", X"20", X"00", X"00", X"00", X"00", X"56", X"53",
X"6c", X"66", X"41", X"4a", X"20", X"2e", X"39", X"b8", X"c2", X"d9", X"a8", X"c9", X"91", X"b0", X"2c",
X"1e", X"06", X"1a", X"28", X"25", X"18", X"13", X"1d", X"11", X"11", X"20", X"3e", X"00", X"25", X"48",
X"53", X"7b", X"2d", X"9d", X"b6", X"bb", X"b3", X"ce", X"6f", X"e6", X"c2", X"da", X"99", X"7d", X"a4",
X"66", X"3a", X"e4", X"f2", X"35", X"00", X"24", X"53", X"6f", X"ff", X"d0", X"3d", X"00", X"07", X"00",
X"4a", X"a0", X"b7", X"e7", X"a3", X"4f", X"4d", X"50", X"50", X"70", X"41", X"00", X"66", X"00", X"69",
X"d0", X"22", X"06", X"35", X"b3", X"5e", X"48", X"2b", X"16", X"03", X"0c", X"1b", X"09", X"42", X"3b",
X"1b", X"22", X"25", X"5d", X"60", X"32", X"17", X"28", X"ba", X"c2", X"43", X"56", X"e2", X"9d", X"74",
X"2c", X"21", X"0d", X"00", X"12", X"00", X"06", X"0b", X"00", X"1b", X"03", X"00", X"20", X"29", X"0e",
X"04", X"00", X"4b", X"65", X"b9", X"a2", X"a6", X"a1", X"ff", X"d7", X"8a", X"86", X"76", X"63", X"22",
X"36", X"3a", X"50", X"ff", X"96", X"0a", X"3e", X"44", X"00", X"e4", X"00", X"39", X"2f", X"0d", X"20",
X"27", X"6f", X"85", X"ce", X"26", X"40", X"45", X"6c", X"89", X"2f", X"00", X"2b", X"00", X"0e", X"1e",
X"53", X"05", X"02", X"16", X"5d", X"be", X"0f", X"12", X"09", X"0f", X"00", X"00", X"00", X"3b", X"37",
X"30", X"1b", X"0c", X"54", X"73", X"12", X"0f", X"00", X"0f", X"a6", X"29", X"56", X"36", X"d1", X"6e",
X"30", X"00", X"03", X"09", X"11", X"00", X"00", X"14", X"00", X"1f", X"09", X"0f", X"29", X"19", X"08",
X"19", X"30", X"00", X"00", X"fa", X"e3", X"c3", X"ec", X"a0", X"ff", X"98", X"7d", X"4f", X"02", X"0f",
X"ff", X"d6", X"29", X"e6", X"1e", X"33", X"58", X"45", X"03", X"00", X"0f", X"5b", X"25", X"1c", X"54",
X"2d", X"5c", X"68", X"ac", X"00", X"38", X"49", X"95", X"75", X"00", X"67", X"27", X"00", X"1d", X"2a",
X"0c", X"05", X"10", X"1d", X"00", X"4d", X"00", X"16", X"20", X"10", X"00", X"04", X"05", X"52", X"69",
X"4f", X"00", X"00", X"00", X"5a", X"63", X"1c", X"0d", X"56", X"6d", X"43", X"15", X"c6", X"b1", X"a2",
X"66", X"00", X"02", X"17", X"05", X"04", X"03", X"0f", X"12", X"1e", X"02", X"00", X"35", X"47", X"00",
X"14", X"46", X"14", X"29", X"e5", X"d5", X"ff", X"df", X"63", X"ff", X"d5", X"b2", X"74", X"05", X"ab",
X"c2", X"aa", X"28", X"70", X"44", X"31", X"7a", X"36", X"2f", X"00", X"1b", X"31", X"2e", X"23", X"32",
X"37", X"3b", X"38", X"42", X"00", X"2b", X"77", X"74", X"00", X"42", X"52", X"00", X"05", X"18", X"12",
X"18", X"00", X"00", X"00", X"52", X"00", X"57", X"03", X"08", X"00", X"00", X"00", X"0b", X"60", X"67",
X"17", X"0e", X"05", X"06", X"01", X"48", X"03", X"0a", X"49", X"4e", X"61", X"8c", X"da", X"e0", X"60",
X"6b", X"53", X"00", X"00", X"04", X"00", X"13", X"13", X"0e", X"0e", X"05", X"00", X"35", X"00", X"0b",
X"27", X"0b", X"20", X"0b", X"40", X"ac", X"5d", X"2c", X"10", X"73", X"cb", X"b3", X"3e", X"13", X"b9",
X"a2", X"d1", X"ff", X"7e", X"da", X"8f", X"86", X"8c", X"e0", X"4e", X"00", X"33", X"2e", X"1a", X"6a",
X"76", X"1a", X"27", X"80", X"00", X"45", X"46", X"00", X"54", X"28", X"09", X"20", X"00", X"00", X"00",
X"1f", X"c4", X"89", X"91", X"11", X"3b", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"5a", X"52",
X"15", X"0a", X"13", X"0e", X"08", X"2a", X"14", X"27", X"4b", X"4f", X"51", X"1f", X"5b", X"bf", X"7d",
X"76", X"10", X"0e", X"00", X"04", X"18", X"0c", X"00", X"19", X"00", X"70", X"b1", X"62", X"b0", X"1f",
X"0b", X"43", X"2c", X"18", X"00", X"55", X"29", X"ef", X"42", X"22", X"04", X"8c", X"93", X"a6", X"b5",
X"c3", X"b7", X"6f", X"78", X"60", X"dc", X"a8", X"55", X"92", X"c5", X"00", X"31", X"1f", X"5c", X"a8",
X"40", X"30", X"3f", X"21", X"05", X"23", X"04", X"1d", X"55", X"31", X"00", X"00", X"00", X"05", X"0c",
X"5d", X"39", X"0a", X"00", X"0f", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"50", X"55",
X"00", X"00", X"00", X"13", X"28", X"1d", X"2c", X"16", X"19", X"11", X"5b", X"4e", X"63", X"17", X"4f",
X"6c", X"62", X"00", X"06", X"00", X"00", X"40", X"11", X"13", X"14", X"b5", X"1e", X"c6", X"f4", X"ff",
X"00", X"1b", X"2b", X"1b", X"6a", X"10", X"21", X"d4", X"ff", X"3f", X"23", X"5d", X"e6", X"77", X"bc",
X"f0", X"b4", X"b6", X"85", X"c3", X"d6", X"5b", X"48", X"c1", X"b7", X"d4", X"ce", X"a5", X"0b", X"45",
X"44", X"1d", X"49", X"34", X"42", X"00", X"16", X"1b", X"28", X"61", X"6f", X"68", X"69", X"0f", X"15",
X"00", X"11", X"48", X"3b", X"35", X"16", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"33", X"27",
X"00", X"00", X"00", X"15", X"2e", X"0b", X"19", X"1f", X"12", X"08", X"9f", X"45", X"6f", X"3d", X"a4",
X"6c", X"00", X"00", X"02", X"0c", X"13", X"4f", X"00", X"09", X"2b", X"a9", X"c7", X"65", X"d4", X"ff",
X"ed", X"09", X"0f", X"67", X"54", X"0c", X"16", X"3a", X"b4", X"13", X"13", X"98", X"84", X"62", X"4e",
X"e4", X"cf", X"e0", X"bb", X"c8", X"d1", X"93", X"10", X"c2", X"bb", X"ff", X"df", X"f1", X"3b", X"2d",
X"2b", X"15", X"25", X"2a", X"38", X"30", X"2b", X"00", X"01", X"20", X"15", X"21", X"6e", X"56", X"3e",
X"39", X"09", X"1a", X"0d", X"10", X"00", X"26", X"25", X"10", X"00", X"00", X"00", X"00", X"22", X"23",
X"5a", X"00", X"00", X"00", X"1c", X"00", X"00", X"28", X"00", X"00", X"6d", X"59", X"24", X"00", X"00",
X"1f", X"00", X"00", X"00", X"12", X"4c", X"38", X"00", X"0a", X"17", X"17", X"f3", X"ef", X"bd", X"9e",
X"e6", X"e3", X"a5", X"e0", X"bf", X"04", X"4b", X"ac", X"10", X"26", X"8d", X"12", X"d2", X"ec", X"3b",
X"de", X"cf", X"b6", X"d7", X"d3", X"a1", X"bc", X"56", X"d9", X"e8", X"d7", X"e8", X"c8", X"74", X"00",
X"32", X"11", X"20", X"59", X"40", X"2a", X"17", X"00", X"00", X"00", X"01", X"01", X"36", X"00", X"0c",
X"0a", X"28", X"39", X"10", X"00", X"37", X"35", X"3d", X"36", X"38", X"12", X"0e", X"10", X"12", X"09",
X"5a", X"3b"
);
signal clock_counter : natural := 0;
signal clock_counter_stop : natural := 0;
signal errors_nb : natural := 0;
-- Defined in VHDL 2008, not handled by GHDL
function to_string(sv: std_logic_vector) return string is
variable bv: bit_vector(sv'range) := to_bitvector(sv);
variable lp: line;
begin
write(lp, bv);
return lp.all;
end;
begin
-- Instantiation of the main component
top_i : top port map (
-- Access 'clock' model 'clock'
clock => clock,
-- Access 'reset' model 'reset'
reset => reset,
-- Access 'start' model 'start'
start => start,
-- Access 'stdin' model 'fifo_in'
stdin_data => stdin_data,
stdin_rdy => stdin_rdy,
stdin_ack => stdin_ack,
-- Access 'stdout' model 'fifo_out'
stdout_data => stdout_data,
stdout_rdy => stdout_rdy,
stdout_ack => stdout_ack
);
-- Functionality for top-level access 'clock' model 'clock'
-- Generation of clock: 100MHz (note: arbitrary value)
clock <= clock_next after 5 ns;
clock_next <= not clock when clock_counter_stop = 0 or clock_counter <= clock_counter_stop else '0';
-- Clock counter and global messages
process (clock)
-- To print simulation messages
variable l : line;
begin
-- Increment clock counter
if rising_edge(clock) then
clock_counter <= clock_counter + 1;
if simu_disp_cycles = '1' then
-- Write simulation message
write(l, string'("INFO clock cycle "));
write(l, clock_counter);
writeline(output, l);
end if;
end if;
-- Messages
if falling_edge(clock) then
if clock_counter > simu_max_cycles then
report "ERROR Too many cycles simulated. Stopping simulation." severity failure;
end if;
if clock_counter < reset_cycles then
report "INFO Reset" severity note;
end if;
if clock_counter = reset_cycles then
report "INFO Start" severity note;
end if;
end if;
end process;
-- Functionality for top-level access 'reset' model 'reset'
-- Generation of reset
reset <= '1' when clock_counter < reset_cycles else '0';
-- Functionality for top-level access 'start' model 'start'
-- Generation of start
start <= '1';
-- Functionality for top-level access 'stdin' model 'fifo_in'
-- FIFO stdin
-- Sending inputs
stdin_vector <= stdin_vectors(stdin_vector_idx) when stdin_vector_idx < stdin_vectors_nb else (others => '0');
stdin_data <= stdin_vector(7 downto 0);
stdin_ack <= '1' when reset = '0' and stdin_vector_idx < stdin_vectors_nb else '0';
process (clock)
-- To print simulation messages
variable l : line;
begin
if rising_edge(clock) then
if stdin_vector_idx < stdin_vectors_nb then
if stdin_rdy = '1' and stdin_ack = '1' and reset = '0' then
-- Write simulation message
write(l, string'("INFO Input vector "));
write(l, stdin_vector_idx);
write(l, string'(" at cycle "));
write(l, clock_counter);
writeline(output, l);
if stdin_vector_idx = 0 then
write(l, string'("INFO First input vector sent at clock cycle "));
write(l, clock_counter);
writeline(output, l);
end if;
if stdin_vector_idx = stdin_vectors_nb - 1 then
write(l, string'("INFO Last input vector sent at clock cycle "));
write(l, clock_counter);
writeline(output, l);
end if;
-- Increase vector index
stdin_vector_idx <= stdin_vector_idx + 1;
end if; -- Handshake
else
if stdin_rdy = '1' and reset = '0' then
if simu_err_end_in = '1' then
report "ERROR Out of input vectors. Stopping simulation." severity failure;
end if;
end if; -- Handshake
end if;
end if;
end process;
-- Functionality for top-level access 'stdout' model 'fifo_out'
-- FIFO stdout
-- Checking outputs
-- Always enable output FIFO
stdout_ack <= '1' when stdout_vector_idx < stdout_vectors_nb and reset = '0' else '0';
stdout_vector <= stdout_vectors(stdout_vector_idx) when stdout_vector_idx < stdout_vectors_nb else (others => '0');
-- Check outputs
process (clock)
variable l : line;
begin
if rising_edge(clock) then
if stdout_vector_idx < stdout_vectors_nb then
if stdout_rdy = '1' and stdout_ack = '1' and reset = '0' then
if stdout_data = stdout_vector(7 downto 0) then
-- The vector is verified
write(l, string'("INFO Output nb "));
write(l, stdout_vector_idx);
write(l, string'(" at cycle "));
write(l, clock_counter);
write(l, string'(" (check OK)"));
write(l, string'(" Obtained "));
write(l, to_string(stdout_data));
writeline(output, l);
else
-- An error is detected
write(l, string'("ERROR Output nb "));
write(l, stdout_vector_idx);
write(l, string'(" at cycle "));
write(l, clock_counter);
writeline(output, l);
write(l, string'(" Obtained "));
write(l, to_string(stdout_data));
writeline(output, l);
write(l, string'(" Expected "));
write(l, to_string(stdout_vector(7 downto 0)));
writeline(output, l);
errors_nb <= errors_nb + 1;
--report "ERROR A simulation error was found." severity failure;
end if;
if stdout_vector_idx = stdout_vectors_nb - 1 then
write(l, string'("INFO Last output vector read at cycle "));
write(l, clock_counter);
writeline(output, l);
report "INFO Stopping simulation." severity note;
clock_counter_stop <= clock_counter + 3;
end if;
-- Increase vector index
stdout_vector_idx <= stdout_vector_idx + 1;
end if; -- FIFO handshake
else
-- All vectors have been read
if errors_nb > 0 then
write(l, string'("ERROR Number of errors found : "));
write(l, errors_nb);
writeline(output, l);
report "ERROR Simulation errors were found." severity failure;
end if;
end if; -- Check all vectors read
end if; -- Clock
end process;
end augh;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/compliant/tc690.vhd | 4 | 2584 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc690.vhd,v 1.3 2001-10-29 02:12:46 paw Exp $
-- $Revision: 1.3 $
--
-- ---------------------------------------------------------------------
-- **************************** --
-- Ported to VHDL 93 by port93.pl - Tue Nov 5 16:38:03 1996 --
-- **************************** --
ENTITY c03s04b01x00p23n01i00690ent IS
END c03s04b01x00p23n01i00690ent;
ARCHITECTURE c03s04b01x00p23n01i00690arch OF c03s04b01x00p23n01i00690ent IS
BEGIN
TESTING: PROCESS
-- Declare the type and the file.
type DISTANCE is range 0 to 1E9
units
-- Base units.
A; -- angstrom
-- Metric lengths.
nm = 10 A; -- nanometer
um = 1000 nm; -- micrometer (or micron)
mm = 1000 um; -- millimeter
cm = 10 mm; -- centimeter
-- English lengths.
mil = 254000 A; -- mil
inch = 1000 mil; -- inch
end units;
type FilT is file of DISTANCE;
-- Declare the actual file to write.
file FILEV : FilT open write_mode is "iofile.53";
-- Declare a variable.
constant CON : DISTANCE := 1 nm;
variable VAR : DISTANCE := CON;
BEGIN
-- Write out the file.
for I in 1 to 100 loop
WRITE( FILEV,VAR );
end loop;
assert FALSE
report "***PASSED TEST: c03s04b01x00p23n01i00690 - The output file will tested by test file s010418.vhd"
severity NOTE;
wait;
END PROCESS TESTING;
END c03s04b01x00p23n01i00690arch;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/ashenden/compliant/ch_18_ch_18_10.vhd | 4 | 2043 |
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_18_ch_18_10.vhd,v 1.2 2001-10-26 16:29:36 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
entity ch_18_10 is
end entity ch_18_10;
----------------------------------------------------------------
architecture test of ch_18_10 is
begin
process is
use std.textio.all;
variable L : line;
-- code from book:
type speed_category is (stopped, slow, fast, maniacal);
variable speed : speed_category;
-- end of code from book
begin
speed := stopped;
-- code from book:
write ( L, speed_category'image(speed) );
-- end of code from book
writeline(output, L);
speed := slow;
write ( L, speed_category'image(speed) );
writeline(output, L);
speed := fast;
write ( L, speed_category'image(speed) );
writeline(output, L);
speed := maniacal;
write ( L, speed_category'image(speed) );
writeline(output, L);
-- code from book:
readline( input, L );
speed := speed_category'value(L.all);
-- end of code from book
wait;
end process;
end architecture test;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc103.vhd | 4 | 1706 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc103.vhd,v 1.2 2001-10-26 16:30:05 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c04s03b02x00p29n06i00103ent IS
port ( signal S : out bit) ;
END c04s03b02x00p29n06i00103ent;
ARCHITECTURE c04s03b02x00p29n06i00103arch OF c04s03b02x00p29n06i00103ent IS
BEGIN
TESTING: PROCESS
constant T : TIME := 10 ns;
BEGIN
if (S'DELAYED(T)='1') then -- Failure_here
end if;
assert FALSE
report "***FAILED TEST: c04s03b02x00p29n06i00103- The attribute DELAYED of a signal of mode out cannot be read."
severity ERROR;
wait;
END PROCESS TESTING;
END c04s03b02x00p29n06i00103arch;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/compliant/tc2089.vhd | 4 | 2085 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2089.vhd,v 1.2 2001-10-26 16:29:45 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s02b04x00p20n01i02089ent IS
END c07s02b04x00p20n01i02089ent;
ARCHITECTURE c07s02b04x00p20n01i02089arch OF c07s02b04x00p20n01i02089ent IS
TYPE bit_v is array (integer range <>) of bit;
SUBTYPE bit_4 is bit_v (1 to 4);
SUBTYPE bit_null is bit_v (1 to 0);
BEGIN
TESTING: PROCESS
variable result : bit_4;
variable l_operand : bit_4 := ('1','0','1','0');
variable r_operand : bit_null;
BEGIN
result := l_operand & r_operand;
wait for 5 ns;
assert NOT((result = ('1','0','1','0')) and (result(1) = '1'))
report "***PASSED TEST: c07s02b04x00p20n01i02089"
severity NOTE;
assert ((result = ('1','0','1','0')) and (result(1) = '1'))
report "***FAILED TEST: c07s02b04x00p20n01i02089 - Concatenation of null and BIT array failed."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s02b04x00p20n01i02089arch;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2852.vhd | 4 | 1614 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2852.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
entity REGISTER is
end REGISTER;
ENTITY c13s09b00x00p99n01i02852ent IS
END c13s09b00x00p99n01i02852ent;
ARCHITECTURE c13s09b00x00p99n01i02852arch OF c13s09b00x00p99n01i02852ent IS
BEGIN
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c13s09b00x00p99n01i02852 - Reserved word REGISTER can not be used as an entity name."
severity ERROR;
wait;
END PROCESS TESTING;
END c13s09b00x00p99n01i02852arch;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3106.vhd | 4 | 1991 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc3106.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
package c05s01b00x00p17n01i03106pkg is
attribute p: POSITIVE;
attribute p of c05s01b00x00p17n01i03106pkg : package is 10;
end c05s01b00x00p17n01i03106pkg;
use work.c05s01b00x00p17n01i03106pkg.all;
ENTITY c05s01b00x00p17n01i03106ent IS
END c05s01b00x00p17n01i03106ent;
ARCHITECTURE c05s01b00x00p17n01i03106arch OF c05s01b00x00p17n01i03106ent IS
BEGIN
blk : block
attribute p of c05s01b00x00p17n01i03106arch : architecture is 10; -- Failure_here
begin
end block blk;
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c05s01b00x00p17n01i03106 - The attribute specification for an attribute of a design unit does not appear immediately within the declarative part of that design unit."
severity ERROR;
wait;
END PROCESS TESTING;
END c05s01b00x00p17n01i03106arch;
| gpl-2.0 |
emogenet/ghdl | testsuite/gna/issue158/repro.vhdl | 2 | 272 | entity repro is
end repro;
architecture behav of repro is
begin
Genf: for i in 1 to 2 generate
begin
blk : block
begin
end block;
end generate;
geni : if true generate
begin
blk : block
begin
end block;
end generate;
end behav;
| gpl-2.0 |
emogenet/ghdl | testsuite/gna/bug17203/bug.vhdl | 3 | 812 | use std.textio.all;
package read_string is
function read_string_time (s : string) return time;
end read_string;
package body read_string is
function read_string_time (s : string) return time is
variable l : line := new string'(s);
variable t : time;
variable read_ok : boolean;
begin
read(l, t, read_ok);
if not read_ok then
report "read time failed" severity failure;
end if;
return t;
end function;
end package body read_string;
use work.read_string.all;
entity test_time is
generic (test_t : time := read_string_time("123 ps"));
end test_time;
architecture test of test_time is
begin
process
variable t : time;
begin
t := read_string_time("321 ps");
report "t=" & time'image(t) severity warning;
wait;
end process;
end test;
| gpl-2.0 |
emogenet/ghdl | testsuite/gna/bug061/dictp.vhdl | 2 | 5814 | library ieee;
use ieee.std_logic_1164.all;
package corelib_Dict is
-- generic (
-- type KEY_TYPE;
-- type VALUE_TYPE;
-- function to_hash(d : in KEY_TYPE, size : positive) return natural;
-- INIT_SIZE : natural := 128
-- );
-- REMOVE when using package generics
constant INIT_SIZE : positive := 128;
alias to_hash is "mod" [integer, integer return integer];
subtype KEY_TYPE is integer;
subtype VALUE_TYPE is std_logic_vector;
type PT_DICT is protected
procedure Set (constant key : in KEY_TYPE; constant data : in VALUE_TYPE);
procedure Get (constant key : in KEY_TYPE; data : out VALUE_TYPE);
impure function Get (constant key : KEY_TYPE) return VALUE_TYPE;
procedure Del (constant key : in KEY_TYPE);
procedure Clear;
impure function HasKey (constant key : KEY_TYPE) return boolean;
impure function Count return natural;
end protected PT_DICT;
procedure Merge(d0 : inout PT_DICT; d1 : inout PT_DICT; dout : inout PT_DICT);
end package corelib_Dict;
package body corelib_Dict is
type t_key_ptr is access KEY_TYPE;
type t_data_ptr is access VALUE_TYPE;
type PT_DICT is protected body
type t_entry;
type t_entry_ptr is access t_entry;
type t_entry is record
key : t_key_ptr;
data : t_data_ptr;
last_entry : t_entry_ptr;
next_entry : t_entry_ptr;
end record t_entry;
type t_entry_array is array (0 to INIT_SIZE-1) of t_entry_ptr;
variable head : t_entry_array := (others => null);
variable entry_count : integer_vector(0 to INIT_SIZE-1) := (others => 0);
-- Private method to find entry stored in dictionary
impure function Find (constant key : KEY_TYPE) return t_entry_ptr;
impure function Find (constant key : KEY_TYPE) return t_entry_ptr is
variable entry : t_entry_ptr := head(to_hash(key, INIT_SIZE));
begin
while (entry /= null) loop
if (entry.key.all = key) then
return entry;
end if;
entry := entry.last_entry;
end loop;
return null;
end function Find;
procedure Set (constant key : in KEY_TYPE; constant data : in VALUE_TYPE) is
variable addr : natural := 0;
variable entry : t_entry_ptr := Find(key);
begin
if (entry = null) then
addr := to_hash(key, INIT_SIZE);
if (head(addr) /= null) then
entry := new t_entry;
entry.key := new KEY_TYPE'(key);
entry.data := new VALUE_TYPE'(data);
entry.last_entry := head(addr);
entry.next_entry := null;
head(addr) := entry;
head(addr).last_entry.next_entry := head(addr);
else
head(addr) := new t_entry;
head(addr).key := new KEY_TYPE'(key);
head(addr).data := new VALUE_TYPE'(data);
head(addr).last_entry := null;
head(addr).next_entry := null;
end if;
entry_count(addr) := entry_count(addr) + 1;
else
entry.data.all := data;
end if;
end procedure Set;
procedure Get (constant key : in KEY_TYPE; data : out VALUE_TYPE) is
variable entry : t_entry_ptr := Find(key);
begin
assert entry /= null
report PT_DICT'instance_name & ": ERROR: key " & to_string(key) & " not found"
severity failure;
data := entry.data.all;
end procedure Get;
impure function Get (constant key : KEY_TYPE) return VALUE_TYPE is
variable entry : t_entry_ptr := Find(key);
begin
assert entry /= null
report PT_DICT'instance_name & ": ERROR: key " & to_string(key) & " not found"
severity failure;
return entry.data.all;
end function Get;
procedure Del (constant key : in KEY_TYPE) is
variable entry : t_entry_ptr := Find(key);
variable addr : natural := 0;
begin
if (entry /= null) then
addr := to_hash(key, INIT_SIZE);
-- remove head entry
if(entry.next_entry = null and entry.last_entry /= null) then
entry.last_entry.next_entry := null;
head(addr) := entry.last_entry;
-- remove start entry
elsif(entry.next_entry /= null and entry.last_entry = null) then
entry.next_entry.last_entry := null;
-- remove from between
elsif(entry.next_entry /= null and entry.last_entry /= null) then
entry.last_entry.next_entry := entry.next_entry;
entry.next_entry.last_entry := entry.last_entry;
else
head(addr) := null;
end if;
deallocate(entry.key);
deallocate(entry.data);
deallocate(entry);
entry_count(addr) := entry_count(addr) - 1;
end if;
end procedure Del;
procedure Clear is
variable entry : t_entry_ptr;
variable entry_d : t_entry_ptr;
begin
for i in t_entry_array'range loop
entry := head(i);
while (entry /= null) loop
entry_d := entry;
Del(entry_d.key.all);
entry := entry.last_entry;
end loop;
end loop;
end procedure Clear;
impure function HasKey (constant key : KEY_TYPE) return boolean is
begin
return Find(key) /= null;
end function HasKey;
impure function Count return natural is
variable value : natural := 0;
begin
for i in entry_count'range loop
value := value + entry_count(i);
end loop;
return value;
end function Count;
end protected body PT_DICT;
procedure Merge(d0 : inout PT_DICT; d1 : inout PT_DICT; dout : inout PT_DICT) is
begin
end procedure Merge;
end package body corelib_Dict;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/ashenden/compliant/ch_13_fg_13_13.vhd | 4 | 2073 |
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_13_fg_13_13.vhd,v 1.3 2001-10-26 16:29:35 paw Exp $
-- $Revision: 1.3 $
--
-- ---------------------------------------------------------------------
-- not in book
entity computer_system is
end entity computer_system;
library stimulus;
use stimulus.stimulus_generators.all;
-- end not in book
architecture structure of computer_system is
component decoder_2_to_4 is
generic ( prop_delay : delay_length );
port ( in0, in1 : in bit;
out0, out1, out2, out3 : out bit );
end component decoder_2_to_4;
-- . . .
-- not in book
signal addr : bit_vector(5 downto 4);
signal interface_a_select, interface_b_select,
interface_c_select, interface_d_select : bit;
-- end not in book
begin
interface_decoder : component decoder_2_to_4
generic map ( prop_delay => 4 ns )
port map ( in0 => addr(4), in1 => addr(5),
out0 => interface_a_select, out1 => interface_b_select,
out2 => interface_c_select, out3 => interface_d_select );
-- . . .
-- not in book
all_possible_values(addr, 10 ns);
-- end not in book
end architecture structure;
| gpl-2.0 |
emogenet/ghdl | testsuite/gna/bug017/call6a.vhdl | 2 | 346 | entity call6a is
end;
architecture behav of call6a is
procedure check (s : string) is
begin
wait for 1 ns;
assert s (2) = 'a';
end;
begin
process
variable c : character := 'a';
begin
check ("bac");
wait for 2 ns;
check ((1 => 'e', 2 => c, 3 => 'c'));
report "SUCCESS";
wait;
end process;
end behav;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/compliant/tc2532.vhd | 4 | 1783 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2532.vhd,v 1.2 2001-10-26 16:29:48 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s03b05x00p06n02i02532ent IS
END c07s03b05x00p06n02i02532ent;
ARCHITECTURE c07s03b05x00p06n02i02532arch OF c07s03b05x00p06n02i02532ent IS
signal R1 : REAL := 10.0;
signal I2 : INTEGER := 0;
BEGIN
TESTING: PROCESS
BEGIN
I2 <= INTEGER(R1);
wait for 5 ns;
assert NOT( I2=10 )
report "***PASSED TEST: c07s03b05x00p06n02i02532"
severity NOTE;
assert ( I2=10 )
report "***FAILED TEST: c07s03b05x00p06n02i02532 - The type conversion from real to integer type failed."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s03b05x00p06n02i02532arch;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc142.vhd | 4 | 2142 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc142.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c04s03b02x02p10n01i00142ent IS
PORT ( SIGNAL a : IN bit;
SIGNAL b : IN integer;
SIGNAL c : IN boolean;
SIGNAL d : IN time;
SIGNAL e : IN real;
SIGNAL oint : INOUT integer);
END c04s03b02x02p10n01i00142ent;
ARCHITECTURE c04s03b02x02p10n01i00142arch OF c04s03b02x02p10n01i00142ent IS
function funct1( fpar1:bit :='1';
fpar2:integer :=455;
fpar3:boolean :=true;
fpar4:time :=55.77 ns;
fpar5:real :=34.558) return integer is
begin
return 1;
end funct1;
BEGIN
TESTING: PROCESS
BEGIN
wait for 1 ns;
oint <= funct1(fpar3=>c,fpar2=>b,fpar1=>a,d,e);
assert FALSE
report "***FAILED TEST: c04s03b02x02p10n01i00142 - Positional association can not follow named association."
severity ERROR;
wait;
END PROCESS TESTING;
END c04s03b02x02p10n01i00142arch;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/compliant/tc1581.vhd | 4 | 1846 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1581.vhd,v 1.2 2001-10-26 16:29:42 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s11b00x00p02n01i01581ent IS
END c08s11b00x00p02n01i01581ent;
ARCHITECTURE c08s11b00x00p02n01i01581arch OF c08s11b00x00p02n01i01581ent IS
BEGIN
TESTING: PROCESS
variable k : integer := 0;
BEGIN
for i in 1 to 10 loop
exit when i = 6;
k := i;
end loop;
assert NOT( k=5 )
report "***PASSED TEST: c08s11b00x00p02n01i01581"
severity NOTE;
assert ( k=5 )
report "***FAILED TEST: c08s11b00x00p02n01i01581 - Exit statement consists of the reserved word 'exit' and optionally the reserved word 'when' followed by a condition "
severity ERROR;
wait;
END PROCESS TESTING;
END c08s11b00x00p02n01i01581arch;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/compliant/tc426.vhd | 4 | 3263 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc426.vhd,v 1.2 2001-10-26 16:29:54 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY model IS
PORT
(
F1: OUT integer := 3;
F2: INOUT integer := 3;
F3: IN integer
);
END model;
architecture model of model is
begin
process
begin
wait for 1 ns;
assert F3= 3
report"wrong initialization of F3 through type conversion" severity failure;
assert F2 = 3
report"wrong initialization of F2 through type conversion" severity failure;
wait;
end process;
end;
ENTITY c03s02b01x01p19n01i00426ent IS
END c03s02b01x01p19n01i00426ent;
ARCHITECTURE c03s02b01x01p19n01i00426arch OF c03s02b01x01p19n01i00426ent IS
type time_cons_vector is array (15 downto 0) of time;
type time_cons_vectorofvector is array (0 to 15) of time_cons_vector;
constant C1 : time_cons_vectorofvector := (others => (others => 3 ns));
function complex_scalar(s : time_cons_vectorofvector) return integer is
begin
return 3;
end complex_scalar;
function scalar_complex(s : integer) return time_cons_vectorofvector is
begin
return C1;
end scalar_complex;
component model1
PORT
(
F1: OUT integer;
F2: INOUT integer;
F3: IN integer
);
end component;
for T1 : model1 use entity work.model(model);
signal S1 : time_cons_vectorofvector;
signal S2 : time_cons_vectorofvector;
signal S3 : time_cons_vectorofvector := C1;
BEGIN
T1: model1
port map (
scalar_complex(F1) => S1,
scalar_complex(F2) => complex_scalar(S2),
F3 => complex_scalar(S3)
);
TESTING: PROCESS
BEGIN
wait for 1 ns;
assert NOT((S1 = C1) and (S2 = C1))
report "***PASSED TEST: c03s02b01x01p19n01i00426"
severity NOTE;
assert ((S1 = C1) and (S2 = C1))
report "***FAILED TEST: c03s02b01x01p19n01i00426 - For an interface object of mode out, buffer, inout, or linkage, if the formal part includes a type conversion function, then the parameter subtype of that function must be a constrained array subtype."
severity ERROR;
wait;
END PROCESS TESTING;
END c03s02b01x01p19n01i00426arch;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/compliant/tc1735.vhd | 4 | 3686 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1735.vhd,v 1.2 2001-10-26 16:29:43 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c09s04b00x00p06n01i01735ent IS
END c09s04b00x00p06n01i01735ent;
ARCHITECTURE c09s04b00x00p06n01i01735arch OF c09s04b00x00p06n01i01735ent IS
signal arch_s1 : bit;
signal arch_s2 : boolean;
signal arch_s3 : character;
signal arch_s4 : severity_level;
signal arch_s5 : integer;
signal arch_s6 : real;
signal arch_s7 : time;
signal arch_s8 : positive;
signal arch_s9 : natural;
BEGIN
ASSERT arch_s1 /= bit'left
REPORT "bit concurrent assertion"
severity NOTE;
ASSERT arch_s2 /= boolean'left
REPORT "boolean concurrent assertion"
severity NOTE;
ASSERT arch_s3 /= character'left
REPORT "character concurrent assertion"
severity NOTE;
ASSERT arch_s4 /= severity_level'left
REPORT "severity_level concurrent assertion"
severity NOTE;
ASSERT arch_s5 /= integer'left
REPORT "integer concurrent assertion"
severity NOTE;
ASSERT arch_s6 /= real'left
REPORT "real concurrent assertion"
severity NOTE;
ASSERT arch_s7 /= time'left
REPORT "time concurrent assertion"
severity NOTE;
ASSERT arch_s8 /= positive'left
REPORT "positive concurrent assertion"
severity NOTE;
ASSERT arch_s9 /= natural'left
REPORT "natural concurrent assertion"
severity NOTE;
TESTING: PROCESS
BEGIN
ASSERT arch_s1 /= bit'left
REPORT "bit concurrent assertion"
severity NOTE;
ASSERT arch_s2 /= boolean'left
REPORT "boolean concurrent assertion"
severity NOTE;
ASSERT arch_s3 /= character'left
REPORT "character concurrent assertion"
severity NOTE;
ASSERT arch_s4 /= severity_level'left
REPORT "severity_level concurrent assertion"
severity NOTE;
ASSERT arch_s5 /= integer'left
REPORT "integer concurrent assertion"
severity NOTE;
ASSERT arch_s6 /= real'left
REPORT "real concurrent assertion"
severity NOTE;
ASSERT arch_s7 /= time'left
REPORT "time concurrent assertion"
severity NOTE;
ASSERT arch_s8 /= positive'left
REPORT "positive concurrent assertion"
severity NOTE;
ASSERT arch_s9 /= natural'left
REPORT "natural concurrent assertion"
severity NOTE;
assert FALSE
report "***PASSED TEST: c09s04b00x00p06n01i01735 - This need manual check - The concurrent assertion statement and the sequential assertion should print out the same ASSERTION NOTES."
severity NOTE;
wait;
END PROCESS TESTING;
END c09s04b00x00p06n01i01735arch;
| gpl-2.0 |
emogenet/ghdl | testsuite/gna/ticket11/tb2.vhdl | 3 | 442 | entity tb2 is
end entity;
architecture arch of tb2 is
signal s: integer := 0;
signal s2: integer := 0;
begin
process is
begin
wait for 1 us;
s2 <= 3;
s <= 1;
s <= 2 after 1 us;
assert s = 0;
wait on s;
report "s = " & integer'image(s);
assert s = 2 severity failure;
assert now = 2 us severity failure;
wait;
end process;
end architecture;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2410.vhd | 4 | 1804 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2410.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s03b02x00p09n01i02410ent IS
END c07s03b02x00p09n01i02410ent;
ARCHITECTURE c07s03b02x00p09n01i02410arch OF c07s03b02x00p09n01i02410ent IS
type array_three is array (1 to 6) of integer;
constant x : array_three := (1, 2, 3, 4, 5, 6);
constant y : array_three := (1 => 1, 2 => 2, 2 => 3,others => 0);
-- Failure_here
BEGIN
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c07s03b02x00p09n01i02410 - An element of the value defined by an aggregate can be represented only once in an aggregate."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s03b02x00p09n01i02410arch;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/compliant/tc674.vhd | 4 | 2248 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc674.vhd,v 1.3 2001-10-29 02:12:46 paw Exp $
-- $Revision: 1.3 $
--
-- ---------------------------------------------------------------------
-- **************************** --
-- Ported to VHDL 93 by port93.pl - Tue Nov 5 16:37:59 1996 --
-- **************************** --
ENTITY c03s04b01x00p23n01i00674ent IS
END c03s04b01x00p23n01i00674ent;
ARCHITECTURE c03s04b01x00p23n01i00674arch OF c03s04b01x00p23n01i00674ent IS
BEGIN
TESTING: PROCESS
-- Declare the type and the file.
type SWITCH_LEVEL is ( '0', '1', 'Z' );
subtype LOGIC_SWITCH is SWITCH_LEVEL range '0' to '1';
type FT is file of LOGIC_SWITCH;
-- Declare the actual file to write.
file FILEV : FT open write_mode is "iofile.49";
-- Declare a variable.
constant CON : LOGIC_SWITCH := '1';
variable VAR : LOGIC_SWITCH := CON;
BEGIN
-- Write out the file.
for I in 1 to 100 loop
WRITE( FILEV,VAR );
end loop;
assert FALSE
report "***PASSED TEST: c03s04b01x00p23n01i00674 - The output file will tested by test file s010406.vhd"
severity NOTE;
wait;
END PROCESS TESTING;
END c03s04b01x00p23n01i00674arch;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/ashenden/compliant/ch_04_fg_04_06.vhd | 4 | 3280 |
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_04_fg_04_06.vhd,v 1.2 2001-10-26 16:29:33 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
architecture system_level of computer is
type opcodes is (add, sub, addu, subu, jmp, breq, brne, ld, st, -- . . .);
-- not in book:
nop);
-- end not in book
type reg_number is range 0 to 31;
constant r0 : reg_number := 0; constant r1 : reg_number := 1; -- . . .
-- not in book:
constant r2 : reg_number := 2;
-- end not in book
type instruction is record
opcode : opcodes;
source_reg1, source_reg2, dest_reg : reg_number;
displacement : integer;
end record instruction;
type word is record
instr : instruction;
data : bit_vector(31 downto 0);
end record word;
signal address : natural;
signal read_word, write_word : word;
signal mem_read, mem_write : bit := '0';
signal mem_ready : bit := '0';
begin
cpu : process is
variable instr_reg : instruction;
variable PC : natural;
-- . . . -- other declarations for register file, etc.
begin
address <= PC;
mem_read <= '1';
wait until mem_ready = '1';
instr_reg := read_word.instr;
mem_read <= '0';
-- not in book:
wait until mem_ready = '0';
-- end not in book
PC := PC + 4;
case instr_reg.opcode is -- execute the instruction
-- . . .
-- not in book:
when others => null;
-- end not in book
end case;
end process cpu;
memory : process is
type memory_array is array (0 to 2**14 - 1) of word;
variable store : memory_array :=
( 0 => ( ( ld, r0, r0, r2, 40 ), X"00000000" ),
1 => ( ( breq, r2, r0, r0, 5 ), X"00000000" ),
-- . . .
40 => ( ( nop, r0, r0, r0, 0 ), X"FFFFFFFE"),
others => ( ( nop, r0, r0, r0, 0 ), X"00000000") );
begin
-- . . .
-- not in book:
wait until mem_read = '1';
read_word <= store(address);
mem_ready <= '1';
wait until mem_read = '0';
mem_ready <= '0';
-- end not in book
end process memory;
end architecture system_level;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/compliant/tc341.vhd | 4 | 3092 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc341.vhd,v 1.2 2001-10-26 16:29:53 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c03s02b01x00p09n02i00341ent IS
END c03s02b01x00p09n02i00341ent;
ARCHITECTURE c03s02b01x00p09n02i00341arch OF c03s02b01x00p09n02i00341ent IS
BEGIN
TESTING: PROCESS
type T_A1_S is ARRAY(INTEGER range <>) of INTEGER;
subtype ST_A1_S is T_A1_S(INTEGER range 1 to 3);
type T_A1_A1_S is ARRAY(INTEGER range <>) of ST_A1_S;
subtype ST_A1_A1_S is T_A1_A1_S(INTEGER range 6 downto 4);
variable V_A1_A1_S : ST_A1_A1_S;
BEGIN
V_A1_A1_S(6)(1) := 61;
V_A1_A1_S(6)(2) := 62;
V_A1_A1_S(6)(3) := 63;
V_A1_A1_S(5)(1) := 51;
V_A1_A1_S(5)(2) := 52;
V_A1_A1_S(5)(3) := 53;
V_A1_A1_S(4)(1) := 41;
V_A1_A1_S(4)(2) := 42;
V_A1_A1_S(4)(3) := 43;
wait for 5 ns;
assert NOT( V_A1_A1_S(6)(1) = 61 and
V_A1_A1_S(6)(2) = 62 and
V_A1_A1_S(6)(3) = 63 and
V_A1_A1_S(5)(1) = 51 and
V_A1_A1_S(5)(2) = 52 and
V_A1_A1_S(5)(3) = 53 and
V_A1_A1_S(4)(1) = 41 and
V_A1_A1_S(4)(2) = 42 and
V_A1_A1_S(4)(3) = 43)
report "***PASSED TEST: c03s02b01x00p09n02i00341"
severity NOTE;
assert ( V_A1_A1_S(6)(1) = 61 and
V_A1_A1_S(6)(2) = 62 and
V_A1_A1_S(6)(3) = 63 and
V_A1_A1_S(5)(1) = 51 and
V_A1_A1_S(5)(2) = 52 and
V_A1_A1_S(5)(3) = 53 and
V_A1_A1_S(4)(1) = 41 and
V_A1_A1_S(4)(2) = 42 and
V_A1_A1_S(4)(3) = 43)
report "***FAILED TEST: c03s02b01x00p09n02i00341 - For each possible sequence of index values that can be formed by selecting one value for each index for a multimensioal array, there is a distinct element."
severity ERROR;
wait;
END PROCESS TESTING;
END c03s02b01x00p09n02i00341arch;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/compliant/tc885.vhd | 4 | 2352 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc885.vhd,v 1.2 2001-10-26 16:30:01 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c10s01b00x00p10n01i00885ent IS
END c10s01b00x00p10n01i00885ent;
ARCHITECTURE c10s01b00x00p10n01i00885arch OF c10s01b00x00p10n01i00885ent IS
signal S: INTEGER := 356;
BEGIN
TESTING: PROCESS
constant I: INTEGER := 105; -- loop parameter has same name
variable k: integer := 0;
BEGIN
-- assign process constant I to S
S <= I;
wait for 1 ns;
assert ( S = 105 )
report "constant not properly assigned to signal"
severity FAILURE;
-- loop parameter has same name as constant declared in process
for I in 1 to 5 loop
-- assign loop parameter I to S
S <= I;
wait for 1 ns;
if ((S<1) or (S>5)) then
k := 1;
end if;
assert ( ( S >= 1 ) and ( S <= 5 ) )
report "loop parameter not properly assigned to signal"
severity FAILURE;
end loop;
assert NOT( k=0 )
report "***PASSED TEST: c10s01b00x00p10n01i00885"
severity NOTE;
assert ( k=0 )
report "***FAILED TEST: c10s01b00x00p10n01i00885 - A declaration region is formed by the text of a loop statement."
severity ERROR;
wait;
END PROCESS TESTING;
END c10s01b00x00p10n01i00885arch;
| gpl-2.0 |
emogenet/ghdl | libraries/ieee2008/fixed_generic_pkg.vhdl | 4 | 66882 | -- --------------------------------------------------------------------
--
-- Copyright © 2008 by IEEE. All rights reserved.
--
-- This source file is an essential part of IEEE Std 1076-2008,
-- IEEE Standard VHDL Language Reference Manual. This source file may not be
-- copied, sold, or included with software that is sold without written
-- permission from the IEEE Standards Department. This source file may be
-- copied for individual use between licensed users. This source file is
-- provided on an AS IS basis. The IEEE disclaims ANY WARRANTY EXPRESS OR
-- IMPLIED INCLUDING ANY WARRANTY OF MERCHANTABILITY AND FITNESS FOR USE
-- FOR A PARTICULAR PURPOSE. The user of the source file shall indemnify
-- and hold IEEE harmless from any damages or liability arising out of the
-- use thereof.
--
-- Title : Fixed-point package (Generic package declaration)
-- :
-- Library : This package shall be compiled into a library
-- : symbolically named IEEE.
-- :
-- Developers: Accellera VHDL-TC and IEEE P1076 Working Group
-- :
-- Purpose : This packages defines basic binary fixed point
-- : arithmetic functions
-- :
-- Note : This package may be modified to include additional data
-- : required by tools, but it must in no way change the
-- : external interfaces or simulation behavior of the
-- : description. It is permissible to add comments and/or
-- : attributes to the package declarations, but not to change
-- : or delete any original lines of the package declaration.
-- : The package body may be changed only in accordance with
-- : the terms of Clause 16 of this standard.
-- :
-- --------------------------------------------------------------------
-- $Revision: 1220 $
-- $Date: 2008-04-10 17:16:09 +0930 (Thu, 10 Apr 2008) $
-- --------------------------------------------------------------------
use STD.TEXTIO.all;
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
use IEEE.fixed_float_types.all;
package fixed_generic_pkg is
generic (
-- Rounding routine to use in fixed point, fixed_round or fixed_truncate
fixed_round_style : fixed_round_style_type := fixed_round;
-- Overflow routine to use in fixed point, fixed_saturate or fixed_wrap
fixed_overflow_style : fixed_overflow_style_type := fixed_saturate;
-- Extra bits used in divide routines
fixed_guard_bits : NATURAL := 3;
-- If TRUE, then turn off warnings on "X" propagation
no_warning : BOOLEAN := false
);
-- Author David Bishop ([email protected])
constant CopyRightNotice : STRING :=
"Copyright 2008 by IEEE. All rights reserved.";
-- base Unsigned fixed point type, downto direction assumed
type UNRESOLVED_ufixed is array (INTEGER range <>) of STD_ULOGIC;
-- base Signed fixed point type, downto direction assumed
type UNRESOLVED_sfixed is array (INTEGER range <>) of STD_ULOGIC;
alias U_ufixed is UNRESOLVED_ufixed;
alias U_sfixed is UNRESOLVED_sfixed;
subtype ufixed is (resolved) UNRESOLVED_ufixed;
subtype sfixed is (resolved) UNRESOLVED_sfixed;
--===========================================================================
-- Arithmetic Operators:
--===========================================================================
-- Absolute value, 2's complement
-- abs sfixed(a downto b) = sfixed(a+1 downto b)
function "abs" (arg : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
-- Negation, 2's complement
-- - sfixed(a downto b) = sfixed(a+1 downto b)
function "-" (arg : UNRESOLVED_sfixed)return UNRESOLVED_sfixed;
-- Addition
-- ufixed(a downto b) + ufixed(c downto d)
-- = ufixed(maximum(a,c)+1 downto minimum(b,d))
function "+" (l, r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
-- sfixed(a downto b) + sfixed(c downto d)
-- = sfixed(maximum(a,c)+1 downto minimum(b,d))
function "+" (l, r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
-- Subtraction
-- ufixed(a downto b) - ufixed(c downto d)
-- = ufixed(maximum(a,c)+1 downto minimum(b,d))
function "-" (l, r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
-- sfixed(a downto b) - sfixed(c downto d)
-- = sfixed(maximum(a,c)+1 downto minimum(b,d))
function "-" (l, r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
-- Multiplication
-- ufixed(a downto b) * ufixed(c downto d) = ufixed(a+c+1 downto b+d)
function "*" (l, r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
-- sfixed(a downto b) * sfixed(c downto d) = sfixed(a+c+1 downto b+d)
function "*" (l, r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
-- Division
-- ufixed(a downto b) / ufixed(c downto d) = ufixed(a-d downto b-c-1)
function "/" (l, r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
-- sfixed(a downto b) / sfixed(c downto d) = sfixed(a-d+1 downto b-c)
function "/" (l, r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
-- Remainder
-- ufixed (a downto b) rem ufixed (c downto d)
-- = ufixed (minimum(a,c) downto minimum(b,d))
function "rem" (l, r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
-- sfixed (a downto b) rem sfixed (c downto d)
-- = sfixed (minimum(a,c) downto minimum(b,d))
function "rem" (l, r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
-- Modulo
-- ufixed (a downto b) mod ufixed (c downto d)
-- = ufixed (minimum(a,c) downto minimum(b, d))
function "mod" (l, r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
-- sfixed (a downto b) mod sfixed (c downto d)
-- = sfixed (c downto minimum(b, d))
function "mod" (l, r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
----------------------------------------------------------------------------
-- In these routines the "real" or "natural" (integer)
-- are converted into a fixed point number and then the operation is
-- performed. It is assumed that the array will be large enough.
-- If the input is "real" then the real number is converted into a fixed of
-- the same size as the fixed point input. If the number is an "integer"
-- then it is converted into fixed with the range (l'high downto 0).
----------------------------------------------------------------------------
-- ufixed(a downto b) + ufixed(a downto b) = ufixed(a+1 downto b)
function "+" (l : UNRESOLVED_ufixed; r : REAL) return UNRESOLVED_ufixed;
-- ufixed(c downto d) + ufixed(c downto d) = ufixed(c+1 downto d)
function "+" (l : REAL; r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
-- ufixed(a downto b) + ufixed(a downto 0) = ufixed(a+1 downto minimum(0,b))
function "+" (l : UNRESOLVED_ufixed; r : NATURAL) return UNRESOLVED_ufixed;
-- ufixed(a downto 0) + ufixed(c downto d) = ufixed(c+1 downto minimum(0,d))
function "+" (l : NATURAL; r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
-- ufixed(a downto b) - ufixed(a downto b) = ufixed(a+1 downto b)
function "-" (l : UNRESOLVED_ufixed; r : REAL) return UNRESOLVED_ufixed;
-- ufixed(c downto d) - ufixed(c downto d) = ufixed(c+1 downto d)
function "-" (l : REAL; r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
-- ufixed(a downto b) - ufixed(a downto 0) = ufixed(a+1 downto minimum(0,b))
function "-" (l : UNRESOLVED_ufixed; r : NATURAL) return UNRESOLVED_ufixed;
-- ufixed(a downto 0) + ufixed(c downto d) = ufixed(c+1 downto minimum(0,d))
function "-" (l : NATURAL; r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
-- ufixed(a downto b) * ufixed(a downto b) = ufixed(2a+1 downto 2b)
function "*" (l : UNRESOLVED_ufixed; r : REAL) return UNRESOLVED_ufixed;
-- ufixed(c downto d) * ufixed(c downto d) = ufixed(2c+1 downto 2d)
function "*" (l : REAL; r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
-- ufixed (a downto b) * ufixed (a downto 0) = ufixed (2a+1 downto b)
function "*" (l : UNRESOLVED_ufixed; r : NATURAL) return UNRESOLVED_ufixed;
-- ufixed (a downto b) * ufixed (a downto 0) = ufixed (2a+1 downto b)
function "*" (l : NATURAL; r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
-- ufixed(a downto b) / ufixed(a downto b) = ufixed(a-b downto b-a-1)
function "/" (l : UNRESOLVED_ufixed; r : REAL) return UNRESOLVED_ufixed;
-- ufixed(a downto b) / ufixed(a downto b) = ufixed(a-b downto b-a-1)
function "/" (l : REAL; r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
-- ufixed(a downto b) / ufixed(a downto 0) = ufixed(a downto b-a-1)
function "/" (l : UNRESOLVED_ufixed; r : NATURAL) return UNRESOLVED_ufixed;
-- ufixed(c downto 0) / ufixed(c downto d) = ufixed(c-d downto -c-1)
function "/" (l : NATURAL; r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
-- ufixed (a downto b) rem ufixed (a downto b) = ufixed (a downto b)
function "rem" (l : UNRESOLVED_ufixed; r : REAL) return UNRESOLVED_ufixed;
-- ufixed (c downto d) rem ufixed (c downto d) = ufixed (c downto d)
function "rem" (l : REAL; r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
-- ufixed (a downto b) rem ufixed (a downto 0) = ufixed (a downto minimum(b,0))
function "rem" (l : UNRESOLVED_ufixed; r : NATURAL) return UNRESOLVED_ufixed;
-- ufixed (c downto 0) rem ufixed (c downto d) = ufixed (c downto minimum(d,0))
function "rem" (l : NATURAL; r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
-- ufixed (a downto b) mod ufixed (a downto b) = ufixed (a downto b)
function "mod" (l : UNRESOLVED_ufixed; r : REAL) return UNRESOLVED_ufixed;
-- ufixed (c downto d) mod ufixed (c downto d) = ufixed (c downto d)
function "mod" (l : REAL; r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
-- ufixed (a downto b) mod ufixed (a downto 0) = ufixed (a downto minimum(b,0))
function "mod" (l : UNRESOLVED_ufixed; r : NATURAL) return UNRESOLVED_ufixed;
-- ufixed (c downto 0) mod ufixed (c downto d) = ufixed (c downto minimum(d,0))
function "mod" (l : NATURAL; r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
-- sfixed(a downto b) + sfixed(a downto b) = sfixed(a+1 downto b)
function "+" (l : UNRESOLVED_sfixed; r : REAL) return UNRESOLVED_sfixed;
-- sfixed(c downto d) + sfixed(c downto d) = sfixed(c+1 downto d)
function "+" (l : REAL; r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
-- sfixed(a downto b) + sfixed(a downto 0) = sfixed(a+1 downto minimum(0,b))
function "+" (l : UNRESOLVED_sfixed; r : INTEGER) return UNRESOLVED_sfixed;
-- sfixed(c downto 0) + sfixed(c downto d) = sfixed(c+1 downto minimum(0,d))
function "+" (l : INTEGER; r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
-- sfixed(a downto b) - sfixed(a downto b) = sfixed(a+1 downto b)
function "-" (l : UNRESOLVED_sfixed; r : REAL) return UNRESOLVED_sfixed;
-- sfixed(c downto d) - sfixed(c downto d) = sfixed(c+1 downto d)
function "-" (l : REAL; r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
-- sfixed(a downto b) - sfixed(a downto 0) = sfixed(a+1 downto minimum(0,b))
function "-" (l : UNRESOLVED_sfixed; r : INTEGER) return UNRESOLVED_sfixed;
-- sfixed(c downto 0) - sfixed(c downto d) = sfixed(c+1 downto minimum(0,d))
function "-" (l : INTEGER; r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
-- sfixed(a downto b) * sfixed(a downto b) = sfixed(2a+1 downto 2b)
function "*" (l : UNRESOLVED_sfixed; r : REAL) return UNRESOLVED_sfixed;
-- sfixed(c downto d) * sfixed(c downto d) = sfixed(2c+1 downto 2d)
function "*" (l : REAL; r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
-- sfixed(a downto b) * sfixed(a downto 0) = sfixed(2a+1 downto b)
function "*" (l : UNRESOLVED_sfixed; r : INTEGER) return UNRESOLVED_sfixed;
-- sfixed(c downto 0) * sfixed(c downto d) = sfixed(2c+1 downto d)
function "*" (l : INTEGER; r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
-- sfixed(a downto b) / sfixed(a downto b) = sfixed(a-b+1 downto b-a)
function "/" (l : UNRESOLVED_sfixed; r : REAL) return UNRESOLVED_sfixed;
-- sfixed(c downto d) / sfixed(c downto d) = sfixed(c-d+1 downto d-c)
function "/" (l : REAL; r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
-- sfixed(a downto b) / sfixed(a downto 0) = sfixed(a+1 downto b-a)
function "/" (l : UNRESOLVED_sfixed; r : INTEGER) return UNRESOLVED_sfixed;
-- sfixed(c downto 0) / sfixed(c downto d) = sfixed(c-d+1 downto -c)
function "/" (l : INTEGER; r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
-- sfixed (a downto b) rem sfixed (a downto b) = sfixed (a downto b)
function "rem" (l : UNRESOLVED_sfixed; r : REAL) return UNRESOLVED_sfixed;
-- sfixed (c downto d) rem sfixed (c downto d) = sfixed (c downto d)
function "rem" (l : REAL; r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
-- sfixed (a downto b) rem sfixed (a downto 0) = sfixed (a downto minimum(b,0))
function "rem" (l : UNRESOLVED_sfixed; r : INTEGER) return UNRESOLVED_sfixed;
-- sfixed (c downto 0) rem sfixed (c downto d) = sfixed (c downto minimum(d,0))
function "rem" (l : INTEGER; r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
-- sfixed (a downto b) mod sfixed (a downto b) = sfixed (a downto b)
function "mod" (l : UNRESOLVED_sfixed; r : REAL) return UNRESOLVED_sfixed;
-- sfixed (c downto d) mod sfixed (c downto d) = sfixed (c downto d)
function "mod" (l : REAL; r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
-- sfixed (a downto b) mod sfixed (a downto 0) = sfixed (a downto minimum(b,0))
function "mod" (l : UNRESOLVED_sfixed; r : INTEGER) return UNRESOLVED_sfixed;
-- sfixed (c downto 0) mod sfixed (c downto d) = sfixed (c downto minimum(d,0))
function "mod" (l : INTEGER; r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
-- This version of divide gives the user more control
-- ufixed(a downto b) / ufixed(c downto d) = ufixed(a-d downto b-c-1)
function divide (
l, r : UNRESOLVED_ufixed;
constant round_style : fixed_round_style_type := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits)
return UNRESOLVED_ufixed;
-- This version of divide gives the user more control
-- sfixed(a downto b) / sfixed(c downto d) = sfixed(a-d+1 downto b-c)
function divide (
l, r : UNRESOLVED_sfixed;
constant round_style : fixed_round_style_type := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits)
return UNRESOLVED_sfixed;
-- These functions return 1/X
-- 1 / ufixed(a downto b) = ufixed(-b downto -a-1)
function reciprocal (
arg : UNRESOLVED_ufixed; -- fixed point input
constant round_style : fixed_round_style_type := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits)
return UNRESOLVED_ufixed;
-- 1 / sfixed(a downto b) = sfixed(-b+1 downto -a)
function reciprocal (
arg : UNRESOLVED_sfixed; -- fixed point input
constant round_style : fixed_round_style_type := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits)
return UNRESOLVED_sfixed;
-- REM function
-- ufixed (a downto b) rem ufixed (c downto d)
-- = ufixed (minimum(a,c) downto minimum(b,d))
function remainder (
l, r : UNRESOLVED_ufixed;
constant round_style : fixed_round_style_type := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits)
return UNRESOLVED_ufixed;
-- sfixed (a downto b) rem sfixed (c downto d)
-- = sfixed (minimum(a,c) downto minimum(b,d))
function remainder (
l, r : UNRESOLVED_sfixed;
constant round_style : fixed_round_style_type := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits)
return UNRESOLVED_sfixed;
-- mod function
-- ufixed (a downto b) mod ufixed (c downto d)
-- = ufixed (minimum(a,c) downto minimum(b, d))
function modulo (
l, r : UNRESOLVED_ufixed;
constant round_style : fixed_round_style_type := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits)
return UNRESOLVED_ufixed;
-- sfixed (a downto b) mod sfixed (c downto d)
-- = sfixed (c downto minimum(b, d))
function modulo (
l, r : UNRESOLVED_sfixed;
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits)
return UNRESOLVED_sfixed;
-- Procedure for those who need an "accumulator" function.
-- add_carry (ufixed(a downto b), ufixed (c downto d))
-- = ufixed (maximum(a,c) downto minimum(b,d))
procedure add_carry (
L, R : in UNRESOLVED_ufixed;
c_in : in STD_ULOGIC;
result : out UNRESOLVED_ufixed;
c_out : out STD_ULOGIC);
-- add_carry (sfixed(a downto b), sfixed (c downto d))
-- = sfixed (maximum(a,c) downto minimum(b,d))
procedure add_carry (
L, R : in UNRESOLVED_sfixed;
c_in : in STD_ULOGIC;
result : out UNRESOLVED_sfixed;
c_out : out STD_ULOGIC);
-- Scales the result by a power of 2. Width of input = width of output with
-- the binary point moved.
function scalb (y : UNRESOLVED_ufixed; N : INTEGER) return UNRESOLVED_ufixed;
function scalb (y : UNRESOLVED_ufixed; N : UNRESOLVED_SIGNED) return UNRESOLVED_ufixed;
function scalb (y : UNRESOLVED_sfixed; N : INTEGER) return UNRESOLVED_sfixed;
function scalb (y : UNRESOLVED_sfixed; N : UNRESOLVED_SIGNED) return UNRESOLVED_sfixed;
function Is_Negative (arg : UNRESOLVED_sfixed) return BOOLEAN;
--===========================================================================
-- Comparison Operators
--===========================================================================
function ">" (l, r : UNRESOLVED_ufixed) return BOOLEAN;
function ">" (l, r : UNRESOLVED_sfixed) return BOOLEAN;
function "<" (l, r : UNRESOLVED_ufixed) return BOOLEAN;
function "<" (l, r : UNRESOLVED_sfixed) return BOOLEAN;
function "<=" (l, r : UNRESOLVED_ufixed) return BOOLEAN;
function "<=" (l, r : UNRESOLVED_sfixed) return BOOLEAN;
function ">=" (l, r : UNRESOLVED_ufixed) return BOOLEAN;
function ">=" (l, r : UNRESOLVED_sfixed) return BOOLEAN;
function "=" (l, r : UNRESOLVED_ufixed) return BOOLEAN;
function "=" (l, r : UNRESOLVED_sfixed) return BOOLEAN;
function "/=" (l, r : UNRESOLVED_ufixed) return BOOLEAN;
function "/=" (l, r : UNRESOLVED_sfixed) return BOOLEAN;
function "?=" (l, r : UNRESOLVED_ufixed) return STD_ULOGIC;
function "?/=" (l, r : UNRESOLVED_ufixed) return STD_ULOGIC;
function "?>" (l, r : UNRESOLVED_ufixed) return STD_ULOGIC;
function "?>=" (l, r : UNRESOLVED_ufixed) return STD_ULOGIC;
function "?<" (l, r : UNRESOLVED_ufixed) return STD_ULOGIC;
function "?<=" (l, r : UNRESOLVED_ufixed) return STD_ULOGIC;
function "?=" (l, r : UNRESOLVED_sfixed) return STD_ULOGIC;
function "?/=" (l, r : UNRESOLVED_sfixed) return STD_ULOGIC;
function "?>" (l, r : UNRESOLVED_sfixed) return STD_ULOGIC;
function "?>=" (l, r : UNRESOLVED_sfixed) return STD_ULOGIC;
function "?<" (l, r : UNRESOLVED_sfixed) return STD_ULOGIC;
function "?<=" (l, r : UNRESOLVED_sfixed) return STD_ULOGIC;
function std_match (l, r : UNRESOLVED_ufixed) return BOOLEAN;
function std_match (l, r : UNRESOLVED_sfixed) return BOOLEAN;
-- Overloads the default "maximum" and "minimum" function
function maximum (l, r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
function minimum (l, r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
function maximum (l, r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
function minimum (l, r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
----------------------------------------------------------------------------
-- In these compare functions a natural is converted into a
-- fixed point number of the bounds "maximum(l'high,0) downto 0"
----------------------------------------------------------------------------
function "=" (l : UNRESOLVED_ufixed; r : NATURAL) return BOOLEAN;
function "/=" (l : UNRESOLVED_ufixed; r : NATURAL) return BOOLEAN;
function ">=" (l : UNRESOLVED_ufixed; r : NATURAL) return BOOLEAN;
function "<=" (l : UNRESOLVED_ufixed; r : NATURAL) return BOOLEAN;
function ">" (l : UNRESOLVED_ufixed; r : NATURAL) return BOOLEAN;
function "<" (l : UNRESOLVED_ufixed; r : NATURAL) return BOOLEAN;
function "=" (l : NATURAL; r : UNRESOLVED_ufixed) return BOOLEAN;
function "/=" (l : NATURAL; r : UNRESOLVED_ufixed) return BOOLEAN;
function ">=" (l : NATURAL; r : UNRESOLVED_ufixed) return BOOLEAN;
function "<=" (l : NATURAL; r : UNRESOLVED_ufixed) return BOOLEAN;
function ">" (l : NATURAL; r : UNRESOLVED_ufixed) return BOOLEAN;
function "<" (l : NATURAL; r : UNRESOLVED_ufixed) return BOOLEAN;
function "?=" (l : UNRESOLVED_ufixed; r : NATURAL) return STD_ULOGIC;
function "?/=" (l : UNRESOLVED_ufixed; r : NATURAL) return STD_ULOGIC;
function "?>=" (l : UNRESOLVED_ufixed; r : NATURAL) return STD_ULOGIC;
function "?<=" (l : UNRESOLVED_ufixed; r : NATURAL) return STD_ULOGIC;
function "?>" (l : UNRESOLVED_ufixed; r : NATURAL) return STD_ULOGIC;
function "?<" (l : UNRESOLVED_ufixed; r : NATURAL) return STD_ULOGIC;
function "?=" (l : NATURAL; r : UNRESOLVED_ufixed) return STD_ULOGIC;
function "?/=" (l : NATURAL; r : UNRESOLVED_ufixed) return STD_ULOGIC;
function "?>=" (l : NATURAL; r : UNRESOLVED_ufixed) return STD_ULOGIC;
function "?<=" (l : NATURAL; r : UNRESOLVED_ufixed) return STD_ULOGIC;
function "?>" (l : NATURAL; r : UNRESOLVED_ufixed) return STD_ULOGIC;
function "?<" (l : NATURAL; r : UNRESOLVED_ufixed) return STD_ULOGIC;
function maximum (l : UNRESOLVED_ufixed; r : NATURAL)
return UNRESOLVED_ufixed;
function minimum (l : UNRESOLVED_ufixed; r : NATURAL)
return UNRESOLVED_ufixed;
function maximum (l : NATURAL; r : UNRESOLVED_ufixed)
return UNRESOLVED_ufixed;
function minimum (l : NATURAL; r : UNRESOLVED_ufixed)
return UNRESOLVED_ufixed;
----------------------------------------------------------------------------
-- In these compare functions a real is converted into a
-- fixed point number of the bounds "l'high+1 downto l'low"
----------------------------------------------------------------------------
function "=" (l : UNRESOLVED_ufixed; r : REAL) return BOOLEAN;
function "/=" (l : UNRESOLVED_ufixed; r : REAL) return BOOLEAN;
function ">=" (l : UNRESOLVED_ufixed; r : REAL) return BOOLEAN;
function "<=" (l : UNRESOLVED_ufixed; r : REAL) return BOOLEAN;
function ">" (l : UNRESOLVED_ufixed; r : REAL) return BOOLEAN;
function "<" (l : UNRESOLVED_ufixed; r : REAL) return BOOLEAN;
function "=" (l : REAL; r : UNRESOLVED_ufixed) return BOOLEAN;
function "/=" (l : REAL; r : UNRESOLVED_ufixed) return BOOLEAN;
function ">=" (l : REAL; r : UNRESOLVED_ufixed) return BOOLEAN;
function "<=" (l : REAL; r : UNRESOLVED_ufixed) return BOOLEAN;
function ">" (l : REAL; r : UNRESOLVED_ufixed) return BOOLEAN;
function "<" (l : REAL; r : UNRESOLVED_ufixed) return BOOLEAN;
function "?=" (l : UNRESOLVED_ufixed; r : REAL) return STD_ULOGIC;
function "?/=" (l : UNRESOLVED_ufixed; r : REAL) return STD_ULOGIC;
function "?>=" (l : UNRESOLVED_ufixed; r : REAL) return STD_ULOGIC;
function "?<=" (l : UNRESOLVED_ufixed; r : REAL) return STD_ULOGIC;
function "?>" (l : UNRESOLVED_ufixed; r : REAL) return STD_ULOGIC;
function "?<" (l : UNRESOLVED_ufixed; r : REAL) return STD_ULOGIC;
function "?=" (l : REAL; r : UNRESOLVED_ufixed) return STD_ULOGIC;
function "?/=" (l : REAL; r : UNRESOLVED_ufixed) return STD_ULOGIC;
function "?>=" (l : REAL; r : UNRESOLVED_ufixed) return STD_ULOGIC;
function "?<=" (l : REAL; r : UNRESOLVED_ufixed) return STD_ULOGIC;
function "?>" (l : REAL; r : UNRESOLVED_ufixed) return STD_ULOGIC;
function "?<" (l : REAL; r : UNRESOLVED_ufixed) return STD_ULOGIC;
function maximum (l : UNRESOLVED_ufixed; r : REAL) return UNRESOLVED_ufixed;
function maximum (l : REAL; r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
function minimum (l : UNRESOLVED_ufixed; r : REAL) return UNRESOLVED_ufixed;
function minimum (l : REAL; r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
----------------------------------------------------------------------------
-- In these compare functions an integer is converted into a
-- fixed point number of the bounds "maximum(l'high,1) downto 0"
----------------------------------------------------------------------------
function "=" (l : UNRESOLVED_sfixed; r : INTEGER) return BOOLEAN;
function "/=" (l : UNRESOLVED_sfixed; r : INTEGER) return BOOLEAN;
function ">=" (l : UNRESOLVED_sfixed; r : INTEGER) return BOOLEAN;
function "<=" (l : UNRESOLVED_sfixed; r : INTEGER) return BOOLEAN;
function ">" (l : UNRESOLVED_sfixed; r : INTEGER) return BOOLEAN;
function "<" (l : UNRESOLVED_sfixed; r : INTEGER) return BOOLEAN;
function "=" (l : INTEGER; r : UNRESOLVED_sfixed) return BOOLEAN;
function "/=" (l : INTEGER; r : UNRESOLVED_sfixed) return BOOLEAN;
function ">=" (l : INTEGER; r : UNRESOLVED_sfixed) return BOOLEAN;
function "<=" (l : INTEGER; r : UNRESOLVED_sfixed) return BOOLEAN;
function ">" (l : INTEGER; r : UNRESOLVED_sfixed) return BOOLEAN;
function "<" (l : INTEGER; r : UNRESOLVED_sfixed) return BOOLEAN;
function "?=" (l : UNRESOLVED_sfixed; r : INTEGER) return STD_ULOGIC;
function "?/=" (l : UNRESOLVED_sfixed; r : INTEGER) return STD_ULOGIC;
function "?>=" (l : UNRESOLVED_sfixed; r : INTEGER) return STD_ULOGIC;
function "?<=" (l : UNRESOLVED_sfixed; r : INTEGER) return STD_ULOGIC;
function "?>" (l : UNRESOLVED_sfixed; r : INTEGER) return STD_ULOGIC;
function "?<" (l : UNRESOLVED_sfixed; r : INTEGER) return STD_ULOGIC;
function "?=" (l : INTEGER; r : UNRESOLVED_sfixed) return STD_ULOGIC;
function "?/=" (l : INTEGER; r : UNRESOLVED_sfixed) return STD_ULOGIC;
function "?>=" (l : INTEGER; r : UNRESOLVED_sfixed) return STD_ULOGIC;
function "?<=" (l : INTEGER; r : UNRESOLVED_sfixed) return STD_ULOGIC;
function "?>" (l : INTEGER; r : UNRESOLVED_sfixed) return STD_ULOGIC;
function "?<" (l : INTEGER; r : UNRESOLVED_sfixed) return STD_ULOGIC;
function maximum (l : UNRESOLVED_sfixed; r : INTEGER)
return UNRESOLVED_sfixed;
function maximum (l : INTEGER; r : UNRESOLVED_sfixed)
return UNRESOLVED_sfixed;
function minimum (l : UNRESOLVED_sfixed; r : INTEGER)
return UNRESOLVED_sfixed;
function minimum (l : INTEGER; r : UNRESOLVED_sfixed)
return UNRESOLVED_sfixed;
----------------------------------------------------------------------------
-- In these compare functions a real is converted into a
-- fixed point number of the bounds "l'high+1 downto l'low"
----------------------------------------------------------------------------
function "=" (l : UNRESOLVED_sfixed; r : REAL) return BOOLEAN;
function "/=" (l : UNRESOLVED_sfixed; r : REAL) return BOOLEAN;
function ">=" (l : UNRESOLVED_sfixed; r : REAL) return BOOLEAN;
function "<=" (l : UNRESOLVED_sfixed; r : REAL) return BOOLEAN;
function ">" (l : UNRESOLVED_sfixed; r : REAL) return BOOLEAN;
function "<" (l : UNRESOLVED_sfixed; r : REAL) return BOOLEAN;
function "=" (l : REAL; r : UNRESOLVED_sfixed) return BOOLEAN;
function "/=" (l : REAL; r : UNRESOLVED_sfixed) return BOOLEAN;
function ">=" (l : REAL; r : UNRESOLVED_sfixed) return BOOLEAN;
function "<=" (l : REAL; r : UNRESOLVED_sfixed) return BOOLEAN;
function ">" (l : REAL; r : UNRESOLVED_sfixed) return BOOLEAN;
function "<" (l : REAL; r : UNRESOLVED_sfixed) return BOOLEAN;
function "?=" (l : UNRESOLVED_sfixed; r : REAL) return STD_ULOGIC;
function "?/=" (l : UNRESOLVED_sfixed; r : REAL) return STD_ULOGIC;
function "?>=" (l : UNRESOLVED_sfixed; r : REAL) return STD_ULOGIC;
function "?<=" (l : UNRESOLVED_sfixed; r : REAL) return STD_ULOGIC;
function "?>" (l : UNRESOLVED_sfixed; r : REAL) return STD_ULOGIC;
function "?<" (l : UNRESOLVED_sfixed; r : REAL) return STD_ULOGIC;
function "?=" (l : REAL; r : UNRESOLVED_sfixed) return STD_ULOGIC;
function "?/=" (l : REAL; r : UNRESOLVED_sfixed) return STD_ULOGIC;
function "?>=" (l : REAL; r : UNRESOLVED_sfixed) return STD_ULOGIC;
function "?<=" (l : REAL; r : UNRESOLVED_sfixed) return STD_ULOGIC;
function "?>" (l : REAL; r : UNRESOLVED_sfixed) return STD_ULOGIC;
function "?<" (l : REAL; r : UNRESOLVED_sfixed) return STD_ULOGIC;
function maximum (l : UNRESOLVED_sfixed; r : REAL) return UNRESOLVED_sfixed;
function maximum (l : REAL; r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
function minimum (l : UNRESOLVED_sfixed; r : REAL) return UNRESOLVED_sfixed;
function minimum (l : REAL; r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
--===========================================================================
-- Shift and Rotate Functions.
-- Note that sra and sla are not the same as the BIT_VECTOR version
--===========================================================================
function "sll" (ARG : UNRESOLVED_ufixed; COUNT : INTEGER)
return UNRESOLVED_ufixed;
function "srl" (ARG : UNRESOLVED_ufixed; COUNT : INTEGER)
return UNRESOLVED_ufixed;
function "rol" (ARG : UNRESOLVED_ufixed; COUNT : INTEGER)
return UNRESOLVED_ufixed;
function "ror" (ARG : UNRESOLVED_ufixed; COUNT : INTEGER)
return UNRESOLVED_ufixed;
function "sla" (ARG : UNRESOLVED_ufixed; COUNT : INTEGER)
return UNRESOLVED_ufixed;
function "sra" (ARG : UNRESOLVED_ufixed; COUNT : INTEGER)
return UNRESOLVED_ufixed;
function "sll" (ARG : UNRESOLVED_sfixed; COUNT : INTEGER)
return UNRESOLVED_sfixed;
function "srl" (ARG : UNRESOLVED_sfixed; COUNT : INTEGER)
return UNRESOLVED_sfixed;
function "rol" (ARG : UNRESOLVED_sfixed; COUNT : INTEGER)
return UNRESOLVED_sfixed;
function "ror" (ARG : UNRESOLVED_sfixed; COUNT : INTEGER)
return UNRESOLVED_sfixed;
function "sla" (ARG : UNRESOLVED_sfixed; COUNT : INTEGER)
return UNRESOLVED_sfixed;
function "sra" (ARG : UNRESOLVED_sfixed; COUNT : INTEGER)
return UNRESOLVED_sfixed;
function SHIFT_LEFT (ARG : UNRESOLVED_ufixed; COUNT : NATURAL)
return UNRESOLVED_ufixed;
function SHIFT_RIGHT (ARG : UNRESOLVED_ufixed; COUNT : NATURAL)
return UNRESOLVED_ufixed;
function SHIFT_LEFT (ARG : UNRESOLVED_sfixed; COUNT : NATURAL)
return UNRESOLVED_sfixed;
function SHIFT_RIGHT (ARG : UNRESOLVED_sfixed; COUNT : NATURAL)
return UNRESOLVED_sfixed;
----------------------------------------------------------------------------
-- logical functions
----------------------------------------------------------------------------
function "not" (l : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
function "and" (l, r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
function "or" (l, r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
function "nand" (l, r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
function "nor" (l, r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
function "xor" (l, r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
function "xnor" (l, r : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
function "not" (l : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
function "and" (l, r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
function "or" (l, r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
function "nand" (l, r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
function "nor" (l, r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
function "xor" (l, r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
function "xnor" (l, r : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
-- Vector and std_ulogic functions, same as functions in numeric_std
function "and" (l : STD_ULOGIC; r : UNRESOLVED_ufixed)
return UNRESOLVED_ufixed;
function "and" (l : UNRESOLVED_ufixed; r : STD_ULOGIC)
return UNRESOLVED_ufixed;
function "or" (l : STD_ULOGIC; r : UNRESOLVED_ufixed)
return UNRESOLVED_ufixed;
function "or" (l : UNRESOLVED_ufixed; r : STD_ULOGIC)
return UNRESOLVED_ufixed;
function "nand" (l : STD_ULOGIC; r : UNRESOLVED_ufixed)
return UNRESOLVED_ufixed;
function "nand" (l : UNRESOLVED_ufixed; r : STD_ULOGIC)
return UNRESOLVED_ufixed;
function "nor" (l : STD_ULOGIC; r : UNRESOLVED_ufixed)
return UNRESOLVED_ufixed;
function "nor" (l : UNRESOLVED_ufixed; r : STD_ULOGIC)
return UNRESOLVED_ufixed;
function "xor" (l : STD_ULOGIC; r : UNRESOLVED_ufixed)
return UNRESOLVED_ufixed;
function "xor" (l : UNRESOLVED_ufixed; r : STD_ULOGIC)
return UNRESOLVED_ufixed;
function "xnor" (l : STD_ULOGIC; r : UNRESOLVED_ufixed)
return UNRESOLVED_ufixed;
function "xnor" (l : UNRESOLVED_ufixed; r : STD_ULOGIC)
return UNRESOLVED_ufixed;
function "and" (l : STD_ULOGIC; r : UNRESOLVED_sfixed)
return UNRESOLVED_sfixed;
function "and" (l : UNRESOLVED_sfixed; r : STD_ULOGIC)
return UNRESOLVED_sfixed;
function "or" (l : STD_ULOGIC; r : UNRESOLVED_sfixed)
return UNRESOLVED_sfixed;
function "or" (l : UNRESOLVED_sfixed; r : STD_ULOGIC)
return UNRESOLVED_sfixed;
function "nand" (l : STD_ULOGIC; r : UNRESOLVED_sfixed)
return UNRESOLVED_sfixed;
function "nand" (l : UNRESOLVED_sfixed; r : STD_ULOGIC)
return UNRESOLVED_sfixed;
function "nor" (l : STD_ULOGIC; r : UNRESOLVED_sfixed)
return UNRESOLVED_sfixed;
function "nor" (l : UNRESOLVED_sfixed; r : STD_ULOGIC)
return UNRESOLVED_sfixed;
function "xor" (l : STD_ULOGIC; r : UNRESOLVED_sfixed)
return UNRESOLVED_sfixed;
function "xor" (l : UNRESOLVED_sfixed; r : STD_ULOGIC)
return UNRESOLVED_sfixed;
function "xnor" (l : STD_ULOGIC; r : UNRESOLVED_sfixed)
return UNRESOLVED_sfixed;
function "xnor" (l : UNRESOLVED_sfixed; r : STD_ULOGIC)
return UNRESOLVED_sfixed;
-- Reduction operators, same as numeric_std functions
function "and" (l : UNRESOLVED_ufixed) return STD_ULOGIC;
function "nand" (l : UNRESOLVED_ufixed) return STD_ULOGIC;
function "or" (l : UNRESOLVED_ufixed) return STD_ULOGIC;
function "nor" (l : UNRESOLVED_ufixed) return STD_ULOGIC;
function "xor" (l : UNRESOLVED_ufixed) return STD_ULOGIC;
function "xnor" (l : UNRESOLVED_ufixed) return STD_ULOGIC;
function "and" (l : UNRESOLVED_sfixed) return STD_ULOGIC;
function "nand" (l : UNRESOLVED_sfixed) return STD_ULOGIC;
function "or" (l : UNRESOLVED_sfixed) return STD_ULOGIC;
function "nor" (l : UNRESOLVED_sfixed) return STD_ULOGIC;
function "xor" (l : UNRESOLVED_sfixed) return STD_ULOGIC;
function "xnor" (l : UNRESOLVED_sfixed) return STD_ULOGIC;
-- returns arg'low-1 if not found
function find_leftmost (arg : UNRESOLVED_ufixed; y : STD_ULOGIC)
return INTEGER;
function find_leftmost (arg : UNRESOLVED_sfixed; y : STD_ULOGIC)
return INTEGER;
-- returns arg'high+1 if not found
function find_rightmost (arg : UNRESOLVED_ufixed; y : STD_ULOGIC)
return INTEGER;
function find_rightmost (arg : UNRESOLVED_sfixed; y : STD_ULOGIC)
return INTEGER;
--===========================================================================
-- RESIZE Functions
--===========================================================================
-- resizes the number (larger or smaller)
-- The returned result will be ufixed (left_index downto right_index)
-- If "round_style" is fixed_round, then the result will be rounded.
-- If the MSB of the remainder is a "1" AND the LSB of the unrounded result
-- is a '1' or the lower bits of the remainder include a '1' then the result
-- will be increased by the smallest representable number for that type.
-- "overflow_style" can be fixed_saturate or fixed_wrap.
-- In saturate mode, if the number overflows then the largest possible
-- representable number is returned. If wrap mode, then the upper bits
-- of the number are truncated.
function resize (
arg : UNRESOLVED_ufixed; -- input
constant left_index : INTEGER; -- integer portion
constant right_index : INTEGER; -- size of fraction
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style)
return UNRESOLVED_ufixed;
-- "size_res" functions create the size of the output from the indices
-- of the "size_res" input. The actual value of "size_res" is not used.
function resize (
arg : UNRESOLVED_ufixed; -- input
size_res : UNRESOLVED_ufixed; -- for size only
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style)
return UNRESOLVED_ufixed;
-- Note that in "wrap" mode the sign bit is not replicated. Thus the
-- resize of a negative number can have a positive result in wrap mode.
function resize (
arg : UNRESOLVED_sfixed; -- input
constant left_index : INTEGER; -- integer portion
constant right_index : INTEGER; -- size of fraction
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style)
return UNRESOLVED_sfixed;
function resize (
arg : UNRESOLVED_sfixed; -- input
size_res : UNRESOLVED_sfixed; -- for size only
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style)
return UNRESOLVED_sfixed;
--===========================================================================
-- Conversion Functions
--===========================================================================
-- integer (natural) to unsigned fixed point.
-- arguments are the upper and lower bounds of the number, thus
-- ufixed (7 downto -3) <= to_ufixed (int, 7, -3);
function to_ufixed (
arg : NATURAL; -- integer
constant left_index : INTEGER; -- left index (high index)
constant right_index : INTEGER := 0; -- right index
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style)
return UNRESOLVED_ufixed;
function to_ufixed (
arg : NATURAL; -- integer
size_res : UNRESOLVED_ufixed; -- for size only
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style)
return UNRESOLVED_ufixed;
-- real to unsigned fixed point
function to_ufixed (
arg : REAL; -- real
constant left_index : INTEGER; -- left index (high index)
constant right_index : INTEGER; -- right index
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits)
return UNRESOLVED_ufixed;
function to_ufixed (
arg : REAL; -- real
size_res : UNRESOLVED_ufixed; -- for size only
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits)
return UNRESOLVED_ufixed;
-- unsigned to unsigned fixed point
function to_ufixed (
arg : UNRESOLVED_UNSIGNED; -- unsigned
constant left_index : INTEGER; -- left index (high index)
constant right_index : INTEGER := 0; -- right index
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style)
return UNRESOLVED_ufixed;
function to_ufixed (
arg : UNRESOLVED_UNSIGNED; -- unsigned
size_res : UNRESOLVED_ufixed; -- for size only
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style)
return UNRESOLVED_ufixed;
-- Performs a conversion. ufixed (arg'range) is returned
function to_ufixed (
arg : UNRESOLVED_UNSIGNED) -- unsigned
return UNRESOLVED_ufixed;
-- unsigned fixed point to unsigned
function to_unsigned (
arg : UNRESOLVED_ufixed; -- fixed point input
constant size : NATURAL; -- length of output
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style)
return UNRESOLVED_UNSIGNED;
-- unsigned fixed point to unsigned
function to_unsigned (
arg : UNRESOLVED_ufixed; -- fixed point input
size_res : UNRESOLVED_UNSIGNED; -- used for length of output
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style)
return UNRESOLVED_UNSIGNED;
-- unsigned fixed point to real
function to_real (
arg : UNRESOLVED_ufixed) -- fixed point input
return REAL;
-- unsigned fixed point to integer
function to_integer (
arg : UNRESOLVED_ufixed; -- fixed point input
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style)
return NATURAL;
-- Integer to UNRESOLVED_sfixed
function to_sfixed (
arg : INTEGER; -- integer
constant left_index : INTEGER; -- left index (high index)
constant right_index : INTEGER := 0; -- right index
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style)
return UNRESOLVED_sfixed;
function to_sfixed (
arg : INTEGER; -- integer
size_res : UNRESOLVED_sfixed; -- for size only
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style)
return UNRESOLVED_sfixed;
-- Real to sfixed
function to_sfixed (
arg : REAL; -- real
constant left_index : INTEGER; -- left index (high index)
constant right_index : INTEGER; -- right index
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits)
return UNRESOLVED_sfixed;
function to_sfixed (
arg : REAL; -- real
size_res : UNRESOLVED_sfixed; -- for size only
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style;
constant guard_bits : NATURAL := fixed_guard_bits)
return UNRESOLVED_sfixed;
-- signed to sfixed
function to_sfixed (
arg : UNRESOLVED_SIGNED; -- signed
constant left_index : INTEGER; -- left index (high index)
constant right_index : INTEGER := 0; -- right index
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style)
return UNRESOLVED_sfixed;
function to_sfixed (
arg : UNRESOLVED_SIGNED; -- signed
size_res : UNRESOLVED_sfixed; -- for size only
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style)
return UNRESOLVED_sfixed;
-- signed to sfixed (output assumed to be size of signed input)
function to_sfixed (
arg : UNRESOLVED_SIGNED) -- signed
return UNRESOLVED_sfixed;
-- Conversion from ufixed to sfixed
function to_sfixed (
arg : UNRESOLVED_ufixed)
return UNRESOLVED_sfixed;
-- signed fixed point to signed
function to_signed (
arg : UNRESOLVED_sfixed; -- fixed point input
constant size : NATURAL; -- length of output
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style)
return UNRESOLVED_SIGNED;
-- signed fixed point to signed
function to_signed (
arg : UNRESOLVED_sfixed; -- fixed point input
size_res : UNRESOLVED_SIGNED; -- used for length of output
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style)
return UNRESOLVED_SIGNED;
-- signed fixed point to real
function to_real (
arg : UNRESOLVED_sfixed) -- fixed point input
return REAL;
-- signed fixed point to integer
function to_integer (
arg : UNRESOLVED_sfixed; -- fixed point input
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style;
constant round_style : fixed_round_style_type := fixed_round_style)
return INTEGER;
-- Because of the fairly complicated sizing rules in the fixed point
-- packages these functions are provided to compute the result ranges
-- Example:
-- signal uf1 : ufixed (3 downto -3);
-- signal uf2 : ufixed (4 downto -2);
-- signal uf1multuf2 : ufixed (ufixed_high (3, -3, '*', 4, -2) downto
-- ufixed_low (3, -3, '*', 4, -2));
-- uf1multuf2 <= uf1 * uf2;
-- Valid characters: '+', '-', '*', '/', 'r' or 'R' (rem), 'm' or 'M' (mod),
-- '1' (reciprocal), 'a' or 'A' (abs), 'n' or 'N' (unary -)
function ufixed_high (left_index, right_index : INTEGER;
operation : CHARACTER := 'X';
left_index2, right_index2 : INTEGER := 0)
return INTEGER;
function ufixed_low (left_index, right_index : INTEGER;
operation : CHARACTER := 'X';
left_index2, right_index2 : INTEGER := 0)
return INTEGER;
function sfixed_high (left_index, right_index : INTEGER;
operation : CHARACTER := 'X';
left_index2, right_index2 : INTEGER := 0)
return INTEGER;
function sfixed_low (left_index, right_index : INTEGER;
operation : CHARACTER := 'X';
left_index2, right_index2 : INTEGER := 0)
return INTEGER;
-- Same as above, but using the "size_res" input only for their ranges:
-- signal uf1multuf2 : ufixed (ufixed_high (uf1, '*', uf2) downto
-- ufixed_low (uf1, '*', uf2));
-- uf1multuf2 <= uf1 * uf2;
--
function ufixed_high (size_res : UNRESOLVED_ufixed;
operation : CHARACTER := 'X';
size_res2 : UNRESOLVED_ufixed)
return INTEGER;
function ufixed_low (size_res : UNRESOLVED_ufixed;
operation : CHARACTER := 'X';
size_res2 : UNRESOLVED_ufixed)
return INTEGER;
function sfixed_high (size_res : UNRESOLVED_sfixed;
operation : CHARACTER := 'X';
size_res2 : UNRESOLVED_sfixed)
return INTEGER;
function sfixed_low (size_res : UNRESOLVED_sfixed;
operation : CHARACTER := 'X';
size_res2 : UNRESOLVED_sfixed)
return INTEGER;
-- purpose: returns a saturated number
function saturate (
constant left_index : INTEGER;
constant right_index : INTEGER)
return UNRESOLVED_ufixed;
-- purpose: returns a saturated number
function saturate (
constant left_index : INTEGER;
constant right_index : INTEGER)
return UNRESOLVED_sfixed;
function saturate (
size_res : UNRESOLVED_ufixed) -- only the size of this is used
return UNRESOLVED_ufixed;
function saturate (
size_res : UNRESOLVED_sfixed) -- only the size of this is used
return UNRESOLVED_sfixed;
--===========================================================================
-- Translation Functions
--===========================================================================
-- maps meta-logical values
function to_01 (
s : UNRESOLVED_ufixed; -- fixed point input
constant XMAP : STD_ULOGIC := '0') -- Map x to
return UNRESOLVED_ufixed;
-- maps meta-logical values
function to_01 (
s : UNRESOLVED_sfixed; -- fixed point input
constant XMAP : STD_ULOGIC := '0') -- Map x to
return UNRESOLVED_sfixed;
function Is_X (arg : UNRESOLVED_ufixed) return BOOLEAN;
function Is_X (arg : UNRESOLVED_sfixed) return BOOLEAN;
function to_X01 (arg : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
function to_X01 (arg : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
function to_X01Z (arg : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
function to_X01Z (arg : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
function to_UX01 (arg : UNRESOLVED_ufixed) return UNRESOLVED_ufixed;
function to_UX01 (arg : UNRESOLVED_sfixed) return UNRESOLVED_sfixed;
-- straight vector conversion routines, needed for synthesis.
-- These functions are here so that a std_logic_vector can be
-- converted to and from sfixed and ufixed. Note that you can
-- not convert these vectors because of their negative index.
function to_slv (
arg : UNRESOLVED_ufixed) -- fixed point vector
return STD_LOGIC_VECTOR;
alias to_StdLogicVector is to_slv [UNRESOLVED_ufixed
return STD_LOGIC_VECTOR];
alias to_Std_Logic_Vector is to_slv [UNRESOLVED_ufixed
return STD_LOGIC_VECTOR];
function to_slv (
arg : UNRESOLVED_sfixed) -- fixed point vector
return STD_LOGIC_VECTOR;
alias to_StdLogicVector is to_slv [UNRESOLVED_sfixed
return STD_LOGIC_VECTOR];
alias to_Std_Logic_Vector is to_slv [UNRESOLVED_sfixed
return STD_LOGIC_VECTOR];
function to_sulv (
arg : UNRESOLVED_ufixed) -- fixed point vector
return STD_ULOGIC_VECTOR;
alias to_StdULogicVector is to_sulv [UNRESOLVED_ufixed
return STD_ULOGIC_VECTOR];
alias to_Std_ULogic_Vector is to_sulv [UNRESOLVED_ufixed
return STD_ULOGIC_VECTOR];
function to_sulv (
arg : UNRESOLVED_sfixed) -- fixed point vector
return STD_ULOGIC_VECTOR;
alias to_StdULogicVector is to_sulv [UNRESOLVED_sfixed
return STD_ULOGIC_VECTOR];
alias to_Std_ULogic_Vector is to_sulv [UNRESOLVED_sfixed
return STD_ULOGIC_VECTOR];
function to_ufixed (
arg : STD_ULOGIC_VECTOR; -- shifted vector
constant left_index : INTEGER;
constant right_index : INTEGER)
return UNRESOLVED_ufixed;
function to_ufixed (
arg : STD_ULOGIC_VECTOR; -- shifted vector
size_res : UNRESOLVED_ufixed) -- for size only
return UNRESOLVED_ufixed;
function to_sfixed (
arg : STD_ULOGIC_VECTOR; -- shifted vector
constant left_index : INTEGER;
constant right_index : INTEGER)
return UNRESOLVED_sfixed;
function to_sfixed (
arg : STD_ULOGIC_VECTOR; -- shifted vector
size_res : UNRESOLVED_sfixed) -- for size only
return UNRESOLVED_sfixed;
-- As a concession to those who use a graphical DSP environment,
-- these functions take parameters in those tools format and create
-- fixed point numbers. These functions are designed to convert from
-- a std_logic_vector to the VHDL fixed point format using the conventions
-- of these packages. In a pure VHDL environment you should use the
-- "to_ufixed" and "to_sfixed" routines.
-- unsigned fixed point
function to_UFix (
arg : STD_ULOGIC_VECTOR;
width : NATURAL; -- width of vector
fraction : NATURAL) -- width of fraction
return UNRESOLVED_ufixed;
-- signed fixed point
function to_SFix (
arg : STD_ULOGIC_VECTOR;
width : NATURAL; -- width of vector
fraction : NATURAL) -- width of fraction
return UNRESOLVED_sfixed;
-- finding the bounds of a number. These functions can be used like this:
-- signal xxx : ufixed (7 downto -3);
-- -- Which is the same as "ufixed (UFix_high (11,3) downto UFix_low(11,3))"
-- signal yyy : ufixed (UFix_high (11, 3, "+", 11, 3)
-- downto UFix_low(11, 3, "+", 11, 3));
-- Where "11" is the width of xxx (xxx'length),
-- and 3 is the lower bound (abs (xxx'low))
-- In a pure VHDL environment use "ufixed_high" and "ufixed_low"
function UFix_high (width, fraction : NATURAL;
operation : CHARACTER := 'X';
width2, fraction2 : NATURAL := 0)
return INTEGER;
function UFix_low (width, fraction : NATURAL;
operation : CHARACTER := 'X';
width2, fraction2 : NATURAL := 0)
return INTEGER;
-- Same as above but for signed fixed point. Note that the width
-- of a signed fixed point number ignores the sign bit, thus
-- width = sxxx'length-1
function SFix_high (width, fraction : NATURAL;
operation : CHARACTER := 'X';
width2, fraction2 : NATURAL := 0)
return INTEGER;
function SFix_low (width, fraction : NATURAL;
operation : CHARACTER := 'X';
width2, fraction2 : NATURAL := 0)
return INTEGER;
--===========================================================================
-- string and textio Functions
--===========================================================================
-- purpose: writes fixed point into a line
procedure WRITE (
L : inout LINE; -- input line
VALUE : in UNRESOLVED_ufixed; -- fixed point input
JUSTIFIED : in SIDE := right;
FIELD : in WIDTH := 0);
-- purpose: writes fixed point into a line
procedure WRITE (
L : inout LINE; -- input line
VALUE : in UNRESOLVED_sfixed; -- fixed point input
JUSTIFIED : in SIDE := right;
FIELD : in WIDTH := 0);
procedure READ(L : inout LINE;
VALUE : out UNRESOLVED_ufixed);
procedure READ(L : inout LINE;
VALUE : out UNRESOLVED_ufixed;
GOOD : out BOOLEAN);
procedure READ(L : inout LINE;
VALUE : out UNRESOLVED_sfixed);
procedure READ(L : inout LINE;
VALUE : out UNRESOLVED_sfixed;
GOOD : out BOOLEAN);
alias bwrite is WRITE [LINE, UNRESOLVED_ufixed, SIDE, width];
alias bwrite is WRITE [LINE, UNRESOLVED_sfixed, SIDE, width];
alias bread is READ [LINE, UNRESOLVED_ufixed];
alias bread is READ [LINE, UNRESOLVED_ufixed, BOOLEAN];
alias bread is READ [LINE, UNRESOLVED_sfixed];
alias bread is READ [LINE, UNRESOLVED_sfixed, BOOLEAN];
alias BINARY_WRITE is WRITE [LINE, UNRESOLVED_ufixed, SIDE, width];
alias BINARY_WRITE is WRITE [LINE, UNRESOLVED_sfixed, SIDE, width];
alias BINARY_READ is READ [LINE, UNRESOLVED_ufixed, BOOLEAN];
alias BINARY_READ is READ [LINE, UNRESOLVED_ufixed];
alias BINARY_READ is READ [LINE, UNRESOLVED_sfixed, BOOLEAN];
alias BINARY_READ is READ [LINE, UNRESOLVED_sfixed];
-- octal read and write
procedure OWRITE (
L : inout LINE; -- input line
VALUE : in UNRESOLVED_ufixed; -- fixed point input
JUSTIFIED : in SIDE := right;
FIELD : in WIDTH := 0);
procedure OWRITE (
L : inout LINE; -- input line
VALUE : in UNRESOLVED_sfixed; -- fixed point input
JUSTIFIED : in SIDE := right;
FIELD : in WIDTH := 0);
procedure OREAD(L : inout LINE;
VALUE : out UNRESOLVED_ufixed);
procedure OREAD(L : inout LINE;
VALUE : out UNRESOLVED_ufixed;
GOOD : out BOOLEAN);
procedure OREAD(L : inout LINE;
VALUE : out UNRESOLVED_sfixed);
procedure OREAD(L : inout LINE;
VALUE : out UNRESOLVED_sfixed;
GOOD : out BOOLEAN);
alias OCTAL_READ is OREAD [LINE, UNRESOLVED_ufixed, BOOLEAN];
alias OCTAL_READ is OREAD [LINE, UNRESOLVED_ufixed];
alias OCTAL_READ is OREAD [LINE, UNRESOLVED_sfixed, BOOLEAN];
alias OCTAL_READ is OREAD [LINE, UNRESOLVED_sfixed];
alias OCTAL_WRITE is OWRITE [LINE, UNRESOLVED_ufixed, SIDE, WIDTH];
alias OCTAL_WRITE is OWRITE [LINE, UNRESOLVED_sfixed, SIDE, WIDTH];
-- hex read and write
procedure HWRITE (
L : inout LINE; -- input line
VALUE : in UNRESOLVED_ufixed; -- fixed point input
JUSTIFIED : in SIDE := right;
FIELD : in WIDTH := 0);
-- purpose: writes fixed point into a line
procedure HWRITE (
L : inout LINE; -- input line
VALUE : in UNRESOLVED_sfixed; -- fixed point input
JUSTIFIED : in SIDE := right;
FIELD : in WIDTH := 0);
procedure HREAD(L : inout LINE;
VALUE : out UNRESOLVED_ufixed);
procedure HREAD(L : inout LINE;
VALUE : out UNRESOLVED_ufixed;
GOOD : out BOOLEAN);
procedure HREAD(L : inout LINE;
VALUE : out UNRESOLVED_sfixed);
procedure HREAD(L : inout LINE;
VALUE : out UNRESOLVED_sfixed;
GOOD : out BOOLEAN);
alias HEX_READ is HREAD [LINE, UNRESOLVED_ufixed, BOOLEAN];
alias HEX_READ is HREAD [LINE, UNRESOLVED_sfixed, BOOLEAN];
alias HEX_READ is HREAD [LINE, UNRESOLVED_ufixed];
alias HEX_READ is HREAD [LINE, UNRESOLVED_sfixed];
alias HEX_WRITE is HWRITE [LINE, UNRESOLVED_ufixed, SIDE, WIDTH];
alias HEX_WRITE is HWRITE [LINE, UNRESOLVED_sfixed, SIDE, WIDTH];
-- returns a string, useful for:
-- assert (x = y) report "error found " & to_string(x) severity error;
function to_string (value : UNRESOLVED_ufixed) return STRING;
alias to_bstring is to_string [UNRESOLVED_ufixed return STRING];
alias TO_BINARY_STRING is TO_STRING [UNRESOLVED_ufixed return STRING];
function to_ostring (value : UNRESOLVED_ufixed) return STRING;
alias TO_OCTAL_STRING is TO_OSTRING [UNRESOLVED_ufixed return STRING];
function to_hstring (value : UNRESOLVED_ufixed) return STRING;
alias TO_HEX_STRING is TO_HSTRING [UNRESOLVED_ufixed return STRING];
function to_string (value : UNRESOLVED_sfixed) return STRING;
alias to_bstring is to_string [UNRESOLVED_sfixed return STRING];
alias TO_BINARY_STRING is TO_STRING [UNRESOLVED_sfixed return STRING];
function to_ostring (value : UNRESOLVED_sfixed) return STRING;
alias TO_OCTAL_STRING is TO_OSTRING [UNRESOLVED_sfixed return STRING];
function to_hstring (value : UNRESOLVED_sfixed) return STRING;
alias TO_HEX_STRING is TO_HSTRING [UNRESOLVED_sfixed return STRING];
-- From string functions allow you to convert a string into a fixed
-- point number. Example:
-- signal uf1 : ufixed (3 downto -3);
-- uf1 <= from_string ("0110.100", uf1'high, uf1'low); -- 6.5
-- The "." is optional in this syntax, however it exist and is
-- in the wrong location an error is produced. Overflow will
-- result in saturation.
function from_string (
bstring : STRING; -- binary string
constant left_index : INTEGER;
constant right_index : INTEGER)
return UNRESOLVED_ufixed;
alias from_bstring is from_string [STRING, INTEGER, INTEGER
return UNRESOLVED_ufixed];
alias from_binary_string is from_string [STRING, INTEGER, INTEGER
return UNRESOLVED_ufixed];
-- Octal and hex conversions work as follows:
-- uf1 <= from_hstring ("6.8", 3, -3); -- 6.5 (bottom zeros dropped)
-- uf1 <= from_ostring ("06.4", 3, -3); -- 6.5 (top zeros dropped)
function from_ostring (
ostring : STRING; -- Octal string
constant left_index : INTEGER;
constant right_index : INTEGER)
return UNRESOLVED_ufixed;
alias from_octal_string is from_ostring [STRING, INTEGER, INTEGER
return UNRESOLVED_ufixed];
function from_hstring (
hstring : STRING; -- hex string
constant left_index : INTEGER;
constant right_index : INTEGER)
return UNRESOLVED_ufixed;
alias from_hex_string is from_hstring [STRING, INTEGER, INTEGER
return UNRESOLVED_ufixed];
function from_string (
bstring : STRING; -- binary string
constant left_index : INTEGER;
constant right_index : INTEGER)
return UNRESOLVED_sfixed;
alias from_bstring is from_string [STRING, INTEGER, INTEGER
return UNRESOLVED_sfixed];
alias from_binary_string is from_string [STRING, INTEGER, INTEGER
return UNRESOLVED_sfixed];
function from_ostring (
ostring : STRING; -- Octal string
constant left_index : INTEGER;
constant right_index : INTEGER)
return UNRESOLVED_sfixed;
alias from_octal_string is from_ostring [STRING, INTEGER, INTEGER
return UNRESOLVED_sfixed];
function from_hstring (
hstring : STRING; -- hex string
constant left_index : INTEGER;
constant right_index : INTEGER)
return UNRESOLVED_sfixed;
alias from_hex_string is from_hstring [STRING, INTEGER, INTEGER
return UNRESOLVED_sfixed];
-- Same as above, "size_res" is used for it's range only.
function from_string (
bstring : STRING; -- binary string
size_res : UNRESOLVED_ufixed)
return UNRESOLVED_ufixed;
alias from_bstring is from_string [STRING, UNRESOLVED_ufixed
return UNRESOLVED_ufixed];
alias from_binary_string is from_string [STRING, UNRESOLVED_ufixed
return UNRESOLVED_ufixed];
function from_ostring (
ostring : STRING; -- Octal string
size_res : UNRESOLVED_ufixed)
return UNRESOLVED_ufixed;
alias from_octal_string is from_ostring [STRING, UNRESOLVED_ufixed
return UNRESOLVED_ufixed];
function from_hstring (
hstring : STRING; -- hex string
size_res : UNRESOLVED_ufixed)
return UNRESOLVED_ufixed;
alias from_hex_string is from_hstring [STRING, UNRESOLVED_ufixed
return UNRESOLVED_ufixed];
function from_string (
bstring : STRING; -- binary string
size_res : UNRESOLVED_sfixed)
return UNRESOLVED_sfixed;
alias from_bstring is from_string [STRING, UNRESOLVED_sfixed
return UNRESOLVED_sfixed];
alias from_binary_string is from_string [STRING, UNRESOLVED_sfixed
return UNRESOLVED_sfixed];
function from_ostring (
ostring : STRING; -- Octal string
size_res : UNRESOLVED_sfixed)
return UNRESOLVED_sfixed;
alias from_octal_string is from_ostring [STRING, UNRESOLVED_sfixed
return UNRESOLVED_sfixed];
function from_hstring (
hstring : STRING; -- hex string
size_res : UNRESOLVED_sfixed)
return UNRESOLVED_sfixed;
alias from_hex_string is from_hstring [STRING, UNRESOLVED_sfixed
return UNRESOLVED_sfixed];
-- Direct conversion functions. Example:
-- signal uf1 : ufixed (3 downto -3);
-- uf1 <= from_string ("0110.100"); -- 6.5
-- In this case the "." is not optional, and the size of
-- the output must match exactly.
function from_string (
bstring : STRING) -- binary string
return UNRESOLVED_ufixed;
alias from_bstring is from_string [STRING return UNRESOLVED_ufixed];
alias from_binary_string is from_string [STRING return UNRESOLVED_ufixed];
-- Direct octal and hex conversion functions. In this case
-- the string lengths must match. Example:
-- signal sf1 := sfixed (5 downto -3);
-- sf1 <= from_ostring ("71.4") -- -6.5
function from_ostring (
ostring : STRING) -- Octal string
return UNRESOLVED_ufixed;
alias from_octal_string is from_ostring [STRING return UNRESOLVED_ufixed];
function from_hstring (
hstring : STRING) -- hex string
return UNRESOLVED_ufixed;
alias from_hex_string is from_hstring [STRING return UNRESOLVED_ufixed];
function from_string (
bstring : STRING) -- binary string
return UNRESOLVED_sfixed;
alias from_bstring is from_string [STRING return UNRESOLVED_sfixed];
alias from_binary_string is from_string [STRING return UNRESOLVED_sfixed];
function from_ostring (
ostring : STRING) -- Octal string
return UNRESOLVED_sfixed;
alias from_octal_string is from_ostring [STRING return UNRESOLVED_sfixed];
function from_hstring (
hstring : STRING) -- hex string
return UNRESOLVED_sfixed;
alias from_hex_string is from_hstring [STRING return UNRESOLVED_sfixed];
end package fixed_generic_pkg;
| gpl-2.0 |
emogenet/ghdl | testsuite/gna/bug029/fft1.vhdl | 2 | 337 | package fft_package is
TYPE complex IS ARRAY(0 TO 1) OF INTEGER;
function butterfly(X1: complex )return complex;
END fft_package;
package body fft_package is
function butterfly ( X1: complex )return complex is
VARIABLE Y1 : complex := X1;
BEGIN
return X1;
return Y1;
END butterfly;
end fft_package;
| gpl-2.0 |
emogenet/ghdl | testsuite/gna/bug055/tb-behav.vhdl | 2 | 74 | architecture behav of tb is
begin
assert work.pkg2.get2 = 5;
end behav;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2615.vhd | 4 | 1587 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2615.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c13s03b01x00p02n01i02615ent IS
END c13s03b01x00p02n01i02615ent;
ARCHITECTURE c13s03b01x00p02n01i02615arch OF c13s03b01x00p02n01i02615ent IS
BEGIN
TESTING: PROCESS
variable k&k : integer := 0;
BEGIN
assert FALSE
report "***FAILED TEST: c13s03b01x00p02n01i02615 - Identifier can not contain '&'."
severity ERROR;
wait;
END PROCESS TESTING;
END c13s03b01x00p02n01i02615arch;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/compliant/tc1798.vhd | 4 | 1898 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1798.vhd,v 1.2 2001-10-26 16:29:43 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s01b00x00p02n01i01798ent IS
END c07s01b00x00p02n01i01798ent;
ARCHITECTURE c07s01b00x00p02n01i01798arch OF c07s01b00x00p02n01i01798ent IS
BEGIN
TESTING: PROCESS
variable x : integer := 3;
variable y : integer := 5;
variable z : integer := 9;
BEGIN
if -x + z < y + x and x * z > y - x then -- No_failure_here
x := x - z;
end if;
assert NOT(x=-6)
report "***PASSED TEST: c07s01b00x00p02n01i01798"
severity NOTE;
assert (x=-6)
report "***FAILED TEST: c07s01b00x00p02n01i01798 - The expression is a valid expression according to the rules of the syntactic diagram."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s01b00x00p02n01i01798arch;
| gpl-2.0 |
emogenet/ghdl | testsuite/gna/sr2737/repro.vhdl | 3 | 539 | entity repro is
end entity;
architecture ghdl_bug of repro is
begin
-- Static
assert bit_vector'("11100" ror 5) = "11100" report "ror 5 is broken" severity warning;
-- Not static
assert bit_vector'("11100") ror 5 = "11100" report string'("ror 5 is broken ") severity warning;
-- static
assert bit_vector'("11100" rol -5) = "11100" report "rol -5 is broken" severity warning;
-- not static
assert bit_vector'("11100") rol -5 = "11100" report string'("rol -5 is broken ") severity warning;
end architecture;
| gpl-2.0 |
emogenet/ghdl | testsuite/gna/ticket94/tb3.vhd | 2 | 502 |
library ieee;
use ieee.std_logic_1164.all;
library alib;
entity tb3 is
end;
architecture arch of tb3 is
signal a, b : std_logic := '0';
begin
ainst: alib.apkg.acomp
port map (a, b);
process is
begin
a <= '0';
wait for 1 ns;
assert b = '0' report "component is missing" severity failure;
a <= '1';
wait for 1 ns;
assert b = '1' report "component is missing" severity failure;
wait;
end process;
end architecture;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc949.vhd | 4 | 1825 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc949.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c06s01b00x00p10n01i00949ent IS
END c06s01b00x00p10n01i00949ent;
ARCHITECTURE c06s01b00x00p10n01i00949arch OF c06s01b00x00p10n01i00949ent IS
BEGIN
TESTING: PROCESS
type A1 is array (1 to 2) of BOOLEAN;
type R3 is record
RE3: A1;
end record;
variable V1: BOOLEAN;
BEGIN
V1 := (RE3=>(1=>TRUE,2=>TRUE)).RE3(1);
-- SYNTAX ERROR: PREFIX OF SELECTED NAME CANNOT BE AN AGGREGATE
assert FALSE
report "***FAILED TEST: c06s01b00x00p10n01i00949 - Prefix of a selected name cannot be an aggregate."
severity ERROR;
wait;
END PROCESS TESTING;
END c06s01b00x00p10n01i00949arch;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2543.vhd | 4 | 1996 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2543.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s03b05x00p14n01i02543ent IS
END c07s03b05x00p14n01i02543ent;
ARCHITECTURE c07s03b05x00p14n01i02543arch OF c07s03b05x00p14n01i02543ent IS
BEGIN
TESTING: PROCESS
type X1 is range 1.0 to 100.0 ;
type X2 is range 1.0 to 100.0 ;
type I1 is range 1 to 1000000;
type I2 is range 1 to 10000000 ;
variable RE1 : X1 ;
variable RE2 : X2 ;
variable IN1 : I1 ;
variable IN2 : I2 ;
BEGIN
IN2 := IN1/IN2; -- Failure_here
-- ERROR: TYPE CONVERSION CANNOT OCCUR ON AN OPERAND OF ANY TYPE BUT
-- UNIVERSAL INTEGER OR UNIVERSAL REAL.
assert FALSE
report "***FAILED TEST: c07s03b05x00p14n01i02543 - Type conversion can only occur on operand of universal real or integer."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s03b05x00p14n01i02543arch;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/ashenden/compliant/ch_07_fg_07_14.vhd | 4 | 1973 |
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_07_fg_07_14.vhd,v 1.1.1.1 2001-08-22 18:20:48 paw Exp $
-- $Revision: 1.1.1.1 $
--
-- ---------------------------------------------------------------------
entity fg_07_14 is
end entity fg_07_14;
architecture test of fg_07_14 is
-- code from book
procedure check_setup ( signal data, clock : in bit;
constant Tsu : in time ) is
begin
if clock'event and clock = '1' then
assert data'last_event >= Tsu
report "setup time violation" severity error;
end if;
end procedure check_setup;
-- end code from book
signal ready, phi2 : bit := '0';
constant Tsu_rdy_clk : delay_length := 4 ns;
begin
-- code from book (in text)
check_ready_setup : check_setup ( data => ready, clock => phi2,
Tsu => Tsu_rdy_clk );
-- end code from book
clock_gen : phi2 <= '1' after 10 ns, '0' after 20 ns when phi2 = '0';
stimulus : ready <= '1' after 4 ns,
'0' after 56 ns,
'1' after 87 ns,
'0' after 130 ns;
end architecture test;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2234.vhd | 4 | 2146 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2234.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s02b06x00p01n01i02234ent IS
END c07s02b06x00p01n01i02234ent;
ARCHITECTURE c07s02b06x00p01n01i02234arch OF c07s02b06x00p01n01i02234ent IS
BEGIN
TESTING: PROCESS
-- user defined physical types.
type DISTANCE is range 0 to 1E9
units
-- Base units.
A; -- angstrom
-- Metric lengths.
nm = 10 A; -- nanometer
um = 1000 nm; -- micrometer (or micron)
mm = 1000 um; -- millimeter
cm = 10 mm; -- centimeter
-- English lengths.
mil = 254000 A; -- mil
inch = 1000 mil; -- inch
end units;
variable k : integer;
BEGIN
k := 4 nm mod 1 A;
assert FALSE
report "***FAILED TEST: c07s02b06x00p01n01i02234 - Operators mod and rem are predefined for any integer type only."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s02b06x00p01n01i02234arch;
| gpl-2.0 |
emogenet/ghdl | testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc811.vhd | 4 | 1607 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc811.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c01s02b00x00p02n01i00811ent IS
END c01s02b00x00p02n01i00811ent;
ARCHITECTURE c01s02b00x00p02n01i00811arch OF c01s02b00x00p02n01i00811ent IS
BEGIN
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c01s02b00x00p02n01i00811 - Architecture statement part is not followed by the reserved word end."
severity ERROR;
wait;
END PROCESS TESTING;
c01s02b00x00p02n01i00811arch; --Failure here
| gpl-2.0 |
emogenet/ghdl | testsuite/gna/issue50/vector.d/cmp_193.vhd | 2 | 376 | library ieee;
use ieee.std_logic_1164.all;
entity cmp_193 is
port (
eq : out std_logic;
in0 : in std_logic_vector(2 downto 0);
in1 : in std_logic_vector(2 downto 0)
);
end cmp_193;
architecture augh of cmp_193 is
signal tmp : std_logic;
begin
-- Compute the result
tmp <=
'0' when in0 /= in1 else
'1';
-- Set the outputs
eq <= tmp;
end architecture;
| gpl-2.0 |
emogenet/ghdl | testsuite/gna/issue238/assign1.vhdl | 2 | 354 | entity assign1 is
end;
use work.pkg.all;
architecture behav of assign1 is
begin
process
variable v : rec_4;
begin
v.a := 5;
assert v.a = 5 severity failure;
v.s := "Good";
assert v.a = 5 severity failure;
assert v.s = "Good" severity failure;
assert false report "ok" severity note;
wait;
end process;
end behav;
| gpl-2.0 |
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.