repo_name
stringlengths 6
79
| path
stringlengths 5
236
| copies
stringclasses 54
values | size
stringlengths 1
8
| content
stringlengths 0
1.04M
⌀ | license
stringclasses 15
values |
---|---|---|---|---|---|
keith-epidev/VHDL-lib | top/lab_4/part_1/ip/fft/floating_point_v7_0/hdl/flt_fma/flt_fma_add_exp.vhd | 2 | 65051 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
fDz59amcD2ea+YXum3GKfONiBJG3innBsRyUxV1rlQ6FNkoMgcSlxK4oSOrp9LymStTqicyi5lQY
EMQ922Gzkw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
EW81/8fUAjGFZlQVWZ19DYMecRfWlAb/dNiQn3NV+A91XEgqi4AUWVHT9kB/hDInZfThvsDIDkcp
It1yyv49lnQuenoFJJ8wG6MF4o+N4oR4sQm4+czP//FJPyQDS6VTzukywSYgSPQ/fsC64od3txrG
uijrf5tvZnNo8hhIpzI=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
hDTapXr9KHjx9D3P4lG8z/KVOZ1wdoMxS/J0v5I40F7suEMgNlb5jT4S9EWblCSsQyRbUZ2cJgzM
7n07b8TvYUcQEaZazJ5n4KFQaN54IdcngMDM4l0bZEYd4SuPpRvlZXQ+iqf5uyNLcovPTy8GFC+q
ZbiJ2Qc69Q0yAOp4n9cRZV8RhXPx0VeXmwCeJWrs9yQm5AmA+9qd+p0vymu3hKKhqPL3b5avcrlX
HiakdOlRulVojAao0jv6wCjj5yIDRPxF4jJ8vPDApTipaoGedhL43ZmHJA6F4/hjghTXAkTMOB2w
kwNgNo1uE1v5l4Xj/pAGFaDv5jUEHT48ERpaDQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
E7bd781u1eMZ4Frt/gBOy9RrvyjBBiDai/k5W0Q9P0CJVoe2p98APo3SxRo5oMwZ4pWOIJgT2A/Q
9nnBnAwgK7IpR03S2LGE63uCNpqXJuGJD+GIwSORDTMOsx2E68Y0i3zTWnmENXRVccWqQKs4y+Th
zvS6J08q/9B9RQE/uiI=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
CpQQN/xTLl948/fZK1U2+mcJlhjJsd+JX0+exFNvqzrIE+QLcVxZjt3puwA+EoZZFvUsBz7g8hWk
jvsac65QBoBF/ZWZlQA2buttvfB49MV4ngXYXsbyiAtLdxTzlDpUaH2dp5xTUsgrHjpcT+CVy79X
f++f5tO4wI9ASgWK8kQhAvbmNCXpUoHSX1nKgu70hDOhPQgUYASwCniYA8FkVrmcWYd3WLVIlvuy
11UhogAa0sjhRLwn+G+jPCDP13aJnq4TCwLxHoZ20hU4Cob2Q1TnKKqLV2MCTDo0mNhHmN20R0C8
CbQdZ9eLGVLcsaamLpO1jlqxcL0EDymH4y9P5A==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 46416)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_2/part_5/ip/dds/dds_compiler_v6_0/hdl/dither_wrap.vhd | 6 | 23856 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
CqLUd3k+c1GTg5pDkI/Fs/VCZ9U3HYcJ41OchR1GWsww7j1WZyhkrSiZF8NksynkffaXGKnRBKOH
Oxdzk/r6Og==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
S2cAcf3a1Ky+zSloHc1JY7D5jP1m4chpe9OUuguG/NddeoRHRivfWoI6XSZoGGToGHs+dSSLcVNs
n48GQUQwEGARUF56Pr9igIQKmsQvDfdGbiFhTLMzk05UN/IfqqyzcIHlV53GTEY3Pgx7T9JZZcdg
O5zT5P/vfMMHsw1QvUg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
XzYH0T5hZ78/Ff9UTj8d+mXQx9QlgZbeG+m54LLgBimSgJzJsDtQsBkCBMnldZ5v6VzEWdGgEY9w
Qiq+4hIhh1QRRa84n46G/VDpJbLcLHWG+NyBszZ52NzeiNDWN4qusqMpmtL8RuJMdE7EKOFG0XTa
RXj8O9tItgFW7nsHTarN3HCTEudaszk4suJ7khem8gRbeieqPwkOwp2vMYSQ+eDqTCn5uuUG3g16
9rUyugiE0fpyisQ20yUAsyVssl+IbGR+jjnPfp3Ppz+DQvPWipFOJ7lGEbUGodP03XsgBgbn0CaX
lGDvIPbYGGSnD9kk2bv8fifhQNS1uXPs3YVzYQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
FJdQjICXNJ2uX6wHjZU52k5BxqSMFdBAVhB2ICmWsf3D9YTCZoI/FA8PpwKGszpEMDtkfy5pA+Ov
NPCg8a7Z6fFe2EALV2JS0YkL3h632GdINIbduX7MbNAxrLadsPucCmtSzJ1VXhIqmPbeR8MHMHv8
snHV+d+hHhOt+FocBbA=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
PWHIXrkF7uf2D1avIGYt3rZxh/4+fWYLIHPXLKrpDvtsqtl81cPmsIe2lC8wUW2p2cqibKQIJSDz
44biAjrFyXfgo6hDRyAga5i7uY1cdJpvvTHcx+w9bbNiwnG/FpsrON3iXDmt4ZpCxXbanWRr9U4M
kgRxREBv5MRCVbAKBNxdyMsD0+4O/hkJQvL4Szvx0bjq6x4SGj1O8ZxMDhVRQN4OjD9RXc7x3eBC
VvuC7I7+F7wJZ5PZhWrPbOaYyNBvi3dg19orxdO98BRQ5ihuP63LUyBd5CINMMNyS+Rw45uKhMFi
qZwpf4o3UvjcG4i7WyNFlRAQbDf94yJI4LPbxw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 15920)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/bram/blk_mem_gen_v8_1/blk_mem_min_area_pkg.vhd | 27 | 20310 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
XHCjR0nUvMBgM1clzO9mSr8YEx9qhDtoXdaphp+J1JlsC9lSFtsV1/eTy/jaNsyBimTHmHB4CLra
VqfCr1I3uA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ebEJK3bmI2t+WsBGbhWIt2XB+F+QW56z7Xo7/vGiNjxPbaq48cjkY2KIIwhppzuYFDUdRDxp9Iva
RlWujqNPGUrxJ1F5Pa0zN6dEMkhKPrWWxZpAFto5e5cB6DM88tJus2O1hLy9PRfKWKn8u2fBqIhs
zvXwIEX3Rz7kU3GI+Wg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
oZLpbXnbPC0EfiuqzOyPqmT4FdlvB20VtdO3P1fZux3uAWynrmGeEUk81RKG8dIjeHdSPnugG+6c
jKeGIJZZbH6MRScqnz2QBuupQkeYWE+dCLOq6/P5LV7F5481QZZ3bx28u0vHGlRYhLiMW8KnJ8Xs
JLZ2IP5YULE4cFTCCV3WAM+IdulnwSP3p8oyM0uQffeAJkOTKR9dl0lslKFBplzuTZ7EnXSmYYXA
x4iYEfwbmUZvdla6dJXCCjtKnKqL5vI4L1nHOaep2f0bW/K78py/TJVV+vsvE7+Fi81aNwDFBE3d
V+IzN5VNKD8wM+OpLL9AD+xsAbJ5JCLz2sqFWg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
YaruXmtmo/2yQOaZLp6UQc/TTak5F2uchK3/c4SsORqNnQQMwFmjpORZM2++MrgqzkHH5KHH+0SE
PP+ha/JFKIuufLvaAIVDYgMKSDFaxIIvD/8aIAhw7TgTE10+TXTruuPFiw9U65VaBnD/nSEGkP+6
2M+aqBTG/2UNkEELi0I=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
SWJkuOmi8gVneMbAS0rfK4gI+24fr/0jQv+b5sUWbuvKyCco423EdTDwW7ROH+M/MaGP2QTzNz1B
sh1p0mypy290KKaGmvaZfJU7NOmSNGAsA7Eq3zQGPHDW45/4GXnri5xLLNnybO7r0Ndv34V/fxH0
f64f4NRroCys3EmRDJeCh0D+WDA98E/EHP+OtfmYOGeO+CDzxS2m3FIcGKs7pkeR5dgt+S6srqxz
96yb5/UwV2cpnC9ULYZHZVQa9WYc/XM+Dk71YUYpaEFd7osc9zT0azChQq+XAkJsqukhufRg3dQK
YVPZotO8blEly5GYlPFGnRW13eEh9DRYsb0pSQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13296)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/cmpy_v6_0/hdl/cmpy_3_dsp48_mult.vhd | 2 | 21218 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
PwX+G4LScUK8F/Zb+N3bRkDGP6N7BX9zkUPSX6JzW/j1CnADtpIFhONic9E5Dp205HSQtCia6oyL
TLgDoexHBw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Jbju1Qqcs+J3sJzuLFNNXinEKPs3FTjnbUu8f5ldxqsJdOj3evNu3HNv4D6MsMMVyVdJQta4Ejkx
kr1LiXwaG7KtOvY/eNPJa+hTA5XI1pwUMwMdWadZ43LwiwMYsJBo5DhIGg95hjyRkmfEnHIe/VIP
QkC1XgQbMuzNMqSwacg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
2uwG+tdLF58myc4GL4+JfGXRbbMkP0WSNUXZn+moJ9q72LDoaLe1B2aLlmmXdJuSviB/AdXFh53x
hjKMGOYUbyKD802kMdnrPZ/MsAQ+0mcWcYVTVETfnGDSuInAcGP+GkNSkySF2TfczF5UyEKzVI8R
Lr2BSsAanwdvlRCUj6vwtBdTU9nrP1W+/AaY+4n2yBk20b5CBBMVzb29TnnZFV481UwXU+eLZext
TKC12NxpJ7chiPOIlHq5ep8YZvSnHy1edKkSpTMy1SRrt+6ursiZvkl1fKQf0ucfdwrEfXsDfQW5
W7+Ye1kT8YjZz5QogdKW+ldWeUJ5QdiED3o91Q==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
eLY6UplwvZIWtuMasCQd4RV8bB1/Lbx1tXd8aLd/TInRU/9wXgsBo33MRKSUkZHZiND1R4jBSQwC
FkRV04VanENRU+wTLVMhAO8LIt8FzFtkLhnIXk2QkR8Tr3nHNcTE/S02mP6P83oSeHQcnjLFE9Nd
6LUz5gqxJ5lIIE3CmNs=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
lWGPzWZwBrOL4oz53UCa89d/sOubk2zbfdZxJs7rCSKCZG5x/2i206DxhBVeRy93pSGPYN6p9jJ4
JssVAUCxH7On+N8/S3H9N99qPRe2p0SRHzA9YR6PHWbYbr/mtmwPyOAEn0OaP9ek8DIzX6VU2D3Z
56la4Qnofn2lDUGnlQ5YAdrCbBVuYZw0jL19Z9LvkhOUTlmyLmT/4Of0sTt3RhWp86NSl+5QSdIL
ZBR9R5DobTh9QMbJa5Y+Vakjhz9tZ9FpGgL+wsNjdAInnm3sTnl0ezXLBOg1GD/TLzEnxP125QF5
YgUNtrlEzk4kSeLQs6/JgqgKkMS06j+MTmsDBw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13968)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/mono_radio/ip/multi_fft/xbip_bram18k_v3_0/hdl/xbip_bram18k_v3_0_viv.vhd | 12 | 11081 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
UhVeas6K+zJkxzAJ/XH1tiqQR+XspsoQJ3dEE8+NZ2li/evybvRR2CFFWlkn8VHqMN9rvRtldUOC
AgZ6PTRk7A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Rz7+zXWBctYQ/50cGVEG3Toj4CInTVWZ0c4T7rfFyHGo1fa/YgddoAqsvH7qyYwDZcrYpT5hpEmn
cFc1YeIlYloc1EaeTJDtWuPiIlcMz2kYk3MBHTzU5MIkyzIkATn2/OxceutmubtSsvRoimZqpVhu
4rHEfrUXr4U61RD2nsM=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
X3azlMHeEDySdNGo+NHRLVhUQeoDEhghKhi+IvY2MUX5S5C0HbXWISGVnlCl1zEfsB50hXL1G4OR
kOAPftYogI9OPmHAVfLAUKfW3/AebOq0Oykvg4+sU0VD1VoueDHkcct4AijoaqFAjdFhbDGl4pQW
DdiL7zN1Q9uXwVQ6Aarj8w0xF1fxyiYw/e32FnfCVuw5GVRfdO2e4Mabu84yq8avdSobdF0oBfoj
/oaBxlsYxSoVPNb4cRBubTrF90rAt7/lJgIHxnoLP+3hN36gpW9tkiytunSogmKo44iOBbKcrhIg
h2SQQ87sKJeTDGxyazeT+8OJho6YuMPNaQHE6Q==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
mbIlGrXZMmPot30Jibhwb5d34uJ2kRrtfyMuP9COPO8/wvMqVlHAjEAFm9kAbyNt+P8a8ltkrgIe
noTjfdkgT/jV4xOK8Loi32GdoUncVm/i8mHnDk1HwVDVW4H6PgVoSZZnrIGUYTvd4KkcOFQX/TET
wouLW2mJLw5aX4PYJF8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
TIXqnUNEqWbewR1mPB8N19YGlayBdy6oisZqLFfYkhOvNm8MeNH+aT/Z/okD6Sp1ZlLyCqNZtPj3
uWjaaMopcBv3dk8ixDHEmCttVJVrP2ApTlw2GLb1ZMtfCxABRbJPoBtZH1/84uFe6qY/4MD8eKuL
Fa3ZdP8KVYSDqILI+DH8OjyzIboN7OOExrlN08BcCsADH9MiFKnBH/FdCd7IEKuMiGEb3nNqHxCE
6yuvfo2DzFmniZXdPqWuHhYF4mhlrdggna5jpJMAryPY/Z/TAVz/dbVQjoZ6bsFSUDgLnTFwG3cJ
osaBYwPy+y+wR3KCwSlWSJGiq8VTTAnzFVzYng==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6464)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/xbip_bram18k_v3_0/hdl/xbip_bram18k_v3_0_viv.vhd | 12 | 11081 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
UhVeas6K+zJkxzAJ/XH1tiqQR+XspsoQJ3dEE8+NZ2li/evybvRR2CFFWlkn8VHqMN9rvRtldUOC
AgZ6PTRk7A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Rz7+zXWBctYQ/50cGVEG3Toj4CInTVWZ0c4T7rfFyHGo1fa/YgddoAqsvH7qyYwDZcrYpT5hpEmn
cFc1YeIlYloc1EaeTJDtWuPiIlcMz2kYk3MBHTzU5MIkyzIkATn2/OxceutmubtSsvRoimZqpVhu
4rHEfrUXr4U61RD2nsM=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
X3azlMHeEDySdNGo+NHRLVhUQeoDEhghKhi+IvY2MUX5S5C0HbXWISGVnlCl1zEfsB50hXL1G4OR
kOAPftYogI9OPmHAVfLAUKfW3/AebOq0Oykvg4+sU0VD1VoueDHkcct4AijoaqFAjdFhbDGl4pQW
DdiL7zN1Q9uXwVQ6Aarj8w0xF1fxyiYw/e32FnfCVuw5GVRfdO2e4Mabu84yq8avdSobdF0oBfoj
/oaBxlsYxSoVPNb4cRBubTrF90rAt7/lJgIHxnoLP+3hN36gpW9tkiytunSogmKo44iOBbKcrhIg
h2SQQ87sKJeTDGxyazeT+8OJho6YuMPNaQHE6Q==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
mbIlGrXZMmPot30Jibhwb5d34uJ2kRrtfyMuP9COPO8/wvMqVlHAjEAFm9kAbyNt+P8a8ltkrgIe
noTjfdkgT/jV4xOK8Loi32GdoUncVm/i8mHnDk1HwVDVW4H6PgVoSZZnrIGUYTvd4KkcOFQX/TET
wouLW2mJLw5aX4PYJF8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
TIXqnUNEqWbewR1mPB8N19YGlayBdy6oisZqLFfYkhOvNm8MeNH+aT/Z/okD6Sp1ZlLyCqNZtPj3
uWjaaMopcBv3dk8ixDHEmCttVJVrP2ApTlw2GLb1ZMtfCxABRbJPoBtZH1/84uFe6qY/4MD8eKuL
Fa3ZdP8KVYSDqILI+DH8OjyzIboN7OOExrlN08BcCsADH9MiFKnBH/FdCd7IEKuMiGEb3nNqHxCE
6yuvfo2DzFmniZXdPqWuHhYF4mhlrdggna5jpJMAryPY/Z/TAVz/dbVQjoZ6bsFSUDgLnTFwG3cJ
osaBYwPy+y+wR3KCwSlWSJGiq8VTTAnzFVzYng==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6464)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/c_shift_ram_v12_0/hdl/c_shift_ram_v12_0_legacy.vhd | 3 | 74822 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
EDJqilXGzwuHvjjbhFI7Hq+V0S1mQq4X9qbk4xyahs8U3SVEghmolWZ1YtMOC8FVOiAkuRXQTOQs
VBjHNRZSqg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
YGbDQ7jG2zmNtHrUFaTLeZgbJr8zN1Oa4fLDhjKJxni0A5/wVFrW867KK+1OIoaeqd96W5AKEcua
8dKrUrnt6DTVvLaoJtn+dWgvlqeCmTg/s+Zj6/FrL+8axTcV1VkaS29S8OU2xxkqSRCpMroHG8PP
5JHsBIz6NFoPXS4Xj9I=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
BpOJfkPcivI2IEscJCH2DC7Un0gjQyCdfVNNSh5UdQfxA71wTm3YnzIpZAGlJDcWRSsvZdbXr/AZ
+PU/qiEoQ3r+JOZRnTJ95rV8hApqiHjckW5kf/wZY/JQH2O0n1/o3/lDcopUD3kZ8zXwxFJROmbV
IuCrmDJ/thsM4k8yNMxCkrLc03VRvbix1qwNoVmPNW2ypkbpAP2MjFc9Cp8xyi6m+k/AD38iGAmA
wZYuJn9Mm38cOkAxqAA1CGtbjfS2jRTIszINjeu2r4KQUAuvPT7T/ofkpBJ7GMPVREVLuuPhxwza
6qjssMNAEatByXvgrqeiQsLtEXqrSO6xbD2c1g==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
y2H86vw/FKVEusx9BMIVknnmEDeGZ+GiBah2imiQGrTnH0sT4IZXECW7TQTDjvRkb3KzLDZN5HF/
zRgpJ98B5scmDDELfh3BeYtCcIIw4XwFfxMA6hUcr1JlQSHyXRvPa/LxNb8pa0XiX4ZzlI+FcmC8
7XofafbYUpmx0fpQaIQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
UmQd3JhVAe61t4QxHUCqexyNkZh0f+QIFmdq88PiUyFWnYNuGoXy9ElQAGek0WmOLIwsUnq2Lsy/
T2q6qX9izsGsSvjaVk4GwuMYzdVL1QUpltNT7ueiyVrvPy4rkqavGR3rsJMDfnTF6O9YDB54aFL5
N79+b8exrIZ5AaSQuoRkiF26Xa8JrqIU0bSrxdKbvAXwBwxs+qgBHehtTc+clz4ssqZuiqnewedv
0C79ORMxlMTVGIio7HsKObidIip5FOHtXdB2pM7xLi3y4CFMHacLGMHa0Jr59cBoUBI5BQque2sb
9TZH9zs2OssNg4p5XD6iHpuhxuEJdGnbt4pOzQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 53648)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_4/part_1/ip/fft/floating_point_v7_0/hdl/flt_fma/flt_fma_alignment.vhd | 2 | 25951 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
MGm6z0iUT0GOBG0y0kOi/IRuKuHDzy//L7tJ5V7eKeO6CHgrjTNvVnqLq/JXOlNC2PRUTzyQYs6c
4oak/EJBtg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
WmElpi1kfGvfw5Cf3x/2B0gpmX31w01cN6KMOskfkatBQxj/MhF5jdQvMOjN9QHL4azuMafPSWNQ
3TM5S0k6cpUNpOJmtuM4dTCijK9EWL595JN07NPhRrY/1EGWP0ITsB3XcxoIHI1lkOQdWoXuyMDe
NTVldUC9HwrAeiIGuyk=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
n23DUbg2bwGbp3xtf3CrRYdaLkuQJ/Y7bNysvBp7wC4FTXgcvveUGyWlMkX1kvER0FmNaRPmtxn8
3CV1H9WtqaQna8liovDtPBiKpp3Ndha5Ui/vNra1m71YnQ9GRMKXQ+axsD2XIchHFGsw+eRoum5A
BffqSdXQYqhn6m8Q/usxUgmKs1W5ksUVmMFFky8ynjoNQc4mcvfT61OJeU2RYZzh320EPvkX+PQW
4TfTtTD4fTlZRdueACgQ+3J+SjJuQALeXQY8vtEU8j0Kq0XPnALMFpITu9wPi9wXgr3dNCn5gA0j
0mm0coADnm6/Aj2vpeZb4z92GDeGnMaviKHx7A==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
V5BdNYg6dVAIO7LqmAgXfSeM6/tqdZJoj5RKKD6kNqP9PwL7F45GKHWNv6ZIq8jOeQ7zQGM8Lqr6
rIJJ8+bmS8dM1I06/NmShpq+T5euEQTKe10acdJJBRxPBJQR4qY7xQktaWi4ChZz18ER7NQJuff1
IC77unRQdQ1NtWCKYAU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
McaD7SyEcELQB83UnhvA2Dp2JrJu7zZd4iisk3deC+CDc0VTWJ/pSu8lYX8CzEqy9OPjSe24zhzP
ftyEMYmocVYVdS+NT6qgYjoqpVJ2MK+7MjrLXHVsIJxJcGMhbh/e7o/sC8D3BL70lxXEPQ2X5vwp
4744JmnCxLwtPQEAFd9h40aMVYtWq8lldvpyDYRVRg7kB6DJkZPY4ah1ftgLahi0OEW8659t7Hke
cLZ3EF++XiuB87bCpSqbu9iJZGepj7wztLKP+nG4YFAnqPo0a6n/w2OWvwVPdB0CzMBn2or5hZAa
zuYWm0+bBt+Ord4eAL92gmXkTozRAAf+rmeU9Q==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 17472)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | src/components/modn/modn.vhd | 1 | 577 | library ieee;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.NUMERIC_STD.ALL;
use work.VHDL_lib.all;
entity modn is
generic(
size:integer := 4
);
port (
clk : in std_logic;
output : out std_logic_vector(log2(size)-1 downto 0)
);
end modn;
architecture arch of modn is
signal count: std_logic_vector(log2(size)-1 downto 0);
begin
counter:process(clk) begin
if(clk'event and clk = '1')then
output <= count;
if(count < size-1) then
count <= count + 1;
else
count <= (others=>'0');
end if;
end if;
end process;
end arch;
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/cmpy_v6_0/hdl/cmpy_v6_0.vhd | 2 | 16743 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
VudTi0E47xsjLsifh/4s4osrP2o76TRMiI25ZT+8lI+8vz5fNZYvjI6EP4aR+TUGluvHrQSgQ4Cc
eraypIE+mA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
dYVJwlEWuNg3/62w7WiyHKu7iBOmnvINFdqj4ajwW5k1qYpzivAK/EswABBcZCFHdHclt80IG1J/
D4W7mTLmNDfdpn6LarqQIwlMkACgMt/NG/NzL8qvZtRmTlthhs15FUwz5wJyZC5Z3M5sbv47HJ90
8WkPexDOkyQNdHXHga4=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
2xH/1QoMmW0Z1n/j41a+rySliHFkiK5f0DzzoFHr6TO9fNw5FgxJIwNvgZwEXFeiurlsfqxEuI1R
clQ0OP8I9GqxDlJ4ULcI8obUr6AX2SV1gRD7RkcDYUASQwc47L1I3m0bteMdoQoP/z/dIcBaPSh1
yfeVydSsJmc9ucLFvlR22QaCjeo7ppEqL1YZTmfPICMnrq9+zEaHof2navnm8Qox1kUUeAxMivCV
YR7ADW3Bt4/XnTDMJNk7tyttZlVbCsQdfUlN7GHpu4e7ErITcmGmPubba2JIauXCRCFOGW2NskqU
LzvatjqrS3VnZOoRa0OYPwb3i5cisZDBfE+SsQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
wnyylfLDZZXlL4cVf2JVyQbvdL6tyUvgSwYmHbT1N01bsyvzMyxN3xMPA2i8YVjHh2OFjMfBJUTj
J4hgzgtDcCHSnHmulxBYF/4E2NjXKQg46ajVMHfdD31C/yJyqZHppt4JMDjs8CAWw2gCQrSJT5nZ
jDN/LMJgvs4oryt+ICk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
hrfp2kLZMAhClHpfL5ue8DoPqtRtrXV87ZvPGYuPz+7g4QGs5W9RV4Ru+zygAs9sRnIL7mCGQAb5
QvKNn/X7p30depjvlEIt2qjJeKi1UU8r7MEJ2AMIx8Ia/I0yRK4dv83FMalpaid75C91Y4Swv0Y6
2f1wl6t9EK/TJe9vUdLBbuWGdhNxtO1yxD2PMrAKE8yArXU+9AMUgvaD9DnO+oZDkc+niTvaEMuP
isoflJ7GZwfsP2AccidjDvzYp+aH66R+MWP/UDQeXk7dqLazyGWD2xPux2o79tiMgCYrvdkDQNva
ZfIsOXMzWS5ywBjYv0O5REineaa6XcBT2gQgRQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10656)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_6/ip/dds/mult_gen_v12_0/hdl/delay_line.vhd | 12 | 18215 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
NLuPKxa5mbHmSJxckEHjUBUOWDk7twAsALGLJTfoesEfyf1h+MyHFt0EylBuknot037Zem3a4g/8
zqiJpRTvDQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
PZD2wWu+M5aw+j7eNGC0wVwZ4AHpsd0CPVCpF47C7xJo3X55KdgUsR5H/ybZtMk92enNjFrgbF3L
KLt0dXzbb93KwOc159Je5hTevnSDKsuPBBX0lFHiAF4XzieRUgqKA393lNR1oHHjtPcXU7UK0+IO
OzAzlRdUGjlDQbtNdcQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
HouE4V5hA7QCiWy/ZOPsRu3XTzmc0wFcS7HgRKGHCsE7XwCF34feUK6Bn8N3dH2x37iQw0vfk66K
M6tHX6VRefC0MyimGFx5BhRdZq2+9JmDppfV1gOtGrREe6vR2IC/KcusvwTIiR6cQOza49aJQKA+
epyhS70PBrDp2VBILQDMeZvSj3XpQbsXPr8Q1JIB7enfz3ztp6rC/LDFPOPZe8YTRh24WGrzpXce
DAXUY9s6WN8OKURansZYbw0UNKD0cHLvro5mUb/lNTGoehE+Rw5R9VbAnGpd9pq6Xo7PPFVMpe9T
FezLXjjYSVXyY4UaLu9/mkvg/I686Ex7JR5c7Q==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
mQhq1J/qEcykVkr3796fO9gQDJzaYdrlMC9hjsMGY2UKOKUbTtyv4tG77bM+PRHomfZqg8iU7uWB
GRXGd1YHbwBY/Wo99Etxtx46zOPIBoU5nFYOpTJ0bJnLbwgg1pXJxkzA4oOsNRCM00E9Tz9jDYcD
u7yXVYNO1n7TbdSWAho=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
EIlt8tLrN8oiN0Z16C/INtbKo7UGBZMOz76+FUKU0dZcfTr4khTZ5FEXDc4gJZOM+wM5qSdRbQub
CzCPni3zASJ+ELeVlFnyaBW04E07carlE5UDdrotA4p2LXk7vZzLcnqW33R6DTbUogfnDteQ90G2
rsl4ouAA15HIZj5RFfE16KQtkxJiDGIwOrcUzhjmqqnH0+oOfSHDJeWV0IASEIzodocR806zCuhg
XzX3Z8z59bnwpkYETnyBEOLgELtERsBiu7XiRZGnW3iYQosufAJSskrAoulfqggYHW6NCOFZhGQM
6C95at11rwRxl3HbZnf/S1pzmZYljP0ZGBuLpQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11744)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/stereo_radio/ip/multi_QI/mult_gen_v12_0/hdl/delay_line.vhd | 12 | 18215 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
NLuPKxa5mbHmSJxckEHjUBUOWDk7twAsALGLJTfoesEfyf1h+MyHFt0EylBuknot037Zem3a4g/8
zqiJpRTvDQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
PZD2wWu+M5aw+j7eNGC0wVwZ4AHpsd0CPVCpF47C7xJo3X55KdgUsR5H/ybZtMk92enNjFrgbF3L
KLt0dXzbb93KwOc159Je5hTevnSDKsuPBBX0lFHiAF4XzieRUgqKA393lNR1oHHjtPcXU7UK0+IO
OzAzlRdUGjlDQbtNdcQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
HouE4V5hA7QCiWy/ZOPsRu3XTzmc0wFcS7HgRKGHCsE7XwCF34feUK6Bn8N3dH2x37iQw0vfk66K
M6tHX6VRefC0MyimGFx5BhRdZq2+9JmDppfV1gOtGrREe6vR2IC/KcusvwTIiR6cQOza49aJQKA+
epyhS70PBrDp2VBILQDMeZvSj3XpQbsXPr8Q1JIB7enfz3ztp6rC/LDFPOPZe8YTRh24WGrzpXce
DAXUY9s6WN8OKURansZYbw0UNKD0cHLvro5mUb/lNTGoehE+Rw5R9VbAnGpd9pq6Xo7PPFVMpe9T
FezLXjjYSVXyY4UaLu9/mkvg/I686Ex7JR5c7Q==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
mQhq1J/qEcykVkr3796fO9gQDJzaYdrlMC9hjsMGY2UKOKUbTtyv4tG77bM+PRHomfZqg8iU7uWB
GRXGd1YHbwBY/Wo99Etxtx46zOPIBoU5nFYOpTJ0bJnLbwgg1pXJxkzA4oOsNRCM00E9Tz9jDYcD
u7yXVYNO1n7TbdSWAho=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
EIlt8tLrN8oiN0Z16C/INtbKo7UGBZMOz76+FUKU0dZcfTr4khTZ5FEXDc4gJZOM+wM5qSdRbQub
CzCPni3zASJ+ELeVlFnyaBW04E07carlE5UDdrotA4p2LXk7vZzLcnqW33R6DTbUogfnDteQ90G2
rsl4ouAA15HIZj5RFfE16KQtkxJiDGIwOrcUzhjmqqnH0+oOfSHDJeWV0IASEIzodocR806zCuhg
XzX3Z8z59bnwpkYETnyBEOLgELtERsBiu7XiRZGnW3iYQosufAJSskrAoulfqggYHW6NCOFZhGQM
6C95at11rwRxl3HbZnf/S1pzmZYljP0ZGBuLpQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11744)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_recip/flt_recip_recomb.vhd | 3 | 28545 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
DCU6aCkLV8vnGVgaqMK4EdW8AKQJTgP/0fTljP6d0tMi8WbWP2sJj/IsbawP4TMfKs6yT2uhCR+z
74Z4Y7neSQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
gu9wgWoNzdDLcxb7+PQleqHtH9SghcercHa7bRhumn7C9pUZ/jG6H0KdptBpO2HHMxhJN8yRT4/U
RJPhUe6qmGzC1aEg7ijklsx+pGYdHgOlbdaJODVEmN44ZNtD8rCcZWQtCxphsV34drvULZzf38kI
ox9V9qZnZCEEiyAnT3Y=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
nzZwSTHr152xEZ9iwT8SEWAUiK4h+9/t+DbqNhq9b1iUgqkHobtfSEonnl+RApYz6PRzxaLi2Xjd
BF6XgB9/3og+ZEwPYRzHql+pVq3ub9dAoPttWewDQ/Dr0s+CbKhlfj4+LlvsKN7bdvt6NtGtZfQ2
v9Jn+tmEr9DiMKCw3A1xVkVMiBylcCtQrDhf7GdSS/uXPshAEYLbQybGD8S6qW5DiWOO5PvdLO4g
m6ouEgpRRUif/ziN1pCJ6jCVHq0aJY7CrGjRKvwiAADN4XtG+ehEeepT13mxa4HACDBApYbwLR92
vfH4SNR/o5no32/jyFdRMPbqbPJCeyPbDbjldg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
or80PQmH3X468czvwSpq3CGsXuknyPLmcEyB5UUdfLsHoAMAad0PeAjrGtoFUoG50/o7rjroEMNp
6jZ1fPJIdyWKkAUgGRsquB5Qi+yxLBORFwwPLhCaz+1kM0xCnRIRwTPwAbVwa3zeFy2DYQEaRLfx
XbYxsGNAzhW2cutN/a0=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rpG0KvvfHDkBPEYZcM/Y4fxij9iKaFuGFCJ/q0UZ2OGkNob2+YrxrurouOM3haaUgIZXugK+HFIY
DWkE+TxEFkZEOlRtrEg6yIF0GjnpX+ld/DOiaEQLoHqDrKZur/EKtX2dTQDr42ygb09yxzl67CFY
SQeAmtBtD1RIWDDwiQ8T3sHHTqN4ldRfyWGDYHclSar5dWnMWbMkNE06f4RMEe+cldTxquH8MQl2
QM6f8XYRX+bHBwaQpe537n/Ra0+hz78z3qsAY3Dnl6300NvANe06u6f/HOfJrjlYCk8pVRGf1oqC
KsLCWdbh9FfxltGvtPIyslfXalhvhNuIuf0+DA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 19392)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_4/part_1/ip/clk_193MHz/clk_193MHz_clk_wiz.vhd | 4 | 7397 | -- file: clk_193MHz_clk_wiz.vhd
--
-- (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
------------------------------------------------------------------------------
-- User entered comments
------------------------------------------------------------------------------
-- None
--
------------------------------------------------------------------------------
-- Output Output Phase Duty Cycle Pk-to-Pk Phase
-- Clock Freq (MHz) (degrees) (%) Jitter (ps) Error (ps)
------------------------------------------------------------------------------
-- CLK_OUT1___193.158______0.000______50.0______236.796____297.965
--
------------------------------------------------------------------------------
-- Input Clock Freq (MHz) Input Jitter (UI)
------------------------------------------------------------------------------
-- __primary_________100.000____________0.010
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use ieee.numeric_std.all;
library unisim;
use unisim.vcomponents.all;
entity clk_193MHz_clk_wiz is
port
(-- Clock in ports
clk_100MHz : in std_logic;
-- Clock out ports
clk_193MHz : out std_logic;
-- Status and control signals
locked : out std_logic
);
end clk_193MHz_clk_wiz;
architecture xilinx of clk_193MHz_clk_wiz is
-- Input clock buffering / unused connectors
signal clk_100MHz_clk_193MHz : std_logic;
-- Output clock buffering / unused connectors
signal clkfbout_clk_193MHz : std_logic;
signal clkfbout_buf_clk_193MHz : std_logic;
signal clkfboutb_unused : std_logic;
signal clk_193MHz_clk_193MHz : std_logic;
signal clkout0b_unused : std_logic;
signal clkout1_unused : std_logic;
signal clkout1b_unused : std_logic;
signal clkout2_unused : std_logic;
signal clkout2b_unused : std_logic;
signal clkout3_unused : std_logic;
signal clkout3b_unused : std_logic;
signal clkout4_unused : std_logic;
signal clkout5_unused : std_logic;
signal clkout6_unused : std_logic;
-- Dynamic programming unused signals
signal do_unused : std_logic_vector(15 downto 0);
signal drdy_unused : std_logic;
-- Dynamic phase shift unused signals
signal psdone_unused : std_logic;
signal locked_int : std_logic;
-- Unused status signals
signal clkfbstopped_unused : std_logic;
signal clkinstopped_unused : std_logic;
begin
-- Input buffering
--------------------------------------
clkin1_bufg : BUFG
port map
(O => clk_100MHz_clk_193MHz,
I => clk_100MHz);
-- Clocking PRIMITIVE
--------------------------------------
-- Instantiation of the MMCM PRIMITIVE
-- * Unused inputs are tied off
-- * Unused outputs are labeled unused
mmcm_adv_inst : MMCME2_ADV
generic map
(BANDWIDTH => "OPTIMIZED",
CLKOUT4_CASCADE => FALSE,
COMPENSATION => "ZHOLD",
STARTUP_WAIT => FALSE,
DIVCLK_DIVIDE => 5,
CLKFBOUT_MULT_F => 45.875,
CLKFBOUT_PHASE => 0.000,
CLKFBOUT_USE_FINE_PS => FALSE,
CLKOUT0_DIVIDE_F => 4.750,
CLKOUT0_PHASE => 0.000,
CLKOUT0_DUTY_CYCLE => 0.500,
CLKOUT0_USE_FINE_PS => FALSE,
CLKIN1_PERIOD => 10.0,
REF_JITTER1 => 0.010)
port map
-- Output clocks
(
CLKFBOUT => clkfbout_clk_193MHz,
CLKFBOUTB => clkfboutb_unused,
CLKOUT0 => clk_193MHz_clk_193MHz,
CLKOUT0B => clkout0b_unused,
CLKOUT1 => clkout1_unused,
CLKOUT1B => clkout1b_unused,
CLKOUT2 => clkout2_unused,
CLKOUT2B => clkout2b_unused,
CLKOUT3 => clkout3_unused,
CLKOUT3B => clkout3b_unused,
CLKOUT4 => clkout4_unused,
CLKOUT5 => clkout5_unused,
CLKOUT6 => clkout6_unused,
-- Input clock control
CLKFBIN => clkfbout_buf_clk_193MHz,
CLKIN1 => clk_100MHz_clk_193MHz,
CLKIN2 => '0',
-- Tied to always select the primary input clock
CLKINSEL => '1',
-- Ports for dynamic reconfiguration
DADDR => (others => '0'),
DCLK => '0',
DEN => '0',
DI => (others => '0'),
DO => do_unused,
DRDY => drdy_unused,
DWE => '0',
-- Ports for dynamic phase shift
PSCLK => '0',
PSEN => '0',
PSINCDEC => '0',
PSDONE => psdone_unused,
-- Other control and status signals
LOCKED => locked_int,
CLKINSTOPPED => clkinstopped_unused,
CLKFBSTOPPED => clkfbstopped_unused,
PWRDWN => '0',
RST => '0');
locked <= locked_int;
-- Output buffering
-------------------------------------
clkf_buf : BUFG
port map
(O => clkfbout_buf_clk_193MHz,
I => clkfbout_clk_193MHz);
clkout1_buf : BUFG
port map
(O => clk_193MHz,
I => clk_193MHz_clk_193MHz);
end xilinx;
| gpl-2.0 |
keith-epidev/VHDL-lib | top/stereo_radio/ip/dds/xbip_bram18k_v3_0/hdl/xbip_bram18k_v3_0_viv_comp.vhd | 12 | 8921 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
hMTrOc8dD18HaqgWvNmpZ4zEm8bBBYbUJD8q1/fmMBemus6deF/Rs3qv014OJsRXQqbxa2hesuab
yGLKKDfrwQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
mSxgBrwgtLA2vAOXwyMHrrOann/C22f5E08+6DMf0LZ5hAU9geZ/0xmR5kvqfwU8TARik4RxiMPe
GoOXyLsOMN2W6UkShgCGCLgANK5tzZcuyHx6Pk44yHLUUpuKg164L+cH07mc8cp50IJTS2Cc8CtI
krKzpMgwe9M7J+GMH70=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
XzknZSGCbgMYEa8u6l4dUyn/X4Z2Ja897ql7FP5SKS5fe3Yb+7ag8MRk2B3VKVA5Xoyj1B4W4sIv
+xA7HVkJ5qhFGnaIxXLQE9YDYjt7bN4aSnrrGVlnrTeF15jG6/33OpfAqBt5wFvtNlCAmFI6UBBx
g2e8hCldEiZakjnpEkpseVR8pjDgCSm6Ns4wvBhf2d1rxhnnEtxZ8gT8BwJdq3qbxox5IAs1/3kf
8FmllXrABHR6vNYYk5rBolu45OEDwNVpdUAmx7XYQ0k+W8iaDWMn5o/uh3S6WXr39B+2eCXFKqG+
CodlyF+RZCIldwTvMX2jtHDrcF4VoJKljv+wTA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
o5iRsFqM3ce7b6T7svod/88zc9yVed0DgumWVLeL6+U0PCbfFWUs89gBXvk5fXcJ78wVSQZpoT9S
SMVqypRbuNsuNyeadNIPe8zTFMr+kqbvEhJWktgz8LOCYyNa8D1s6wjBMEvWOrBv9mYwWz+SfPeu
rDnf1CaEQUIGOn51rlw=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
I0y/MyCOFNO91xE5Xg0a1Z9Lm3XAa0vnInwPBlmj4SF7OXr3Z8er2IgnDAgtYLZRcJ4mY7izGvok
7oaOdrfmkgF09GXKIKaENYYEuxjKq3RDhaP2LPiYvfDSLbaZK05L5qDTnZrtUUdhXRKMlLQMJj9D
GsrzDvF6HP7lZrcyhXGF8/wqjq8e4mXVAV2f9wIMrK3WC/QjhRtlADM+kQmt/lq73Z+CLauXO1ba
qiyP8Kva34rNeczv3cj/jV6jMQiu0NrEDtr9UE6OwO88QpRGjMwvnozHvo7/+FaKbA5CxfncTyWV
8YdmEtExuakfJPBNLqE8l0vzx1GFI1YzLVkC8g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4864)
`protect data_block
dn5bIOJkPhD6+c6pmCQQbrCo2tfvYk4XMj80ldWWQFlrXvLv3v+xoFecRlSSwnx3PI3XI6/zrOKj
ikD/x/AmXLCZ8mFwcGu8OUtdEYMzC6zoNERWqpJWC3Z7cORCwmxlZ/Qa27S03LYJ3yvOFNYdw46D
9KIWWZ0hV6wHOFvMxGvvMwpgqcJRoUDqaLbsBj70Ua8RwTeiTFA/+idFoIooYO1pLNgiVq0egDKH
i/y5PQvsWbU1W7g8wZx3hGgfHsImzESac/GkUIb9CLggXB6P/rkF4vFF0GgOqbkBLY9IF/0fSN2W
qswxklFbWMhb7K9t/lLIFBDNEdseTuPRpjrzGy6mjzSfmkJRWqHZDO0uuUHPbAI8Kbrm/y1BGt+b
zrhEbfsRoVbB4/qaNteITyjGaSs9+dvPBL1ksMBVXv0cTZKcFs1DfnwVcOXCBpJWMbHdwGPj/wqj
vFiR4ypAoSzPRgCsmc5BHXOpBoR5rQ1FaFuUMgNrJatIh9tUQ5zFBjBOrGkN0R8vqmH6MVXsSsI4
Aw/k5YUsMpwvsSJMoeXraMK5il9OOIC5UVRIu78VMVx5pyJx0uQ2eQr6z5r005XfQt0HJkfHblhR
tBiTQXOS6rXv1ekZwdcKf47oOmA9qv6JYarxsluBYsKguP/o0TeKvT3D3D1L1pvIJuV+nkoHpWr9
mqjw3wI1T1Q0pazZ4GJnM92p7CcV8Gn4tBJBsMLL2Xn1GUAvHIoZQys67IQJRD2E8ZnS6Rr9Y7qR
oLRZ4R6aH7pMTnlkpRiOuMvtVogiCqpMqljLR2SGmXXa27YIxynJX4OfUu4L5BfxtYSugF7LtqsB
1NeFflZJ6bFC0Ttg9/TuZ3lhDSj75c6zqK4gnRuXPVrEZ+Z8UXVbaUXKGhsoFgP+KQK0BiVcZjFI
O2HHvb0mIcxDNpDZaLqBOkyKkp54TqfG7YaxwJ140Y9tFAyMSHRQEowAnKVhe9GyEI412isg0lBk
8eshT+fZhm4ST/Wt/1juoL2iJOprQCgjEoVXSvkviMZW92gzYzWSImQd2Qp4j/2LQItlbpwvWSw9
13EyFsJff9gQoe0kXEQVjBdhyIXaSZUgvuacTfXRhqWcuZ0Vo5L+5dbPPC+1CxZG030yAZOwHDOg
rWR0gtnAOtYO5juvTBApNlcGnauixLJJQRNPPM7m2qnuKB75PSELLEmpRWQg1GtDYdB+wrgrlOdY
LqR33MejalW9TpPgL1dlAMZB6eCiZ//umJTN/gUghFmK1J1cgxneLriAthnxg6OXvb0/cxLz+00b
696vt/vgUoZvLQwI5YGkMGweINWfkKW/918DLbuxWvexhhelIZFa/PVOQdnfvw8bRjzASAOuMRlY
ZyOvA7VZCX91IHK9yVQ+0aMMvSIQqLLxVRZ3wp5JJJKwcdUKz81EDjIqL6gMHqOZL/PP932sZ/jL
Zkhi12oE0wXTGS3c+LGbSbs4BlH+sWSKjT+Pj/b9B3FndDymJdj75YDVM/jEdJaAcQdJvYArDAYU
GYpMTn6xJNNG+tyygs//bHNi65GlQl+e4M3Ze8dOUWKCc9PodJZC/oJ5DgWs/Q3281cDfIIZgDYS
4P59izVB20hKaugKHkSpBDaPLyVjY1tXYVduYC75SiybvtZwewuAggqvnjSZaAQrq1de/ZBkT4fd
KBlvkLQmCB4FmyMsl2/MOMXnVwc8/9gRgdidDSs5JwXxLHmsATRd8vDxZsUeS6gH+tvzu29wz3F2
eneeffjfHXndX1UKR3/kl8F7t2QQfq1VMwpXdOIaUbGey9ci8v01Jbg/dJKr5ENmOruii5CzDXni
unYhDNa+wIfeS/3p69DJYLlqNipiiUtm62En+p4s30bj3wbq4TLXjE4c5n3gotYmPLLYtjbD3dfA
/aa19Tv00AxaaYbet1xXd3NlYF1lV+8NLuMmtb6dS6y1lsFsjOnnn0cyNgtJh26XdhVPGjiqRly3
1y8moBlOtBrQb1NMeo70zLLDR8zMW6b1mOF0kKi+rVqUmugsV9No3xTuM003wFhpR47PWHoVQ4yx
wZ0N+8n81Am4yxLA5FzWAFHYLy6iHchiS/W3+4P6yCGAkyaNg3h3Vmxs9Eq5RlIpv+N9I0Tc4wLg
qnTvC3+SDDP+GjHL6qrKfwiu1aeu90oxEMunuDhoLsmNEWuYYaH1Gl1xcuI/7OXHbdaXSB1vvGRZ
Nnj6BRjlD9YjY+xpj3vzgNV81fVljDZAsEM2UFucBZyUdkbLI2zxuoC2+Vj5jzKpPb+f+SK/jHMu
w0jj1n4BErf6zjvDeTTFIOt10E2d1loWZStcYOofn4CThqokIfl5/9BkJbKv6qrwlZ/clYL9fhfB
fnAxuW367Dg/Lq3JjP9crq0AuLtQbDkFlfY+9harXErDfCVs1uNFMFM8zyQC5xlvV9wfs7Z038kg
pibkGnwQ6PwS7CavaCSIP026DhaWnn51/Rua/d6dV8XQ5HOo2eLBuWx11PHkhfnqPtKwPkKrghCS
galmWf5RkYGzxWGaJ+UcBNr+dvcLhZ+EuoWq35fK2ytXDBW3eyWT1HAld7hIniIPj/rYxLqb5XF8
OqhsAmZNIlvakXVzK5t6Si4DfFo7EDaW6aXsrjcaUy883RnlVSjV7uYhYJ6ETbXI05a4Wx3+1Y6R
4SEuVjqe8/SiOEe2WczfDm1gQkeMwf4kNoGuNXlTIW8COXybZiguigXdWBHr7Y9mRQFY8UjS8uCF
7Pts/S/LvZ8IfmUeKwUpJdOxv9jBH9PT/S95/j+tXEv3cQ5QBDcOVHRZy1pHH/0U2csACON4odJP
eAjAOTaws0vJ6C0BZQyRA2PwJ7JGcTGVIUbPkrGUYu7GXtgj5vOwrcpts41au9PNaDqT57jFfumq
Lr9wHQSXuhrreFSE3fS2Ih4Osy1EzEgQqCK0CHWMfx3ENH/vwU9PsrWo85P4gfrO+ejTZc6Oe9NB
cKdPHhaiU0m1JK3oDTe70CHP5fOMJk3fZrD7D4V8uJt9Tvte+sbMT5BMU0XxDI6hOjVE59tS+l9P
GVWULB+QRFYBdl5sSZQk0YOHxV6fsDKGLpjxQZeo6N0j9ox0nI6+66xCJzuhjO1jGRQ0BxHAnpMi
6eqXVyoGMXGJyEYQkd+2CKOfgrtACSJQhnO0W9rEpAg/RtDRJwH0SeZefSb3fKp4wS+iyqskRtEC
hL+XGyYyPOFmMJNncjcu/nGTV4zWtDFOqzqk0nuzVbJWe+whmyLL1OlhUTUVHQzBnLKqROXnq3Tc
XdJ9ez3by6gt/P4kEPR65HCHJLZ3B19Arjt1k+MXTXiORruWwyBI3zsCSAuCsXBRY3ryXYevtrfx
aGPk9CLPXNTszaRcQ6J704xqi3MD6OTnn40GC20TMvS7rmIoSwLyjDWvLe+TNO++x9q076onLoTD
arMNZJ2L/b1uDakB4NH10Gweves1qQw/MOUF674KIYvFC9BGZlFq1H9Yc4FpcKWvsvGqlgEZZxtU
2m9+5vtD4FpYkOoA14bA03DaOzR1s4JoLoDnk0RFdEwFzHXi+7Vasa+9U7jO+AffmD3tEIQMakoD
orh7QheMuyiAgEwYfhXTWETXws1QvOpMJ0ZfuDLbt/K6FyOqNdSjbErk4RJOpI9yW1G/pDM2mIrh
y+eP4ff8jqWR34K0wvgPqlwNxvPRAGyhyCf2dapFXTfbuByThsqPa8q34crMqfQhUje444c1Hins
FbFBItla/o7FqExuR8PdlSD6mbcXCF8e5s6Z4EGFLBYODHxnKrDOmIBzKvolbCrVsfqoykfu1ctN
6hPZiadwlX7IZJyiu6XXeEBPIabaj7eYc4Umph0adDXAiWiO7LELKpbVJ8/WfLBTWV9jhm5XoMEz
a6UGf0bKH1QF/0m8vDuPdzsTyKUn4PBilrHOOoINErU5VFq/spImpQKTTl4fl3X0EIzusUuYzEMl
MYF151ZKLKjbsDW8lxPKfgIGmXWzJ8rmgzIGrOcR7LBBsW0CflrGMXYNsWQKWSxjLtWauiQzIahP
pHubjR4m+DS9+XCSTGE+pJe/48NiiZkpCrWisozFccnD17KcjHrmBXiNUpCTJFODmReUCnVZRzoi
Hu4/SJaVtjRmLzjcV2Xw3RThWddKgGqVJXi9ZGLWhUog3ZBNwNmNcv+9NTygb2MfaL3ndUw8jt0w
JXAeSAjpyFz2VP4ywZkC3FRL1n06YHBWXOR+osn3dTuEvTTK4PvXHYpotloiaV9KHW2jLU/vI4n/
4F/jL35KORi93kQFYoNSfq/+vRjIk3Kcllv7qMgX83gRQazPjE4bcvcHfn0b6gBHvY5dRL6q2v3+
d0sE8OEc27lvA3CzHdBmbajrBZ/5QxXH3hcJOS8SHqPocI1GPK5Bko4Gd6tgh5NbOmAnt1Yq1jCo
2ccTCIIXJb+OaXLbApjSN5CGKWwg0Mp3W2LlT5eVZj/nBCUbFzqDrDrdqHnwCqZvXtU7QwSv0sB+
YUJebnVhrXD3RSXzUXM453hEi2VC9gUvPKhnyHr4Wf2aqqAWNGYFRnNN12MxoRUMxfusGZIphL9G
x5KyjP/SL0fz0hxa2j8iVUfJLLZ+St6k1u8xBVPD6FBiaxJWY2ai8FlncNWTDXzcZN7r5A6pZJLw
95ngpoOKG+C7cwpBtdQ9PzXwtz3GA23XVU8gCVer5exWC5NsXPZ1K6tOBquCmcZlUKWzIpSzenNc
QoJt8MGXU/aW8fW3kPJ9ubW1XyNfqFk719fQs42TmLNQ9dwwcVqos0hkBeMsKUSfGtzFOPrufbEw
+r9oR0zzWoQkSu+NwZnS+6ZYRMcDCfiQLuoJA8Zo9pWwErhBme13B98zklNlnFwsyKPCvOAMr5pu
6Mrx/9Cw/qHFeizUHSw0OZ7b7/djIXA7XL9ply1Xqg1s2+cMuGxR3tEb05ErPxbUtuDFMNu5uRnh
soXYtlQGx5XQsrDysTw1aXl12fCvVNnvD1/TqTEOw9U6TBEOeYPD60dXbNNjBJBDJQzfB193/uOF
fxNiMEJWE7aqz1vJcd5GvA60s68TMhQKhOxeKkCt+SFcT7PoDYS5Ob++9dZKeo0QxpuHBPm6OqEg
iesHXv2NFN0FxzXHDahPSBIk1Zj1IxwMDdoWLqrRo7Hbkl23sQZ/6meMPZoAn2IPvoiU97DRNrEj
aJGKVwH1hNvGPAjF/SnM6wPK7TBIRrqW/z8bHz/u1lZPU6JSoha5z/QQ/Q+Wk63SA043F5gQrOHg
ROV6N1f3s9iM1nAOPOy97p8EwI0r7EnH7LDkxbLLrqEALn2zoW4GMVETjIPOPkcSduh4wxEZ4SOV
MR0xO6AY3RjKcyRo6Ce0u312n++L6/u8rlwiNRI68xCVYutAZWqChFiiaTLY/jxz/+zpW6x0QN+l
y13CMpG1Ae5bNI56YWmuNu2LZsZUkPW4+18xEXPQIzyilkKnz+wspJfRkahXml9lzr1QgsJVZXYU
U/5gssPoI2L1o+L2ph1SYEjlaOi7xoAUEcgiBeWZ107K+WwBjBtLf284RfdLESF3sRIcqqApqiB3
lIuK89YjkGg+sAuOib81BHQGoaa+UeKFIyF+wnqXdgeKWRkTCMqCT5Uqge+5prLEtYqMemasXbdA
5yv9Kt+a21rRTv9QV0sizmWbW47W7Sg0+sRt1Tgj8UhZoppWJU0LLlugRFR0AfGQZHBL8GxTSxks
0Jp+Yt1ChKIwXmQd6OQbLuj1O9xGAfMo6rpw9gttL4FSSwpX/6rgLv7AMEwUKoskUQXHFTfBS1HZ
3DlNW4rLc1F+kMjiovxCVLiW6A2vgiKrN/ieiEd3hQibakq4vIkgXA6Of3Udxe3buzrRDLXGdNiz
LK+kt+JC9GdqB7/k0PCiylBTkUoWiyzQTPcdBVnFTA/sFUs4RlVI2iekg3KQi1dHYQHd9Y4/RlWe
5o8wfgAbX+B+oMKPoDklJYFRXHCM0gJHpoi3ESvTBH9oSZkgtgaRGqX+nxPbgqlMoHa4WjKfvh75
2YAiB+TgeVxdWEao59ji0pY3JCwwDjTWxqd5/wHw7cMLm6LLxmGOmnOQ2mjLIcgnd1swO7/dWMw5
13LtUqrObprwSTbSp0f53nkWsdMm02xAsc0YmEFAcV38nuRTs7fLSi7X8cqGj55dvrDYBNq3Eihr
shC5/QefqdiOCjQtObSAtARued+uROvyxvxcSATssv364gKY7qAKqdO8pcyXfa/Fz4EeuVlXI473
BM039I/NfNS5Co6ynn1zaDo6Ow40ozJMvo2iqkkHNmfY6e3TriLow1rUedKV2ODpI/+9Oy51weuc
8quEYw2d6gipJjELBZOhE1q7Cp12O9/YKbagziZAEf+zhVl/X9DTvUF1TGbg38RnC92mOoIFR55e
I4yPXXIXsfa8e8u64Fc3UXyQQvZs7nh8o/V5LvjZcauWgjyZDQpfStlNA3VaIRlIgla80+MeYHwP
+P8gk0DrzbT+BPHhWlIwmUOUAg==
`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/mono_radio/ip/dds/xbip_bram18k_v3_0/hdl/xbip_bram18k_v3_0_viv_comp.vhd | 12 | 8921 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
hMTrOc8dD18HaqgWvNmpZ4zEm8bBBYbUJD8q1/fmMBemus6deF/Rs3qv014OJsRXQqbxa2hesuab
yGLKKDfrwQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
mSxgBrwgtLA2vAOXwyMHrrOann/C22f5E08+6DMf0LZ5hAU9geZ/0xmR5kvqfwU8TARik4RxiMPe
GoOXyLsOMN2W6UkShgCGCLgANK5tzZcuyHx6Pk44yHLUUpuKg164L+cH07mc8cp50IJTS2Cc8CtI
krKzpMgwe9M7J+GMH70=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
XzknZSGCbgMYEa8u6l4dUyn/X4Z2Ja897ql7FP5SKS5fe3Yb+7ag8MRk2B3VKVA5Xoyj1B4W4sIv
+xA7HVkJ5qhFGnaIxXLQE9YDYjt7bN4aSnrrGVlnrTeF15jG6/33OpfAqBt5wFvtNlCAmFI6UBBx
g2e8hCldEiZakjnpEkpseVR8pjDgCSm6Ns4wvBhf2d1rxhnnEtxZ8gT8BwJdq3qbxox5IAs1/3kf
8FmllXrABHR6vNYYk5rBolu45OEDwNVpdUAmx7XYQ0k+W8iaDWMn5o/uh3S6WXr39B+2eCXFKqG+
CodlyF+RZCIldwTvMX2jtHDrcF4VoJKljv+wTA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
o5iRsFqM3ce7b6T7svod/88zc9yVed0DgumWVLeL6+U0PCbfFWUs89gBXvk5fXcJ78wVSQZpoT9S
SMVqypRbuNsuNyeadNIPe8zTFMr+kqbvEhJWktgz8LOCYyNa8D1s6wjBMEvWOrBv9mYwWz+SfPeu
rDnf1CaEQUIGOn51rlw=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
I0y/MyCOFNO91xE5Xg0a1Z9Lm3XAa0vnInwPBlmj4SF7OXr3Z8er2IgnDAgtYLZRcJ4mY7izGvok
7oaOdrfmkgF09GXKIKaENYYEuxjKq3RDhaP2LPiYvfDSLbaZK05L5qDTnZrtUUdhXRKMlLQMJj9D
GsrzDvF6HP7lZrcyhXGF8/wqjq8e4mXVAV2f9wIMrK3WC/QjhRtlADM+kQmt/lq73Z+CLauXO1ba
qiyP8Kva34rNeczv3cj/jV6jMQiu0NrEDtr9UE6OwO88QpRGjMwvnozHvo7/+FaKbA5CxfncTyWV
8YdmEtExuakfJPBNLqE8l0vzx1GFI1YzLVkC8g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4864)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/mono_radio/ip/bram/blk_mem_gen_v8_2/hdl/blk_mem_gen_v8_2_pkg.vhd | 11 | 123927 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Ccre/mo4iYR6ZSgOg1gk/7yavHm/Tab3ZkZcYFm6mHsK2rs8opjY2zm8CLFAxyKzM+XWqIQXr/Fc
dQ62SDu8pQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
WyYd7hG/1lw77JWK+H7uaCTBuAtJ0TBNBmyeEHZzKg+QBt3Cr/4H8z2MUPj6pZRjBIIMcBdDyWAg
kFxba6x1wM6D0583UJ6utRg76JBTYn3hze0vwLk8TflbT8BIsLMY/07o7U9RQLj+Czrd4nu/GcB9
pJ+rlEp3a0iAZrf+WXM=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
RfuO8rrKLN6nyJNR3MR3coxhKut1qVIAuEdjKuEt9/BtiGJZN+vho3sGPhnXGPXiGhQsomdebq5G
ubnDKN4NlrU/K/1OyNtvkXiCQ0yq0PS2JdWUylqpjwB9ynBw5A57ADeaCo/udDuX1y5wHWGkhROQ
fsJZ53VGKb1Op1Lb3r9BDB8N5YilEmUvvtSyFbdB+7psIBAUYyMVMn5URNhxA4cyzgpgQhfcULcK
sD4UNIk4VWttF0vTTR6gUts3jmAIHyHf3d6WxdEAShshX6o4OKR2UxT4uLzQata959gMnHWV1u8z
szCVxPR8xQQ0v799z81NPg3yNd9QbIa33NfW3w==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
vxmmKikWOvh/MtjVuTOdXUEizG5j31xAKxSiM9Xx8aixITyV/shFEvsvoImS9EU54TgPNBdxM8IR
npEUXOOcVxO9WfGzwhZNQ/ZK0jBxGyrb28doc6RBBBRFSLq6zp6eRXW4db+xriK9oYHqwZlnFh+p
+PrqAo/I9KP6sZv1oHU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
kSh8dathlvrCSfMnDfy+H9hBRQczNUVPEe4uv9JEwCAa3/2S1CyBPGf5gqqXuNXvqHZolzJIX/7w
pSnd9F19rFWLAuVfzyaIPlTZrAsax9Nea4XwtEczdmi61CkouLWxlFuVwoM1bzkNI5RFMcI3c+mY
VVE9udu6in+oPKf4Zn+ElbHY3V+cc76JILBdVqpMZqtx0VT2JvmWZLAz3e78avyNNr3Xow0ywIGy
OdX3dLU09soUoUFPZH3IK98LoelBpKnR1+HxTI22lPYimCTRIAx2buuEryXwBu5wfWWSCn3EPtF5
HiIi9rQ4DoAlkBvN4LqTfIdUNmzaJr1QCruccg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 90000)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/mono_radio/ip/fir_lp_15kHz/sim/fir_lp_15kHz.vhd | 1 | 10295 | -- (c) Copyright 1995-2014 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:fir_compiler:7.1
-- IP Revision: 3
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY fir_compiler_v7_1;
USE fir_compiler_v7_1.fir_compiler_v7_1;
ENTITY fir_lp_15kHz IS
PORT (
aclk : IN STD_LOGIC;
s_axis_data_tvalid : IN STD_LOGIC;
s_axis_data_tready : OUT STD_LOGIC;
s_axis_data_tdata : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
m_axis_data_tvalid : OUT STD_LOGIC;
m_axis_data_tdata : OUT STD_LOGIC_VECTOR(47 DOWNTO 0)
);
END fir_lp_15kHz;
ARCHITECTURE fir_lp_15kHz_arch OF fir_lp_15kHz IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF fir_lp_15kHz_arch: ARCHITECTURE IS "yes";
COMPONENT fir_compiler_v7_1 IS
GENERIC (
C_XDEVICEFAMILY : STRING;
C_ELABORATION_DIR : STRING;
C_COMPONENT_NAME : STRING;
C_COEF_FILE : STRING;
C_COEF_FILE_LINES : INTEGER;
C_FILTER_TYPE : INTEGER;
C_INTERP_RATE : INTEGER;
C_DECIM_RATE : INTEGER;
C_ZERO_PACKING_FACTOR : INTEGER;
C_SYMMETRY : INTEGER;
C_NUM_FILTS : INTEGER;
C_NUM_TAPS : INTEGER;
C_NUM_CHANNELS : INTEGER;
C_CHANNEL_PATTERN : STRING;
C_ROUND_MODE : INTEGER;
C_COEF_RELOAD : INTEGER;
C_NUM_RELOAD_SLOTS : INTEGER;
C_COL_MODE : INTEGER;
C_COL_PIPE_LEN : INTEGER;
C_COL_CONFIG : STRING;
C_OPTIMIZATION : INTEGER;
C_DATA_PATH_WIDTHS : STRING;
C_DATA_IP_PATH_WIDTHS : STRING;
C_DATA_PX_PATH_WIDTHS : STRING;
C_DATA_WIDTH : INTEGER;
C_COEF_PATH_WIDTHS : STRING;
C_COEF_WIDTH : INTEGER;
C_DATA_PATH_SRC : STRING;
C_COEF_PATH_SRC : STRING;
C_DATA_PATH_SIGN : STRING;
C_COEF_PATH_SIGN : STRING;
C_ACCUM_PATH_WIDTHS : STRING;
C_OUTPUT_WIDTH : INTEGER;
C_OUTPUT_PATH_WIDTHS : STRING;
C_ACCUM_OP_PATH_WIDTHS : STRING;
C_EXT_MULT_CNFG : STRING;
C_DATA_PATH_PSAMP_SRC : STRING;
C_OP_PATH_PSAMP_SRC : STRING;
C_NUM_MADDS : INTEGER;
C_OPT_MADDS : STRING;
C_OVERSAMPLING_RATE : INTEGER;
C_INPUT_RATE : INTEGER;
C_OUTPUT_RATE : INTEGER;
C_DATA_MEMTYPE : INTEGER;
C_COEF_MEMTYPE : INTEGER;
C_IPBUFF_MEMTYPE : INTEGER;
C_OPBUFF_MEMTYPE : INTEGER;
C_DATAPATH_MEMTYPE : INTEGER;
C_MEM_ARRANGEMENT : INTEGER;
C_DATA_MEM_PACKING : INTEGER;
C_COEF_MEM_PACKING : INTEGER;
C_FILTS_PACKED : INTEGER;
C_LATENCY : INTEGER;
C_HAS_ARESETn : INTEGER;
C_HAS_ACLKEN : INTEGER;
C_DATA_HAS_TLAST : INTEGER;
C_S_DATA_HAS_FIFO : INTEGER;
C_S_DATA_HAS_TUSER : INTEGER;
C_S_DATA_TDATA_WIDTH : INTEGER;
C_S_DATA_TUSER_WIDTH : INTEGER;
C_M_DATA_HAS_TREADY : INTEGER;
C_M_DATA_HAS_TUSER : INTEGER;
C_M_DATA_TDATA_WIDTH : INTEGER;
C_M_DATA_TUSER_WIDTH : INTEGER;
C_HAS_CONFIG_CHANNEL : INTEGER;
C_CONFIG_SYNC_MODE : INTEGER;
C_CONFIG_PACKET_SIZE : INTEGER;
C_CONFIG_TDATA_WIDTH : INTEGER;
C_RELOAD_TDATA_WIDTH : INTEGER
);
PORT (
aresetn : IN STD_LOGIC;
aclk : IN STD_LOGIC;
aclken : IN STD_LOGIC;
s_axis_data_tvalid : IN STD_LOGIC;
s_axis_data_tready : OUT STD_LOGIC;
s_axis_data_tlast : IN STD_LOGIC;
s_axis_data_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_data_tdata : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
s_axis_config_tvalid : IN STD_LOGIC;
s_axis_config_tready : OUT STD_LOGIC;
s_axis_config_tlast : IN STD_LOGIC;
s_axis_config_tdata : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_reload_tvalid : IN STD_LOGIC;
s_axis_reload_tready : OUT STD_LOGIC;
s_axis_reload_tlast : IN STD_LOGIC;
s_axis_reload_tdata : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_data_tvalid : OUT STD_LOGIC;
m_axis_data_tready : IN STD_LOGIC;
m_axis_data_tlast : OUT STD_LOGIC;
m_axis_data_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_data_tdata : OUT STD_LOGIC_VECTOR(47 DOWNTO 0);
event_s_data_tlast_missing : OUT STD_LOGIC;
event_s_data_tlast_unexpected : OUT STD_LOGIC;
event_s_data_chanid_incorrect : OUT STD_LOGIC;
event_s_config_tlast_missing : OUT STD_LOGIC;
event_s_config_tlast_unexpected : OUT STD_LOGIC;
event_s_reload_tlast_missing : OUT STD_LOGIC;
event_s_reload_tlast_unexpected : OUT STD_LOGIC
);
END COMPONENT fir_compiler_v7_1;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TDATA";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TDATA";
BEGIN
U0 : fir_compiler_v7_1
GENERIC MAP (
C_XDEVICEFAMILY => "zynq",
C_ELABORATION_DIR => "./",
C_COMPONENT_NAME => "fir_lp_15kHz",
C_COEF_FILE => "fir_lp_15kHz.mif",
C_COEF_FILE_LINES => 64,
C_FILTER_TYPE => 0,
C_INTERP_RATE => 1,
C_DECIM_RATE => 1,
C_ZERO_PACKING_FACTOR => 1,
C_SYMMETRY => 1,
C_NUM_FILTS => 1,
C_NUM_TAPS => 128,
C_NUM_CHANNELS => 1,
C_CHANNEL_PATTERN => "fixed",
C_ROUND_MODE => 0,
C_COEF_RELOAD => 0,
C_NUM_RELOAD_SLOTS => 1,
C_COL_MODE => 1,
C_COL_PIPE_LEN => 4,
C_COL_CONFIG => "20,20,24",
C_OPTIMIZATION => 2046,
C_DATA_PATH_WIDTHS => "16",
C_DATA_IP_PATH_WIDTHS => "16",
C_DATA_PX_PATH_WIDTHS => "16",
C_DATA_WIDTH => 16,
C_COEF_PATH_WIDTHS => "19",
C_COEF_WIDTH => 19,
C_DATA_PATH_SRC => "0",
C_COEF_PATH_SRC => "0",
C_DATA_PATH_SIGN => "0",
C_COEF_PATH_SIGN => "1",
C_ACCUM_PATH_WIDTHS => "41",
C_OUTPUT_WIDTH => 41,
C_OUTPUT_PATH_WIDTHS => "41",
C_ACCUM_OP_PATH_WIDTHS => "41",
C_EXT_MULT_CNFG => "none",
C_DATA_PATH_PSAMP_SRC => "0",
C_OP_PATH_PSAMP_SRC => "0",
C_NUM_MADDS => 64,
C_OPT_MADDS => "none",
C_OVERSAMPLING_RATE => 1,
C_INPUT_RATE => 1,
C_OUTPUT_RATE => 1,
C_DATA_MEMTYPE => 0,
C_COEF_MEMTYPE => 2,
C_IPBUFF_MEMTYPE => 0,
C_OPBUFF_MEMTYPE => 0,
C_DATAPATH_MEMTYPE => 0,
C_MEM_ARRANGEMENT => 1,
C_DATA_MEM_PACKING => 0,
C_COEF_MEM_PACKING => 0,
C_FILTS_PACKED => 0,
C_LATENCY => 80,
C_HAS_ARESETn => 0,
C_HAS_ACLKEN => 0,
C_DATA_HAS_TLAST => 0,
C_S_DATA_HAS_FIFO => 1,
C_S_DATA_HAS_TUSER => 0,
C_S_DATA_TDATA_WIDTH => 16,
C_S_DATA_TUSER_WIDTH => 1,
C_M_DATA_HAS_TREADY => 0,
C_M_DATA_HAS_TUSER => 0,
C_M_DATA_TDATA_WIDTH => 48,
C_M_DATA_TUSER_WIDTH => 1,
C_HAS_CONFIG_CHANNEL => 0,
C_CONFIG_SYNC_MODE => 0,
C_CONFIG_PACKET_SIZE => 0,
C_CONFIG_TDATA_WIDTH => 1,
C_RELOAD_TDATA_WIDTH => 1
)
PORT MAP (
aresetn => '1',
aclk => aclk,
aclken => '1',
s_axis_data_tvalid => s_axis_data_tvalid,
s_axis_data_tready => s_axis_data_tready,
s_axis_data_tlast => '0',
s_axis_data_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_data_tdata => s_axis_data_tdata,
s_axis_config_tvalid => '0',
s_axis_config_tlast => '0',
s_axis_config_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_reload_tvalid => '0',
s_axis_reload_tlast => '0',
s_axis_reload_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axis_data_tvalid => m_axis_data_tvalid,
m_axis_data_tready => '1',
m_axis_data_tdata => m_axis_data_tdata
);
END fir_lp_15kHz_arch;
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/tw_addr_gen.vhd | 2 | 10323 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lpus1be/izS2SWuhW2viTzHic4OhttyY+Jdb81qB5ajLJqJXDiL6kyGzbXxxLrrZBEdUV4aq/yym
DXvoUEdYvQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
APY8DyV9ShNbxKwCQSNZjqz6M4v3vfodQOwTxDKk3VxhnJr/6POXSEqElEiRQqEvyiihWl740HgU
DQS0R/ozVuXCpesdC1iTsodlldVEMOykBYdK8oUb/4EWAlDHC8Z3SsY/DCHgSsgwrlGU1xH2HZml
bL+fKRYSZs6SN2bNS3I=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
0KMeBUgxOJiQo5P2QXuYP0gVmnMKwmU+7214YIJGLLUVdvlk345NyaO+ANKFzoHcX6R9rdfDjkfK
gqxxMCg4jB2VWvxGLGlobrSjw7negNGlsSEjYi3df2Z96MBNdNyEd4p0+OzsjmLqbVsv9MIWD28p
ncMAo2yQ/OqmBDZO3XqCkoBnYnSqJXTTEdZa/jxSRZ9Zc3rpofSo5o96+8byUVyFBTazvfaezIVZ
3FVnZjRL42FBIdVn3xIqpPl4M0MVyKffE+TUQ6zvG8X3UNS5qT3uhLvUvWTHcyPuk9UZpUNL0AxO
tO0i0fK0kQr16TqvqlaJb5dV7CL/k6e+8Pemcg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
IkI15iXqgW1YJbows4JJ8MgHkFBSSIXgr6rr2vKHFb11cDtYARZZfMaQMI+tpciDbEuIu1YJ8SMX
w1p4QjFpdgKaN4ceQY/0WmIEdXvsyFl0JGQWPPnw0CYo6x6H4kDZz76kahhV3o8rQc581/8m3jiA
esmrY9xM49ZhQxF59Mk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cp6qy6HwUEre2knmw+Ykeo7Nr4bbGqj7VqV1jxVxv8yZCj8X5XzXAwkVv+MWG6oqMy9mN7ZgZ/Oy
i5g6nTreLqDqF3rpB0+2hK4gFPtpfJYmMClli5O1qYVjSxOxXAE2+Hv8WRNSO/V53uB6v4F+kn1I
IByiiCOXlSnGqXNa1WdGYWecSADVc+hV0St7lZQ8pS4I/dcegjGROjcQI6HXJqw0EryJJJGjQ+R6
xp1Nye4xSZ5FYTgeVQIGe0s/vebLO4tAR9y2w6vDUVcZakCEzsuBvxQUGzcwKTRcU9Vfmu31EwQm
uVzW58tvC9q5ulxFWU5gPHtHlE5OVnYBmhxSaw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5904)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_4/part_1/ip/fft/xfft_v9_0/hdl/tw_addr_gen.vhd | 2 | 10323 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lpus1be/izS2SWuhW2viTzHic4OhttyY+Jdb81qB5ajLJqJXDiL6kyGzbXxxLrrZBEdUV4aq/yym
DXvoUEdYvQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
APY8DyV9ShNbxKwCQSNZjqz6M4v3vfodQOwTxDKk3VxhnJr/6POXSEqElEiRQqEvyiihWl740HgU
DQS0R/ozVuXCpesdC1iTsodlldVEMOykBYdK8oUb/4EWAlDHC8Z3SsY/DCHgSsgwrlGU1xH2HZml
bL+fKRYSZs6SN2bNS3I=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
0KMeBUgxOJiQo5P2QXuYP0gVmnMKwmU+7214YIJGLLUVdvlk345NyaO+ANKFzoHcX6R9rdfDjkfK
gqxxMCg4jB2VWvxGLGlobrSjw7negNGlsSEjYi3df2Z96MBNdNyEd4p0+OzsjmLqbVsv9MIWD28p
ncMAo2yQ/OqmBDZO3XqCkoBnYnSqJXTTEdZa/jxSRZ9Zc3rpofSo5o96+8byUVyFBTazvfaezIVZ
3FVnZjRL42FBIdVn3xIqpPl4M0MVyKffE+TUQ6zvG8X3UNS5qT3uhLvUvWTHcyPuk9UZpUNL0AxO
tO0i0fK0kQr16TqvqlaJb5dV7CL/k6e+8Pemcg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
IkI15iXqgW1YJbows4JJ8MgHkFBSSIXgr6rr2vKHFb11cDtYARZZfMaQMI+tpciDbEuIu1YJ8SMX
w1p4QjFpdgKaN4ceQY/0WmIEdXvsyFl0JGQWPPnw0CYo6x6H4kDZz76kahhV3o8rQc581/8m3jiA
esmrY9xM49ZhQxF59Mk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cp6qy6HwUEre2knmw+Ykeo7Nr4bbGqj7VqV1jxVxv8yZCj8X5XzXAwkVv+MWG6oqMy9mN7ZgZ/Oy
i5g6nTreLqDqF3rpB0+2hK4gFPtpfJYmMClli5O1qYVjSxOxXAE2+Hv8WRNSO/V53uB6v4F+kn1I
IByiiCOXlSnGqXNa1WdGYWecSADVc+hV0St7lZQ8pS4I/dcegjGROjcQI6HXJqw0EryJJJGjQ+R6
xp1Nye4xSZ5FYTgeVQIGe0s/vebLO4tAR9y2w6vDUVcZakCEzsuBvxQUGzcwKTRcU9Vfmu31EwQm
uVzW58tvC9q5ulxFWU5gPHtHlE5OVnYBmhxSaw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5904)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_7/part_3/ip/xfft/floating_point_v7_0/hdl/shared/addsub.vhd | 3 | 15510 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Ql0Ey3vkfkQja7unzC2x8goyLZRmr5kNKdo/Pds8njR6urujoaAruCnKQRX0hOWwwWpQyh7LGcbk
cBL3Y8e7iA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
c49Bl2DfcpF4ruKL1EAM8GrfrsZIyB89jJi8otP3SoLZ24pZgCQxApfea5aD7kfLPBVnjK/ZT9nd
DwsphTCtnxt6lWZKj+1j8mf004hc+gvTddMvZxbavl9iXCfbkqaF5kyxR0XQtBh+ps2HmuEyXrvr
jPjk92E2C1PpbQ1hP9Y=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
WsV2Bfn4pvJXcR7vtWn3MWw2FdTHAZp7nid7u2RKDzXewosVY8HqxZTHP7+IqLtG8FLlXZoIrpco
3lMZm2scKLnTQGDru92yKl7S8gQP+i9XvkiUGwf+2CdEvkkDvMtvdvR5Acd0jZP+4wHRojoXRDte
u6FjEI4Yj1OTzZfgYBrLVAk60ibuZo3vjToT7zFprXpdPffMO3dKcVCHgD0j2wxAU1KKil0saXlq
Y7Gf2uKtGuWJX1jEIN+VYjf9lhK19J6khnHxwcJC66/xLsLrXC6xpCQGpnqExJDjWgsIvvZTGPyL
Qx/CF4aVIHamMvzeOPFcVFKlcJyJemowWEK1Rw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ZQO9nV/LSel2c6ZKcXCMN+zCvoXbe6NCTqbBoX56VKzlAFqpiSpZQH9SX89BacuZhOG2fhhdrkWo
xdWuTH3KmgFeohXf7+jneoj0VjgkE6XRJxAUM1zCFhDPk3RDU46PTTG4MKqSl8W+0B1eVt/r8ibu
VJ38y2m1MOTyUBrEG1w=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
he7DVBr3s+y9UDHdMaYoty7quvHMvbrOXKy0WBeGrQyjvkKYgYIDaMrdIZnhi3cho/UrUFaZt2Qc
oA6SKsQ9uuQ6EFAG1Ji/jzo5g9qjju/0zdImzV8ITjF7qBId/vleGpM9RghCNlBHbOz7/0DDM6Wo
ZoBe6uw9rcn7kMV94yb4CRCcFxkoErnWo1dBBAtfBIrwUed4scS5cbMCVhLCmETe5RBhrH400OU+
2/jBC82zpwlMrLFSaK2u9nc0aeQbte+A4we0Dk3NNiP+mTWhEiPt/1RBz809EFSzTXiuc3yU+Cwt
G4Buq4zxDgWdEuJDvzYqUrQwU7R6Cf+U7ay9Iw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9744)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/xfft_v9_0/hdl/scale_logic.vhd | 3 | 8443 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
irHIr4lsrE+63Ldj/s8WbYGeEl1N3Wp0IAnV+tG/+lqK8sKADejH27+Q/FF7t17fb42jTzbMf4qX
ecQOfOxP4w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
US1y+fpIqLYkJC6eao9nCtQ6bH2FMqgj72MUASXzTqd7pLeYnShNt3eG5KHA2XgaCHAfERZuXnXU
+0z1iBasK9dEvCXGEr6d3xvtVDtd+njG698e+D8QVzVnfe3S1N88V/SoOnhM9VXEP5hfXlhWbPaa
0K/HgtdFCd+vZ1wBEJg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
DljEk/HJM2xKNNv9bKzOX5HnGOVMRFmXV/fTsGMf9znwxETGCyt4btckuBLnQQPS9JJH93IogpMb
kYQXc7wklJTRhR6FQMg2Swte/S1Wb1woGFLvct9Uvn/0j4p2x6zXZcrWYmG4dQyFyzlw7fSmLrB2
FNxq2EsbB7wP2dx8GhE1yC4mscB2JypVBIV2PqkOAS4ltUkFhPcu2OWsdmljkYy3n8xHzECvyZ5X
tHTzkJlAjCe4jYsZl+LWvQCVZZ1bh7koDSmR+JF4hzCb4ahuQOfuA3XjdwlDKdlpTyO0YRcdezgl
lmKC1yqdTIIMRzxM4a3EThV+LM5uesIniiO69g==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
x1TLeTZA4OAAMF4pC7int11FGB7tAfBMbZxjvJO524IXxpYwHEyBg1VqorlZagTfwHcIWkaETfaP
hwUiZCdDyHI2Bf9I4ox9U5IlZX+6LrSCvGSNDgq3HBByETemAL6uWyEMbFXKJvAL5t1njG8j/nOX
RxCbWgzVarRP186Bum0=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
KewCdqCStZTaXQIB71ppq161FnQ4oyiqk6Vo71ObhyjqMEd/2VitnaID6h5sOknhsqPqf0PsPe90
bYNGRReSvBNHwq2OdLnJlCzgOP5d3s8FzQyT2xMY61frz65ZdupJsoyCzPudxnf9HnHxBQyhmV6s
Xb5CjjcH9D561Es+dHVcw8MsGe42yxqVFBN5eS+JZD3OkJ4T553DN7dZ9rbM6N1YF3fDeIj/eT++
+S34AJ6xwHlK3FcK/34BCGpk9qm7WuKqpGWF0HWLGkSG+IK8SG6rOWt8ca8NJO6P+5pciPSHBdNR
/7/dEUaVabn0H+XtWNwQ2cI3QtqoiycCcSyQYw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4512)
`protect data_block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`protect end_protected
| gpl-2.0 |
cafe-alpha/wascafe | v13/r07c_de10_20201014_abus4/wasca/synthesis/wasca_rst_controller_002.vhd | 6 | 9079 | -- wasca_rst_controller_002.vhd
-- Generated using ACDS version 15.0 145
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity wasca_rst_controller_002 is
generic (
NUM_RESET_INPUTS : integer := 1;
OUTPUT_RESET_SYNC_EDGES : string := "deassert";
SYNC_DEPTH : integer := 2;
RESET_REQUEST_PRESENT : integer := 1;
RESET_REQ_WAIT_TIME : integer := 1;
MIN_RST_ASSERTION_TIME : integer := 3;
RESET_REQ_EARLY_DSRT_TIME : integer := 1;
USE_RESET_REQUEST_IN0 : integer := 0;
USE_RESET_REQUEST_IN1 : integer := 0;
USE_RESET_REQUEST_IN2 : integer := 0;
USE_RESET_REQUEST_IN3 : integer := 0;
USE_RESET_REQUEST_IN4 : integer := 0;
USE_RESET_REQUEST_IN5 : integer := 0;
USE_RESET_REQUEST_IN6 : integer := 0;
USE_RESET_REQUEST_IN7 : integer := 0;
USE_RESET_REQUEST_IN8 : integer := 0;
USE_RESET_REQUEST_IN9 : integer := 0;
USE_RESET_REQUEST_IN10 : integer := 0;
USE_RESET_REQUEST_IN11 : integer := 0;
USE_RESET_REQUEST_IN12 : integer := 0;
USE_RESET_REQUEST_IN13 : integer := 0;
USE_RESET_REQUEST_IN14 : integer := 0;
USE_RESET_REQUEST_IN15 : integer := 0;
ADAPT_RESET_REQUEST : integer := 0
);
port (
reset_in0 : in std_logic := '0'; -- reset_in0.reset
clk : in std_logic := '0'; -- clk.clk
reset_out : out std_logic; -- reset_out.reset
reset_req : out std_logic; -- .reset_req
reset_in1 : in std_logic := '0';
reset_in10 : in std_logic := '0';
reset_in11 : in std_logic := '0';
reset_in12 : in std_logic := '0';
reset_in13 : in std_logic := '0';
reset_in14 : in std_logic := '0';
reset_in15 : in std_logic := '0';
reset_in2 : in std_logic := '0';
reset_in3 : in std_logic := '0';
reset_in4 : in std_logic := '0';
reset_in5 : in std_logic := '0';
reset_in6 : in std_logic := '0';
reset_in7 : in std_logic := '0';
reset_in8 : in std_logic := '0';
reset_in9 : in std_logic := '0';
reset_req_in0 : in std_logic := '0';
reset_req_in1 : in std_logic := '0';
reset_req_in10 : in std_logic := '0';
reset_req_in11 : in std_logic := '0';
reset_req_in12 : in std_logic := '0';
reset_req_in13 : in std_logic := '0';
reset_req_in14 : in std_logic := '0';
reset_req_in15 : in std_logic := '0';
reset_req_in2 : in std_logic := '0';
reset_req_in3 : in std_logic := '0';
reset_req_in4 : in std_logic := '0';
reset_req_in5 : in std_logic := '0';
reset_req_in6 : in std_logic := '0';
reset_req_in7 : in std_logic := '0';
reset_req_in8 : in std_logic := '0';
reset_req_in9 : in std_logic := '0'
);
end entity wasca_rst_controller_002;
architecture rtl of wasca_rst_controller_002 is
component altera_reset_controller is
generic (
NUM_RESET_INPUTS : integer := 6;
OUTPUT_RESET_SYNC_EDGES : string := "deassert";
SYNC_DEPTH : integer := 2;
RESET_REQUEST_PRESENT : integer := 0;
RESET_REQ_WAIT_TIME : integer := 1;
MIN_RST_ASSERTION_TIME : integer := 3;
RESET_REQ_EARLY_DSRT_TIME : integer := 1;
USE_RESET_REQUEST_IN0 : integer := 0;
USE_RESET_REQUEST_IN1 : integer := 0;
USE_RESET_REQUEST_IN2 : integer := 0;
USE_RESET_REQUEST_IN3 : integer := 0;
USE_RESET_REQUEST_IN4 : integer := 0;
USE_RESET_REQUEST_IN5 : integer := 0;
USE_RESET_REQUEST_IN6 : integer := 0;
USE_RESET_REQUEST_IN7 : integer := 0;
USE_RESET_REQUEST_IN8 : integer := 0;
USE_RESET_REQUEST_IN9 : integer := 0;
USE_RESET_REQUEST_IN10 : integer := 0;
USE_RESET_REQUEST_IN11 : integer := 0;
USE_RESET_REQUEST_IN12 : integer := 0;
USE_RESET_REQUEST_IN13 : integer := 0;
USE_RESET_REQUEST_IN14 : integer := 0;
USE_RESET_REQUEST_IN15 : integer := 0;
ADAPT_RESET_REQUEST : integer := 0
);
port (
reset_in0 : in std_logic := 'X'; -- reset
clk : in std_logic := 'X'; -- clk
reset_out : out std_logic; -- reset
reset_req : out std_logic; -- reset_req
reset_req_in0 : in std_logic := 'X'; -- reset_req
reset_in1 : in std_logic := 'X'; -- reset
reset_req_in1 : in std_logic := 'X'; -- reset_req
reset_in2 : in std_logic := 'X'; -- reset
reset_req_in2 : in std_logic := 'X'; -- reset_req
reset_in3 : in std_logic := 'X'; -- reset
reset_req_in3 : in std_logic := 'X'; -- reset_req
reset_in4 : in std_logic := 'X'; -- reset
reset_req_in4 : in std_logic := 'X'; -- reset_req
reset_in5 : in std_logic := 'X'; -- reset
reset_req_in5 : in std_logic := 'X'; -- reset_req
reset_in6 : in std_logic := 'X'; -- reset
reset_req_in6 : in std_logic := 'X'; -- reset_req
reset_in7 : in std_logic := 'X'; -- reset
reset_req_in7 : in std_logic := 'X'; -- reset_req
reset_in8 : in std_logic := 'X'; -- reset
reset_req_in8 : in std_logic := 'X'; -- reset_req
reset_in9 : in std_logic := 'X'; -- reset
reset_req_in9 : in std_logic := 'X'; -- reset_req
reset_in10 : in std_logic := 'X'; -- reset
reset_req_in10 : in std_logic := 'X'; -- reset_req
reset_in11 : in std_logic := 'X'; -- reset
reset_req_in11 : in std_logic := 'X'; -- reset_req
reset_in12 : in std_logic := 'X'; -- reset
reset_req_in12 : in std_logic := 'X'; -- reset_req
reset_in13 : in std_logic := 'X'; -- reset
reset_req_in13 : in std_logic := 'X'; -- reset_req
reset_in14 : in std_logic := 'X'; -- reset
reset_req_in14 : in std_logic := 'X'; -- reset_req
reset_in15 : in std_logic := 'X'; -- reset
reset_req_in15 : in std_logic := 'X' -- reset_req
);
end component altera_reset_controller;
begin
rst_controller_002 : component altera_reset_controller
generic map (
NUM_RESET_INPUTS => NUM_RESET_INPUTS,
OUTPUT_RESET_SYNC_EDGES => OUTPUT_RESET_SYNC_EDGES,
SYNC_DEPTH => SYNC_DEPTH,
RESET_REQUEST_PRESENT => RESET_REQUEST_PRESENT,
RESET_REQ_WAIT_TIME => RESET_REQ_WAIT_TIME,
MIN_RST_ASSERTION_TIME => MIN_RST_ASSERTION_TIME,
RESET_REQ_EARLY_DSRT_TIME => RESET_REQ_EARLY_DSRT_TIME,
USE_RESET_REQUEST_IN0 => USE_RESET_REQUEST_IN0,
USE_RESET_REQUEST_IN1 => USE_RESET_REQUEST_IN1,
USE_RESET_REQUEST_IN2 => USE_RESET_REQUEST_IN2,
USE_RESET_REQUEST_IN3 => USE_RESET_REQUEST_IN3,
USE_RESET_REQUEST_IN4 => USE_RESET_REQUEST_IN4,
USE_RESET_REQUEST_IN5 => USE_RESET_REQUEST_IN5,
USE_RESET_REQUEST_IN6 => USE_RESET_REQUEST_IN6,
USE_RESET_REQUEST_IN7 => USE_RESET_REQUEST_IN7,
USE_RESET_REQUEST_IN8 => USE_RESET_REQUEST_IN8,
USE_RESET_REQUEST_IN9 => USE_RESET_REQUEST_IN9,
USE_RESET_REQUEST_IN10 => USE_RESET_REQUEST_IN10,
USE_RESET_REQUEST_IN11 => USE_RESET_REQUEST_IN11,
USE_RESET_REQUEST_IN12 => USE_RESET_REQUEST_IN12,
USE_RESET_REQUEST_IN13 => USE_RESET_REQUEST_IN13,
USE_RESET_REQUEST_IN14 => USE_RESET_REQUEST_IN14,
USE_RESET_REQUEST_IN15 => USE_RESET_REQUEST_IN15,
ADAPT_RESET_REQUEST => ADAPT_RESET_REQUEST
)
port map (
reset_in0 => reset_in0, -- reset_in0.reset
clk => clk, -- clk.clk
reset_out => reset_out, -- reset_out.reset
reset_req => reset_req, -- .reset_req
reset_req_in0 => '0', -- (terminated)
reset_in1 => '0', -- (terminated)
reset_req_in1 => '0', -- (terminated)
reset_in2 => '0', -- (terminated)
reset_req_in2 => '0', -- (terminated)
reset_in3 => '0', -- (terminated)
reset_req_in3 => '0', -- (terminated)
reset_in4 => '0', -- (terminated)
reset_req_in4 => '0', -- (terminated)
reset_in5 => '0', -- (terminated)
reset_req_in5 => '0', -- (terminated)
reset_in6 => '0', -- (terminated)
reset_req_in6 => '0', -- (terminated)
reset_in7 => '0', -- (terminated)
reset_req_in7 => '0', -- (terminated)
reset_in8 => '0', -- (terminated)
reset_req_in8 => '0', -- (terminated)
reset_in9 => '0', -- (terminated)
reset_req_in9 => '0', -- (terminated)
reset_in10 => '0', -- (terminated)
reset_req_in10 => '0', -- (terminated)
reset_in11 => '0', -- (terminated)
reset_req_in11 => '0', -- (terminated)
reset_in12 => '0', -- (terminated)
reset_req_in12 => '0', -- (terminated)
reset_in13 => '0', -- (terminated)
reset_req_in13 => '0', -- (terminated)
reset_in14 => '0', -- (terminated)
reset_req_in14 => '0', -- (terminated)
reset_in15 => '0', -- (terminated)
reset_req_in15 => '0' -- (terminated)
);
end architecture rtl; -- of wasca_rst_controller_002
| gpl-2.0 |
cafe-alpha/wascafe | v13/r07c_de10_20201010_abus3/wasca/synthesis/wasca_rst_controller_002.vhd | 6 | 9079 | -- wasca_rst_controller_002.vhd
-- Generated using ACDS version 15.0 145
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity wasca_rst_controller_002 is
generic (
NUM_RESET_INPUTS : integer := 1;
OUTPUT_RESET_SYNC_EDGES : string := "deassert";
SYNC_DEPTH : integer := 2;
RESET_REQUEST_PRESENT : integer := 1;
RESET_REQ_WAIT_TIME : integer := 1;
MIN_RST_ASSERTION_TIME : integer := 3;
RESET_REQ_EARLY_DSRT_TIME : integer := 1;
USE_RESET_REQUEST_IN0 : integer := 0;
USE_RESET_REQUEST_IN1 : integer := 0;
USE_RESET_REQUEST_IN2 : integer := 0;
USE_RESET_REQUEST_IN3 : integer := 0;
USE_RESET_REQUEST_IN4 : integer := 0;
USE_RESET_REQUEST_IN5 : integer := 0;
USE_RESET_REQUEST_IN6 : integer := 0;
USE_RESET_REQUEST_IN7 : integer := 0;
USE_RESET_REQUEST_IN8 : integer := 0;
USE_RESET_REQUEST_IN9 : integer := 0;
USE_RESET_REQUEST_IN10 : integer := 0;
USE_RESET_REQUEST_IN11 : integer := 0;
USE_RESET_REQUEST_IN12 : integer := 0;
USE_RESET_REQUEST_IN13 : integer := 0;
USE_RESET_REQUEST_IN14 : integer := 0;
USE_RESET_REQUEST_IN15 : integer := 0;
ADAPT_RESET_REQUEST : integer := 0
);
port (
reset_in0 : in std_logic := '0'; -- reset_in0.reset
clk : in std_logic := '0'; -- clk.clk
reset_out : out std_logic; -- reset_out.reset
reset_req : out std_logic; -- .reset_req
reset_in1 : in std_logic := '0';
reset_in10 : in std_logic := '0';
reset_in11 : in std_logic := '0';
reset_in12 : in std_logic := '0';
reset_in13 : in std_logic := '0';
reset_in14 : in std_logic := '0';
reset_in15 : in std_logic := '0';
reset_in2 : in std_logic := '0';
reset_in3 : in std_logic := '0';
reset_in4 : in std_logic := '0';
reset_in5 : in std_logic := '0';
reset_in6 : in std_logic := '0';
reset_in7 : in std_logic := '0';
reset_in8 : in std_logic := '0';
reset_in9 : in std_logic := '0';
reset_req_in0 : in std_logic := '0';
reset_req_in1 : in std_logic := '0';
reset_req_in10 : in std_logic := '0';
reset_req_in11 : in std_logic := '0';
reset_req_in12 : in std_logic := '0';
reset_req_in13 : in std_logic := '0';
reset_req_in14 : in std_logic := '0';
reset_req_in15 : in std_logic := '0';
reset_req_in2 : in std_logic := '0';
reset_req_in3 : in std_logic := '0';
reset_req_in4 : in std_logic := '0';
reset_req_in5 : in std_logic := '0';
reset_req_in6 : in std_logic := '0';
reset_req_in7 : in std_logic := '0';
reset_req_in8 : in std_logic := '0';
reset_req_in9 : in std_logic := '0'
);
end entity wasca_rst_controller_002;
architecture rtl of wasca_rst_controller_002 is
component altera_reset_controller is
generic (
NUM_RESET_INPUTS : integer := 6;
OUTPUT_RESET_SYNC_EDGES : string := "deassert";
SYNC_DEPTH : integer := 2;
RESET_REQUEST_PRESENT : integer := 0;
RESET_REQ_WAIT_TIME : integer := 1;
MIN_RST_ASSERTION_TIME : integer := 3;
RESET_REQ_EARLY_DSRT_TIME : integer := 1;
USE_RESET_REQUEST_IN0 : integer := 0;
USE_RESET_REQUEST_IN1 : integer := 0;
USE_RESET_REQUEST_IN2 : integer := 0;
USE_RESET_REQUEST_IN3 : integer := 0;
USE_RESET_REQUEST_IN4 : integer := 0;
USE_RESET_REQUEST_IN5 : integer := 0;
USE_RESET_REQUEST_IN6 : integer := 0;
USE_RESET_REQUEST_IN7 : integer := 0;
USE_RESET_REQUEST_IN8 : integer := 0;
USE_RESET_REQUEST_IN9 : integer := 0;
USE_RESET_REQUEST_IN10 : integer := 0;
USE_RESET_REQUEST_IN11 : integer := 0;
USE_RESET_REQUEST_IN12 : integer := 0;
USE_RESET_REQUEST_IN13 : integer := 0;
USE_RESET_REQUEST_IN14 : integer := 0;
USE_RESET_REQUEST_IN15 : integer := 0;
ADAPT_RESET_REQUEST : integer := 0
);
port (
reset_in0 : in std_logic := 'X'; -- reset
clk : in std_logic := 'X'; -- clk
reset_out : out std_logic; -- reset
reset_req : out std_logic; -- reset_req
reset_req_in0 : in std_logic := 'X'; -- reset_req
reset_in1 : in std_logic := 'X'; -- reset
reset_req_in1 : in std_logic := 'X'; -- reset_req
reset_in2 : in std_logic := 'X'; -- reset
reset_req_in2 : in std_logic := 'X'; -- reset_req
reset_in3 : in std_logic := 'X'; -- reset
reset_req_in3 : in std_logic := 'X'; -- reset_req
reset_in4 : in std_logic := 'X'; -- reset
reset_req_in4 : in std_logic := 'X'; -- reset_req
reset_in5 : in std_logic := 'X'; -- reset
reset_req_in5 : in std_logic := 'X'; -- reset_req
reset_in6 : in std_logic := 'X'; -- reset
reset_req_in6 : in std_logic := 'X'; -- reset_req
reset_in7 : in std_logic := 'X'; -- reset
reset_req_in7 : in std_logic := 'X'; -- reset_req
reset_in8 : in std_logic := 'X'; -- reset
reset_req_in8 : in std_logic := 'X'; -- reset_req
reset_in9 : in std_logic := 'X'; -- reset
reset_req_in9 : in std_logic := 'X'; -- reset_req
reset_in10 : in std_logic := 'X'; -- reset
reset_req_in10 : in std_logic := 'X'; -- reset_req
reset_in11 : in std_logic := 'X'; -- reset
reset_req_in11 : in std_logic := 'X'; -- reset_req
reset_in12 : in std_logic := 'X'; -- reset
reset_req_in12 : in std_logic := 'X'; -- reset_req
reset_in13 : in std_logic := 'X'; -- reset
reset_req_in13 : in std_logic := 'X'; -- reset_req
reset_in14 : in std_logic := 'X'; -- reset
reset_req_in14 : in std_logic := 'X'; -- reset_req
reset_in15 : in std_logic := 'X'; -- reset
reset_req_in15 : in std_logic := 'X' -- reset_req
);
end component altera_reset_controller;
begin
rst_controller_002 : component altera_reset_controller
generic map (
NUM_RESET_INPUTS => NUM_RESET_INPUTS,
OUTPUT_RESET_SYNC_EDGES => OUTPUT_RESET_SYNC_EDGES,
SYNC_DEPTH => SYNC_DEPTH,
RESET_REQUEST_PRESENT => RESET_REQUEST_PRESENT,
RESET_REQ_WAIT_TIME => RESET_REQ_WAIT_TIME,
MIN_RST_ASSERTION_TIME => MIN_RST_ASSERTION_TIME,
RESET_REQ_EARLY_DSRT_TIME => RESET_REQ_EARLY_DSRT_TIME,
USE_RESET_REQUEST_IN0 => USE_RESET_REQUEST_IN0,
USE_RESET_REQUEST_IN1 => USE_RESET_REQUEST_IN1,
USE_RESET_REQUEST_IN2 => USE_RESET_REQUEST_IN2,
USE_RESET_REQUEST_IN3 => USE_RESET_REQUEST_IN3,
USE_RESET_REQUEST_IN4 => USE_RESET_REQUEST_IN4,
USE_RESET_REQUEST_IN5 => USE_RESET_REQUEST_IN5,
USE_RESET_REQUEST_IN6 => USE_RESET_REQUEST_IN6,
USE_RESET_REQUEST_IN7 => USE_RESET_REQUEST_IN7,
USE_RESET_REQUEST_IN8 => USE_RESET_REQUEST_IN8,
USE_RESET_REQUEST_IN9 => USE_RESET_REQUEST_IN9,
USE_RESET_REQUEST_IN10 => USE_RESET_REQUEST_IN10,
USE_RESET_REQUEST_IN11 => USE_RESET_REQUEST_IN11,
USE_RESET_REQUEST_IN12 => USE_RESET_REQUEST_IN12,
USE_RESET_REQUEST_IN13 => USE_RESET_REQUEST_IN13,
USE_RESET_REQUEST_IN14 => USE_RESET_REQUEST_IN14,
USE_RESET_REQUEST_IN15 => USE_RESET_REQUEST_IN15,
ADAPT_RESET_REQUEST => ADAPT_RESET_REQUEST
)
port map (
reset_in0 => reset_in0, -- reset_in0.reset
clk => clk, -- clk.clk
reset_out => reset_out, -- reset_out.reset
reset_req => reset_req, -- .reset_req
reset_req_in0 => '0', -- (terminated)
reset_in1 => '0', -- (terminated)
reset_req_in1 => '0', -- (terminated)
reset_in2 => '0', -- (terminated)
reset_req_in2 => '0', -- (terminated)
reset_in3 => '0', -- (terminated)
reset_req_in3 => '0', -- (terminated)
reset_in4 => '0', -- (terminated)
reset_req_in4 => '0', -- (terminated)
reset_in5 => '0', -- (terminated)
reset_req_in5 => '0', -- (terminated)
reset_in6 => '0', -- (terminated)
reset_req_in6 => '0', -- (terminated)
reset_in7 => '0', -- (terminated)
reset_req_in7 => '0', -- (terminated)
reset_in8 => '0', -- (terminated)
reset_req_in8 => '0', -- (terminated)
reset_in9 => '0', -- (terminated)
reset_req_in9 => '0', -- (terminated)
reset_in10 => '0', -- (terminated)
reset_req_in10 => '0', -- (terminated)
reset_in11 => '0', -- (terminated)
reset_req_in11 => '0', -- (terminated)
reset_in12 => '0', -- (terminated)
reset_req_in12 => '0', -- (terminated)
reset_in13 => '0', -- (terminated)
reset_req_in13 => '0', -- (terminated)
reset_in14 => '0', -- (terminated)
reset_req_in14 => '0', -- (terminated)
reset_in15 => '0', -- (terminated)
reset_req_in15 => '0' -- (terminated)
);
end architecture rtl; -- of wasca_rst_controller_002
| gpl-2.0 |
sh-chris110/chris | FPGA/chris/Qsys/soc_design/soc_design_inst.vhd | 3 | 1992 | component soc_design is
port (
dram_addr : out std_logic_vector(12 downto 0); -- addr
dram_ba : out std_logic_vector(1 downto 0); -- ba
dram_cas_n : out std_logic; -- cas_n
dram_cke : out std_logic; -- cke
dram_cs_n : out std_logic; -- cs_n
dram_dq : inout std_logic_vector(15 downto 0) := (others => 'X'); -- dq
dram_dqm : out std_logic_vector(1 downto 0); -- dqm
dram_ras_n : out std_logic; -- ras_n
dram_we_n : out std_logic; -- we_n
dram_clk_clk : out std_logic; -- clk
fpga_reset_n : in std_logic := 'X'; -- reset_n
ledr0_ledr : out std_logic; -- ledr
ref_clk : in std_logic := 'X' -- clk
);
end component soc_design;
u0 : component soc_design
port map (
dram_addr => CONNECTED_TO_dram_addr, -- dram.addr
dram_ba => CONNECTED_TO_dram_ba, -- .ba
dram_cas_n => CONNECTED_TO_dram_cas_n, -- .cas_n
dram_cke => CONNECTED_TO_dram_cke, -- .cke
dram_cs_n => CONNECTED_TO_dram_cs_n, -- .cs_n
dram_dq => CONNECTED_TO_dram_dq, -- .dq
dram_dqm => CONNECTED_TO_dram_dqm, -- .dqm
dram_ras_n => CONNECTED_TO_dram_ras_n, -- .ras_n
dram_we_n => CONNECTED_TO_dram_we_n, -- .we_n
dram_clk_clk => CONNECTED_TO_dram_clk_clk, -- dram_clk.clk
fpga_reset_n => CONNECTED_TO_fpga_reset_n, -- fpga.reset_n
ledr0_ledr => CONNECTED_TO_ledr0_ledr, -- ledr0.ledr
ref_clk => CONNECTED_TO_ref_clk -- ref.clk
);
| gpl-2.0 |
huukit/logicsynth | excercises/vhd/multi_port_adder_bonus.vhd | 1 | 3779 | -------------------------------------------------------------------------------
-- Title : TIE-50206, Exercise 04
-- Project :
-------------------------------------------------------------------------------
-- File : multi_port_adder.vhd
-- Author : Tuomas Huuki, Jonas Nikula
-- Company : TUT
-- Created : 09.11.2015
-- Platform :
-- Standard : VHDL'87
-------------------------------------------------------------------------------
-- Description: Fourth excercise.
-------------------------------------------------------------------------------
-- Copyright (c) 2015
-------------------------------------------------------------------------------
-- Revisions :
-- Date Version Author Description
-- 09.11.2015 1.0 tuhu Created
-- 23.11.2015 1.1 nikulaj Added bonus feature
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
entity multi_port_adder is -- Multi port adder definition.
generic
(
operand_width_g : integer := 16; -- Specify default value for both.
num_of_operands_g : integer := 4
);
port
(
clk : in std_logic; -- Clock signal.
rst_n : in std_logic; -- Reset, active low.
operands_in : in std_logic_vector((operand_width_g * num_of_operands_g) - 1 downto 0); -- Operand inputs
sum_out : out std_logic_vector(operand_width_g - 1 downto 0) -- Calculation result.
);
end multi_port_adder;
architecture structural of multi_port_adder is -- Structural declaration utilizing the adder component.
component adder -- Declare component.
generic
(
operand_width_g : integer
);
port
( -- See component for definitions of signals.
clk : in std_logic;
rst_n : in std_logic;
a_in : in std_logic_vector(operand_width_g - 1 downto 0);
b_in : in std_logic_vector(operand_width_g - 1 downto 0);
sum_out : out std_logic_vector(operand_width_g downto 0)
);
end component;
type calculation_values_arr is array (0 to 2*num_of_operands_g - 2) -- Declare new type for all values.
of std_logic_vector(operand_width_g downto 0);
signal values_r : calculation_values_arr; -- All calculation values (inputs and outputs).
begin -- structural
assert ((num_of_operands_g mod 2) = 0) report -- Make sure the number of operands is a factor of 2.
"failure: num_of_operands_g is not a factor of 2!" severity failure;
inputs_to_arr: -- This signal assignment can't be done sequentially in a process, so it's done in a for...generate structure.
for I in 0 to (num_of_operands_g - 1) generate
values_r(I)(operand_width_g - 1 downto 0) <= operands_in((I+1)*operand_width_g - 1 downto I*operand_width_g);
values_r(I)(operand_width_g) <= '0'; -- fill up the missing bit (the above assignment is 4 <= 3).
end generate inputs_to_arr;
adders:
for I in 0 to num_of_operands_g - 2 generate -- Generating the adders
adder_arr : adder
generic map
(
operand_width_g => operand_width_g
)
port map
(
clk => clk,
rst_n => rst_n,
a_in => values_r(I*2)(operand_width_g - 1 downto 0),
b_in => values_r(I*2 + 1)(operand_width_g - 1 downto 0),
sum_out => values_r(num_of_operands_g + I)
);
end generate adders;
sum_out <= values_r(values_r'length - 1)(operand_width_g - 1 downto 0); -- The final result is the last element in the value array.
end structural;
| gpl-2.0 |
mawww/ctags | Test/test.vhd | 91 | 192381 | package body badger is
end package body;
package body badger2 is
end package body badger2;
-- Incorporates Errata 5.4
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity accumulator is port (
a: in std_logic_vector(3 downto 0);
clk, reset: in std_logic;
accum: out std_logic_vector(3 downto 0)
);
end accumulator;
architecture simple of accumulator is
signal accumL: unsigned(3 downto 0);
begin
accumulate: process (clk, reset) begin
if (reset = '1') then
accumL <= "0000";
elsif (clk'event and clk= '1') then
accumL <= accumL + to_unsigned(a);
end if;
end process;
accum <= std_logic_vector(accumL);
end simple;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
entity adder is port (
a,b : in std_logic_vector (15 downto 0);
sum: out std_logic_vector (15 downto 0)
);
end adder;
architecture dataflow of adder is
begin
sum <= a + b;
end dataflow;
library IEEE;
use IEEE.std_logic_1164.all;
entity pAdderAttr is
generic(n : integer := 8);
port (a : in std_logic_vector(n - 1 downto 0);
b : in std_logic_vector(n - 1 downto 0);
cin : in std_logic;
sum : out std_logic_vector(n - 1 downto 0);
cout : out std_logic);
end pAdderAttr;
architecture loopDemo of pAdderAttr is
begin
process(a, b, cin)
variable carry: std_logic_vector(sum'length downto 0);
variable localSum: std_logic_vector(sum'high downto 0);
begin
carry(0) := cin;
for i in sum'reverse_range loop
localSum(i) := (a(i) xor b(i)) xor carry(i);
carry(i + 1) := (a(i) and b(i)) or (carry(i) and (a(i) or b(i)));
end loop;
sum <= localSum;
cout <= carry(carry'high - 1);
end process;
end loopDemo;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity adder is port (
a,b: in unsigned(3 downto 0);
sum: out unsigned(3 downto 0)
);
end adder;
architecture simple of adder is
begin
sum <= a + b;
end simple;
library IEEE;
use IEEE.std_logic_1164.all;
library IEEE;
use IEEE.std_logic_1164.all;
entity AND2 is port (
i1: in std_logic;
i2: in std_logic;
y: out std_logic
);
end AND2;
architecture rtl of AND2 is
begin
y <= '1' when i1 = '1' and i2 = '1' else '0';
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity asyncLoad is port (
loadVal, d: in std_logic_vector(3 downto 0);
clk, load: in std_logic;
q: out std_logic_vector(3 downto 0)
);
end asyncLoad;
architecture rtl of asyncLoad is
begin
process (clk, load, loadVal) begin
if (load = '1') then
q <= loadVal;
elsif (clk'event and clk = '1' ) then
q <= d;
end if;
end process;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
entity BidirBuf is port (
OE: in std_logic;
input: in std_logic_vector;
output: out std_logic_vector
);
end BidirBuf;
architecture behavioral of BidirBuf is
begin
bidirBuf: process (OE, input) begin
if (OE = '1') then
output <= input;
else
output <= (others => 'Z');
end if;
end process;
end behavioral;
library IEEE;
use IEEE.std_logic_1164.all;
entity BidirCnt is port (
OE: in std_logic;
CntEnable: in std_logic;
LdCnt: in std_logic;
Clk: in std_logic;
Rst: in std_logic;
Cnt: inout std_logic_vector(3 downto 0)
);
end BidirCnt;
architecture behavioral of BidirCnt is
component LoadCnt port (
CntEn: in std_logic;
LdCnt: in std_logic;
LdData: in std_logic_vector(3 downto 0);
Clk: in std_logic;
Rst: in std_logic;
CntVal: out std_logic_vector(3 downto 0)
);
end component;
component BidirBuf port (
OE: in std_logic;
input: in std_logic_vector;
output: inout std_logic_vector
);
end component;
signal CntVal: std_logic_vector(3 downto 0);
signal LoadVal: std_logic_vector(3 downto 0);
begin
u1: loadcnt port map (CntEn => CntEnable,
LdCnt => LdCnt,
LdData => LoadVal,
Clk => Clk,
Rst => Rst,
CntVal => CntVal
);
u2: bidirbuf port map (OE => oe,
input => CntVal,
output => Cnt
);
LoadVal <= Cnt;
end behavioral;
library IEEE;
use IEEE.std_logic_1164.all;
entity BIDIR is port (
ip: in std_logic;
oe: in std_logic;
op_fb: out std_logic;
op: inout std_logic
);
end BIDIR;
architecture rtl of BIDIR is
begin
op <= ip when oe = '1' else 'Z';
op_fb <= op;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
use work.primitive.all;
entity bidirbuffer is port (
input: in std_logic;
enable: in std_logic;
feedback: out std_logic;
output: inout std_logic
);
end bidirbuffer;
architecture structural of bidirbuffer is
begin
u1: bidir port map (ip => input,
oe => enable,
op_fb => feedback,
op => output
);
end structural;
library IEEE;
use IEEE.std_logic_1164.all;
entity clkGen is port (
clk: in std_logic;
reset: in std_logic;
ClkDiv2, ClkDiv4,
ClkDiv6,ClkDiv8: out std_logic
);
end clkGen;
architecture behav of clkGen is
subtype numClks is std_logic_vector(1 to 4);
subtype numPatterns is integer range 0 to 11;
type clkTableType is array (numpatterns'low to numPatterns'high) of numClks;
constant clkTable: clkTableType := clkTableType'(
-- ClkDiv8______
-- ClkDiv6_____ |
-- ClkDiv4____ ||
-- ClkDiv2 __ |||
-- ||||
"1111",
"0111",
"1011",
"0001",
"1100",
"0100",
"1010",
"0010",
"1111",
"0001",
"1001",
"0101");
signal index: numPatterns;
begin
lookupTable: process (clk, reset) begin
if reset = '1' then
index <= 0;
elsif (clk'event and clk = '1') then
if index = numPatterns'high then
index <= numPatterns'low;
else
index <= index + 1;
end if;
end if;
end process;
(ClkDiv2,ClkDiv4,ClkDiv6,ClkDiv8) <= clkTable(index);
end behav;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity counter is port (
clk: in std_logic;
enable: in std_logic;
reset: in std_logic;
count: buffer unsigned(3 downto 0)
);
end counter;
architecture simple of counter is
begin
increment: process (clk, reset) begin
if reset = '1' then
count <= "0000";
elsif(clk'event and clk = '1') then
if enable = '1' then
count <= count + 1;
else
count <= count;
end if;
end if;
end process;
end simple;
library IEEE;
use IEEE.std_logic_1164.all;
use work.scaleable.all;
entity count8 is port (
clk: in std_logic;
rst: in std_logic;
count: out std_logic_vector(7 downto 0)
);
end count8;
architecture structural of count8 is
begin
u1: scaleUpCnt port map (clk => clk,
reset => rst,
cnt => count
);
end structural;
-- Incorporates Errata 5.4
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity counter is port (
clk: in std_logic;
reset: in std_logic;
count: out std_logic_vector(0 to 9)
);
end counter;
architecture simple of counter is
signal countL: unsigned(0 to 9);
begin
increment: process (clk, reset) begin
if reset = '1' then
countL <= to_unsigned(3,10);
elsif(clk'event and clk = '1') then
countL <= countL + 1;
end if;
end process;
count <= std_logic_vector(countL);
end simple;
-- Incorporates Errata 5.4
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity counter is port (
clk: in std_logic;
reset: in std_logic;
count: out std_logic_vector(9 downto 0)
);
end counter;
architecture simple of counter is
signal countL: unsigned(9 downto 0);
begin
increment: process (clk, reset) begin
if reset = '1' then
countL <= to_unsigned(0,10);
elsif(clk'event and clk = '1') then
countL <= countL + 1;
end if;
end process;
count <= std_logic_vector(countL);
end simple;
-- Incorporates Errata 5.4
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity counter is port (
clk: in std_logic;
reset: in std_logic;
load: in std_logic;
enable: in std_logic;
data: in std_logic_vector(3 downto 0);
count: out std_logic_vector(3 downto 0)
);
end counter;
architecture simple of counter is
signal countL: unsigned(3 downto 0);
begin
increment: process (clk, reset) begin
if (reset = '1') then
countL <= "0000";
elsif(clk'event and clk = '1') then
if (load = '1') then
countL <= to_unsigned(data);
elsif (enable = '1') then
countL <= countL + 1;
end if;
end if;
end process;
count <= std_logic_vector(countL);
end simple;
-- Incorporates Errata 5.4
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity counter is port (
clk: in std_logic;
reset: in std_logic;
load: in std_logic;
data: in std_logic_vector(3 downto 0);
count: out std_logic_vector(3 downto 0)
);
end counter;
architecture simple of counter is
signal countL: unsigned(3 downto 0);
begin
increment: process (clk, reset) begin
if (reset = '1') then
countL <= "0000";
elsif(clk'event and clk = '1') then
if (load = '1') then
countL <= to_unsigned(data);
else
countL <= countL + 1;
end if;
end if;
end process;
count <= std_logic_vector(countL);
end simple;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity Cnt4Term is port (
clk: in std_logic;
Cnt: out std_logic_vector(3 downto 0);
TermCnt: out std_logic
);
end Cnt4Term;
architecture behavioral of Cnt4Term is
signal CntL: unsigned(3 downto 0);
begin
increment: process begin
wait until clk = '1';
CntL <= CntL + 1;
end process;
Cnt <= to_stdlogicvector(CntL);
TermCnt <= '1' when CntL = "1111" else '0';
end behavioral;
library IEEE;
use IEEE.std_logic_1164.all;
entity Counter is port (
clock: in std_logic;
Count: out std_logic_vector(3 downto 0)
);
end Counter;
architecture structural of Counter is
component Cnt4Term port (
clk: in std_logic;
Cnt: out std_logic_vector(3 downto 0);
TermCnt: out std_logic);
end component;
begin
u1: Cnt4Term port map (clk => clock,
Cnt => Count,
TermCnt => open
);
end structural;
-- Incorporates Errata 5.4
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity counter is port (
clk: in std_logic;
reset: in std_logic;
count: out std_logic_vector(3 downto 0)
);
end counter;
architecture simple of counter is
signal countL: unsigned(3 downto 0);
begin
increment: process (clk) begin
if(clk'event and clk = '1') then
if (reset = '1') then
countL <= "0000";
else
countL <= countL + 1;
end if;
end if;
end process;
count <= std_logic_vector(countL);
end simple;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity convertArith is port (
truncate: out unsigned(3 downto 0);
extend: out unsigned(15 downto 0);
direction: out unsigned(0 to 7)
);
end convertArith;
architecture simple of convertArith is
constant Const: unsigned(7 downto 0) := "00111010";
begin
truncate <= resize(Const, truncate'length);
extend <= resize(Const, extend'length);
direction <= resize(Const, direction'length);
end simple;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity FEWGATES is port (
a,b,c,d: in std_logic;
y: out std_logic
);
end FEWGATES;
architecture concurrent of FEWGATES is
constant THREE: std_logic_vector(1 downto 0) := "11";
begin
y <= '1' when (a & b = THREE) or (c & d /= THREE) else '0';
end concurrent;
-- incorporates Errata 12.1
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity typeConvert is port (
a: out unsigned(7 downto 0)
);
end typeConvert;
architecture simple of typeConvert is
constant Const: natural := 43;
begin
a <= To_unsigned(Const,8);
end simple;
-- Incorporates Errata 5.4
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity counter is port (
clk: in std_logic;
count: out std_logic_vector(3 downto 0)
);
end counter;
architecture simple of counter is
signal countL: unsigned(3 downto 0);
begin
increment: process (clk) begin
if (clk'event and clk = '1') then
countL <= countL + 1;
end if;
end process;
count <= std_logic_vector(countL);
end simple;
-- Incorporates Errata 5.4
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity counter is port (
clk: in std_logic;
reset: in std_logic;
count: out std_logic_vector(0 to 3)
);
end counter;
architecture simple of counter is
signal countL: unsigned(0 to 3);
begin
increment: process (clk, reset) begin
if reset = '1' then
countL <= "1001";
elsif(clk'event and clk = '1') then
countL <= countL + 1;
end if;
end process;
count <= std_logic_vector(countL);
end simple;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity counter is port (
clk: in std_logic;
reset: in std_logic;
count: out std_logic_vector(3 downto 0)
);
end counter;
architecture simple of counter is
signal countL: unsigned(3 downto 0);
begin
increment: process (clk, reset) begin
if (reset = '1') then
countL <= "0000";
elsif(clk'event and clk = '1') then
countL <= countL + "001";
end if;
end process;
count <= std_logic_vector(countL);
end simple;
-- Incorporates Errata 5.4
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity counter is port (
clk: in std_logic;
reset: in std_logic;
count: out std_logic_vector(3 downto 0)
);
end counter;
architecture simple of counter is
signal countL: unsigned(3 downto 0);
begin
increment: process (clk, reset) begin
if reset = '1' then
countL <= "1001";
elsif(clk'event and clk = '1') then
countL <= countL + 1;
end if;
end process;
count <= std_logic_vector(countL);
end simple;
-- Incorporates Errata 5.4
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity counter is port (
clk: in std_logic;
reset: in std_logic;
count: out std_logic_vector(3 downto 0)
);
end counter;
architecture simple of counter is
signal countL: unsigned(3 downto 0);
begin
increment: process (clk, reset) begin
if (reset = '1') then
countL <= "1001";
elsif(clk'event and clk = '1') then
countL <= countL + "0001";
end if;
end process;
count <= std_logic_vector(countL);
end simple;
library IEEE;
use IEEE.std_logic_1164.all;
use work.decProcs.all;
entity decoder is port (
decIn: in std_logic_vector(1 downto 0);
decOut: out std_logic_vector(3 downto 0)
);
end decoder;
architecture simple of decoder is
begin
DEC2x4(decIn,decOut);
end simple;
library ieee;
use ieee.std_logic_1164.all;
entity isa_dec is port
(
dev_adr: in std_logic_vector(19 downto 0);
decOut_n: out std_logic_vector(5 downto 0)
);
end isa_dec;
architecture synthesis of isa_dec is
constant CtrlRegRange: std_logic_vector(2 downto 0) := "100";
constant SuperIoRange: std_logic_vector(2 downto 0) := "010";
constant IntCtrlReg: std_logic_vector(16 downto 0) := "00000000000000000";
constant IoIntStatReg: std_logic_vector(16 downto 0) := "00000000000000001";
constant RstCtrlReg: std_logic_vector(16 downto 0) := "00000000000000010";
constant AtcStatusReg: std_logic_vector(16 downto 0) := "00000000000000011";
constant MgmtStatusReg:std_logic_vector(16 downto 0) := "00000000000000100";
alias sio_dec_n: std_logic is decOut_n(5);
alias rst_ctrl_rd_n: std_logic is decOut_n(4);
alias atc_stat_rd_n: std_logic is decOut_n(3);
alias mgmt_stat_rd_n: std_logic is decOut_n(2);
alias io_int_stat_rd_n: std_logic is decOut_n(1);
alias int_ctrl_rd_n: std_logic is decOut_n(0);
alias upper: std_logic_vector(2 downto 0) is dev_adr(19 downto 17);
alias CtrlBits: std_logic_vector(16 downto 0) is dev_adr(16 downto 0);
begin
decoder: process (upper, CtrlBits)
begin
-- Set defaults for outputs - for synthesis reasons.
sio_dec_n <= '1';
int_ctrl_rd_n <= '1';
io_int_stat_rd_n <= '1';
rst_ctrl_rd_n <= '1';
atc_stat_rd_n <= '1';
mgmt_stat_rd_n <= '1';
case upper is
when SuperIoRange =>
sio_dec_n <= '0';
when CtrlRegRange =>
case CtrlBits is
when IntCtrlReg =>
int_ctrl_rd_n <= '0';
when IoIntStatReg =>
io_int_stat_rd_n <= '0';
when RstCtrlReg =>
rst_ctrl_rd_n <= '0';
when AtcStatusReg =>
atc_stat_rd_n <= '0';
when MgmtStatusReg =>
mgmt_stat_rd_n <= '0';
when others =>
null;
end case;
when others =>
null;
end case;
end process decoder;
end synthesis;
library ieee;
use ieee.std_logic_1164.all;
entity isa_dec is port
(
dev_adr: in std_logic_vector(19 downto 0);
sio_dec_n: out std_logic;
rst_ctrl_rd_n: out std_logic;
atc_stat_rd_n: out std_logic;
mgmt_stat_rd_n: out std_logic;
io_int_stat_rd_n: out std_logic;
int_ctrl_rd_n: out std_logic
);
end isa_dec;
architecture synthesis of isa_dec is
constant CtrlRegRange: std_logic_vector(2 downto 0) := "100";
constant SuperIoRange: std_logic_vector(2 downto 0) := "010";
constant IntCtrlReg: std_logic_vector(16 downto 0) := "00000000000000000";
constant IoIntStatReg: std_logic_vector(16 downto 0) := "00000000000000001";
constant RstCtrlReg: std_logic_vector(16 downto 0) := "00000000000000010";
constant AtcStatusReg: std_logic_vector(16 downto 0) := "00000000000000011";
constant MgmtStatusReg:std_logic_vector(16 downto 0) := "00000000000000100";
begin
decoder: process (dev_adr)
begin
-- Set defaults for outputs
sio_dec_n <= '1';
int_ctrl_rd_n <= '1';
io_int_stat_rd_n <= '1';
rst_ctrl_rd_n <= '1';
atc_stat_rd_n <= '1';
mgmt_stat_rd_n <= '1';
case dev_adr(19 downto 17) is
when SuperIoRange =>
sio_dec_n <= '0';
when CtrlRegRange =>
case dev_adr(16 downto 0) is
when IntCtrlReg =>
int_ctrl_rd_n <= '0';
when IoIntStatReg =>
io_int_stat_rd_n <= '0';
when RstCtrlReg =>
rst_ctrl_rd_n <= '0';
when AtcStatusReg =>
atc_stat_rd_n <= '0';
when MgmtStatusReg =>
mgmt_stat_rd_n <= '0';
when others =>
null;
end case;
when others =>
null;
end case;
end process decoder;
end synthesis;
library ieee;
use ieee.std_logic_1164.all;
entity isa_dec is port
(
dev_adr: in std_logic_vector(19 downto 0);
sio_dec_n: out std_logic;
rst_ctrl_rd_n: out std_logic;
atc_stat_rd_n: out std_logic;
mgmt_stat_rd_n: out std_logic;
io_int_stat_rd_n:out std_logic;
int_ctrl_rd_n: out std_logic
);
end isa_dec;
architecture synthesis of isa_dec is
constant CtrlRegRange: std_logic_vector(2 downto 0) := "100";
constant SuperIoRange: std_logic_vector(2 downto 0) := "010";
constant IntCtrlReg: std_logic_vector(16 downto 0) := "00000000000000000";
constant IoIntStatReg: std_logic_vector(16 downto 0) := "00000000000000001";
constant RstCtrlReg: std_logic_vector(16 downto 0) := "00000000000000010";
constant AtcStatusReg: std_logic_vector(16 downto 0) := "00000000000000011";
constant MgmtStatusReg:std_logic_vector(16 downto 0) := "00000000000000100";
begin
sio_dec_n <= '0' when dev_adr (19 downto 17) = SuperIORange else '1';
int_ctrl_rd_n <= '0' when (dev_adr (19 downto 17) = CtrlRegRange)
and (dev_adr(16 downto 0) = IntCtrlReg) else '1';
io_int_stat_rd_n <= '0' when (dev_adr (19 downto 17) = CtrlRegRange)
and (dev_adr(16 downto 0) = IoIntStatReg) else '1';
rst_ctrl_rd_n <= '0' when (dev_adr (19 downto 17) = CtrlRegRange)
and (dev_adr(16 downto 0) = RstCtrlReg) else '1';
atc_stat_rd_n <= '0' when (dev_adr (19 downto 17) = CtrlRegRange)
and (dev_adr(16 downto 0) = AtcStatusReg) else '1';
mgmt_stat_rd_n <= '0' when (dev_adr (19 downto 17) = CtrlRegRange)
and (dev_adr(16 downto 0) = MgmtStatusReg) else '1';
end synthesis;
library ieee;
use ieee.std_logic_1164.all;
entity isa_dec is port
(
dev_adr: in std_logic_vector(19 downto 0);
cs0_n: in std_logic;
sio_dec_n: out std_logic;
rst_ctrl_rd_n: out std_logic;
atc_stat_rd_n: out std_logic;
mgmt_stat_rd_n: out std_logic;
io_int_stat_rd_n: out std_logic;
int_ctrl_rd_n: out std_logic
);
end isa_dec;
architecture synthesis of isa_dec is
constant CtrlRegRange: std_logic_vector(2 downto 0) := "100";
constant SuperIoRange: std_logic_vector(2 downto 0) := "010";
constant IntCtrlReg: std_logic_vector(16 downto 0) := "00000000000000000";
constant IoIntStatReg: std_logic_vector(16 downto 0) := "00000000000000001";
constant RstCtrlReg: std_logic_vector(16 downto 0) := "00000000000000010";
constant AtcStatusReg: std_logic_vector(16 downto 0) := "00000000000000011";
constant MgmtStatusReg:std_logic_vector(16 downto 0) := "00000000000000100";
begin
decoder: process (dev_adr, cs0_n)
begin
-- Set defaults for outputs - for synthesis reasons.
sio_dec_n <= '1';
int_ctrl_rd_n <= '1';
io_int_stat_rd_n <= '1';
rst_ctrl_rd_n <= '1';
atc_stat_rd_n <= '1';
mgmt_stat_rd_n <= '1';
if (cs0_n = '0') then
case dev_adr(19 downto 17) is
when SuperIoRange =>
sio_dec_n <= '0';
when CtrlRegRange =>
case dev_adr(16 downto 0) is
when IntCtrlReg =>
int_ctrl_rd_n <= '0';
when IoIntStatReg =>
io_int_stat_rd_n <= '0';
when RstCtrlReg =>
rst_ctrl_rd_n <= '0';
when AtcStatusReg =>
atc_stat_rd_n <= '0';
when MgmtStatusReg =>
mgmt_stat_rd_n <= '0';
when others =>
null;
end case;
when others =>
null;
end case;
else
null;
end if;
end process decoder;
end synthesis;
library ieee;
use ieee.std_logic_1164.all;
entity isa_dec is port
(
dev_adr: in std_logic_vector(19 downto 0);
cs0_n: in std_logic;
sio_dec_n: out std_logic;
rst_ctrl_rd_n: out std_logic;
atc_stat_rd_n: out std_logic;
mgmt_stat_rd_n: out std_logic;
io_int_stat_rd_n: out std_logic;
int_ctrl_rd_n: out std_logic
);
end isa_dec;
architecture synthesis of isa_dec is
constant CtrlRegRange: std_logic_vector(2 downto 0) := "100";
constant SuperIoRange: std_logic_vector(2 downto 0) := "010";
constant IntCtrlReg: std_logic_vector(16 downto 0) := "00000000000000000";
constant IoIntStatReg: std_logic_vector(16 downto 0) := "00000000000000001";
constant RstCtrlReg: std_logic_vector(16 downto 0) := "00000000000000010";
constant AtcStatusReg: std_logic_vector(16 downto 0) := "00000000000000011";
constant MgmtStatusReg:std_logic_vector(16 downto 0) := "00000000000000100";
signal Lsio_dec_n: std_logic;
signal Lrst_ctrl_rd_n: std_logic;
signal Latc_stat_rd_n: std_logic;
signal Lmgmt_stat_rd_n: std_logic;
signal Lio_int_stat_rd_n: std_logic;
signal Lint_ctrl_rd_n: std_logic;
begin
decoder: process (dev_adr)
begin
-- Set defaults for outputs - for synthesis reasons.
Lsio_dec_n <= '1';
Lint_ctrl_rd_n <= '1';
Lio_int_stat_rd_n <= '1';
Lrst_ctrl_rd_n <= '1';
Latc_stat_rd_n <= '1';
Lmgmt_stat_rd_n <= '1';
case dev_adr(19 downto 17) is
when SuperIoRange =>
Lsio_dec_n <= '0';
when CtrlRegRange =>
case dev_adr(16 downto 0) is
when IntCtrlReg =>
Lint_ctrl_rd_n <= '0';
when IoIntStatReg =>
Lio_int_stat_rd_n <= '0';
when RstCtrlReg =>
Lrst_ctrl_rd_n <= '0';
when AtcStatusReg =>
Latc_stat_rd_n <= '0';
when MgmtStatusReg =>
Lmgmt_stat_rd_n <= '0';
when others =>
null;
end case;
when others =>
null;
end case;
end process decoder;
qualify: process (cs0_n) begin
sio_dec_n <= '1';
int_ctrl_rd_n <= '1';
io_int_stat_rd_n <= '1';
rst_ctrl_rd_n <= '1';
atc_stat_rd_n <= '1';
mgmt_stat_rd_n <= '1';
if (cs0_n = '0') then
sio_dec_n <= Lsio_dec_n;
int_ctrl_rd_n <= Lint_ctrl_rd_n;
io_int_stat_rd_n <= Lio_int_stat_rd_n;
rst_ctrl_rd_n <= Lrst_ctrl_rd_n;
atc_stat_rd_n <= Latc_stat_rd_n;
mgmt_stat_rd_n <= Lmgmt_stat_rd_n;
else
null;
end if;
end process qualify;
end synthesis;
library ieee;
use ieee.std_logic_1164.all;
entity isa_dec is port
(
dev_adr: in std_logic_vector(19 downto 0);
sio_dec_n: out std_logic;
rst_ctrl_rd_n: out std_logic;
atc_stat_rd_n: out std_logic;
mgmt_stat_rd_n: out std_logic;
io_int_stat_rd_n: out std_logic;
int_ctrl_rd_n: out std_logic
);
end isa_dec;
architecture synthesis of isa_dec is
constant CtrlRegRange: std_logic_vector(2 downto 0) := "100";
constant SuperIoRange: std_logic_vector(2 downto 0) := "010";
constant IntCtrlReg: std_logic_vector(16 downto 0) := "00000000000000000";
constant IoIntStatReg: std_logic_vector(16 downto 0) := "00000000000000001";
constant RstCtrlReg: std_logic_vector(16 downto 0) := "00000000000000010";
constant AtcStatusReg: std_logic_vector(16 downto 0) := "00000000000000011";
constant MgmtStatusReg:std_logic_vector(16 downto 0) := "00000000000000100";
begin
decoder: process ( dev_adr)
begin
-- Set defaults for outputs - for synthesis reasons.
sio_dec_n <= '1';
int_ctrl_rd_n <= '1';
io_int_stat_rd_n <= '1';
rst_ctrl_rd_n <= '1';
atc_stat_rd_n <= '1';
mgmt_stat_rd_n <= '1';
if dev_adr(19 downto 17) = SuperIOrange then
sio_dec_n <= '0';
elsif dev_adr(19 downto 17) = CtrlRegrange then
if dev_adr(16 downto 0) = IntCtrlReg then
int_ctrl_rd_n <= '0';
elsif dev_adr(16 downto 0)= IoIntStatReg then
io_int_stat_rd_n <= '0';
elsif dev_adr(16 downto 0) = RstCtrlReg then
rst_ctrl_rd_n <= '0';
elsif dev_adr(16 downto 0) = AtcStatusReg then
atc_stat_rd_n <= '0';
elsif dev_adr(16 downto 0) = MgmtStatusReg then
mgmt_stat_rd_n <= '0';
else
null;
end if;
else
null;
end if;
end process decoder;
end synthesis;
library IEEE;
use IEEE.std_logic_1164.all;
package decProcs is
procedure DEC2x4 (inputs : in std_logic_vector(1 downto 0);
decode: out std_logic_vector(3 downto 0)
);
end decProcs;
package body decProcs is
procedure DEC2x4 (inputs : in std_logic_vector(1 downto 0);
decode: out std_logic_vector(3 downto 0)
) is
begin
case inputs is
when "11" =>
decode := "1000";
when "10" =>
decode := "0100";
when "01" =>
decode := "0010";
when "00" =>
decode := "0001";
when others =>
decode := "0001";
end case;
end DEC2x4;
end decProcs;
library ieee;
use ieee.std_logic_1164.all;
entity isa_dec is port
(
dev_adr: in std_logic_vector(19 downto 0);
sio_dec_n: out std_logic;
rst_ctrl_rd_n: out std_logic;
atc_stat_rd_n: out std_logic;
mgmt_stat_rd_n: out std_logic;
io_int_stat_rd_n:out std_logic;
int_ctrl_rd_n: out std_logic
);
end isa_dec;
architecture synthesis of isa_dec is
constant CtrlRegRange: std_logic_vector(2 downto 0) := "100";
constant SuperIoRange: std_logic_vector(2 downto 0) := "010";
constant IntCtrlReg: std_logic_vector(16 downto 0) := "00000000000000000";
constant IoIntStatReg: std_logic_vector(16 downto 0) := "00000000000000001";
constant RstCtrlReg: std_logic_vector(16 downto 0) := "00000000000000010";
constant AtcStatusReg: std_logic_vector(16 downto 0) := "00000000000000011";
constant MgmtStatusReg:std_logic_vector(16 downto 0) := "00000000000000100";
begin
with dev_adr(19 downto 17) select
sio_dec_n <= '0' when SuperIORange,
'1' when others;
with dev_adr(19 downto 0) select
int_ctrl_rd_n <= '0' when CtrlRegRange & IntCtrlReg,
'1' when others;
with dev_adr(19 downto 0) select
io_int_stat_rd_n <= '0' when CtrlRegRange & IoIntStatReg,
'1' when others;
with dev_adr(19 downto 0) select
rst_ctrl_rd_n <= '0' when CtrlRegRange & RstCtrlReg,
'1' when others;
with dev_adr(19 downto 0) select
atc_stat_rd_n <= '0' when CtrlRegRange & AtcStatusReg,
'1' when others;
with dev_adr(19 downto 0) select
mgmt_stat_rd_n <= '0' when CtrlRegRange & MgmtStatusReg,
'1' when others;
end synthesis;
-- Incorporates Errata 5.1 and 5.4
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity progPulse is port (
clk, reset: in std_logic;
loadLength,loadDelay: in std_logic;
data: in std_logic_vector(7 downto 0);
pulse: out std_logic
);
end progPulse;
architecture rtl of progPulse is
signal delayCnt, pulseCnt: unsigned(7 downto 0);
signal delayCntVal, pulseCntVal: unsigned(7 downto 0);
signal startPulse, endPulse: std_logic;
begin
delayReg: process (clk, reset) begin
if reset = '1' then
delayCntVal <= "11111111";
elsif clk'event and clk = '1' then
if loadDelay = '1' then
delayCntVal <= unsigned(data);
end if;
end if;
end process;
lengthReg: process (clk, reset) begin
if reset = '1' then
pulseCntVal <= "11111111";
elsif clk'event and clk = '1' then
if loadLength = '1' then -- changed loadLength to loadDelay (Errata 5.1)
pulseCntVal <= unsigned(data);
end if;
end if;
end process;
pulseDelay: process (clk, reset) begin
if (reset = '1') then
delayCnt <= "11111111";
elsif(clk'event and clk = '1') then
if (loadDelay = '1' or loadLength = '1' or endPulse = '1') then -- changed startPulse to endPulse (Errata 5.1)
delayCnt <= delayCntVal;
elsif endPulse = '1' then
delayCnt <= delayCnt - 1;
end if;
end if;
end process;
startPulse <= '1' when delayCnt = "00000000" else '0';
pulseLength: process (clk, reset) begin
if (reset = '1') then
pulseCnt <= "11111111";
elsif (clk'event and clk = '1') then
if (loadLength = '1') then
pulseCnt <= pulseCntVal;
elsif (startPulse = '1' and endPulse = '1') then
pulseCnt <= pulseCntVal;
elsif (endPulse = '1') then
pulseCnt <= pulseCnt;
else
pulseCnt <= pulseCnt - 1;
end if;
end if;
end process;
endPulse <= '1' when pulseCnt = "00000000" else '0';
pulseOutput: process (clk, reset) begin
if (reset = '1') then
pulse <= '0';
elsif (clk'event and clk = '1') then
if (startPulse = '1') then
pulse <= '1';
elsif (endPulse = '1') then
pulse <= '0';
end if;
end if;
end process;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity DFF is port (
d: in std_logic;
clk: in std_logic;
arst : in std_logic;
q: out std_logic;
);
end DFF;
architecture rtl of DFF is
begin
process (clk) begin
if arst = '1' then
q <= '0';
elsif clk'event and clk = '1' then
q <= d;
end if;
end process;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity DFF is port (
d: in std_logic;
clk: in std_logic;
a,b,c : in std_logic;
q: out std_logic
);
end DFF;
architecture rtl of DFF is
begin
process (clk, a,b,c) begin
if ((a = '1' and b = '1') or c = '1') then
q <= '0';
elsif clk'event and clk = '1' then
q <= d;
end if;
end process;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity DFF is port (
d: in std_logic;
clk: in std_logic;
a,b,c : in std_logic;
q: out std_logic
);
end DFF;
architecture rtl of DFF is
signal localRst: std_logic;
begin
localRst <= '1' when (( a = '1' and b = '1') or c = '1') else '0';
process (clk, localRst) begin
if localRst = '1' then
q <= '0';
elsif clk'event and clk = '1' then
q <= d;
end if;
end process;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity DFF is port (
d: in std_logic;
clk: in std_logic;
arst: in std_logic;
q: out std_logic
);
end DFF;
architecture rtl of DFF is
begin
process (clk, arst) begin
if arst = '1' then
q <= '0';
elsif clk'event and clk = '1' then
q <= d;
end if;
end process;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity DFF is port (
d: in std_logic;
clk: in std_logic;
aset : in std_logic;
q: out std_logic
);
end DFF;
architecture rtl of DFF is
begin
process (clk, aset) begin
if aset = '1' then
q <= '1';
elsif clk'event and clk = '1' then
q <= d;
end if;
end process;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity DFF is port (
d1, d2: in std_logic;
clk: in std_logic;
arst : in std_logic;
q1, q2: out std_logic
);
end DFF;
architecture rtl of DFF is
begin
process (clk, arst) begin
if arst = '1' then
q1 <= '0';
q2 <= '1';
elsif clk'event and clk = '1' then
q1 <= d1;
q2 <= d2;
end if;
end process;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity DFF is port (
d: in std_logic;
clk: in std_logic;
en: in std_logic;
q: out std_logic
);
end DFF;
architecture rtl of DFF is
begin
process begin
if clk'event and clk = '1' then
if en = '1' then
q <= d;
end if;
end if;
wait on clk;
end process;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity DFFE is port (
d: in std_logic;
en: in std_logic;
clk: in std_logic;
q: out std_logic
);
end DFFE;
architecture rtl of DFFE is
begin
process begin
wait until clk = '1';
if en = '1' then
q <= d;
end if;
end process;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity DFF is port (
d: in std_logic;
clk: in std_logic;
envector: in std_logic_vector(7 downto 0);
q: out std_logic
);
end DFF;
architecture rtl of DFF is
begin
process (clk) begin
if clk'event and clk = '1' then
if envector = "10010111" then
q <= d;
end if;
end if;
end process;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity DFF is port (
d: in std_logic;
clk: in std_logic;
en: in std_logic;
q: out std_logic
);
end DFF;
architecture rtl of DFF is
begin
process (clk) begin
if clk'event and clk = '1' then
if en = '1' then
q <= d;
end if;
end if;
end process;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity DFFE_SR is port (
d: in std_logic;
en: in std_logic;
clk: in std_logic;
rst: in std_logic;
prst: in std_logic;
q: out std_logic
);
end DFFE_SR;
architecture rtl of DFFE_SR is
begin
process (clk, rst, prst) begin
if (prst = '1') then
q <= '1';
elsif (rst = '1') then
q <= '0';
elsif (clk'event and clk = '1') then
if (en = '1') then
q <= d;
end if;
end if;
end process;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity flipFlop is port (
clock, input: in std_logic;
ffOut: out std_logic
);
end flipFlop;
architecture simple of flipFlop is
procedure dff (signal clk: in std_logic;
signal d: in std_logic;
signal q: out std_logic
) is
begin
if clk'event and clk = '1' then
q <= d;
end if;
end procedure dff;
begin
dff(clock, input, ffOut);
end simple;
library IEEE;
use IEEE.std_logic_1164.all;
entity DFF is port (
d: in std_logic;
clk: in std_logic;
end: in std_logic;
q: out std_logic
);
end DFF;
architecture rtl of DFF is
begin
process begin
wait until rising_edge(clk);
if en = '1' then
q <= d;
end if;
end process;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity DFF is port (
d1, d2: in std_logic;
clk: in std_logic;
srst : in std_logic;
q1, q2: out std_logic
);
end DFF;
architecture rtl of DFF is
begin
process (clk) begin
if clk'event and clk = '1' then
if srst = '1' then
q1 <= '0';
q2 <= '1';
else
q1 <= d1;
q2 <= d2;
end if;
end if;
end process;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity DFFE_SR is port (
d: in std_logic;
en: in std_logic;
clk: in std_logic;
rst: in std_logic;
prst: in std_logic;
q: out std_logic
);
end DFFE_SR;
architecture rtl of DFFE_SR is
begin
process (clk, rst, prst) begin
if (rst = '1') then
q <= '0';
elsif (prst = '1') then
q <= '1';
elsif (clk'event and clk = '1') then
if (en = '1') then
q <= d;
end if;
end if;
end process;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity DFF is port (
d: in std_logic;
clk: in std_logic;
srst : in std_logic;
q: out std_logic
);
end DFF;
architecture rtl of DFF is
begin
process begin
wait until clk = '1';
if srst = '1' then
q <= '0';
else
q <= d;
end if;
end process;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity struct_dffe_sr is port (
d: in std_logic;
clk: in std_logic;
en: in std_logic;
rst,prst: in std_logic;
q: out std_logic
);
end struct_dffe_sr;
use work.primitive.all;
architecture instance of struct_dffe_sr is
begin
ff: dffe_sr port map (
d => d,
clk => clk,
en => en,
rst => rst,
prst => prst,
q => q
);
end instance;
library IEEE;
use IEEE.std_logic_1164.all;
entity DFF is port (
d: in std_logic;
clk: in std_logic;
srst : in std_logic;
q: out std_logic
);
end DFF;
architecture rtl of DFF is
begin
process (clk) begin
if clk'event and clk = '1' then
if srst = '1' then
q <= '0';
else
q <= d;
end if;
end if;
end process;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity struct_dffe is port (
d: in std_logic;
clk: in std_logic;
en: in std_logic;
q: out std_logic
);
end struct_dffe;
use work.primitive.all;
architecture instance of struct_dffe is
begin
ff: dffe port map (
d => d,
clk => clk,
en => en,
q => q
);
end instance;
library IEEE;
use IEEE.std_logic_1164.all;
use work.primitive.all;
entity dffTri is
generic (size: integer := 8);
port (
data: in std_logic_vector(size - 1 downto 0);
clock: in std_logic;
ff_enable: in std_logic;
op_enable: in std_logic;
qout: out std_logic_vector(size - 1 downto 0)
);
end dffTri;
architecture parameterize of dffTri is
type tribufType is record
ip: std_logic;
oe: std_logic;
op: std_logic;
end record;
type tribufArrayType is array (integer range <>) of tribufType;
signal tri: tribufArrayType(size - 1 downto 0);
begin
g0: for i in 0 to size - 1 generate
u1: DFFE port map (data(i), tri(i).ip, ff_enable, clock);
end generate;
g1: for i in 0 to size - 1 generate
u2: TRIBUF port map (tri(i).ip, tri(i).oe, tri(i).op);
tri(i).oe <= op_enable;
qout(i) <= tri(i).op;
end generate;
end parameterize;
library IEEE;
use IEEE.std_logic_1164.all;
entity DFF is port (
d: in std_logic;
clk: in std_logic;
en: in std_logic;
q: out std_logic
);
end DFF;
architecture rtl of DFF is
begin
process begin
wait until clk = '1';
if en = '1' then
q <= d;
end if;
end process;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity TRIBUF is port (
ip: in std_logic;
oe: in std_logic;
op: out std_logic bus
);
end TRIBUF;
architecture sequential of TRIBUF is
begin
enable: process (ip,oe) begin
if (oe = '1') then
op <= ip;
else
op <= null;
end if;
end process;
end sequential;
library IEEE;
use IEEE.std_logic_1164.all;
entity DLATCHH is port (
d: in std_logic;
en: in std_logic;
q: out std_logic
);
end DLATCHH;
architecture rtl of DLATCHH is
signal qLocal: std_logic;
begin
qLocal <= d when en = '1' else qLocal;
q <= qLocal;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity DLATCHH is port (
d: in std_logic;
en: in std_logic;
q: out std_logic
);
end DLATCHH;
architecture rtl of DLATCHH is
begin
process (en, d) begin
if en = '1' then
q <= d;
end if;
end process;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity struct_dlatch is port (
d: in std_logic;
en: in std_logic;
q: out std_logic
);
end struct_dlatch;
use work.primitive.all;
architecture instance of struct_dlatch is
begin
latch: dlatchh port map (
d => d,
en => en,
q => q
);
end instance;
-- Incorporates Errata 5.4
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity downCounter is port (
clk: in std_logic;
reset: in std_logic;
count: out std_logic_vector(3 downto 0)
);
end downCounter;
architecture simple of downCounter is
signal countL: unsigned(3 downto 0);
signal termCnt: std_logic;
begin
decrement: process (clk, reset) begin
if (reset = '1') then
countL <= "1011"; -- Reset to 11
termCnt <= '1';
elsif(clk'event and clk = '1') then
if (termCnt = '1') then
countL <= "1011"; -- Count rolls over to 11
else
countL <= countL - 1;
end if;
if (countL = "0001") then -- Terminal count decoded 1 cycle earlier
termCnt <= '1';
else
termCnt <= '0';
end if;
end if;
end process;
count <= std_logic_vector(countL);
end simple;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity compareDC is port (
addressBus: in std_logic_vector(31 downto 0);
addressHit: out std_logic
);
end compareDC;
architecture wontWork of compareDC is
begin
compare: process(addressBus) begin
if (addressBus = "011110101011--------------------") then
addressHit <= '1';
else
addressHit <= '0';
end if;
end process compare;
end wontWork;
library ieee;
use ieee.std_logic_1164.all;
entity encoder is
port (invec: in std_logic_vector(7 downto 0);
enc_out: out std_logic_vector(2 downto 0)
);
end encoder;
architecture rtl of encoder is
begin
encode: process (invec) begin
case invec is
when "00000001" =>
enc_out <= "000";
when "00000010" =>
enc_out <= "001";
when "00000100" =>
enc_out <= "010";
when "00001000" =>
enc_out <= "011";
when "00010000" =>
enc_out <= "100";
when "00100000" =>
enc_out <= "101";
when "01000000" =>
enc_out <= "110";
when "10000000" =>
enc_out <= "111";
when others =>
enc_out <= "000";
end case;
end process;
end rtl;
library ieee;
use ieee.std_logic_1164.all;
entity encoder is
port (invec:in std_logic_vector(7 downto 0);
enc_out:out std_logic_vector(2 downto 0)
);
end encoder;
architecture rtl of encoder is
begin
process (invec)
begin
if invec(7) = '1' then
enc_out <= "111";
elsif invec(6) = '1' then
enc_out <= "110";
elsif invec(5) = '1' then
enc_out <= "101";
elsif invec(4) = '1' then
enc_out <= "100";
elsif invec(3) = '1' then
enc_out <= "011";
elsif invec(2) = '1' then
enc_out <= "010";
elsif invec(1) = '1' then
enc_out <= "001";
elsif invec(0) = '1' then
enc_out <= "000";
else
enc_out <= "000";
end if;
end process;
end rtl;
library ieee;
use ieee.std_logic_1164.all;
entity encoder is
port (invec: in std_logic_vector(7 downto 0);
enc_out: out std_logic_vector(2 downto 0)
);
end encoder;
architecture rtl of encoder is
begin
enc_out <= "111" when invec(7) = '1' else
"110" when invec(6) = '1' else
"101" when invec(5) = '1' else
"100" when invec(4) = '1' else
"011" when invec(3) = '1' else
"010" when invec(2) = '1' else
"001" when invec(1) = '1' else
"000" when invec(0) = '1' else
"000";
end rtl;
-- includes Errata 5.2
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all; -- errata 5.2
entity compare is port (
ina: in std_logic_vector (3 downto 0);
inb: in std_logic_vector (2 downto 0);
equal: out std_logic
);
end compare;
architecture simple of compare is
begin
equalProc: process (ina, inb) begin
if (ina = inb ) then
equal <= '1';
else
equal <= '0';
end if;
end process;
end simple;
library IEEE;
use IEEE.std_logic_1164.all;
entity LogicFcn is port (
A: in std_logic;
B: in std_logic;
C: in std_logic;
Y: out std_logic
);
end LogicFcn;
architecture behavioral of LogicFcn is
begin
fcn: process (A,B,C) begin
if (A = '0' and B = '0') then
Y <= '1';
elsif C = '1' then
Y <= '1';
else
Y <= '0';
end if;
end process;
end behavioral;
library IEEE;
use IEEE.std_logic_1164.all;
entity LogicFcn is port (
A: in std_logic;
B: in std_logic;
C: in std_logic;
Y: out std_logic
);
end LogicFcn;
architecture dataflow of LogicFcn is
begin
Y <= '1' when (A = '0' AND B = '0') OR
(C = '1')
else '0';
end dataflow;
library IEEE;
use IEEE.std_logic_1164.all;
use work.primitive.all;
entity LogicFcn is port (
A: in std_logic;
B: in std_logic;
C: in std_logic;
Y: out std_logic
);
end LogicFcn;
architecture structural of LogicFcn is
signal notA, notB, andSignal: std_logic;
begin
i1: inverter port map (i => A,
o => notA);
i2: inverter port map (i => B,
o => notB);
a1: and2 port map (i1 => notA,
i2 => notB,
y => andSignal);
o1: or2 port map (i1 => andSignal,
i2 => C,
y => Y);
end structural;
library IEEE;
use IEEE.std_logic_1164.all;
entity SimDFF is port (
D, Clk: in std_logic;
Q: out std_logic
);
end SimDff;
architecture SimModel of SimDFF is
constant tCQ: time := 8 ns;
constant tS: time := 4 ns;
constant tH: time := 3 ns;
begin
reg: process (Clk, D) begin
-- Assign output tCQ after rising clock edge
if (Clk'event and Clk = '1') then
Q <= D after tCQ;
end if;
-- Check setup time
if (Clk'event and Clk = '1') then
assert (D'last_event >= tS)
report "Setup time violation"
severity Warning;
end if;
-- Check hold time
if (D'event and Clk'stable and Clk = '1') then
assert (D'last_event - Clk'last_event > tH)
report "Hold Time Violation"
severity Warning;
end if;
end process;
end simModel;
library IEEE;
use IEEE.std_logic_1164.all;
entity DFF is port (
d: in std_logic;
clk: in std_logic;
q: out std_logic
);
end DFF;
architecture rtl of DFF is
begin
process (clk) begin
wait until clk = '1';
q <= d;
end process;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity DFF is port (
d: in std_logic;
clk: in std_logic;
q: out std_logic
);
end DFF;
architecture rtl of DFF is
begin
process begin
wait until clk = '1';
q <= d;
wait on clk;
end process;
end rtl;
configuration SimpleGatesCfg of FEWGATES is
for structural
for all: AND2
use entity work.and2(rtl);
end for;
for u3: inverter
use entity work.inverter(rtl);
end for;
for u4: or2
use entity work.or2(rtl);
end for;
end for;
end SimpleGatesCfg;
configuration SimpleGatesCfg of FEWGATES is
for structural
for u1: and2
use entity work.and2(rtl);
end for;
for u2: and2
use entity work.and2(rtl);
end for;
for u3: inverter
use entity work.inverter(rtl);
end for;
for u4: or2
use entity work.or2(rtl);
end for;
end for;
end SimpleGatesCfg;
library IEEE;
use IEEE.std_logic_1164.all;
entity FEWGATES is port (
a,b,c,d: in std_logic;
y: out std_logic
);
end FEWGATES;
use work.and2;
use work.or2;
use work.inverter;
architecture structural of FEWGATES is
component AND2 port (
i1: in std_logic;
i2: in std_logic;
y: out std_logic
);
end component;
component OR2 port (
i1: in std_logic;
i2: in std_logic;
y: out std_logic
);
end component;
component INVERTER port (
i: in std_logic;
o: out std_logic
);
end component;
signal a_and_b, c_and_d, not_c_and_d: std_logic;
begin
u1: and2 port map (i1 => a ,
i2 => b,
y => a_and_b
);
u2: and2 port map (i1 => c,
i2 => d,
y => c_and_d
);
u3: inverter port map (i => c_and_d,
o => not_c_and_d);
u4: or2 port map (i1 => a_and_b,
i2 => not_c_and_d,
y => y
);
end structural;
library IEEE;
use IEEE.std_logic_1164.all;
entity FEWGATES is port (
a,b,c,d: in std_logic;
y: out std_logic
);
end FEWGATES;
use work.and2;
use work.or2;
use work.inverter;
architecture structural of FEWGATES is
component AND2 port (
i1: in std_logic;
i2: in std_logic;
y: out std_logic
);
end component;
component OR2 port (
i1: in std_logic;
i2: in std_logic;
y: out std_logic
);
end component;
component INVERTER port (
i: in std_logic;
o: out std_logic
);
end component;
signal a_and_b, c_and_d, not_c_and_d: std_logic;
-- Configution specifications
for all: and2 use entity work.and2(rtl);
for u3: inverter use entity work.inverter(rtl);
for u4: or2 use entity work.or2(rtl);
begin
u1: and2 port map (i1 => a, i2 => b,
y => a_and_b
);
u2: and2 port map (i1 => c, i2 => d,
y => c_and_d
);
u3: inverter port map (i => c_and_d,
o => not_c_and_d);
u4: or2 port map (i1 => a_and_b, i2 => not_c_and_d,
y => y
);
end structural;
library IEEE;
use IEEE.std_logic_1164.all;
entity FEWGATES is port (
a,b,c,d: in std_logic;
y: out std_logic
);
end FEWGATES;
use work.GatesPkg.all;
architecture structural of FEWGATES is
signal a_and_b, c_and_d, not_c_and_d: std_logic;
begin
u1: and2 port map (i1 => a ,
i2 => b,
y => a_and_b
);
u2: and2 port map (i1 => c,
i2 => d,
y => c_and_d
);
u3: inverter port map (i => c_and_d,
o => not_c_and_d);
u4: or2 port map (i1 => a_and_b,
i2 => not_c_and_d,
y => y
);
end structural;
library IEEE;
use IEEE.std_logic_1164.all;
entity FEWGATES is port (
a,b,c,d: in std_logic;
y: out std_logic
);
end FEWGATES;
architecture concurrent of FEWGATES is
signal a_and_b, c_and_d, not_c_and_d: std_logic;
begin
a_and_b <= '1' when a = '1' and b = '1' else '0';
c_and_d <= '1' when c = '1' and d = '1' else '0';
not_c_and_d <= not c_and_d;
y <= '1' when a_and_b = '1' or not_c_and_d = '1' else '0';
end concurrent;
library IEEE;
use IEEE.std_logic_1164.all;
package GatesPkg is
component AND2 port (
i1: in std_logic;
i2: in std_logic;
y: out std_logic
);
end component;
component OR2 port (
i1: in std_logic;
i2: in std_logic;
y: out std_logic
);
end component;
component INVERTER port (
i: in std_logic;
o: out std_logic
);
end component;
end GatesPkg;
library IEEE;
use IEEE.std_logic_1164.all;
use work.primitive.all;
entity FEWGATES is port (
a,b,c,d: in std_logic;
y: out std_logic
);
end FEWGATES;
architecture structural of FEWGATES is
signal a_and_b, c_and_d, not_c_and_d: std_logic;
begin
u1: and2 port map (i1 => a ,
i2 => b,
y => a_and_b
);
u2: and2 port map (i1 =>c,
i2 => d,
y => c_and_d
);
u3: inverter port map (a => c_and_d,
y => not_c_and_d);
u4: or2 port map (i1 => a_and_b,
i2 => not_c_and_d,
y => y
);
end structural;
library IEEE;
use IEEE.std_logic_1164.all;
entity AND2 is port (
i1: in std_logic;
i2: in std_logic;
y: out std_logic
);
end AND2;
architecture rtl of AND2 is
begin
y <= '1' when i1 = '1' and i2 = '1' else '0';
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity OR2 is port (
i1: in std_logic;
i2: in std_logic;
y: out std_logic
);
end OR2;
architecture rtl of OR2 is
begin
y <= '1' when i1 = '1' or i2 = '1' else '0';
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity INVERTER is port (
i: in std_logic;
o: out std_logic
);
end INVERTER;
architecture rtl of INVERTER is
begin
o <= not i;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity FEWGATES is port (
a,b,c,d: in std_logic;
y: out std_logic
);
end FEWGATES;
architecture structural of FEWGATES is
component AND2 port (
i1: in std_logic;
i2: in std_logic;
y: out std_logic
);
end component;
component OR2 port (
i1: in std_logic;
i2: in std_logic;
y: out std_logic
);
end component;
component INVERTER port (
i: in std_logic;
o: out std_logic
);
end component;
signal a_and_b, c_and_d, not_c_and_d: std_logic;
begin
u1: and2 port map (i1 => a ,
i2 => b,
y => a_and_b
);
u2: and2 port map (i1 => c,
i2 => d,
y => c_and_d
);
u3: inverter port map (i => c_and_d,
o => not_c_and_d);
u4: or2 port map (i1 => a_and_b,
i2 => not_c_and_d,
y => y
);
end structural;
library IEEE;
use IEEE.std_logic_1164.all;
use work.simPrimitives.all;
entity simHierarchy is port (
A, B, Clk: in std_logic;
Y: out std_logic
);
end simHierarchy;
architecture hierarchical of simHierarchy is
signal ADly, BDly, OrGateDly, ClkDly: std_logic;
signal OrGate, FlopOut: std_logic;
begin
ADly <= transport A after 2 ns;
BDly <= transport B after 2 ns;
OrGateDly <= transport OrGate after 1.5 ns;
ClkDly <= transport Clk after 1 ns;
u1: OR2 generic map (tPD => 10 ns)
port map ( I1 => ADly,
I2 => BDly,
Y => OrGate
);
u2: simDFF generic map ( tS => 4 ns,
tH => 3 ns,
tCQ => 8 ns
)
port map ( D => OrGateDly,
Clk => ClkDly,
Q => FlopOut
);
Y <= transport FlopOut after 2 ns;
end hierarchical;
library IEEE;
use IEEE.std_logic_1164.all;
library IEEE;
use IEEE.std_logic_1164.all;
entity INVERTER is port (
i: in std_logic;
o: out std_logic
);
end INVERTER;
architecture rtl of INVERTER is
begin
o <= not i;
end rtl;
--------------------------------------------------------------------------------
--| File name : $RCSfile: io1164.vhd $
--| Library : SUPPORT
--| Revision : $Revision: 1.1 $
--| Author(s) : Vantage Analysis Systems, Inc; Des Young
--| Integration : Des Young
--| Creation : Nov 1995
--| Status : $State: Exp $
--|
--| Purpose : IO routines for std_logic_1164.
--| Assumptions : Numbers use radixed character set with no prefix.
--| Limitations : Does not read VHDL pound-radixed numbers.
--| Known Errors: none
--|
--| Description:
--| This is a modified library. The source is basically that donated by
--| Vantage to libutil. Des Young removed std_ulogic_vector support (to
--| conform to synthesizable libraries), and added read_oct/hex to integer.
--|
--| =======================================================================
--| Copyright (c) 1992-1994 Vantage Analysis Systems, Inc., all rights
--| reserved. This package is provided by Vantage Analysis Systems.
--| The package may not be sold without the express written consent of
--| Vantage Analysis Systems, Inc.
--|
--| The VHDL for this package may be copied and/or distributed as long as
--| this copyright notice is retained in the source and any modifications
--| are clearly marked in the History: list.
--|
--| Title : IO1164 package VHDL source
--| Package Name: somelib.IO1164
--| File Name : io1164.vhdl
--| Author(s) : dbb
--| Purpose : * Overloads procedures READ and WRITE for STD_LOGIC types
--| in manner consistent with TEXTIO package.
--| * Provides procedures to read and write logic values as
--| binary, octal, or hexadecimal values ('X' as appropriate).
--| These should be particularly useful for models
--| to read in stimulus as 0/1/x or octal or hex.
--| Subprograms :
--| Notes :
--| History : 1. Donated to libutil by Dave Bernstein 15 Jun 94
--| 2. Removed all std_ulogic_vector support, Des Young, 14 Nov 95
--| (This is because that type is not supported for synthesis).
--| 3. Added read_oct/hex to integer, Des Young, 20 Nov 95
--|
--| =======================================================================
--| Extra routines by Des Young, [email protected]. 1995. GNU copyright.
--| =======================================================================
--|
--------------------------------------------------------------------------------
library ieee;
package io1164 is
--$ !VANTAGE_METACOMMENTS_ON
--$ !VANTAGE_DNA_ON
-- import std_logic package
use ieee.std_logic_1164.all;
-- import textio package
use std.textio.all;
--
-- the READ and WRITE procedures act similarly to the procedures in the
-- STD.TEXTIO package. for each type, there are two read procedures and
-- one write procedure for converting between character and internal
-- representations of values. each value is represented as the string of
-- characters that you would use in VHDL code. (remember that apostrophes
-- and quotation marks are not used.) input is case-insensitive. output
-- is in upper case. see the following LRM sections for more information:
--
-- 2.3 - Subprogram Overloading
-- 3.3 - Access Types (STD.TEXTIO.LINE is an access type)
-- 7.3.6 - Allocators (allocators create access values)
-- 14.3 - Package TEXTIO
--
-- Note that the procedures for std_ulogic will match calls with the value
-- parameter of type std_logic.
--
-- declare READ procedures to overload like in TEXTIO
--
procedure read(l: inout line; value: out std_ulogic ; good: out boolean);
procedure read(l: inout line; value: out std_ulogic );
procedure read(l: inout line; value: out std_logic_vector ; good: out boolean);
procedure read(l: inout line; value: out std_logic_vector );
--
-- declare WRITE procedures to overload like in TEXTIO
--
procedure write(l : inout line ;
value : in std_ulogic ;
justified: in side := right;
field : in width := 0 );
procedure write(l : inout line ;
value : in std_logic_vector ;
justified: in side := right;
field : in width := 0 );
--
-- declare procedures to convert between logic values and octal
-- or hexadecimal ('X' where appropriate).
--
-- octal / std_logic_vector
procedure read_oct (l : inout line ;
value : out std_logic_vector ;
good : out boolean );
procedure read_oct (l : inout line ;
value : out std_logic_vector );
procedure write_oct(l : inout line ;
value : in std_logic_vector ;
justified : in side := right;
field : in width := 0 );
-- hexadecimal / std_logic_vector
procedure read_hex (l : inout line ;
value : out std_logic_vector ;
good : out boolean );
procedure read_hex (l : inout line ;
value : out std_logic_vector );
procedure write_hex(l : inout line ;
value : in std_logic_vector ;
justified : in side := right;
field : in width := 0 );
-- read a number into an integer
procedure read_oct(l : inout line;
value : out integer;
good : out boolean);
procedure read_oct(l : inout line;
value : out integer);
procedure read_hex(l : inout line;
value : out integer;
good : out boolean);
procedure read_hex(l : inout line;
value : out integer);
end io1164;
--------------------------------------------------------------------------------
--| Copyright (c) 1992-1994 Vantage Analysis Systems, Inc., all rights reserved
--| This package is provided by Vantage Analysis Systems.
--| The package may not be sold without the express written consent of
--| Vantage Analysis Systems, Inc.
--|
--| The VHDL for this package may be copied and/or distributed as long as
--| this copyright notice is retained in the source and any modifications
--| are clearly marked in the History: list.
--|
--| Title : IO1164 package body VHDL source
--| Package Name: VANTAGE_LOGIC.IO1164
--| File Name : io1164.vhdl
--| Author(s) : dbb
--| Purpose : source for IO1164 package body
--| Subprograms :
--| Notes : see package declaration
--| History : see package declaration
--------------------------------------------------------------------------------
package body io1164 is
--$ !VANTAGE_METACOMMENTS_ON
--$ !VANTAGE_DNA_ON
-- define lowercase conversion of characters for canonical comparison
type char2char_t is array (character'low to character'high) of character;
constant lowcase: char2char_t := (
nul, soh, stx, etx, eot, enq, ack, bel,
bs, ht, lf, vt, ff, cr, so, si,
dle, dc1, dc2, dc3, dc4, nak, syn, etb,
can, em, sub, esc, fsp, gsp, rsp, usp,
' ', '!', '"', '#', '$', '%', '&', ''',
'(', ')', '*', '+', ',', '-', '.', '/',
'0', '1', '2', '3', '4', '5', '6', '7',
'8', '9', ':', ';', '<', '=', '>', '?',
'@', 'a', 'b', 'c', 'd', 'e', 'f', 'g',
'h', 'i', 'j', 'k', 'l', 'm', 'n', 'o',
'p', 'q', 'r', 's', 't', 'u', 'v', 'w',
'x', 'y', 'z', '[', '\', ']', '^', '_',
'`', 'a', 'b', 'c', 'd', 'e', 'f', 'g',
'h', 'i', 'j', 'k', 'l', 'm', 'n', 'o',
'p', 'q', 'r', 's', 't', 'u', 'v', 'w',
'x', 'y', 'z', '{', '|', '}', '~', del);
-- define conversions between various types
-- logic -> character
type f_logic_to_character_t is
array (std_ulogic'low to std_ulogic'high) of character;
constant f_logic_to_character : f_logic_to_character_t :=
(
'U' => 'U',
'X' => 'X',
'0' => '0',
'1' => '1',
'Z' => 'Z',
'W' => 'W',
'L' => 'L',
'H' => 'H',
'-' => '-'
);
-- character, integer, logic
constant x_charcode : integer := -1;
constant maxoct_charcode: integer := 7;
constant maxhex_charcode: integer := 15;
constant bad_charcode : integer := integer'left;
type digit2int_t is
array ( character'low to character'high ) of integer;
constant octdigit2int: digit2int_t := (
'0' => 0, '1' => 1, '2' => 2, '3' => 3, '4' => 4,
'5' => 5, '6' => 6, '7' => 7,
'X' | 'x' => x_charcode, others => bad_charcode );
constant hexdigit2int: digit2int_t := (
'0' => 0, '1' => 1, '2' => 2, '3' => 3, '4' => 4,
'5' => 5, '6' => 6, '7' => 7, '8' => 8, '9' => 9,
'A' | 'a' => 10, 'B' | 'b' => 11, 'C' | 'c' => 12,
'D' | 'd' => 13, 'E' | 'e' => 14, 'F' | 'f' => 15,
'X' | 'x' => x_charcode, others => bad_charcode );
constant oct_bits_per_digit: integer := 3;
constant hex_bits_per_digit: integer := 4;
type int2octdigit_t is
array ( 0 to maxoct_charcode ) of character;
constant int2octdigit: int2octdigit_t :=
( 0 => '0', 1 => '1', 2 => '2', 3 => '3',
4 => '4', 5 => '5', 6 => '6', 7 => '7' );
type int2hexdigit_t is
array ( 0 to maxhex_charcode ) of character;
constant int2hexdigit: int2hexdigit_t :=
( 0 => '0', 1 => '1', 2 => '2', 3 => '3',
4 => '4', 5 => '5', 6 => '6', 7 => '7',
8 => '8', 9 => '9', 10 => 'A', 11 => 'B',
12 => 'C', 13 => 'D', 14 => 'E', 15 => 'F' );
type oct_logic_vector_t is
array(1 to oct_bits_per_digit) of std_ulogic;
type octint2logic_t is
array (x_charcode to maxoct_charcode) of oct_logic_vector_t;
constant octint2logic : octint2logic_t := (
( 'X', 'X', 'X' ),
( '0', '0', '0' ),
( '0', '0', '1' ),
( '0', '1', '0' ),
( '0', '1', '1' ),
( '1', '0', '0' ),
( '1', '0', '1' ),
( '1', '1', '0' ),
( '1', '1', '1' )
);
type hex_logic_vector_t is
array(1 to hex_bits_per_digit) of std_ulogic;
type hexint2logic_t is
array (x_charcode to maxhex_charcode) of hex_logic_vector_t;
constant hexint2logic : hexint2logic_t := (
( 'X', 'X', 'X', 'X' ),
( '0', '0', '0', '0' ),
( '0', '0', '0', '1' ),
( '0', '0', '1', '0' ),
( '0', '0', '1', '1' ),
( '0', '1', '0', '0' ),
( '0', '1', '0', '1' ),
( '0', '1', '1', '0' ),
( '0', '1', '1', '1' ),
( '1', '0', '0', '0' ),
( '1', '0', '0', '1' ),
( '1', '0', '1', '0' ),
( '1', '0', '1', '1' ),
( '1', '1', '0', '0' ),
( '1', '1', '0', '1' ),
( '1', '1', '1', '0' ),
( '1', '1', '1', '1' )
);
----------------------------------------------------------------------------
-- READ procedure bodies
--
-- The strategy for duplicating TEXTIO's overloading of procedures
-- with and without GOOD parameters is to put all the logic in the
-- version with the GOOD parameter and to have the version without
-- GOOD approximate a runtime error by use of an assertion.
--
----------------------------------------------------------------------------
--
-- std_ulogic
-- note: compatible with std_logic
--
procedure read( l: inout line; value: out std_ulogic; good : out boolean ) is
variable c : character; -- char read while looping
variable m : line; -- safe copy of L
variable success: boolean := false; -- readable version of GOOD
variable done : boolean := false; -- flag to say done reading chars
begin
--
-- algorithm:
--
-- if there are characters in the line
-- save a copy of the line
-- get the next character
-- if got one
-- set value
-- if all ok
-- free temp copy
-- else
-- free passed in line
-- assign copy back to line
-- set GOOD
--
-- only operate on lines that contain characters
if ( ( l /= null ) and ( l.all'length /= 0 ) ) then
-- save a copy of string in case read fails
m := new string'( l.all );
-- grab the next character
read( l, c, success );
-- if read ok
if success then
--
-- an issue here is whether lower-case values should be accepted or not
--
-- determine the value
case c is
when 'U' | 'u' => value := 'U';
when 'X' | 'x' => value := 'X';
when '0' => value := '0';
when '1' => value := '1';
when 'Z' | 'z' => value := 'Z';
when 'W' | 'w' => value := 'W';
when 'L' | 'l' => value := 'L';
when 'H' | 'h' => value := 'H';
when '-' => value := '-';
when others => success := false;
end case;
end if;
-- free working storage
if success then
deallocate( m );
else
deallocate( l );
l := m;
end if;
end if; -- non null access, non empty string
-- set output parameter
good := success;
end read;
procedure read( l: inout line; value: out std_ulogic ) is
variable success: boolean; -- internal good flag
begin
read( l, value, success ); -- use safe version
assert success
report "IO1164.READ: Unable to read STD_ULOGIC value."
severity error;
end read;
--
-- std_logic_vector
-- note: NOT compatible with std_ulogic_vector
--
procedure read(l : inout line ;
value: out std_logic_vector;
good : out boolean ) is
variable m : line ; -- saved copy of L
variable success : boolean := true; -- readable GOOD
variable logic_value : std_logic ; -- value for one array element
variable c : character ; -- read a character
begin
--
-- algorithm:
--
-- this procedure strips off leading whitespace, and then calls the
-- READ procedure for each single logic value element in the output
-- array.
--
-- only operate on lines that contain characters
if ( ( l /= null ) and ( l.all'length /= 0 ) ) then
-- save a copy of string in case read fails
m := new string'( l.all );
-- loop for each element in output array
for i in value'range loop
-- prohibit internal blanks
if i /= value'left then
if l.all'length = 0 then
success := false;
exit;
end if;
c := l.all(l.all'left);
if c = ' ' or c = ht then
success := false;
exit;
end if;
end if;
-- read the next logic value
read( l, logic_value, success );
-- stuff the value in if ok, else bail out
if success then
value( i ) := logic_value;
else
exit;
end if;
end loop; -- each element in output array
-- free working storage
if success then
deallocate( m );
else
deallocate( l );
l := m;
end if;
elsif ( value'length /= 0 ) then
-- string is empty but the return array has 1+ elements
success := false;
end if;
-- set output parameter
good := success;
end read;
procedure read(l: inout line; value: out std_logic_vector ) is
variable success: boolean;
begin
read( l, value, success );
assert success
report "IO1164.READ: Unable to read T_WLOGIC_VECTOR value."
severity error;
end read;
----------------------------------------------------------------------------
-- WRITE procedure bodies
----------------------------------------------------------------------------
--
-- std_ulogic
-- note: compatible with std_logic
--
procedure write(l : inout line ;
value : in std_ulogic ;
justified: in side := right;
field : in width := 0 ) is
begin
--
-- algorithm:
--
-- just write out the string associated with the enumerated
-- value.
--
case value is
when 'U' => write( l, character'('U'), justified, field );
when 'X' => write( l, character'('X'), justified, field );
when '0' => write( l, character'('0'), justified, field );
when '1' => write( l, character'('1'), justified, field );
when 'Z' => write( l, character'('Z'), justified, field );
when 'W' => write( l, character'('W'), justified, field );
when 'L' => write( l, character'('L'), justified, field );
when 'H' => write( l, character'('H'), justified, field );
when '-' => write( l, character'('-'), justified, field );
end case;
end write;
--
-- std_logic_vector
-- note: NOT compatible with std_ulogic_vector
--
procedure write(l : inout line ;
value : in std_logic_vector ;
justified: in side := right;
field : in width := 0 ) is
variable m: line; -- build up intermediate string
begin
--
-- algorithm:
--
-- for each value in array
-- add string representing value to intermediate string
-- write intermediate string to line parameter
-- free intermediate string
--
-- for each value in array
for i in value'range loop
-- add string representing value to intermediate string
write( m, value( i ) );
end loop;
-- write intermediate string to line parameter
write( l, m.all, justified, field );
-- free intermediate string
deallocate( m );
end write;
--------------------------------------------------------------------------------
----------------------------------------------------------------------------
-- procedure bodies for octal and hexadecimal read and write
----------------------------------------------------------------------------
--
-- std_logic_vector/octal
-- note: NOT compatible with std_ulogic_vector
--
procedure read_oct(l : inout line ;
value : out std_logic_vector;
good : out boolean ) is
variable m : line ; -- safe L
variable success : boolean := true; -- readable GOOD
variable logic_value : std_logic ; -- elem value
variable c : character ; -- char read
variable charcode : integer ; -- char->int
variable oct_logic_vector: oct_logic_vector_t ; -- for 1 digit
variable bitpos : integer ; -- in state vec.
begin
--
-- algorithm:
--
-- skip over leading blanks, then read a digit
-- and do a conversion into a logic value
-- for each element in array
--
-- make sure logic array is right size to read this base
success := ( ( value'length rem oct_bits_per_digit ) = 0 );
if success then
-- only operate on non-empty strings
if ( ( l /= null ) and ( l.all'length /= 0 ) ) then
-- save old copy of string in case read fails
m := new string'( l.all );
-- pick off leading white space and get first significant char
c := ' ';
while success and ( l.all'length > 0 ) and ( ( c = ' ' ) or ( c = ht ) ) loop
read( l, c, success );
end loop;
-- turn character into integer
charcode := octdigit2int( c );
-- not doing any bits yet
bitpos := 0;
-- check for bad first character
if charcode = bad_charcode then
success := false;
else
-- loop through each value in array
oct_logic_vector := octint2logic( charcode );
for i in value'range loop
-- doing the next bit
bitpos := bitpos + 1;
-- stick the value in
value( i ) := oct_logic_vector( bitpos );
-- read the next character if we're not at array end
if ( bitpos = oct_bits_per_digit ) and ( i /= value'right ) then
read( l, c, success );
if not success then
exit;
end if;
-- turn character into integer
charcode := octdigit2int( c );
-- check for bad char
if charcode = bad_charcode then
success := false;
exit;
end if;
-- reset bit position
bitpos := 0;
-- turn character code into state array
oct_logic_vector := octint2logic( charcode );
end if;
end loop; -- each index in return array
end if; -- if bad first character
-- clean up working storage
if success then
deallocate( m );
else
deallocate( l );
l := m;
end if;
-- no characters to read for return array that isn't null slice
elsif ( value'length /= 0 ) then
success := false;
end if; -- non null access, non empty string
end if;
-- set out parameter of success
good := success;
end read_oct;
procedure read_oct(l : inout line ;
value : out std_logic_vector) is
variable success: boolean; -- internal good flag
begin
read_oct( l, value, success ); -- use safe version
assert success
report "IO1164.READ_OCT: Unable to read T_LOGIC_VECTOR value."
severity error;
end read_oct;
procedure write_oct(l : inout line ;
value : in std_logic_vector ;
justified: in side := right;
field : in width := 0 ) is
variable m : line ; -- safe copy of L
variable goodlength : boolean ; -- array is ok len for this base
variable isx : boolean ; -- an X in this digit
variable integer_value: integer ; -- accumulate integer value
variable c : character; -- character read
variable charpos : integer ; -- index string being contructed
variable bitpos : integer ; -- bit index inside digit
begin
--
-- algorithm:
--
-- make sure this array can be written in this base
-- create a string to place intermediate results
-- initialize counters and flags to beginning of string
-- for each item in array
-- note unknown, else accumulate logic into integer
-- if at this digit's last bit
-- stuff digit just computed into intermediate result
-- reset flags and counters except for charpos
-- write intermediate result into line
-- free work storage
--
-- make sure this array can be written in this base
goodlength := ( ( value'length rem oct_bits_per_digit ) = 0 );
assert goodlength
report "IO1164.WRITE_OCT: VALUE'Length is not a multiple of 3."
severity error;
if goodlength then
-- create a string to place intermediate results
m := new string(1 to ( value'length / oct_bits_per_digit ) );
-- initialize counters and flags to beginning of string
charpos := 0;
bitpos := 0;
isx := false;
integer_value := 0;
-- for each item in array
for i in value'range loop
-- note unknown, else accumulate logic into integer
case value(i) is
when '0' | 'L' =>
integer_value := integer_value * 2;
when '1' | 'H' =>
integer_value := ( integer_value * 2 ) + 1;
when others =>
isx := true;
end case;
-- see if we've done this digit's last bit
bitpos := bitpos + 1;
if bitpos = oct_bits_per_digit then
-- stuff the digit just computed into the intermediate result
charpos := charpos + 1;
if isx then
m.all(charpos) := 'X';
else
m.all(charpos) := int2octdigit( integer_value );
end if;
-- reset flags and counters except for location in string being constructed
bitpos := 0;
isx := false;
integer_value := 0;
end if;
end loop;
-- write intermediate result into line
write( l, m.all, justified, field );
-- free work storage
deallocate( m );
end if;
end write_oct;
--
-- std_logic_vector/hexadecimal
-- note: NOT compatible with std_ulogic_vector
--
procedure read_hex(l : inout line ;
value : out std_logic_vector;
good : out boolean ) is
variable m : line ; -- safe L
variable success : boolean := true; -- readable GOOD
variable logic_value : std_logic ; -- elem value
variable c : character ; -- char read
variable charcode : integer ; -- char->int
variable hex_logic_vector: hex_logic_vector_t ; -- for 1 digit
variable bitpos : integer ; -- in state vec.
begin
--
-- algorithm:
--
-- skip over leading blanks, then read a digit
-- and do a conversion into a logic value
-- for each element in array
--
-- make sure logic array is right size to read this base
success := ( ( value'length rem hex_bits_per_digit ) = 0 );
if success then
-- only operate on non-empty strings
if ( ( l /= null ) and ( l.all'length /= 0 ) ) then
-- save old copy of string in case read fails
m := new string'( l.all );
-- pick off leading white space and get first significant char
c := ' ';
while success and ( l.all'length > 0 ) and ( ( c = ' ' ) or ( c = ht ) ) loop
read( l, c, success );
end loop;
-- turn character into integer
charcode := hexdigit2int( c );
-- not doing any bits yet
bitpos := 0;
-- check for bad first character
if charcode = bad_charcode then
success := false;
else
-- loop through each value in array
hex_logic_vector := hexint2logic( charcode );
for i in value'range loop
-- doing the next bit
bitpos := bitpos + 1;
-- stick the value in
value( i ) := hex_logic_vector( bitpos );
-- read the next character if we're not at array end
if ( bitpos = hex_bits_per_digit ) and ( i /= value'right ) then
read( l, c, success );
if not success then
exit;
end if;
-- turn character into integer
charcode := hexdigit2int( c );
-- check for bad char
if charcode = bad_charcode then
success := false;
exit;
end if;
-- reset bit position
bitpos := 0;
-- turn character code into state array
hex_logic_vector := hexint2logic( charcode );
end if;
end loop; -- each index in return array
end if; -- if bad first character
-- clean up working storage
if success then
deallocate( m );
else
deallocate( l );
l := m;
end if;
-- no characters to read for return array that isn't null slice
elsif ( value'length /= 0 ) then
success := false;
end if; -- non null access, non empty string
end if;
-- set out parameter of success
good := success;
end read_hex;
procedure read_hex(l : inout line ;
value : out std_logic_vector) is
variable success: boolean; -- internal good flag
begin
read_hex( l, value, success ); -- use safe version
assert success
report "IO1164.READ_HEX: Unable to read T_LOGIC_VECTOR value."
severity error;
end read_hex;
procedure write_hex(l : inout line ;
value : in std_logic_vector ;
justified: in side := right;
field : in width := 0 ) is
variable m : line ; -- safe copy of L
variable goodlength : boolean ; -- array is ok len for this base
variable isx : boolean ; -- an X in this digit
variable integer_value: integer ; -- accumulate integer value
variable c : character; -- character read
variable charpos : integer ; -- index string being contructed
variable bitpos : integer ; -- bit index inside digit
begin
--
-- algorithm:
--
-- make sure this array can be written in this base
-- create a string to place intermediate results
-- initialize counters and flags to beginning of string
-- for each item in array
-- note unknown, else accumulate logic into integer
-- if at this digit's last bit
-- stuff digit just computed into intermediate result
-- reset flags and counters except for charpos
-- write intermediate result into line
-- free work storage
--
-- make sure this array can be written in this base
goodlength := ( ( value'length rem hex_bits_per_digit ) = 0 );
assert goodlength
report "IO1164.WRITE_HEX: VALUE'Length is not a multiple of 4."
severity error;
if goodlength then
-- create a string to place intermediate results
m := new string(1 to ( value'length / hex_bits_per_digit ) );
-- initialize counters and flags to beginning of string
charpos := 0;
bitpos := 0;
isx := false;
integer_value := 0;
-- for each item in array
for i in value'range loop
-- note unknown, else accumulate logic into integer
case value(i) is
when '0' | 'L' =>
integer_value := integer_value * 2;
when '1' | 'H' =>
integer_value := ( integer_value * 2 ) + 1;
when others =>
isx := true;
end case;
-- see if we've done this digit's last bit
bitpos := bitpos + 1;
if bitpos = hex_bits_per_digit then
-- stuff the digit just computed into the intermediate result
charpos := charpos + 1;
if isx then
m.all(charpos) := 'X';
else
m.all(charpos) := int2hexdigit( integer_value );
end if;
-- reset flags and counters except for location in string being constructed
bitpos := 0;
isx := false;
integer_value := 0;
end if;
end loop;
-- write intermediate result into line
write( l, m.all, justified, field );
-- free work storage
deallocate( m );
end if;
end write_hex;
------------------------------------------------------------------------------
------------------------------------
-- Read octal/hex numbers to integer
------------------------------------
--
-- Read octal to integer
--
procedure read_oct(l : inout line;
value : out integer;
good : out boolean) is
variable pos : integer;
variable digit : integer;
variable result : integer := 0;
variable success : boolean := true;
variable c : character;
variable old_l : line := l;
begin
-- algorithm:
--
-- skip leading white space, read digit, convert
-- into integer
--
if (l /= NULL) then
-- set pos to start of actual number by skipping white space
pos := l'LEFT;
c := l(pos);
while ( l.all'length > 0 ) and ( ( c = ' ' ) or ( c = HT ) ) loop
pos := pos + 1;
c := l(pos);
end loop;
-- check for start of valid number
digit := octdigit2int(l(pos));
if ((digit = bad_charcode) or (digit = x_charcode)) then
good := FALSE;
return;
else
-- calculate integer value
for i in pos to l'RIGHT loop
digit := octdigit2int(l(pos));
exit when (digit = bad_charcode) or (digit = x_charcode);
result := (result * 8) + digit;
pos := pos + 1;
end loop;
value := result;
-- shrink line
if (pos > 1) then
l := new string'(old_l(pos to old_l'HIGH));
deallocate(old_l);
end if;
good := TRUE;
return;
end if;
else
good := FALSE;
end if;
end read_oct;
-- simple version
procedure read_oct(l : inout line;
value : out integer) is
variable success: boolean; -- internal good flag
begin
read_oct( l, value, success ); -- use safe version
assert success
report "IO1164.READ_OCT: Unable to read octal integer value."
severity error;
end read_oct;
--
-- Read hex to integer
--
procedure read_hex(l : inout line;
value : out integer;
good : out boolean) is
variable pos : integer;
variable digit : integer;
variable result : integer := 0;
variable success : boolean := true;
variable c : character;
variable old_l : line := l;
begin
-- algorithm:
--
-- skip leading white space, read digit, convert
-- into integer
--
if (l /= NULL) then
-- set pos to start of actual number by skipping white space
pos := l'LEFT;
c := l(pos);
while ( l.all'length > 0 ) and ( ( c = ' ' ) or ( c = HT ) ) loop
pos := pos + 1;
c := l(pos);
end loop;
-- check for start of valid number
digit := hexdigit2int(l(pos));
if ((digit = bad_charcode) or (digit = x_charcode)) then
good := FALSE;
return;
else
-- calculate integer value
for i in pos to l'RIGHT loop
digit := hexdigit2int(l(pos));
exit when (digit = bad_charcode) or (digit = x_charcode);
result := (result * 16) + digit;
pos := pos + 1;
end loop;
value := result;
-- shrink line
if (pos > 1) then
l := new string'(old_l(pos to old_l'HIGH));
deallocate(old_l);
end if;
good := TRUE;
return;
end if;
else
good := FALSE;
end if;
end read_hex;
-- simple version
procedure read_hex(l : inout line;
value : out integer) is
variable success: boolean; -- internal good flag
begin
read_hex( l, value, success ); -- use safe version
assert success
report "IO1164.READ_HEX: Unable to read hex integer value."
severity error;
end read_hex;
end io1164;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity asyncLdCnt is port (
loadVal: in std_logic_vector(3 downto 0);
clk, load: in std_logic;
q: out std_logic_vector(3 downto 0)
);
end asyncLdCnt;
architecture rtl of asyncLdCnt is
signal qLocal: unsigned(3 downto 0);
begin
process (clk, load, loadVal) begin
if (load = '1') then
qLocal <= to_unsigned(loadVal);
elsif (clk'event and clk = '1' ) then
qLocal <= qLocal + 1;
end if;
end process;
q <= to_stdlogicvector(qLocal);
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
entity LoadCnt is port (
CntEn: in std_logic;
LdCnt: in std_logic;
LdData: in std_logic_vector(3 downto 0);
Clk: in std_logic;
Rst: in std_logic;
CntVal: out std_logic_vector(3 downto 0)
);
end LoadCnt;
architecture behavioral of LoadCnt is
signal Cnt: std_logic_vector(3 downto 0);
begin
counter: process (Clk, Rst) begin
if Rst = '1' then
Cnt <= (others => '0');
elsif (Clk'event and Clk = '1') then
if (LdCnt = '1') then
Cnt <= LdData;
elsif (CntEn = '1') then
Cnt <= Cnt + 1;
else
Cnt <= Cnt;
end if;
end if;
end process;
CntVal <= Cnt;
end behavioral;
library IEEE;
use IEEE.std_logic_1164.all;
library UTILS;
use UTILS.io1164.all;
use std.textio.all;
entity loadCntTB is
end loadCntTB;
architecture testbench of loadCntTB is
component loadCnt port (
data: in std_logic_vector (7 downto 0);
load: in std_logic;
clk: in std_logic;
rst: in std_logic;
q: out std_logic_vector (7 downto 0)
);
end component;
file vectorFile: text is in "vectorfile";
type vectorType is record
data: std_logic_vector(7 downto 0);
load: std_logic;
rst: std_logic;
q: std_logic_vector(7 downto 0);
end record;
signal testVector: vectorType;
signal TestClk: std_logic := '0';
signal Qout: std_logic_vector(7 downto 0);
constant ClkPeriod: time := 100 ns;
for all: loadCnt use entity work.loadcnt(rtl);
begin
-- File reading and stimulus application
readVec: process
variable VectorLine: line;
variable VectorValid: boolean;
variable vRst: std_logic;
variable vLoad: std_logic;
variable vData: std_logic_vector(7 downto 0);
variable vQ: std_logic_vector(7 downto 0);
begin
while not endfile (vectorFile) loop
readline(vectorFile, VectorLine);
read(VectorLine, vRst, good => VectorValid);
next when not VectorValid;
read(VectorLine, vLoad);
read(VectorLine, vData);
read(VectorLine, vQ);
wait for ClkPeriod/4;
testVector.Rst <= vRst;
testVector.Load <= vLoad;
testVector.Data <= vData;
testVector.Q <= vQ;
wait for (ClkPeriod/4) * 3;
end loop;
assert false
report "Simulation complete"
severity note;
wait;
end process;
-- Free running test clock
TestClk <= not TestClk after ClkPeriod/2;
-- Instance of design being tested
u1: loadCnt port map (Data => testVector.Data,
load => testVector.Load,
clk => TestClk,
rst => testVector.Rst,
q => Qout
);
-- Process to verify outputs
verify: process (TestClk)
variable ErrorMsg: line;
begin
if (TestClk'event and TestClk = '0') then
if Qout /= testVector.Q then
write(ErrorMsg, string'("Vector failed "));
write(ErrorMsg, now);
writeline(output, ErrorMsg);
end if;
end if;
end process;
end testbench;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
entity loadCnt is port (
data: in std_logic_vector (7 downto 0);
load: in std_logic;
clk: in std_logic;
rst: in std_logic;
q: out std_logic_vector (7 downto 0)
);
end loadCnt;
architecture rtl of loadCnt is
signal cnt: std_logic_vector (7 downto 0);
begin
counter: process (clk, rst) begin
if (rst = '1') then
cnt <= (others => '0');
elsif (clk'event and clk = '1') then
if (load = '1') then
cnt <= data;
else
cnt <= cnt + 1;
end if;
end if;
end process;
q <= cnt;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
entity multiplier is port (
a,b : in std_logic_vector (15 downto 0);
product: out std_logic_vector (31 downto 0)
);
end multiplier;
architecture dataflow of multiplier is
begin
product <= a * b;
end dataflow;
library IEEE;
use IEEE.std_logic_1164.all;
entity mux is port (
A, B, Sel: in std_logic;
Y: out std_logic
);
end mux;
architecture simModel of mux is
-- Delay Constants
constant tPD_A: time := 10 ns;
constant tPD_B: time := 15 ns;
constant tPD_Sel: time := 5 ns;
begin
DelayMux: process (A, B, Sel)
variable localY: std_logic; -- Zero delay place holder for Y
begin
-- Zero delay model
case Sel is
when '0' =>
localY := A;
when others =>
localY := B;
end case;
-- Delay calculation
if (B'event) then
Y <= localY after tPD_B;
elsif (A'event) then
Y <= localY after tPD_A;
else
Y <= localY after tPD_Sel;
end if;
end process;
end simModel;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
entity ForceShare is port (
a,b,c,d,e,f: in std_logic_vector (7 downto 0);
result: out std_logic_vector(7 downto 0)
);
end ForceShare;
architecture behaviour of ForceShare is
begin
sum: process (a,c,b,d,e,f)
begin
if (a + b = "10011010") then
result <= c;
elsif (a + b = "01011001") then
result <= d;
elsif (a + b = "10111011") then
result <= e;
else
result <= f;
end if;
end process;
end behaviour;
library IEEE;
use IEEE.std_logic_1164.all;
entity TRIBUF8 is port (
ip: in std_logic_vector(7 downto 0);
oe: in std_logic;
op: out std_logic_vector(7 downto 0)
);
end TRIBUF8;
architecture concurrent of TRIBUF8 is
begin
op <= ip when oe = '1' else (others => 'Z');
end concurrent;
library IEEE;
use IEEE.std_logic_1164.all;
entity TRIBUF is port (
ip: in std_logic;
oe: in std_logic;
op: out std_logic
);
end TRIBUF;
architecture concurrent of TRIBUF is
begin
op <= ip when oe = '1' else 'Z';
end concurrent;
library IEEE;
use IEEE.std_logic_1164.all;
entity TRIBUF8 is port (
ip: in std_logic_vector(7 downto 0);
oe: in std_logic;
op: out std_logic_vector(7 downto 0)
);
end TRIBUF8;
architecture sequential of TRIBUF8 is
begin
enable: process (ip,oe) begin
if (oe = '1') then
op <= ip;
else
op <= (others => 'Z');
end if;
end process;
end sequential;
library IEEE;
use IEEE.std_logic_1164.all;
entity TRIBUF is port (
ip: in bit;
oe: in bit;
op: out bit
);
end TRIBUF;
architecture sequential of TRIBUF is
begin
enable: process (ip,oe) begin
if (oe = '1') then
op <= ip;
else
op <= null;
end if;
end process;
end sequential;
library IEEE;
use IEEE.std_logic_1164.all;
entity TRIBUF is port (
ip: in std_logic;
oe: in std_logic;
op: out std_logic
);
end TRIBUF;
architecture sequential of TRIBUF is
begin
enable: process (ip,oe) begin
if (oe = '1') then
op <= ip;
else
op <= 'Z';
end if;
end process;
end sequential;
library IEEE;
use IEEE.std_logic_1164.all;
use work.primitive.all;
entity tribuffer is port (
input: in std_logic;
enable: in std_logic;
output: out std_logic
);
end tribuffer;
architecture structural of tribuffer is
begin
u1: tribuf port map (ip => input,
oe => enable,
op => output
);
end structural;
library ieee;
use ieee.std_logic_1164.all;
use work.primitive.all;
entity oddParityGen is
generic ( width : integer := 8 );
port (ad: in std_logic_vector (width - 1 downto 0);
oddParity : out std_logic ) ;
end oddParityGen;
architecture scaleable of oddParityGen is
signal genXor: std_logic_vector(ad'range);
begin
genXOR(0) <= '0';
parTree: for i in 1 to ad'high generate
x1: xor2 port map (i1 => genXor(i - 1),
i2 => ad(i - 1),
y => genXor(i)
);
end generate;
oddParity <= genXor(ad'high) ;
end scaleable ;
library ieee;
use ieee.std_logic_1164.all;
entity oddParityLoop is
generic ( width : integer := 8 );
port (ad: in std_logic_vector (width - 1 downto 0);
oddParity : out std_logic ) ;
end oddParityLoop ;
architecture scaleable of oddParityLoop is
begin
process (ad)
variable loopXor: std_logic;
begin
loopXor := '0';
for i in 0 to width -1 loop
loopXor := loopXor xor ad( i ) ;
end loop ;
oddParity <= loopXor ;
end process;
end scaleable ;
library IEEE;
use IEEE.std_logic_1164.all;
library IEEE;
use IEEE.std_logic_1164.all;
entity OR2 is port (
i1: in std_logic;
i2: in std_logic;
y: out std_logic
);
end OR2;
architecture rtl of OR2 is
begin
y <= '1' when i1 = '1' or i2 = '1' else '0';
end rtl;
library IEEE;
USE IEEE.std_logic_1164.all;
entity OR2 is port (
I1, I2: in std_logic;
Y: out std_logic
);
end OR2;
architecture simple of OR2 is
begin
Y <= I1 OR I2 after 10 ns;
end simple;
library IEEE;
USE IEEE.std_logic_1164.all;
package simPrimitives is
component OR2
generic (tPD: time := 1 ns);
port (I1, I2: in std_logic;
Y: out std_logic
);
end component;
end simPrimitives;
library IEEE;
USE IEEE.std_logic_1164.all;
entity OR2 is
generic (tPD: time := 1 ns);
port (I1, I2: in std_logic;
Y: out std_logic
);
end OR2;
architecture simple of OR2 is
begin
Y <= I1 OR I2 after tPD;
end simple;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity adder is port (
a,b: in std_logic_vector(3 downto 0);
sum: out std_logic_vector(3 downto 0);
overflow: out std_logic
);
end adder;
architecture concat of adder is
signal localSum: std_logic_vector(4 downto 0);
begin
localSum <= std_logic_vector(unsigned('0' & a) + unsigned('0' & b));
sum <= localSum(3 downto 0);
overflow <= localSum(4);
end concat;
library IEEE;
use IEEE.std_logic_1164.all;
use work.primitive.all;
entity paramDFF is
generic (size: integer := 8);
port (
data: in std_logic_vector(size - 1 downto 0);
clock: in std_logic;
reset: in std_logic;
ff_enable: in std_logic;
op_enable: in std_logic;
qout: out std_logic_vector(size - 1 downto 0)
);
end paramDFF;
architecture parameterize of paramDFF is
signal reg: std_logic_vector(size - 1 downto 0);
begin
u1: pDFFE generic map (n => size)
port map (d => data,
clk =>clock,
rst => reset,
en => ff_enable,
q => reg
);
u2: pTRIBUF generic map (n => size)
port map (ip => reg,
oe => op_enable,
op => qout
);
end paramterize;
library ieee;
use ieee.std_logic_1164.all;
use work.primitive.all;
entity oddParityGen is
generic ( width : integer := 32 );
port (ad: in std_logic_vector (width - 1 downto 0);
oddParity : out std_logic ) ;
end oddParityGen;
architecture scaleable of oddParityGen is
signal genXor: std_logic_vector(ad'range);
signal one: std_logic := '1';
begin
parTree: for i in ad'range generate
g0: if i = 0 generate
x0: xor2 port map (i1 => one,
i2 => one,
y => genXor(0)
);
end generate;
g1: if i > 0 and i <= ad'high generate
x1: xor2 port map (i1 => genXor(i - 1),
i2 => ad(i - 1),
y => genXor(i)
);
end generate;
end generate;
oddParity <= genXor(ad'high) ;
end scaleable ;
library ieee;
use ieee.std_logic_1164.all;
use work.primitive.all;
entity oddParityGen is
generic ( width : integer := 32 ); -- (2 <= width <= 32) and a power of 2
port (ad: in std_logic_vector (width - 1 downto 0);
oddParity : out std_logic ) ;
end oddParityGen;
architecture scaleable of oddParityGen is
signal stage0: std_logic_vector(31 downto 0);
signal stage1: std_logic_vector(15 downto 0);
signal stage2: std_logic_vector(7 downto 0);
signal stage3: std_logic_vector(3 downto 0);
signal stage4: std_logic_vector(1 downto 0);
begin
g4: for i in stage4'range generate
g41: if (ad'length > 2) generate
x4: xor2 port map (stage3(i), stage3(i + stage4'length), stage4(i));
end generate;
end generate;
g3: for i in stage3'range generate
g31: if (ad'length > 4) generate
x3: xor2 port map (stage2(i), stage2(i + stage3'length), stage3(i));
end generate;
end generate;
g2: for i in stage2'range generate
g21: if (ad'length > 8) generate
x2: xor2 port map (stage1(i), stage1(i + stage2'length), stage2(i));
end generate;
end generate;
g1: for i in stage1'range generate
g11: if (ad'length > 16) generate
x1: xor2 port map (stage0(i), stage0(i + stage1'length), stage1(i));
end generate;
end generate;
s1: for i in ad'range generate
s14: if (ad'length = 2) generate
stage4(i) <= ad(i);
end generate;
s13: if (ad'length = 4) generate
stage3(i) <= ad(i);
end generate;
s12: if (ad'length = 8) generate
stage2(i) <= ad(i);
end generate;
s11: if (ad'length = 16) generate
stage1(i) <= ad(i);
end generate;
s10: if (ad'length = 32) generate
stage0(i) <= ad(i);
end generate;
end generate;
genPar: xor2 port map (stage4(0), stage4(1), oddParity);
end scaleable ;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity powerOfFour is port(
clk : in std_logic;
inputVal : in unsigned(3 downto 0);
power : out unsigned(15 downto 0)
);
end powerOfFour;
architecture behavioral of powerOfFour is
function Pow( N, Exp : integer ) return integer is
Variable Result : integer := 1;
begin
for i in 1 to Exp loop
Result := Result * N;
end loop;
return( Result );
end Pow;
signal inputValInt: integer range 0 to 15;
signal powerL: integer range 0 to 65535;
begin
inputValInt <= to_integer(inputVal);
power <= to_unsigned(powerL,16);
process begin
wait until Clk = '1';
powerL <= Pow(inputValInt,4);
end process;
end behavioral;
package PowerPkg is
component Power port(
Clk : in bit;
inputVal : in bit_vector(0 to 3);
power : out bit_vector(0 to 15) );
end component;
end PowerPkg;
use work.bv_math.all;
use work.int_math.all;
use work.PowerPkg.all;
entity Power is port(
Clk : in bit;
inputVal : in bit_vector(0 to 3);
power : out bit_vector(0 to 15) );
end Power;
architecture funky of Power is
function Pow( N, Exp : integer ) return integer is
Variable Result : integer := 1;
Variable i : integer := 0;
begin
while( i < Exp ) loop
Result := Result * N;
i := i + 1;
end loop;
return( Result );
end Pow;
function RollVal( CntlVal : integer ) return integer is
begin
return( Pow( 2, CntlVal ) + 2 );
end RollVal;
begin
process
begin
wait until Clk = '1';
power <= i2bv(Rollval(bv2I(inputVal)),16);
end process;
end funky;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity priority_encoder is port
(interrupts : in std_logic_vector(7 downto 0);
priority : in std_logic_vector(2 downto 0);
result : out std_logic_vector(2 downto 0)
);
end priority_encoder;
architecture behave of priority_encoder is
begin
process (interrupts)
variable selectIn : integer;
variable LoopCount : integer;
begin
LoopCount := 1;
selectIn := to_integer(to_unsigned(priority));
while (LoopCount <= 7) and (interrupts(selectIn) /= '0') loop
if (selectIn = 0) then
selectIn := 7;
else
selectIn := selectIn - 1;
end if;
LoopCount := LoopCount + 1;
end loop;
result <= std_logic_vector(to_unsigned(selectIn,3));
end process;
end behave;
library IEEE;
use IEEE.std_logic_1164.all;
package primitive is
component DFFE port (
d: in std_logic;
q: out std_logic;
en: in std_logic;
clk: in std_logic
);
end component;
component DFFE_SR port (
d: in std_logic;
en: in std_logic;
clk: in std_logic;
rst: in std_logic;
prst: in std_logic;
q: out std_logic
);
end component;
component DLATCHH port (
d: in std_logic;
en: in std_logic;
q: out std_logic
);
end component;
component AND2 port (
i1: in std_logic;
i2: in std_logic;
y: out std_logic
);
end component;
component OR2 port (
i1: in std_logic;
i2: in std_logic;
y: out std_logic
);
end component;
component INVERTER port (
i: in std_logic;
o: out std_logic
);
end component;
component TRIBUF port (
ip: in std_logic;
oe: in std_logic;
op: out std_logic
);
end component;
component BIDIR port (
ip: in std_logic;
oe: in std_logic;
op_fb: out std_logic;
op: inout std_logic
);
end component;
end package;
library IEEE;
use IEEE.std_logic_1164.all;
entity DFFE is port (
d: in std_logic;
q: out std_logic;
en: in std_logic;
clk: in std_logic
);
end DFFE;
architecture rtl of DFFE is
begin
process begin
wait until clk = '1';
if (en = '1') then
q <= d;
end if;
end process;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity DFFE_SR is port (
d: in std_logic;
en: in std_logic;
clk: in std_logic;
rst: in std_logic;
prst: in std_logic;
q: out std_logic
);
end DFFE_SR;
architecture rtl of DFFE_SR is
begin
process (clk, rst, prst) begin
if (rst = '1') then
q <= '0';
elsif (prst = '1') then
q <= '1';
elsif (clk'event and clk = '1') then
if (en = '1') then
q <= d;
end if;
end if;
end process;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity DLATCHH is port (
d: in std_logic;
en: in std_logic;
q: out std_logic
);
end DLATCHH;
architecture rtl of DLATCHH is
begin
process (en) begin
if (en = '1') then
q <= d;
end if;
end process;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity AND2 is port (
i1: in std_logic;
i2: in std_logic;
y: out std_logic
);
end AND2;
architecture rtl of AND2 is
begin
y <= '1' when i1 = '1' and i2 = '1' else '0';
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity OR2 is port (
i1: in std_logic;
i2: in std_logic;
y: out std_logic
);
end OR2;
architecture rtl of OR2 is
begin
y <= '1' when i1 = '1' or i2 = '1' else '0';
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity INVERTER is port (
i: in std_logic;
o: out std_logic
);
end INVERTER;
architecture rtl of INVERTER is
begin
o <= not i;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity TRIBUF is port (
ip: in std_logic;
oe: in std_logic;
op: out std_logic
);
end TRIBUF;
architecture rtl of TRIBUF is
begin
op <= ip when oe = '1' else 'Z';
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity BIDIR is port (
ip: in std_logic;
oe: in std_logic;
op_fb: out std_logic;
op: inout std_logic
);
end BIDIR;
architecture rtl of BIDIR is
begin
op <= ip when oe = '1' else 'Z';
op_fb <= op;
end rtl;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity progPulse is port (
clk, reset: in std_logic;
loadLength,loadDelay: in std_logic;
data: in std_logic_vector(7 downto 0);
pulse: out std_logic
);
end progPulse;
architecture rtl of progPulse is
signal downCnt, downCntData: unsigned(7 downto 0);
signal downCntLd, downCntEn: std_logic;
signal delayCntVal, pulseCntVal: unsigned(7 downto 0);
signal startPulse, endPulse: std_logic;
subtype fsmType is std_logic_vector(1 downto 0);
constant loadDelayCnt : fsmType := "00";
constant waitDelayEnd : fsmType := "10";
constant loadLengthCnt : fsmType := "11";
constant waitLengthEnd : fsmType := "01";
signal currState, nextState: fsmType;
begin
delayreg: process (clk, reset) begin
if reset = '1' then
delayCntVal <= "11111111";
elsif clk'event and clk = '1' then
if loadDelay = '1' then
delayCntVal <= to_unsigned(data);
end if;
end if;
end process;
lengthReg: process (clk, reset) begin
if reset = '1' then
pulseCntVal <= "11111111";
elsif clk'event and clk = '1' then
if loadDelay = '1' then
pulseCntVal <= to_unsigned(data);
end if;
end if;
end process;
nextStProc: process (currState, downCnt, loadDelay, loadLength) begin
case currState is
when loadDelayCnt =>
nextState <= waitDelayEnd;
when waitDelayEnd =>
if (loadDelay = '1' or loadLength = '1') then
nextState <= loadDelayCnt;
elsif (downCnt = 0) then
nextState <= loadLengthCnt;
else
nextState <= waitDelayEnd;
end if;
when loadLengthCnt =>
if (loadDelay = '1' or loadLength = '1') then
nextState <= loadDelayCnt;
else
nextState <= waitLengthEnd;
end if;
when waitLengthEnd =>
if (loadDelay = '1' or loadLength = '1') then
nextState <= loadDelayCnt;
elsif (downCnt = 0) then
nextState <= loadDelayCnt;
else
nextState <= waitDelayEnd;
end if;
when others =>
null;
end case;
end process nextStProc;
currStProc: process (clk, reset) begin
if (reset = '1') then
currState <= loadDelayCnt;
elsif (clk'event and clk = '1') then
currState <= nextState;
end if;
end process currStProc;
outConProc: process (currState, delayCntVal, pulseCntVal) begin
case currState is
when loadDelayCnt =>
downCntEn <= '0';
downCntLd <= '1';
downCntData <= delayCntVal;
when waitDelayEnd =>
downCntEn <= '1';
downCntLd <= '0';
downCntData <= delayCntVal;
when loadLengthCnt =>
downCntEn <= '0';
downCntLd <= '1';
downCntData <= pulseCntVal;
when waitLengthEnd =>
downCntEn <= '1';
downCntLd <= '0';
downCntData <= pulseCntVal;
when others =>
downCntEn <= '0';
downCntLd <= '1';
downCntData <= pulseCntVal;
end case;
end process outConProc;
downCntr: process (clk,reset) begin
if (reset = '1') then
downCnt <= "00000000";
elsif (clk'event and clk = '1') then
if (downCntLd = '1') then
downCnt <= downCntData;
elsif (downCntEn = '1') then
downCnt <= downCnt - 1;
else
downCnt <= downCnt;
end if;
end if;
end process;
-- Assign pulse output
pulse <= currState(0);
end rtl;
library ieee;
use ieee.std_logic_1164.all;
entity pulseErr is port
(a: in std_logic;
b: out std_logic
);
end pulseErr;
architecture behavior of pulseErr is
signal c: std_logic;
begin
pulse: process (a,c) begin
b <= c XOR a;
c <= a;
end process;
end behavior;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity progPulse is port (
clk, reset: in std_logic;
loadLength,loadDelay: in std_logic;
data: in std_logic_vector(7 downto 0);
pulse: out std_logic
);
end progPulse;
architecture rtl of progPulse is
signal downCnt, downCntData: unsigned(7 downto 0);
signal downCntLd, downCntEn: std_logic;
signal delayCntVal, pulseCntVal: unsigned(7 downto 0);
signal startPulse, endPulse: std_logic;
type progPulseFsmType is (loadDelayCnt, waitDelayEnd, loadLengthCnt, waitLengthEnd);
signal currState, nextState: progPulseFsmType;
begin
delayreg: process (clk, reset) begin
if reset = '1' then
delayCntVal <= "11111111";
elsif clk'event and clk = '1' then
if loadDelay = '1' then
delayCntVal <= to_unsigned(data);
end if;
end if;
end process;
lengthReg: process (clk, reset) begin
if reset = '1' then
pulseCntVal <= "11111111";
elsif clk'event and clk = '1' then
if loadDelay = '1' then
pulseCntVal <= to_unsigned(data);
end if;
end if;
end process;
nextStProc: process (currState, downCnt, loadDelay, loadLength) begin
case currState is
when loadDelayCnt =>
nextState <= waitDelayEnd;
when waitDelayEnd =>
if (loadDelay = '1' or loadLength = '1') then
nextState <= loadDelayCnt;
elsif (downCnt = 0) then
nextState <= loadLengthCnt;
else
nextState <= waitDelayEnd;
end if;
when loadLengthCnt =>
if (loadDelay = '1' or loadLength = '1') then
nextState <= loadDelayCnt;
else
nextState <= waitLengthEnd;
end if;
when waitLengthEnd =>
if (loadDelay = '1' or loadLength = '1') then
nextState <= loadDelayCnt;
elsif (downCnt = 0) then
nextState <= loadDelayCnt;
else
nextState <= waitDelayEnd;
end if;
when others =>
null;
end case;
end process nextStProc;
currStProc: process (clk, reset) begin
if (reset = '1') then
currState <= loadDelayCnt;
elsif (clk'event and clk = '1') then
currState <= nextState;
end if;
end process currStProc;
outConProc: process (currState, delayCntVal, pulseCntVal) begin
case currState is
when loadDelayCnt =>
downCntEn <= '0';
downCntLd <= '1';
downCntData <= delayCntVal;
pulse <= '0';
when waitDelayEnd =>
downCntEn <= '1';
downCntLd <= '0';
downCntData <= delayCntVal;
pulse <= '0';
when loadLengthCnt =>
downCntEn <= '0';
downCntLd <= '1';
downCntData <= pulseCntVal;
pulse <= '1';
when waitLengthEnd =>
downCntEn <= '1';
downCntLd <= '0';
downCntData <= pulseCntVal;
pulse <= '1';
when others =>
downCntEn <= '0';
downCntLd <= '1';
downCntData <= pulseCntVal;
pulse <= '0';
end case;
end process outConProc;
downCntr: process (clk,reset) begin
if (reset = '1') then
downCnt <= "00000000";
elsif (clk'event and clk = '1') then
if (downCntLd = '1') then
downCnt <= downCntData;
elsif (downCntEn = '1') then
downCnt <= downCnt - 1;
else
downCnt <= downCnt;
end if;
end if;
end process;
end rtl;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity progPulseFsm is port (
downCnt: in std_logic_vector(7 downto 0);
delayCntVal: in std_logic_vector(7 downto 0);
lengthCntVal: in std_logic_vector(7 downto 0);
loadLength: in std_logic;
loadDelay: in std_logic;
clk: in std_logic;
reset: in std_logic;
downCntEn: out std_logic;
downCntLd: out std_logic;
downCntData: out std_logic_vector(7 downto 0);
pulse: out std_logic
);
end progPulseFsm;
architecture fsm of progPulseFsm is
type progPulseFsmType is (loadDelayCnt, waitDelayEnd, loadLengthCnt, waitLengthEnd);
type stateVec is array (3 downto 0) of std_logic;
type stateBits is array (progPulseFsmType) of stateVec;
signal loadVal: std_logic;
constant stateTable: stateBits := (
loadDelayCnt => "0010",
waitDelayEnd => "0100",
loadLengthCnt => "0011",
waitLengthEnd => "1101" );
-- ^^^^
-- ||||__ loadVal
-- |||___ downCntLd
-- ||____ downCntEn
-- |_____ pulse
signal currState, nextState: progPulseFsmType;
begin
nextStProc: process (currState, downCnt, loadDelay, loadLength) begin
case currState is
when loadDelayCnt =>
nextState <= waitDelayEnd;
when waitDelayEnd =>
if (loadDelay = '1' or loadLength = '1') then
nextState <= loadDelayCnt;
elsif (to_unsigned(downCnt) = 0) then
nextState <= loadLengthCnt;
else
nextState <= waitDelayEnd;
end if;
when loadLengthCnt =>
if (loadDelay = '1' or loadLength = '1') then
nextState <= loadDelayCnt;
else
nextState <= waitLengthEnd;
end if;
when waitLengthEnd =>
if (loadDelay = '1' or loadLength = '1') then
nextState <= loadDelayCnt;
elsif (to_unsigned(downCnt) = 0) then
nextState <= loadDelayCnt;
else
nextState <= waitDelayEnd;
end if;
when others =>
null;
end case;
end process nextStProc;
currStProc: process (clk, reset) begin
if (reset = '1') then
currState <= loadDelayCnt;
elsif (clk'event and clk = '1') then
currState <= nextState;
end if;
end process currStProc;
pulse <= stateTable(currState)(3);
downCntEn <= stateTable(currState)(2);
downCntLd <= stateTable(currState)(1);
loadVal <= stateTable(currState)(0);
downCntData <= delayCntVal when loadVal = '0' else lengthCntVal;
end fsm;
-- Incorporates Errata 6.1
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity progPulseFsm is port (
downCnt: in std_logic_vector(7 downto 0);
delayCntVal: in std_logic_vector(7 downto 0);
lengthCntVal: in std_logic_vector(7 downto 0);
loadLength: in std_logic;
loadDelay: in std_logic;
clk: in std_logic;
reset: in std_logic;
downCntEn: out std_logic;
downCntLd: out std_logic;
downtCntData: out std_logic_vector(7 downto 0);
pulse: out std_logic
);
end progPulseFsm;
architecture fsm of progPulseFsm is
type progPulseFsmType is (loadDelayCnt, waitDelayEnd, loadLengthCnt, waitLengthEnd);
signal currState, nextState: progPulseFsmType;
signal downCntL: unsigned (7 downto 0);
begin
downCntL <= to_unsigned(downCnt); -- convert downCnt to unsigned
nextStProc: process (currState, downCntL, loadDelay, loadLength) begin
case currState is
when loadDelayCnt =>
nextState <= waitDelayEnd;
when waitDelayEnd =>
if (loadDelay = '1' or loadLength = '1') then
nextState <= loadDelayCnt;
elsif (downCntL = 0) then
nextState <= loadLengthCnt;
else
nextState <= waitDelayEnd;
end if;
when loadLengthCnt =>
if (loadDelay = '1' or loadLength = '1') then
nextState <= loadDelayCnt;
else
nextState <= waitLengthEnd;
end if;
when waitLengthEnd =>
if (loadDelay = '1' or loadLength = '1') then
nextState <= loadDelayCnt;
elsif (downCntL = 0) then
nextState <= loadDelayCnt;
else
nextState <= waitDelayEnd;
end if;
when others =>
null;
end case;
end process nextStProc;
currStProc: process (clk, reset) begin
if (reset = '1') then
currState <= loadDelayCnt;
elsif (clk'event and clk = '1') then
currState <= nextState;
end if;
end process currStProc;
outConProc: process (currState, delayCntVal, lengthCntVal) begin
case currState is
when loadDelayCnt =>
downCntEn <= '0';
downCntLd <= '1';
downtCntData <= delayCntVal;
pulse <= '0';
when waitDelayEnd =>
downCntEn <= '1';
downCntLd <= '0';
downtCntData <= delayCntVal;
pulse <= '0';
when loadLengthCnt =>
downCntEn <= '0';
downCntLd <= '1';
downtCntData <= lengthCntVal;
pulse <= '1';
when waitLengthEnd =>
downCntEn <= '1';
downCntLd <= '0';
downtCntData <= lengthCntVal;
pulse <= '1';
when others =>
downCntEn <= '0';
downCntLd <= '1';
downtCntData <= delayCntVal;
pulse <= '0';
end case;
end process outConProc;
end fsm;
-- Incorporates errata 5.4
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.specialFunctions.all;
entity powerOfFour is port(
clk : in std_logic;
inputVal : in std_logic_vector(3 downto 0);
power : out std_logic_vector(15 downto 0)
);
end powerOfFour;
architecture behavioral of powerOfFour is
begin
process begin
wait until Clk = '1';
power <= std_logic_vector(to_unsigned(Pow(to_integer(unsigned(inputVal)),4),16));
end process;
end behavioral;
-- Incorporate errata 5.4
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity powerOfFour is port(
clk : in std_logic;
inputVal : in std_logic_vector(3 downto 0);
power : out std_logic_vector(15 downto 0)
);
end powerOfFour;
architecture behavioral of powerOfFour is
function Pow( N, Exp : integer ) return integer is
Variable Result : integer := 1;
begin
for i in 1 to Exp loop
Result := Result * N;
end loop;
return( Result );
end Pow;
begin
process begin
wait until Clk = '1';
power <= std_logic_vector(to_unsigned(Pow(to_integer(to_unsigned(inputVal)),4),16));
end process;
end behavioral;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
entity powerOfFour is port(
clk : in std_logic;
inputVal : in std_logic_vector(3 downto 0);
power : out std_logic_vector(15 downto 0)
);
end powerOfFour;
architecture behavioral of powerOfFour is
function Pow( N, Exp : integer ) return integer is
Variable Result : integer := 1;
begin
for i in 1 to Exp loop
Result := Result * N;
end loop;
return( Result );
end Pow;
begin
process begin
wait until Clk = '1';
power <= conv_std_logic_vector(Pow(conv_integer(inputVal),4),16);
end process;
end behavioral;
library IEEE;
use IEEE.std_logic_1164.all;
entity regFile is port (
clk, rst: in std_logic;
data: in std_logic_vector(31 downto 0);
regSel: in std_logic_vector(1 downto 0);
wrEnable: in std_logic;
regOut: out std_logic_vector(31 downto 0)
);
end regFile;
architecture behavioral of regFile is
subtype reg is std_logic_vector(31 downto 0);
type regArray is array (integer range <>) of reg;
signal registerFile: regArray(0 to 3);
begin
regProc: process (clk, rst)
variable i: integer;
begin
i := 0;
if rst = '1' then
while i <= registerFile'high loop
registerFile(i) <= (others => '0');
i := i + 1;
end loop;
elsif clk'event and clk = '1' then
if (wrEnable = '1') then
case regSel is
when "00" =>
registerFile(0) <= data;
when "01" =>
registerFile(1) <= data;
when "10" =>
registerFile(2) <= data;
when "11" =>
registerFile(3) <= data;
when others =>
null;
end case;
end if;
end if;
end process;
outputs: process(regSel, registerFile) begin
case regSel is
when "00" =>
regOut <= registerFile(0);
when "01" =>
regOut <= registerFile(1);
when "10" =>
regOut <= registerFile(2);
when "11" =>
regOut <= registerFile(3);
when others =>
null;
end case;
end process;
end behavioral;
library IEEE;
use IEEE.std_logic_1164.all;
entity DFF is port (
d1,d2: in std_logic;
q1,q2: out std_logic;
clk: in std_logic;
rst : in std_logic
);
end DFF;
architecture rtl of DFF is
begin
resetLatch: process (clk, rst) begin
if rst = '1' then
q1 <= '0';
elsif clk'event and clk = '1' then
q1 <= d1;
q2 <= d2;
end if;
end process;
end rtl;
library ieee;
use ieee.std_logic_1164.all;
entity resFcnDemo is port (
a, b: in std_logic;
oeA,oeB: in std_logic;
result: out std_logic
);
end resFcnDemo;
architecture multiDriver of resFcnDemo is
begin
result <= a when oeA = '1' else 'Z';
result <= b when oeB = '1' else 'Z';
end multiDriver;
library IEEE;
use IEEE.std_logic_1164.all;
use work.primitive.all;
entity scaleDFF is port (
data: in std_logic_vector(7 downto 0);
clock: in std_logic;
enable: in std_logic;
qout: out std_logic_vector(7 downto 0)
);
end scaleDFF;
architecture scalable of scaleDFF is
begin
u1: sDFFE port map (d => data,
clk =>clock,
en => enable,
q => qout
);
end scalable;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity sevenSegment is port (
bcdInputs: in std_logic_vector (3 downto 0);
a_n, b_n, c_n, d_n,
e_n, f_n, g_n: out std_logic
);
end sevenSegment;
architecture behavioral of sevenSegment is
signal la_n, lb_n, lc_n, ld_n, le_n, lf_n, lg_n: std_logic;
signal oe: std_logic;
begin
bcd2sevSeg: process (bcdInputs) begin
-- Assign default to "off"
la_n <= '1'; lb_n <= '1';
lc_n <= '1'; ld_n <= '1';
le_n <= '1'; lf_n <= '1';
lg_n <= '1';
case bcdInputs is
when "0000" => la_n <= '0'; lb_n <= '0';
lc_n <= '0'; ld_n <= '0';
le_n <= '0'; lf_n <= '0';
when "0001" => lb_n <= '0'; lc_n <= '0';
when "0010" => la_n <= '0'; lb_n <= '0';
ld_n <= '0'; le_n <= '0';
lg_n <= '0';
when "0011" => la_n <= '0'; lb_n <= '0';
lc_n <= '0'; ld_n <= '0';
lg_n <= '0';
when "0100" => lb_n <= '0'; lc_n <= '0';
lf_n <= '0'; lg_n <= '0';
when "0101" => la_n <= '0'; lc_n <= '0';
ld_n <= '0'; lf_n <= '0';
lg_n <= '0';
when "0110" => la_n <= '0'; lc_n <= '0';
ld_n <= '0'; le_n <= '0';
lf_n <= '0'; lg_n <= '0';
when "0111" => la_n <= '0'; lb_n <= '0';
lc_n <= '0';
when "1000" => la_n <= '0'; lb_n <= '0';
lc_n <= '0'; ld_n <= '0';
le_n <= '0'; lf_n <= '0';
lg_n <= '0';
when "1001" => la_n <= '0'; lb_n <= '0';
lc_n <= '0'; ld_n <= '0';
lf_n <= '0'; lg_n <= '0';
-- All other inputs possibilities are "don't care"
when others => la_n <= 'X'; lb_n <= 'X';
lc_n <= 'X'; ld_n <= 'X';
le_n <= 'X'; lf_n <= 'X';
lg_n <= 'X';
end case;
end process bcd2sevSeg;
-- Disable outputs for all invalid input values
oe <= '1' when (bcdInputs < 10) else '0';
a_n <= la_n when oe = '1' else 'Z';
b_n <= lb_n when oe = '1' else 'Z';
c_n <= lc_n when oe = '1' else 'Z';
d_n <= ld_n when oe = '1' else 'Z';
e_n <= le_n when oe = '1' else 'Z';
f_n <= lf_n when oe = '1' else 'Z';
g_n <= lg_n when oe = '1' else 'Z';
end behavioral;
library ieee;
use ieee.std_logic_1164.all;
use std.textio.all;
entity sevenSegmentTB is
end sevenSegmentTB;
architecture testbench of sevenSegmentTB is
component sevenSegment port (
bcdInputs: in std_logic_vector (3 downto 0);
a_n, b_n, c_n, d_n,
e_n, f_n, g_n: out std_logic
);
end component;
type vector is record
bcdStimulus: std_logic_vector(3 downto 0);
sevSegOut: std_logic_vector(6 downto 0);
end record;
constant NumVectors: integer:= 17;
constant PropDelay: time := 40 ns;
constant SimLoopDelay: time := 10 ns;
type vectorArray is array (0 to NumVectors - 1) of vector;
constant vectorTable: vectorArray := (
(bcdStimulus => "0000", sevSegOut => "0000001"),
(bcdStimulus => "0001", sevSegOut => "1001111"),
(bcdStimulus => "0010", sevSegOut => "0010010"),
(bcdStimulus => "0011", sevSegOut => "0000110"),
(bcdStimulus => "0100", sevSegOut => "1001100"),
(bcdStimulus => "0101", sevSegOut => "0100100"),
(bcdStimulus => "0110", sevSegOut => "0100000"),
(bcdStimulus => "0111", sevSegOut => "0001111"),
(bcdStimulus => "1000", sevSegOut => "0000000"),
(bcdStimulus => "1001", sevSegOut => "0000100"),
(bcdStimulus => "1010", sevSegOut => "ZZZZZZZ"),
(bcdStimulus => "1011", sevSegOut => "ZZZZZZZ"),
(bcdStimulus => "1100", sevSegOut => "ZZZZZZZ"),
(bcdStimulus => "1101", sevSegOut => "ZZZZZZZ"),
(bcdStimulus => "1110", sevSegOut => "ZZZZZZZ"),
(bcdStimulus => "1111", sevSegOut => "ZZZZZZZ"),
(bcdStimulus => "0000", sevSegOut => "0110110") -- this vector fails
);
for all : sevenSegment use entity work.sevenSegment(behavioral);
signal StimInputs: std_logic_vector(3 downto 0);
signal CaptureOutputs: std_logic_vector(6 downto 0);
begin
u1: sevenSegment port map (bcdInputs => StimInputs,
a_n => CaptureOutputs(6),
b_n => CaptureOutputs(5),
c_n => CaptureOutputs(4),
d_n => CaptureOutputs(3),
e_n => CaptureOutputs(2),
f_n => CaptureOutputs(1),
g_n => CaptureOutputs(0));
LoopStim: process
variable FoundError: boolean := false;
variable TempVector: vector;
variable ErrorMsgLine: line;
begin
for i in vectorTable'range loop
TempVector := vectorTable(i);
StimInputs <= TempVector.bcdStimulus;
wait for PropDelay;
if CaptureOutputs /= TempVector.sevSegOut then
write (ErrorMsgLine, string'("Vector failed at "));
write (ErrorMsgLine, now);
writeline (output, ErrorMsgLine);
FoundError := true;
end if;
wait for SimLoopDelay;
end loop;
assert FoundError
report "No errors. All vectors passed."
severity note;
wait;
end process;
end testbench;
library ieee;
use ieee.std_logic_1164.all;
entity sevenSegment is port (
bcdInputs: in std_logic_vector (3 downto 0);
a_n, b_n, c_n, d_n,
e_n, f_n, g_n: out std_logic
);
end sevenSegment;
architecture behavioral of sevenSegment is
begin
bcd2sevSeg: process (bcdInputs) begin
-- Assign default to "off"
a_n <= '1'; b_n <= '1';
c_n <= '1'; d_n <= '1';
e_n <= '1'; f_n <= '1';
g_n <= '1';
case bcdInputs is
when "0000" =>
a_n <= '0'; b_n <= '0';
c_n <= '0'; d_n <= '0';
e_n <= '0'; f_n <= '0';
when "0001" =>
b_n <= '0'; c_n <= '0';
when "0010" =>
a_n <= '0'; b_n <= '0';
d_n <= '0'; e_n <= '0';
g_n <= '0';
when "0011" =>
a_n <= '0'; b_n <= '0';
c_n <= '0'; d_n <= '0';
g_n <= '0';
when "0100" =>
b_n <= '0'; c_n <= '0';
f_n <= '0'; g_n <= '0';
when "0101" =>
a_n <= '0'; c_n <= '0';
d_n <= '0'; f_n <= '0';
g_n <= '0';
when "0110" =>
a_n <= '0'; c_n <= '0';
d_n <= '0'; e_n <= '0';
f_n <= '0'; g_n <= '0';
when "0111" =>
a_n <= '0'; b_n <= '0';
c_n <= '0';
when "1000" =>
a_n <= '0'; b_n <= '0';
c_n <= '0'; d_n <= '0';
e_n <= '0'; f_n <= '0';
g_n <= '0';
when "1001" =>
a_n <= '0'; b_n <= '0';
c_n <= '0'; d_n <= '0';
f_n <= '0'; g_n <= '0';
when others =>
null;
end case;
end process bcd2sevSeg;
end behavioral;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
entity ForceShare is port (
a,b,c,d,e,f: in std_logic_vector (7 downto 0);
result: out std_logic_vector(7 downto 0)
);
end ForceShare;
architecture behaviour of ForceShare is
begin
sum: process (a,c,b,d,e,f)
variable tempSum: std_logic_vector(7 downto 0);
begin
tempSum := a + b; -- temporary node for sum
if (tempSum = "10011010") then
result <= c;
elsif (tempSum = "01011001") then
result <= d;
elsif (tempSum = "10111011") then
result <= e;
else
result <= f;
end if;
end process;
end behaviour;
library IEEE;
use IEEE.std_logic_1164.all;
entity shifter is port (
clk, rst: in std_logic;
shiftEn,shiftIn: std_logic;
q: out std_logic_vector (15 downto 0)
);
end shifter;
architecture behav of shifter is
signal qLocal: std_logic_vector(15 downto 0);
begin
shift: process (clk, rst) begin
if (rst = '1') then
qLocal <= (others => '0');
elsif (clk'event and clk = '1') then
if (shiftEn = '1') then
qLocal <= qLocal(14 downto 0) & shiftIn;
else
qLocal <= qLocal;
end if;
end if;
q <= qLocal;
end process;
end behav;
library ieee;
use ieee.std_logic_1164.all;
entity lastAssignment is port
(a, b: in std_logic;
selA, selb: in std_logic;
result: out std_logic
);
end lastAssignment;
architecture behavioral of lastAssignment is
begin
demo: process (a,b,selA,selB) begin
if (selA = '1') then
result <= a;
else
result <= '0';
end if;
if (selB = '1') then
result <= b;
else
result <= '0';
end if;
end process demo;
end behavioral;
library ieee;
use ieee.std_logic_1164.all;
entity signalDemo is port (
a: in std_logic;
b: out std_logic
);
end signalDemo;
architecture basic of signalDemo is
signal c: std_logic;
begin
demo: process (a) begin
c <= a;
if c = '0' then
b <= a;
else
b <= '0';
end if;
end process;
end basic;
library ieee;
use ieee.std_logic_1164.all;
entity signalDemo is port (
a: in std_logic;
b: out std_logic
);
end signalDemo;
architecture basic of signalDemo is
signal c: std_logic;
begin
demo: process (a) begin
c <= a;
if c = '1' then
b <= a;
else
b <= '0';
end if;
end process;
end basic;
library IEEE;
USE IEEE.std_logic_1164.all;
package simPrimitives is
component OR2
generic (tPD: time := 1 ns);
port (I1, I2: in std_logic;
Y: out std_logic
);
end component;
component SimDFF
generic(tCQ: time := 1 ns;
tS : time := 1 ns;
tH : time := 1 ns
);
port (D, Clk: in std_logic;
Q: out std_logic
);
end component;
end simPrimitives;
library IEEE;
USE IEEE.std_logic_1164.all;
entity OR2 is
generic (tPD: time := 1 ns);
port (I1, I2: in std_logic;
Y: out std_logic
);
end OR2;
architecture simple of OR2 is
begin
Y <= I1 OR I2 after tPD;
end simple;
library IEEE;
use IEEE.std_logic_1164.all;
entity SimDFF is
generic(tCQ: time := 1 ns;
tS : time := 1 ns;
tH : time := 1 ns
);
port (D, Clk: in std_logic;
Q: out std_logic
);
end SimDff;
architecture SimModel of SimDFF is
begin
reg: process (Clk, D) begin
-- Assign output tCQ after rising clock edge
if (Clk'event and Clk = '1') then
Q <= D after tCQ;
end if;
-- Check setup time
if (Clk'event and Clk = '1') then
assert (D'last_event >= tS)
report "Setup time violation"
severity Warning;
end if;
-- Check hold time
if (D'event and Clk'stable and Clk = '1') then
assert (D'last_event - Clk'last_event > tH)
report "Hold Time Violation"
severity Warning;
end if;
end process;
end simModel;
library IEEE;
use IEEE.std_logic_1164.all;
entity SRFF is port (
s,r: in std_logic;
clk: in std_logic;
q: out std_logic
);
end SRFF;
architecture rtl of SRFF is
begin
process begin
wait until rising_edge(clk);
if s = '0' and r = '1' then
q <= '0';
elsif s = '1' and r = '0' then
q <= '1';
end if;
end process;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
entity SRFF is port (
s,r: in std_logic;
clk: in std_logic;
q: out std_logic
);
end SRFF;
architecture rtl of SRFF is
begin
process begin
wait until clk = '1';
if s = '0' and r = '1' then
q <= '0';
elsif s = '1' and r = '0' then
q <= '1';
end if;
end process;
end rtl;
library IEEE;
use IEEE.std_logic_1164.all;
package scaleable is
component scaleUpCnt port (
clk: in std_logic;
reset: in std_logic;
cnt: in std_logic_vector
);
end component;
end scaleable;
library IEEE;
use IEEE.std_logic_1164.all;
use work.primitive.all;
entity scaleUpCnt is port (
clk: in std_logic;
reset: in std_logic;
cnt: out std_logic_vector
);
end scaleUpCnt;
architecture scaleable of scaleUpCnt is
signal one: std_logic := '1';
signal cntL: std_logic_vector(cnt'range);
signal andTerm: std_logic_vector(cnt'range);
begin
-- Special case is the least significant bit
lsb: tff port map (t => one,
reset => reset,
clk => clk,
q => cntL(cntL'low)
);
andTerm(0) <= cntL(cntL'low);
-- General case for all other bits
genAnd: for i in 1 to cntL'high generate
andTerm(i) <= andTerm(i - 1) and cntL(i);
end generate;
genTFF: for i in 1 to cntL'high generate
t1: tff port map (t => andTerm(i),
clk => clk,
reset => reset,
q => cntl(i)
);
end generate;
cnt <= CntL;
end scaleable;
library IEEE;
use IEEE.std_logic_1164.all;
entity pci_target is port (
PCI_Frame_n: in std_logic; -- PCI Frame#
PCI_Irdy_n: in std_logic; -- PCI Irdy#
Hit: in std_logic; -- Hit on address decode
D_Done: in std_logic; -- Device decode complete
Term: in std_logic; -- Terminate transaction
Ready: in std_logic; -- Ready to transfer data
Cmd_Write: in std_logic; -- Command is Write
Cmd_Read: in std_logic; -- Command is Read
T_Abort: in std_logic; -- Target error - abort transaction
PCI_Clk: in std_logic; -- PCI Clock
PCI_Reset_n: in std_logic; -- PCI Reset#
PCI_Devsel_n: out std_logic; -- PCI Devsel#
PCI_Trdy_n: out std_logic; -- PCI Trdy#
PCI_Stop_n: out std_logic; -- PCI Stop#
OE_AD: out std_logic; -- PCI AD bus enable
OE_Trdy_n: out std_logic; -- PCI Trdy# enable
OE_Stop_n: out std_logic; -- PCI Stop# enable
OE_Devsel_n: out std_logic -- PCI Devsel# enable
);
end pci_target;
architecture fsm of pci_target is
signal LPCI_Devsel_n, LPCI_Trdy_n, LPCI_Stop_n: std_logic;
subtype targetFsmType is std_logic_vector(2 downto 0);
constant Idle: targetFsmType := "000";
constant B_Busy: targetFsmType := "101";
constant Backoff: targetFsmType := "010";
constant S_Data: targetFsmType := "011";
constant Turn_Ar: targetFsmType := "110";
signal currState, nextState: targetFsmType;
begin
nxtStProc: process (currState, PCI_Frame_n, Hit, D_Done, PCI_Irdy_n, LPCI_Trdy_n,
LPCI_Devsel_n, LPCI_Stop_n, Term, Ready) begin
case currState is
when IDLE =>
if (PCI_Frame_n = '0' and Hit = '0') then
nextState <= B_BUSY;
else
nextState <= IDLE;
end if;
when B_BUSY =>
if (PCI_Frame_n ='1' and D_Done = '1') or
(PCI_Frame_n = '1' and D_Done = '0' and LPCI_Devsel_n = '0') then
nextState <= IDLE;
elsif (PCI_Frame_n = '0' or PCI_Irdy_n = '0') and Hit = '1' and
(Term = '0' or (Term = '1' and Ready = '1') ) then
nextState <= S_Data;
elsif (PCI_Frame_n = '0' or PCI_Irdy_n = '0') and Hit = '1' and
(Term = '1' and Ready = '0') then
nextState <= BACKOFF;
else
nextState <= B_BUSY;
end if;
when S_DATA =>
if PCI_Frame_n = '0' and LPCI_Stop_n = '0' and (LPCI_Trdy_n = '1' or PCI_Irdy_n = '0') then
nextState <= BACKOFF;
elsif PCI_Frame_n = '1' and (LPCI_Trdy_n = '0' or LPCI_Stop_n = '0') then
nextState <= TURN_AR;
else
nextState <= S_DATA;
end if;
when BACKOFF =>
if PCI_Frame_n = '1' then
nextState <= TURN_AR;
else
nextState <= BACKOFF;
end if;
when TURN_AR =>
if (PCI_Frame_n = '0' and Hit = '0') then
nextState <= B_BUSY;
else
nextState <= IDLE;
end if;
when others =>
null;
end case;
end process nxtStProc;
curStProc: process (PCI_Clk, PCI_Reset_n) begin
if (PCI_Reset_n = '0') then
currState <= Idle;
elsif (PCI_Clk'event and PCI_Clk = '1') then
currState <= nextState;
end if;
end process curStProc;
outConProc: process (currState, Ready, T_Abort, Cmd_Write,
Cmd_Read, T_Abort, Term) begin
case currState is
when S_Data =>
if (Cmd_Read = '1') then
OE_AD <= '1';
else
OE_AD <= '0';
end if;
if (Ready = '1' and T_Abort = '0' and (Cmd_Write = '1' or Cmd_Read = '1')) then
LPCI_Trdy_n <= '0';
else
LPCI_Trdy_n <= '1';
end if;
if (T_Abort = '1' or Term = '1') and (Cmd_Write = '1' or Cmd_Read = '1') then
LPCI_Stop_n <= '0';
else
LPCI_Stop_n <= '1';
end if;
if (T_Abort = '0') then
LPCI_Devsel_n <= '0';
else
LPCI_Devsel_n <= '1';
end if;
OE_Trdy_n <= '1';
OE_Stop_n <= '1';
OE_Devsel_n <= '1';
when Backoff =>
if (Cmd_Read = '1') then
OE_AD <= '1';
else
OE_AD <= '0';
end if;
LPCI_Stop_n <= '0';
OE_Trdy_n <= '1';
OE_Stop_n <= '1';
OE_Devsel_n <= '1';
if (T_Abort = '0') then
LPCI_Devsel_n <= '0';
else
LPCI_Devsel_n <= '1';
end if;
when Turn_Ar =>
OE_Trdy_n <= '1';
OE_Stop_n <= '1';
OE_Devsel_n <= '1';
when others =>
OE_Trdy_n <= '0';
OE_Stop_n <= '0';
OE_Devsel_n <= '0';
OE_AD <= '0';
LPCI_Trdy_n <= '1';
LPCI_Stop_n <= '1';
LPCI_Devsel_n <= '1';
end case;
end process outConProc;
PCI_Devsel_n <= LPCI_Devsel_n;
PCI_Trdy_n <= LPCI_Trdy_n;
PCI_Stop_n <= LPCI_Stop_n;
end fsm;
library IEEE;
use IEEE.std_logic_1164.all;
entity pci_target is port (
PCI_Frame_n: in std_logic; -- PCI Frame#
PCI_Irdy_n: in std_logic; -- PCI Irdy#
Hit: in std_logic; -- Hit on address decode
D_Done: in std_logic; -- Device decode complete
Term: in std_logic; -- Terminate transaction
Ready: in std_logic; -- Ready to transfer data
Cmd_Write: in std_logic; -- Command is Write
Cmd_Read: in std_logic; -- Command is Read
T_Abort: in std_logic; -- Target error - abort transaction
PCI_Clk: in std_logic; -- PCI Clock
PCI_Reset_n: in std_logic; -- PCI Reset#
PCI_Devsel_n: out std_logic; -- PCI Devsel#
PCI_Trdy_n: out std_logic; -- PCI Trdy#
PCI_Stop_n: out std_logic; -- PCI Stop#
OE_AD: out std_logic; -- PCI AD bus enable
OE_Trdy_n: out std_logic; -- PCI Trdy# enable
OE_Stop_n: out std_logic; -- PCI Stop# enable
OE_Devsel_n: out std_logic -- PCI Devsel# enable
);
end pci_target;
architecture fsm of pci_target is
signal LPCI_Devsel_n, LPCI_Trdy_n, LPCI_Stop_n: std_logic;
subtype targetFsmType is std_logic_vector(2 downto 0);
constant Idle: targetFsmType := "000";
constant B_Busy: targetFsmType := "001";
constant Backoff: targetFsmType := "011";
constant S_Data: targetFsmType := "010";
constant Turn_Ar: targetFsmType := "110";
signal currState, nextState: targetFsmType;
begin
nxtStProc: process (currState, PCI_Frame_n, Hit, D_Done, PCI_Irdy_n, LPCI_Trdy_n,
LPCI_Devsel_n, LPCI_Stop_n, Term, Ready) begin
case currState is
when IDLE =>
if (PCI_Frame_n = '0' and Hit = '0') then
nextState <= B_BUSY;
else
nextState <= IDLE;
end if;
when B_BUSY =>
if (PCI_Frame_n ='1' and D_Done = '1') or
(PCI_Frame_n = '1' and D_Done = '0' and LPCI_Devsel_n = '0') then
nextState <= IDLE;
elsif (PCI_Frame_n = '0' or PCI_Irdy_n = '0') and Hit = '1' and
(Term = '0' or (Term = '1' and Ready = '1') ) then
nextState <= S_Data;
elsif (PCI_Frame_n = '0' or PCI_Irdy_n = '0') and Hit = '1' and
(Term = '1' and Ready = '0') then
nextState <= BACKOFF;
else
nextState <= B_BUSY;
end if;
when S_DATA =>
if PCI_Frame_n = '0' and LPCI_Stop_n = '0' and (LPCI_Trdy_n = '1' or PCI_Irdy_n = '0') then
nextState <= BACKOFF;
elsif PCI_Frame_n = '1' and (LPCI_Trdy_n = '0' or LPCI_Stop_n = '0') then
nextState <= TURN_AR;
else
nextState <= S_DATA;
end if;
when BACKOFF =>
if PCI_Frame_n = '1' then
nextState <= TURN_AR;
else
nextState <= BACKOFF;
end if;
when TURN_AR =>
if (PCI_Frame_n = '0' and Hit = '0') then
nextState <= B_BUSY;
else
nextState <= IDLE;
end if;
when others =>
null;
end case;
end process nxtStProc;
curStProc: process (PCI_Clk, PCI_Reset_n) begin
if (PCI_Reset_n = '0') then
currState <= Idle;
elsif (PCI_Clk'event and PCI_Clk = '1') then
currState <= nextState;
end if;
end process curStProc;
outConProc: process (currState, Ready, T_Abort, Cmd_Write,
Cmd_Read, T_Abort, Term) begin
case currState is
when S_Data =>
if (Cmd_Read = '1') then
OE_AD <= '1';
else
OE_AD <= '0';
end if;
if (Ready = '1' and T_Abort = '0' and (Cmd_Write = '1' or Cmd_Read = '1')) then
LPCI_Trdy_n <= '0';
else
LPCI_Trdy_n <= '1';
end if;
if (T_Abort = '1' or Term = '1') and (Cmd_Write = '1' or Cmd_Read = '1') then
LPCI_Stop_n <= '0';
else
LPCI_Stop_n <= '1';
end if;
if (T_Abort = '0') then
LPCI_Devsel_n <= '0';
else
LPCI_Devsel_n <= '1';
end if;
OE_Trdy_n <= '1';
OE_Stop_n <= '1';
OE_Devsel_n <= '1';
when Backoff =>
if (Cmd_Read = '1') then
OE_AD <= '1';
else
OE_AD <= '0';
end if;
LPCI_Stop_n <= '0';
OE_Trdy_n <= '1';
OE_Stop_n <= '1';
OE_Devsel_n <= '1';
if (T_Abort = '0') then
LPCI_Devsel_n <= '0';
else
LPCI_Devsel_n <= '1';
end if;
when Turn_Ar =>
OE_Trdy_n <= '1';
OE_Stop_n <= '1';
OE_Devsel_n <= '1';
when others =>
OE_Trdy_n <= '0';
OE_Stop_n <= '0';
OE_Devsel_n <= '0';
OE_AD <= '0';
LPCI_Trdy_n <= '1';
LPCI_Stop_n <= '1';
LPCI_Devsel_n <= '1';
end case;
end process outConProc;
PCI_Devsel_n <= LPCI_Devsel_n;
PCI_Trdy_n <= LPCI_Trdy_n;
PCI_Stop_n <= LPCI_Stop_n;
end fsm;
library IEEE;
use IEEE.std_logic_1164.all;
entity pci_target is port (
PCI_Frame_n: in std_logic; -- PCI Frame#
PCI_Irdy_n: in std_logic; -- PCI Irdy#
Hit: in std_logic; -- Hit on address decode
D_Done: in std_logic; -- Device decode complete
Term: in std_logic; -- Terminate transaction
Ready: in std_logic; -- Ready to transfer data
Cmd_Write: in std_logic; -- Command is Write
Cmd_Read: in std_logic; -- Command is Read
T_Abort: in std_logic; -- Target error - abort transaction
PCI_Clk: in std_logic; -- PCI Clock
PCI_Reset_n: in std_logic; -- PCI Reset#
PCI_Devsel_n: out std_logic; -- PCI Devsel#
PCI_Trdy_n: out std_logic; -- PCI Trdy#
PCI_Stop_n: out std_logic; -- PCI Stop#
OE_AD: out std_logic; -- PCI AD bus enable
OE_Trdy_n: out std_logic; -- PCI Trdy# enable
OE_Stop_n: out std_logic; -- PCI Stop# enable
OE_Devsel_n: out std_logic -- PCI Devsel# enable
);
end pci_target;
architecture fsm of pci_target is
signal LPCI_Devsel_n, LPCI_Trdy_n, LPCI_Stop_n: std_logic;
subtype targetFsmType is std_logic_vector(2 downto 0);
constant Idle: targetFsmType := "000";
constant B_Busy: targetFsmType := "001";
constant Backoff: targetFsmType := "010";
constant S_Data: targetFsmType := "011";
constant Turn_Ar: targetFsmType := "100";
signal currState, nextState: targetFsmType;
begin
nxtStProc: process (currState, PCI_Frame_n, Hit, D_Done, PCI_Irdy_n, LPCI_Trdy_n,
LPCI_Devsel_n, LPCI_Stop_n, Term, Ready) begin
case currState is
when IDLE =>
if (PCI_Frame_n = '0' and Hit = '0') then
nextState <= B_BUSY;
else
nextState <= IDLE;
end if;
when B_BUSY =>
if (PCI_Frame_n ='1' and D_Done = '1') or
(PCI_Frame_n = '1' and D_Done = '0' and LPCI_Devsel_n = '0') then
nextState <= IDLE;
elsif (PCI_Frame_n = '0' or PCI_Irdy_n = '0') and Hit = '1' and
(Term = '0' or (Term = '1' and Ready = '1') ) then
nextState <= S_Data;
elsif (PCI_Frame_n = '0' or PCI_Irdy_n = '0') and Hit = '1' and
(Term = '1' and Ready = '0') then
nextState <= BACKOFF;
else
nextState <= B_BUSY;
end if;
when S_DATA =>
if PCI_Frame_n = '0' and LPCI_Stop_n = '0' and (LPCI_Trdy_n = '1' or PCI_Irdy_n = '0') then
nextState <= BACKOFF;
elsif PCI_Frame_n = '1' and (LPCI_Trdy_n = '0' or LPCI_Stop_n = '0') then
nextState <= TURN_AR;
else
nextState <= S_DATA;
end if;
when BACKOFF =>
if PCI_Frame_n = '1' then
nextState <= TURN_AR;
else
nextState <= BACKOFF;
end if;
when TURN_AR =>
if (PCI_Frame_n = '0' and Hit = '0') then
nextState <= B_BUSY;
else
nextState <= IDLE;
end if;
when others =>
null;
end case;
end process nxtStProc;
curStProc: process (PCI_Clk, PCI_Reset_n) begin
if (PCI_Reset_n = '0') then
currState <= Idle;
elsif (PCI_Clk'event and PCI_Clk = '1') then
currState <= nextState;
end if;
end process curStProc;
outConProc: process (currState, Ready, T_Abort, Cmd_Write,
Cmd_Read, T_Abort, Term) begin
case currState is
when S_Data =>
if (Cmd_Read = '1') then
OE_AD <= '1';
else
OE_AD <= '0';
end if;
if (Ready = '1' and T_Abort = '0' and (Cmd_Write = '1' or Cmd_Read = '1')) then
LPCI_Trdy_n <= '0';
else
LPCI_Trdy_n <= '1';
end if;
if (T_Abort = '1' or Term = '1') and (Cmd_Write = '1' or Cmd_Read = '1') then
LPCI_Stop_n <= '0';
else
LPCI_Stop_n <= '1';
end if;
if (T_Abort = '0') then
LPCI_Devsel_n <= '0';
else
LPCI_Devsel_n <= '1';
end if;
OE_Trdy_n <= '1';
OE_Stop_n <= '1';
OE_Devsel_n <= '1';
when Backoff =>
if (Cmd_Read = '1') then
OE_AD <= '1';
else
OE_AD <= '0';
end if;
LPCI_Stop_n <= '0';
OE_Trdy_n <= '1';
OE_Stop_n <= '1';
OE_Devsel_n <= '1';
if (T_Abort = '0') then
LPCI_Devsel_n <= '0';
else
LPCI_Devsel_n <= '1';
end if;
when Turn_Ar =>
OE_Trdy_n <= '1';
OE_Stop_n <= '1';
OE_Devsel_n <= '1';
when others =>
OE_Trdy_n <= '0';
OE_Stop_n <= '0';
OE_Devsel_n <= '0';
OE_AD <= '0';
LPCI_Trdy_n <= '1';
LPCI_Stop_n <= '1';
LPCI_Devsel_n <= '1';
end case;
end process outConProc;
PCI_Devsel_n <= LPCI_Devsel_n;
PCI_Trdy_n <= LPCI_Trdy_n;
PCI_Stop_n <= LPCI_Stop_n;
end fsm;
library IEEE;
use IEEE.std_logic_1164.all;
entity pci_target is port (
PCI_Frame_n: in std_logic; -- PCI Frame#
PCI_Irdy_n: in std_logic; -- PCI Irdy#
Hit: in std_logic; -- Hit on address decode
D_Done: in std_logic; -- Device decode complete
Term: in std_logic; -- Terminate transaction
Ready: in std_logic; -- Ready to transfer data
Cmd_Write: in std_logic; -- Command is Write
Cmd_Read: in std_logic; -- Command is Read
T_Abort: in std_logic; -- Target error - abort transaction
PCI_Clk: in std_logic; -- PCI Clock
PCI_Reset_n: in std_logic; -- PCI Reset#
PCI_Devsel_n: out std_logic; -- PCI Devsel#
PCI_Trdy_n: out std_logic; -- PCI Trdy#
PCI_Stop_n: out std_logic; -- PCI Stop#
OE_AD: out std_logic; -- PCI AD bus enable
OE_Trdy_n: out std_logic; -- PCI Trdy# enable
OE_Stop_n: out std_logic; -- PCI Stop# enable
OE_Devsel_n: out std_logic -- PCI Devsel# enable
);
end pci_target;
architecture fsm of pci_target is
signal LPCI_Devsel_n, LPCI_Trdy_n, LPCI_Stop_n: std_logic;
subtype targetFsmType is std_logic_vector(3 downto 0);
constant Idle: targetFsmType := "0000";
constant B_Busy: targetFsmType := "0001";
constant Backoff: targetFsmType := "0011";
constant S_Data: targetFsmType := "1100";
constant Turn_Ar: targetFsmType := "1101";
signal currState, nextState: targetFsmType;
begin
nxtStProc: process (currState, PCI_Frame_n, Hit, D_Done, PCI_Irdy_n, LPCI_Trdy_n,
LPCI_Devsel_n, LPCI_Stop_n, Term, Ready) begin
case currState is
when IDLE =>
if (PCI_Frame_n = '0' and Hit = '0') then
nextState <= B_BUSY;
else
nextState <= IDLE;
end if;
when B_BUSY =>
if (PCI_Frame_n ='1' and D_Done = '1') or
(PCI_Frame_n = '1' and D_Done = '0' and LPCI_Devsel_n = '0') then
nextState <= IDLE;
elsif (PCI_Frame_n = '0' or PCI_Irdy_n = '0') and Hit = '1' and
(Term = '0' or (Term = '1' and Ready = '1') ) then
nextState <= S_Data;
elsif (PCI_Frame_n = '0' or PCI_Irdy_n = '0') and Hit = '1' and
(Term = '1' and Ready = '0') then
nextState <= BACKOFF;
else
nextState <= B_BUSY;
end if;
when S_DATA =>
if PCI_Frame_n = '0' and LPCI_Stop_n = '0' and (LPCI_Trdy_n = '1' or PCI_Irdy_n = '0') then
nextState <= BACKOFF;
elsif PCI_Frame_n = '1' and (LPCI_Trdy_n = '0' or LPCI_Stop_n = '0') then
nextState <= TURN_AR;
else
nextState <= S_DATA;
end if;
when BACKOFF =>
if PCI_Frame_n = '1' then
nextState <= TURN_AR;
else
nextState <= BACKOFF;
end if;
when TURN_AR =>
if (PCI_Frame_n = '0' and Hit = '0') then
nextState <= B_BUSY;
else
nextState <= IDLE;
end if;
when others =>
null;
end case;
end process nxtStProc;
curStProc: process (PCI_Clk, PCI_Reset_n) begin
if (PCI_Reset_n = '0') then
currState <= Idle;
elsif (PCI_Clk'event and PCI_Clk = '1') then
currState <= nextState;
end if;
end process curStProc;
outConProc: process (currState, Ready, T_Abort, Cmd_Write,
Cmd_Read, T_Abort, Term) begin
case currState is
when S_Data =>
if (Cmd_Read = '1') then
OE_AD <= '1';
else
OE_AD <= '0';
end if;
if (Ready = '1' and T_Abort = '0' and (Cmd_Write = '1' or Cmd_Read = '1')) then
LPCI_Trdy_n <= '0';
else
LPCI_Trdy_n <= '1';
end if;
if (T_Abort = '1' or Term = '1') and (Cmd_Write = '1' or Cmd_Read = '1') then
LPCI_Stop_n <= '0';
else
LPCI_Stop_n <= '1';
end if;
if (T_Abort = '0') then
LPCI_Devsel_n <= '0';
else
LPCI_Devsel_n <= '1';
end if;
OE_Trdy_n <= '1';
OE_Stop_n <= '1';
OE_Devsel_n <= '1';
when Backoff =>
if (Cmd_Read = '1') then
OE_AD <= '1';
else
OE_AD <= '0';
end if;
LPCI_Stop_n <= '0';
OE_Trdy_n <= '1';
OE_Stop_n <= '1';
OE_Devsel_n <= '1';
if (T_Abort = '0') then
LPCI_Devsel_n <= '0';
else
LPCI_Devsel_n <= '1';
end if;
when Turn_Ar =>
OE_Trdy_n <= '1';
OE_Stop_n <= '1';
OE_Devsel_n <= '1';
when others =>
OE_Trdy_n <= '0';
OE_Stop_n <= '0';
OE_Devsel_n <= '0';
OE_AD <= '0';
LPCI_Trdy_n <= '1';
LPCI_Stop_n <= '1';
LPCI_Devsel_n <= '1';
end case;
end process outConProc;
PCI_Devsel_n <= LPCI_Devsel_n;
PCI_Trdy_n <= LPCI_Trdy_n;
PCI_Stop_n <= LPCI_Stop_n;
end fsm;
library IEEE;
use IEEE.std_logic_1164.all;
entity pci_target is port (
PCI_Frame_n: in std_logic; -- PCI Frame#
PCI_Irdy_n: in std_logic; -- PCI Irdy#
Hit: in std_logic; -- Hit on address decode
D_Done: in std_logic; -- Device decode complete
Term: in std_logic; -- Terminate transaction
Ready: in std_logic; -- Ready to transfer data
Cmd_Write: in std_logic; -- Command is Write
Cmd_Read: in std_logic; -- Command is Read
T_Abort: in std_logic; -- Target error - abort transaction
PCI_Clk: in std_logic; -- PCI Clock
PCI_Reset_n: in std_logic; -- PCI Reset#
PCI_Devsel_n: out std_logic; -- PCI Devsel#
PCI_Trdy_n: out std_logic; -- PCI Trdy#
PCI_Stop_n: out std_logic; -- PCI Stop#
OE_AD: out std_logic; -- PCI AD bus enable
OE_Trdy_n: out std_logic; -- PCI Trdy# enable
OE_Stop_n: out std_logic; -- PCI Stop# enable
OE_Devsel_n: out std_logic -- PCI Devsel# enable
);
end pci_target;
architecture fsm of pci_target is
signal LPCI_Devsel_n, LPCI_Trdy_n, LPCI_Stop_n: std_logic;
subtype targetFsmType is std_logic_vector(2 downto 0);
constant Idle: targetFsmType := "000";
constant B_Busy: targetFsmType := "101";
constant Backoff: targetFsmType := "010";
constant S_Data: targetFsmType := "011";
constant Turn_Ar: targetFsmType := "110";
constant Dont_Care: targetFsmType := "XXX";
signal currState, nextState: targetFsmType;
begin
nxtStProc: process (currState, PCI_Frame_n, Hit, D_Done, PCI_Irdy_n, LPCI_Trdy_n,
LPCI_Devsel_n, LPCI_Stop_n, Term, Ready) begin
case currState is
when IDLE =>
if (PCI_Frame_n = '0' and Hit = '0') then
nextState <= B_BUSY;
else
nextState <= IDLE;
end if;
when B_BUSY =>
if (PCI_Frame_n ='1' and D_Done = '1') or
(PCI_Frame_n = '1' and D_Done = '0' and LPCI_Devsel_n = '0') then
nextState <= IDLE;
elsif (PCI_Frame_n = '0' or PCI_Irdy_n = '0') and Hit = '1' and
(Term = '0' or (Term = '1' and Ready = '1') ) then
nextState <= S_Data;
elsif (PCI_Frame_n = '0' or PCI_Irdy_n = '0') and Hit = '1' and
(Term = '1' and Ready = '0') then
nextState <= BACKOFF;
else
nextState <= B_BUSY;
end if;
when S_DATA =>
if PCI_Frame_n = '0' and LPCI_Stop_n = '0' and (LPCI_Trdy_n = '1' or PCI_Irdy_n = '0') then
nextState <= BACKOFF;
elsif PCI_Frame_n = '1' and (LPCI_Trdy_n = '0' or LPCI_Stop_n = '0') then
nextState <= TURN_AR;
else
nextState <= S_DATA;
end if;
when BACKOFF =>
if PCI_Frame_n = '1' then
nextState <= TURN_AR;
else
nextState <= BACKOFF;
end if;
when TURN_AR =>
if (PCI_Frame_n = '0' and Hit = '0') then
nextState <= B_BUSY;
else
nextState <= IDLE;
end if;
when others =>
nextState <= Dont_Care;
end case;
end process nxtStProc;
curStProc: process (PCI_Clk, PCI_Reset_n) begin
if (PCI_Reset_n = '0') then
currState <= Idle;
elsif (PCI_Clk'event and PCI_Clk = '1') then
currState <= nextState;
end if;
end process curStProc;
outConProc: process (currState, Ready, T_Abort, Cmd_Write,
Cmd_Read, T_Abort, Term) begin
-- Set default output assignments
OE_Trdy_n <= '0';
OE_Stop_n <= '0';
OE_Devsel_n <= '0';
OE_AD <= '0';
LPCI_Trdy_n <= '1';
LPCI_Stop_n <= '1';
LPCI_Devsel_n <= '1';
case currState is
when S_Data =>
if (Cmd_Read = '1') then
OE_AD <= '1';
else
OE_AD <= '0';
end if;
if (Ready = '1' and T_Abort = '0' and (Cmd_Write = '1' or Cmd_Read = '1')) then
LPCI_Trdy_n <= '0';
else
LPCI_Trdy_n <= '1';
end if;
if (T_Abort = '1' or Term = '1') and (Cmd_Write = '1' or Cmd_Read = '1') then
LPCI_Stop_n <= '0';
else
LPCI_Stop_n <= '1';
end if;
if (T_Abort = '0') then
LPCI_Devsel_n <= '0';
else
LPCI_Devsel_n <= '1';
end if;
OE_Trdy_n <= '1';
OE_Stop_n <= '1';
OE_Devsel_n <= '1';
when Backoff =>
if (Cmd_Read = '1') then
OE_AD <= '1';
else
OE_AD <= '0';
end if;
LPCI_Stop_n <= '0';
OE_Trdy_n <= '1';
OE_Stop_n <= '1';
OE_Devsel_n <= '1';
if (T_Abort = '0') then
LPCI_Devsel_n <= '0';
else
LPCI_Devsel_n <= '1';
end if;
when Turn_Ar =>
OE_Trdy_n <= '1';
OE_Stop_n <= '1';
OE_Devsel_n <= '1';
when others =>
OE_Trdy_n <= '0';
OE_Stop_n <= '0';
OE_Devsel_n <= '0';
OE_AD <= '0';
LPCI_Trdy_n <= '1';
LPCI_Stop_n <= '1';
LPCI_Devsel_n <= '1';
end case;
end process outConProc;
PCI_Devsel_n <= LPCI_Devsel_n;
PCI_Trdy_n <= LPCI_Trdy_n;
PCI_Stop_n <= LPCI_Stop_n;
end fsm;
library IEEE;
use IEEE.std_logic_1164.all;
entity pci_target is port (
PCI_Frame_n: in std_logic; -- PCI Frame#
PCI_Irdy_n: in std_logic; -- PCI Irdy#
Hit: in std_logic; -- Hit on address decode
D_Done: in std_logic; -- Device decode complete
Term: in std_logic; -- Terminate transaction
Ready: in std_logic; -- Ready to transfer data
Cmd_Write: in std_logic; -- Command is Write
Cmd_Read: in std_logic; -- Command is Read
T_Abort: in std_logic; -- Target error - abort transaction
PCI_Clk: in std_logic; -- PCI Clock
PCI_Reset_n: in std_logic; -- PCI Reset#
PCI_Devsel_n: out std_logic; -- PCI Devsel#
PCI_Stop_n: out std_logic; -- PCI Stop#
PCI_Trdy_n: out std_logic; -- PCI Trdy#
OE_AD: out std_logic; -- PCI AD bus enable
OE_Trdy_n: out std_logic; -- PCI Trdy# enable
OE_Stop_n: out std_logic; -- PCI Stop# enable
OE_Devsel_n: out std_logic -- PCI Devsel# enable
);
end pci_target;
architecture fsm of pci_target is
signal LPCI_Devsel_n, LPCI_Trdy_n, LPCI_Stop_n: std_logic;
type targetFsmType is (Idle, B_Busy, Backoff, S_Data, Turn_Ar);
signal currState, nextState: targetFsmType;
begin
-- Process to generate next state logic
nxtStProc: process (currState, PCI_Frame_n, Hit, D_Done, PCI_Irdy_n, LPCI_Trdy_n,
LPCI_Devsel_n, LPCI_Stop_n, Term, Ready) begin
case currState is
when Idle =>
if (PCI_Frame_n = '0' and Hit = '0') then
nextState <= B_Busy;
else
nextState <= Idle;
end if;
when B_Busy =>
if (PCI_Frame_n ='1' and D_Done = '1') or
(PCI_Frame_n = '1' and D_Done = '0' and LPCI_Devsel_n = '0') then
nextState <= Idle;
elsif (PCI_Frame_n = '0' or PCI_Irdy_n = '0') and Hit = '1' and
(Term = '0' or (Term = '1' and Ready = '1') ) then
nextState <= S_Data;
elsif (PCI_Frame_n = '0' or PCI_Irdy_n = '0') and Hit = '1' and
(Term = '1' and Ready = '0') then
nextState <= Backoff;
else
nextState <= B_Busy;
end if;
when S_Data =>
if PCI_Frame_n = '0' and LPCI_Stop_n = '0' and (LPCI_Trdy_n = '1' or PCI_Irdy_n = '0') then
nextState <= Backoff;
elsif PCI_Frame_n = '1' and (LPCI_Trdy_n = '0' or LPCI_Stop_n = '0') then
nextState <= Turn_Ar;
else
nextState <= S_Data;
end if;
when Backoff =>
if PCI_Frame_n = '1' then
nextState <= Turn_Ar;
else
nextState <= Backoff;
end if;
when Turn_Ar =>
if (PCI_Frame_n = '0' and Hit = '0') then
nextState <= B_Busy;
else
nextState <= Idle;
end if;
when others =>
null;
end case;
end process nxtStProc;
-- Process to register the current state
curStProc: process (PCI_Clk, PCI_Reset_n) begin
if (PCI_Reset_n = '0') then
currState <= Idle;
elsif (PCI_Clk'event and PCI_Clk = '1') then
currState <= nextState;
end if;
end process curStProc;
-- Process to generate outputs
outConProc: process (currState, Ready, T_Abort, Cmd_Write,
Cmd_Read, T_Abort, Term) begin
case currState is
when S_Data =>
if (Cmd_Read = '1') then
OE_AD <= '1';
else
OE_AD <= '0';
end if;
if (Ready = '1' and T_Abort = '0' and (Cmd_Write = '1' or Cmd_Read = '1')) then
LPCI_Trdy_n <= '0';
else
LPCI_Trdy_n <= '1';
end if;
if (T_Abort = '1' or Term = '1') and (Cmd_Write = '1' or Cmd_Read = '1') then
LPCI_Stop_n <= '0';
else
LPCI_Stop_n <= '1';
end if;
if (T_Abort = '0') then
LPCI_Devsel_n <= '0';
else
LPCI_Devsel_n <= '1';
end if;
OE_Trdy_n <= '1';
OE_Stop_n <= '1';
OE_Devsel_n <= '1';
when Backoff =>
if (Cmd_Read = '1') then
OE_AD <= '1';
else
OE_AD <= '0';
end if;
LPCI_Stop_n <= '0';
OE_Trdy_n <= '1';
OE_Stop_n <= '1';
OE_Devsel_n <= '1';
if (T_Abort = '0') then
LPCI_Devsel_n <= '0';
else
LPCI_Devsel_n <= '1';
end if;
when Turn_Ar =>
OE_Trdy_n <= '1';
OE_Stop_n <= '1';
OE_Devsel_n <= '1';
when others =>
OE_Trdy_n <= '0';
OE_Stop_n <= '0';
OE_Devsel_n <= '0';
OE_AD <= '0';
LPCI_Trdy_n <= '1';
LPCI_Stop_n <= '1';
LPCI_Devsel_n <= '1';
end case;
end process outConProc;
-- Assign output ports
PCI_Devsel_n <= LPCI_Devsel_n;
PCI_Trdy_n <= LPCI_Trdy_n;
PCI_Stop_n <= LPCI_Stop_n;
end fsm;
-- Incorporates Errata 10.1 and 10.2
library IEEE;
use IEEE.std_logic_1164.all;
entity pci_target is port (
PCI_Frame_n: in std_logic; -- PCI Frame#
PCI_Irdy_n: in std_logic; -- PCI Irdy#
Hit: in std_logic; -- Hit on address decode
D_Done: in std_logic; -- Device decode complete
Term: in std_logic; -- Terminate transaction
Ready: in std_logic; -- Ready to transfer data
Cmd_Write: in std_logic; -- Command is Write
Cmd_Read: in std_logic; -- Command is Read
T_Abort: in std_logic; -- Target error - abort transaction
PCI_Clk: in std_logic; -- PCI Clock
PCI_Reset_n: in std_logic; -- PCI Reset#
PCI_Devsel_n: out std_logic; -- PCI Devsel#
PCI_Trdy_n: out std_logic; -- PCI Trdy#
PCI_Stop_n: out std_logic; -- PCI Stop#
OE_AD: out std_logic; -- PCI AD bus enable
OE_Trdy_n: out std_logic; -- PCI Trdy# enable
OE_Stop_n: out std_logic; -- PCI Stop# enable
OE_Devsel_n: out std_logic -- PCI Devsel# enable
);
end pci_target;
architecture fsm of pci_target is
signal LPCI_Devsel_n, LPCI_Trdy_n, LPCI_Stop_n: std_logic;
subtype targetFsmType is std_logic_vector(4 downto 0);
constant Idle: integer := 0;
constant B_Busy: integer := 1;
constant Backoff: integer := 2;
constant S_Data: integer := 3;
constant Turn_Ar: integer := 4;
signal currState, nextState: targetFsmType;
begin
nxtStProc: process (currState, PCI_Frame_n, Hit, D_Done, PCI_Irdy_n, LPCI_Trdy_n,
LPCI_Devsel_n, LPCI_Stop_n, Term, Ready) begin
nextState <= (others => '0');
if currState(Idle) = '1' then
if (PCI_Frame_n = '0' and Hit = '0') then
nextState(B_Busy) <= '1';
else
nextState(Idle) <= '1';
end if;
end if;
if currState(B_Busy) = '1' then
if (PCI_Frame_n ='1' and D_Done = '1') or
(PCI_Frame_n = '1' and D_Done = '0' and LPCI_Devsel_n = '0') then
nextState(Idle) <= '1';
elsif (PCI_Frame_n = '0' or PCI_Irdy_n = '0') and Hit = '1' and
(Term = '0' or (Term = '1' and Ready = '1') ) then
nextState(S_Data) <= '1';
elsif (PCI_Frame_n = '0' or PCI_Irdy_n = '0') and Hit = '1' and
(Term = '1' and Ready = '0') then
nextState(Backoff) <= '1';
else
nextState(B_Busy) <= '1';
end if;
end if;
if currState(S_Data) = '1' then
if PCI_Frame_n = '0' and LPCI_Stop_n = '0' and
(LPCI_Trdy_n = '1' or PCI_Irdy_n = '0') then
nextState(Backoff) <= '1';
elsif PCI_Frame_n = '1' and (LPCI_Trdy_n = '0' or LPCI_Stop_n = '0') then
nextState(Turn_Ar) <= '1';
else
nextState(S_Data) <= '1';
end if;
end if;
if currState(Backoff) = '1' then
if PCI_Frame_n = '1' then
nextState(Turn_Ar) <= '1';
else
nextState(Backoff) <= '1';
end if;
end if;
if currState(Turn_Ar) = '1' then
if (PCI_Frame_n = '0' and Hit = '0') then
nextState(B_Busy) <= '1';
else
nextState(Idle) <= '1';
end if;
end if;
end process nxtStProc;
curStProc: process (PCI_Clk, PCI_Reset_n) begin
if (PCI_Reset_n = '0') then
currState <= (others => '0'); -- per Errata 10.2
currState(Idle) <= '1';
elsif (PCI_Clk'event and PCI_Clk = '1') then
currState <= nextState;
end if;
end process curStProc;
outConProc: process (currState, Ready, T_Abort, Cmd_Write,
Cmd_Read, T_Abort, Term) begin
OE_Trdy_n <= '0'; OE_Stop_n <= '0'; OE_Devsel_n <= '0'; -- defaults per errata 10.1
OE_AD <= '0'; LPCI_Trdy_n <= '1'; LPCI_Stop_n <= '1';
LPCI_Devsel_n <= '1';
if (currState(S_Data) = '1') then
if (Cmd_Read = '1') then
OE_AD <= '1';
else
OE_AD <= '0';
end if;
if (Ready = '1' and T_Abort = '0' and (Cmd_Write = '1' or Cmd_Read = '1')) then
LPCI_Trdy_n <= '0';
else
LPCI_Trdy_n <= '1';
end if;
if (T_Abort = '1' or Term = '1') and (Cmd_Write = '1' or Cmd_Read = '1') then
LPCI_Stop_n <= '0';
else
LPCI_Stop_n <= '1';
end if;
if (T_Abort = '0') then
LPCI_Devsel_n <= '0';
else
LPCI_Devsel_n <= '1';
end if;
OE_Trdy_n <= '1';
OE_Stop_n <= '1';
OE_Devsel_n <= '1';
end if;
if (currState(Backoff) = '1') then
if (Cmd_Read = '1') then
OE_AD <= '1';
else
OE_AD <= '0';
end if;
LPCI_Stop_n <= '0';
OE_Trdy_n <= '1';
OE_Stop_n <= '1';
OE_Devsel_n <= '1';
if (T_Abort = '0') then
LPCI_Devsel_n <= '0';
else
LPCI_Devsel_n <= '1';
end if;
end if;
if (currState(Turn_Ar) = '1') then
OE_Trdy_n <= '1';
OE_Stop_n <= '1';
OE_Devsel_n <= '1';
end if;
if (currState(Idle) = '1' or currState(B_Busy) = '1') then
OE_Trdy_n <= '0';
OE_Stop_n <= '0';
OE_Devsel_n <= '0';
OE_AD <= '0';
LPCI_Trdy_n <= '1';
LPCI_Stop_n <= '1';
LPCI_Devsel_n <= '1';
end if;
end process outConProc;
PCI_Devsel_n <= LPCI_Devsel_n;
PCI_Trdy_n <= LPCI_Trdy_n;
PCI_Stop_n <= LPCI_Stop_n;
end fsm;
library IEEE;
use IEEE.std_logic_1164.all;
entity pci_target is port (
PCI_Frame_n: in std_logic; -- PCI Frame#
PCI_Irdy_n: in std_logic; -- PCI Irdy#
Hit: in std_logic; -- Hit on address decode
D_Done: in std_logic; -- Device decode complete
Term: in std_logic; -- Terminate transaction
Ready: in std_logic; -- Ready to transfer data
Cmd_Write: in std_logic; -- Command is Write
Cmd_Read: in std_logic; -- Command is Read
T_Abort: in std_logic; -- Target error - abort transaction
PCI_Clk: in std_logic; -- PCI Clock
PCI_Reset_n: in std_logic; -- PCI Reset#
PCI_Devsel_n: out std_logic; -- PCI Devsel#
PCI_Trdy_n: out std_logic; -- PCI Trdy#
PCI_Stop_n: out std_logic; -- PCI Stop#
OE_AD: out std_logic; -- PCI AD bus enable
OE_Trdy_n: out std_logic; -- PCI Trdy# enable
OE_Stop_n: out std_logic; -- PCI Stop# enable
OE_Devsel_n: out std_logic -- PCI Devsel# enable
);
end pci_target;
architecture fsm of pci_target is
signal LPCI_Devsel_n, LPCI_Trdy_n, LPCI_Stop_n: std_logic;
subtype targetFsmType is std_logic_vector(2 downto 0);
constant Idle: targetFsmType := "000";
constant B_Busy: targetFsmType := "001";
constant Backoff: targetFsmType := "011";
constant S_Data: targetFsmType := "110";
constant Turn_Ar: targetFsmType := "100";
signal currState, nextState: targetFsmType;
begin
nxtStProc: process (currState, PCI_Frame_n, Hit, D_Done, PCI_Irdy_n, LPCI_Trdy_n,
LPCI_Devsel_n, LPCI_Stop_n, Term, Ready) begin
case currState is
when IDLE =>
if (PCI_Frame_n = '0' and Hit = '0') then
nextState <= B_BUSY;
else
nextState <= IDLE;
end if;
when B_BUSY =>
if (PCI_Frame_n ='1' and D_Done = '1') or
(PCI_Frame_n = '1' and D_Done = '0' and LPCI_Devsel_n = '0') then
nextState <= IDLE;
elsif (PCI_Frame_n = '0' or PCI_Irdy_n = '0') and Hit = '1' and
(Term = '0' or (Term = '1' and Ready = '1') ) then
nextState <= S_Data;
elsif (PCI_Frame_n = '0' or PCI_Irdy_n = '0') and Hit = '1' and
(Term = '1' and Ready = '0') then
nextState <= BACKOFF;
else
nextState <= B_BUSY;
end if;
when S_DATA =>
if PCI_Frame_n = '0' and LPCI_Stop_n = '0' and (LPCI_Trdy_n = '1' or PCI_Irdy_n = '0') then
nextState <= BACKOFF;
elsif PCI_Frame_n = '1' and (LPCI_Trdy_n = '0' or LPCI_Stop_n = '0') then
nextState <= TURN_AR;
else
nextState <= S_DATA;
end if;
when BACKOFF =>
if PCI_Frame_n = '1' then
nextState <= TURN_AR;
else
nextState <= BACKOFF;
end if;
when TURN_AR =>
if (PCI_Frame_n = '0' and Hit = '0') then
nextState <= B_BUSY;
else
nextState <= IDLE;
end if;
when others =>
nextState <= IDLE;
end case;
end process nxtStProc;
curStProc: process (PCI_Clk, PCI_Reset_n) begin
if (PCI_Reset_n = '0') then
currState <= Idle;
elsif (PCI_Clk'event and PCI_Clk = '1') then
currState <= nextState;
end if;
end process curStProc;
outConProc: process (currState, Ready, T_Abort, Cmd_Write,
Cmd_Read, T_Abort, Term) begin
-- Set default output assignments
OE_Trdy_n <= '0';
OE_Stop_n <= '0';
OE_Devsel_n <= '0';
OE_AD <= '0';
LPCI_Trdy_n <= '1';
LPCI_Stop_n <= '1';
LPCI_Devsel_n <= '1';
case currState is
when S_Data =>
if (Cmd_Read = '1') then
OE_AD <= '1';
else
OE_AD <= '0';
end if;
if (Ready = '1' and T_Abort = '0' and (Cmd_Write = '1' or Cmd_Read = '1')) then
LPCI_Trdy_n <= '0';
else
LPCI_Trdy_n <= '1';
end if;
if (T_Abort = '1' or Term = '1') and (Cmd_Write = '1' or Cmd_Read = '1') then
LPCI_Stop_n <= '0';
else
LPCI_Stop_n <= '1';
end if;
if (T_Abort = '0') then
LPCI_Devsel_n <= '0';
else
LPCI_Devsel_n <= '1';
end if;
OE_Trdy_n <= '1';
OE_Stop_n <= '1';
OE_Devsel_n <= '1';
when Backoff =>
if (Cmd_Read = '1') then
OE_AD <= '1';
else
OE_AD <= '0';
end if;
LPCI_Stop_n <= '0';
OE_Trdy_n <= '1';
OE_Stop_n <= '1';
OE_Devsel_n <= '1';
if (T_Abort = '0') then
LPCI_Devsel_n <= '0';
else
LPCI_Devsel_n <= '1';
end if;
when Turn_Ar =>
OE_Trdy_n <= '1';
OE_Stop_n <= '1';
OE_Devsel_n <= '1';
when others =>
OE_Trdy_n <= '0';
OE_Stop_n <= '0';
OE_Devsel_n <= '0';
OE_AD <= '0';
LPCI_Trdy_n <= '1';
LPCI_Stop_n <= '1';
LPCI_Devsel_n <= '1';
end case;
end process outConProc;
PCI_Devsel_n <= LPCI_Devsel_n;
PCI_Trdy_n <= LPCI_Trdy_n;
PCI_Stop_n <= LPCI_Stop_n;
end fsm;
library IEEE;
use IEEE.std_logic_1164.all;
entity pci_target is port (
PCI_Frame_n: in std_logic; -- PCI Frame#
PCI_Irdy_n: in std_logic; -- PCI Irdy#
Hit: in std_logic; -- Hit on address decode
D_Done: in std_logic; -- Device decode complete
Term: in std_logic; -- Terminate transaction
Ready: in std_logic; -- Ready to transfer data
Cmd_Write: in std_logic; -- Command is Write
Cmd_Read: in std_logic; -- Command is Read
T_Abort: in std_logic; -- Target error - abort transaction
PCI_Clk: in std_logic; -- PCI Clock
PCI_Reset_n: in std_logic; -- PCI Reset#
PCI_Devsel_n: out std_logic; -- PCI Devsel#
PCI_Trdy_n: out std_logic; -- PCI Trdy#
PCI_Stop_n: out std_logic; -- PCI Stop#
OE_AD: out std_logic; -- PCI AD bus enable
OE_Trdy_n: out std_logic; -- PCI Trdy# enable
OE_Stop_n: out std_logic; -- PCI Stop# enable
OE_Devsel_n: out std_logic -- PCI Devsel# enable
);
end pci_target;
architecture fsm of pci_target is
signal LPCI_Devsel_n, LPCI_Trdy_n, LPCI_Stop_n: std_logic;
subtype targetFsmType is std_logic_vector(2 downto 0);
constant Idle: targetFsmType := "000";
constant B_Busy: targetFsmType := "001";
constant Backoff: targetFsmType := "011";
constant S_Data: targetFsmType := "110";
constant Turn_Ar: targetFsmType := "100";
signal currState, nextState: targetFsmType;
begin
nxtStProc: process (currState, PCI_Frame_n, Hit, D_Done, PCI_Irdy_n, LPCI_Trdy_n,
LPCI_Devsel_n, LPCI_Stop_n, Term, Ready) begin
case currState is
when Idle =>
if (PCI_Frame_n = '0' and Hit = '0') then
nextState <= B_Busy;
else
nextState <= Idle;
end if;
when B_Busy =>
if (PCI_Frame_n ='1' and D_Done = '1') or
(PCI_Frame_n = '1' and D_Done = '0' and LPCI_Devsel_n = '0') then
nextState <= Idle;
elsif (PCI_Frame_n = '0' or PCI_Irdy_n = '0') and Hit = '1' and
(Term = '0' or (Term = '1' and Ready = '1') ) then
nextState <= S_Data;
elsif (PCI_Frame_n = '0' or PCI_Irdy_n = '0') and Hit = '1' and
(Term = '1' and Ready = '0') then
nextState <= Backoff;
else
nextState <= B_Busy;
end if;
when S_Data =>
if PCI_Frame_n = '0' and LPCI_Stop_n = '0' and
(LPCI_Trdy_n = '1' or PCI_Irdy_n = '0') then
nextState <= Backoff;
elsif PCI_Frame_n = '1' and (LPCI_Trdy_n = '0' or LPCI_Stop_n = '0') then
nextState <= Turn_Ar;
else
nextState <= S_Data;
end if;
when Backoff =>
if PCI_Frame_n = '1' then
nextState <= Turn_Ar;
else
nextState <= Backoff;
end if;
when Turn_Ar =>
if (PCI_Frame_n = '0' and Hit = '0') then
nextState <= B_Busy;
else
nextState <= Idle;
end if;
when others =>
null;
end case;
end process nxtStProc;
curStProc: process (PCI_Clk, PCI_Reset_n) begin
if (PCI_Reset_n = '0') then
currState <= Idle;
elsif (PCI_Clk'event and PCI_Clk = '1') then
currState <= nextState;
end if;
end process curStProc;
outConProc: process (currState, Ready, T_Abort, Cmd_Write,
Cmd_Read, T_Abort, Term) begin
case currState is
when S_Data =>
if (Cmd_Read = '1') then
OE_AD <= '1';
else
OE_AD <= '0';
end if;
if (Ready = '1' and T_Abort = '0' and (Cmd_Write = '1' or Cmd_Read = '1')) then
LPCI_Trdy_n <= '0';
else
LPCI_Trdy_n <= '1';
end if;
if (T_Abort = '1' or Term = '1') and (Cmd_Write = '1' or Cmd_Read = '1') then
LPCI_Stop_n <= '0';
else
LPCI_Stop_n <= '1';
end if;
if (T_Abort = '0') then
LPCI_Devsel_n <= '0';
else
LPCI_Devsel_n <= '1';
end if;
OE_Trdy_n <= '1';
OE_Stop_n <= '1';
OE_Devsel_n <= '1';
when Backoff =>
if (Cmd_Read = '1') then
OE_AD <= '1';
else
OE_AD <= '0';
end if;
LPCI_Stop_n <= '0';
OE_Trdy_n <= '1';
OE_Stop_n <= '1';
OE_Devsel_n <= '1';
if (T_Abort = '0') then
LPCI_Devsel_n <= '0';
else
LPCI_Devsel_n <= '1';
end if;
when Turn_Ar =>
OE_Trdy_n <= '1';
OE_Stop_n <= '1';
OE_Devsel_n <= '1';
when others =>
OE_Trdy_n <= '0';
OE_Stop_n <= '0';
OE_Devsel_n <= '0';
OE_AD <= '0';
LPCI_Trdy_n <= '1';
LPCI_Stop_n <= '1';
LPCI_Devsel_n <= '1';
end case;
end process outConProc;
PCI_Devsel_n <= LPCI_Devsel_n;
PCI_Trdy_n <= LPCI_Trdy_n;
PCI_Stop_n <= LPCI_Stop_n;
end fsm;
library ieee;
use ieee.std_logic_1164.all;
entity test is port (
a: in std_logic;
z: out std_logic;
en: in std_logic
);
end test;
architecture simple of test is
begin
z <= a when en = '1' else 'z';
end simple;
| gpl-2.0 |
freecores/gamepads | gcpad/rtl/vhdl/gcpad_rx.vhd | 1 | 11782 | -------------------------------------------------------------------------------
--
-- GCpad controller core
--
-- $Id: gcpad_rx.vhd,v 1.5 2004-10-09 17:05:12 arniml Exp $
--
-- Copyright (c) 2004, Arnim Laeuger ([email protected])
--
-- All rights reserved
--
-- Redistribution and use in source and synthezised forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
--
-- Redistributions in synthesized form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- Neither the name of the author nor the names of other contributors may
-- be used to endorse or promote products derived from this software without
-- specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-- Please report bugs to the author, but before you do so, please
-- make sure that this is not a derivative work and that
-- you have the latest version of this file.
--
-- The latest version of this file can be found at:
-- http://www.opencores.org/cvsweb.shtml/gamepads/
--
-- The project homepage is located at:
-- http://www.opencores.org/projects.cgi/web/gamepads/overview
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.gcpad_pack.buttons_t;
entity gcpad_rx is
generic (
reset_level_g : integer := 0;
clocks_per_1us_g : integer := 2
);
port (
-- System Interface -------------------------------------------------------
clk_i : in std_logic;
reset_i : in std_logic;
-- Control Interface ------------------------------------------------------
rx_en_i : in boolean;
rx_done_o : out boolean;
rx_data_ok_o : out boolean;
rx_size_i : in std_logic_vector(3 downto 0);
-- Gamepad Interface ------------------------------------------------------
pad_data_i : in std_logic;
-- Data Interface ---------------------------------------------------------
rx_data_o : out buttons_t
);
end gcpad_rx;
library ieee;
use ieee.numeric_std.all;
use work.gcpad_pack.all;
architecture rtl of gcpad_rx is
component gcpad_sampler
generic (
reset_level_g : integer := 0;
clocks_per_1us_g : integer := 2
);
port (
clk_i : in std_logic;
reset_i : in std_logic;
wrap_sample_i : in boolean;
sync_sample_i : in boolean;
sample_underflow_o : out boolean;
pad_data_i : in std_logic;
pad_data_o : out std_logic;
sample_o : out std_logic
);
end component;
type state_t is (IDLE,
DETECT_TIMEOUT,
WAIT_FOR_1,
WAIT_FOR_0,
FINISHED);
signal state_s,
state_q : state_t;
signal buttons_q,
shift_buttons_q : buttons_t;
signal save_buttons_s : boolean;
signal shift_buttons_s : boolean;
signal sync_sample_s : boolean;
signal wrap_sample_s : boolean;
-- timeout counter counts three sample undeflows
constant cnt_timeout_high_c : natural := 3;
subtype cnt_timeout_t is natural range 0 to cnt_timeout_high_c;
signal cnt_timeout_q : cnt_timeout_t;
signal timeout_q : boolean;
signal sync_timeout_s : boolean;
subtype num_buttons_read_t is unsigned(6 downto 0);
signal num_buttons_read_q : num_buttons_read_t;
signal all_buttons_read_s : boolean;
signal reset_num_buttons_s : boolean;
signal pad_data_s : std_logic;
signal sample_s : std_logic;
signal sample_underflow_s : boolean;
signal rx_done_s,
rx_done_q : boolean;
begin
sampler_b : gcpad_sampler
generic map (
reset_level_g => reset_level_g,
clocks_per_1us_g => clocks_per_1us_g
)
port map (
clk_i => clk_i,
reset_i => reset_i,
wrap_sample_i => wrap_sample_s,
sync_sample_i => sync_sample_s,
sample_underflow_o => sample_underflow_s,
pad_data_i => pad_data_i,
pad_data_o => pad_data_s,
sample_o => sample_s
);
-----------------------------------------------------------------------------
-- Process seq
--
-- Purpose:
-- Implements the sequential elements of this module.
--
seq: process (reset_i, clk_i)
variable size_v : std_logic_vector(num_buttons_read_t'range);
begin
if reset_i = reset_level_g then
buttons_q <= (others => '0');
shift_buttons_q <= (others => '0');
state_q <= IDLE;
cnt_timeout_q <= cnt_timeout_high_c;
timeout_q <= false;
num_buttons_read_q <= (others => '0');
rx_done_q <= false;
elsif clk_i'event and clk_i = '1' then
state_q <= state_s;
rx_done_q <= rx_done_s;
-- timeout counter
if sync_timeout_s then
-- explicit preload
cnt_timeout_q <= cnt_timeout_high_c;
timeout_q <= false;
elsif cnt_timeout_q = 0 then
-- wrap-around
cnt_timeout_q <= cnt_timeout_high_c;
timeout_q <= true;
elsif sample_underflow_s then
-- decrement counter when sampler wraps around
cnt_timeout_q <= cnt_timeout_q - 1;
end if;
-- count remaining number of buttons to read
if shift_buttons_s then
shift_buttons_q(buttons_t'high downto 1) <= shift_buttons_q(buttons_t'high-1 downto 0);
if sample_s = '1' then
shift_buttons_q(0) <= '1';
else
shift_buttons_q(0) <= '0';
end if;
end if;
if reset_num_buttons_s then
-- explicit preload
size_v(num_buttons_read_t'high downto 3) := rx_size_i;
size_v(2 downto 0) := (others => '0');
num_buttons_read_q <= unsigned(size_v);
elsif shift_buttons_s then
-- decrement counter when a button bit has been read
if not all_buttons_read_s then
num_buttons_read_q <= num_buttons_read_q - 1;
end if;
end if;
-- the buttons
if save_buttons_s then
buttons_q <= shift_buttons_q;
end if;
end if;
end process seq;
--
-----------------------------------------------------------------------------
-- indicates that all buttons have been read
all_buttons_read_s <= num_buttons_read_q = 0;
-----------------------------------------------------------------------------
-- Process fsm
--
-- Purpose:
-- Models the controlling state machine.
--
fsm: process (state_q,
rx_en_i,
pad_data_s,
wrap_sample_s,
all_buttons_read_s,
sample_underflow_s,
timeout_q)
begin
sync_sample_s <= false;
sync_timeout_s <= false;
state_s <= IDLE;
shift_buttons_s <= false;
save_buttons_s <= false;
rx_done_s <= false;
reset_num_buttons_s <= false;
wrap_sample_s <= false;
case state_q is
-- IDLE -----------------------------------------------------------------
-- The idle state.
when IDLE =>
if rx_en_i then
state_s <= DETECT_TIMEOUT;
else
-- keep counters synchronized when no reception is running
sync_sample_s <= true;
sync_timeout_s <= true;
reset_num_buttons_s <= true;
state_s <= IDLE;
end if;
when DETECT_TIMEOUT =>
state_s <= DETECT_TIMEOUT;
if pad_data_s = '0' then
sync_sample_s <= true;
state_s <= WAIT_FOR_1;
else
-- wait for timeout
wrap_sample_s <= true;
if timeout_q then
rx_done_s <= true;
state_s <= IDLE;
end if;
end if;
-- WAIT_FOR_1 -----------------------------------------------------------
-- Sample counter has expired and a 0 bit has been detected.
-- We must now wait for pad_data_s to become 1.
-- Or abort upon timeout.
when WAIT_FOR_1 =>
if pad_data_s = '0' then
if not sample_underflow_s then
state_s <= WAIT_FOR_1;
else
-- timeout while reading buttons!
rx_done_s <= true;
state_s <= IDLE;
end if;
else
state_s <= WAIT_FOR_0;
end if;
-- WAIT_FOR_0 -----------------------------------------------------------
-- pad_data_s is at 1 level now and no timeout occured so far.
-- We wait for the next 0 level on pad_data_s or abort upon timeout.
when WAIT_FOR_0 =>
-- wait for falling edge of pad data
if pad_data_s = '0' then
sync_sample_s <= true;
-- loop again in any case
state_s <= WAIT_FOR_1;
if not all_buttons_read_s then
shift_buttons_s <= true;
end if;
else
if sample_underflow_s then
if all_buttons_read_s then
-- last button was read
-- so it's ok to timeout
state_s <= FINISHED;
else
-- timeout while reading buttons!
rx_done_s <= true;
state_s <= IDLE;
end if;
else
state_s <= WAIT_FOR_0;
end if;
end if;
when FINISHED =>
-- finally save buttons
save_buttons_s <= true;
rx_done_s <= true;
when others =>
null;
end case;
end process fsm;
--
-----------------------------------------------------------------------------
-----------------------------------------------------------------------------
-- Output Mapping
-----------------------------------------------------------------------------
rx_done_o <= rx_done_q;
rx_data_ok_o <= save_buttons_s;
rx_data_o <= buttons_q;
end rtl;
-------------------------------------------------------------------------------
-- File History:
--
-- $Log: not supported by cvs2svn $
-- Revision 1.4 2004/10/09 00:33:55 arniml
-- shift rx_data to button assignment to toplevel
--
-- Revision 1.3 2004/10/08 21:18:39 arniml
-- move sampler to separate unit
--
-- Revision 1.2 2004/10/08 20:51:59 arniml
-- turn rx and tx size into bytes instead of bits
--
-- Revision 1.1 2004/10/07 21:23:10 arniml
-- initial check-in
--
-------------------------------------------------------------------------------
| gpl-2.0 |
rxfx/profibusmonitor | VHDL_Bausteine_old/abandoned_code/TEST_CTRL_CRLF/CLOCK_SINGLE_RUN_SRC.vhd | 26 | 2585 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following lines to use the declarations that are
-- provided for instantiating Xilinx primitive components.
--library UNISIM;
--use UNISIM.VComponents.all;
entity CLOCK_SINGLE_RUN_SRC is
Port ( CLK: in std_logic; -- (System) Takt
SINGLE: in std_logic; -- 1: Einzeltakt
RUN_R: in std_logic; -- 1: Dauerbetrieb
-- mit Eingangsregister
RESET: in std_logic; -- 1: Initialzustand soll angenommen werden
OUT_NEXT_STATE: out std_logic); -- 1: nächster Zustand
end CLOCK_SINGLE_RUN_SRC;
architecture Behavioral of CLOCK_SINGLE_RUN_SRC is
type TYPE_STATE is (CSR_0, CSR_1, CSR_2); -- Zustände
signal SV: TYPE_STATE; --Zustangsvariable
signal n_SV: TYPE_STATE; --Zustangsvariable, neuer Wert
signal SV_M: TYPE_STATE; --Zustangsvariable, Ausgang Master
signal RUN_S: std_logic;
signal not_CLK : std_logic;
begin
NOT_CLK_PROC: process (CLK)
begin
not_CLK <= not CLK;
end process;
IREG_PROC: process (RUN_R, not_CLK)
begin
if (not_CLK'event and not_CLK = '1')
then RUN_S <= RUN_R;
end if;
end process;
IL_OL_PROC: process (SINGLE, RUN_S, SV)
begin
case SV is
when CSR_0 =>
if (SINGLE = '1')
then OUT_NEXT_STATE <= '1'; n_SV <= CSR_2;
else
if (RUN_S = '1')
then OUT_NEXT_STATE <= '1'; n_SV <= CSR_1;
else OUT_NEXT_STATE <= '0'; n_SV <= CSR_0;
end if;
end if;
when CSR_1 => OUT_NEXT_STATE <= '0';
if (SINGLE = '1')
then OUT_NEXT_STATE <= '0'; n_SV <= CSR_2;
else OUT_NEXT_STATE <= '1'; n_SV <= CSR_1;
end if;
when CSR_2 => OUT_NEXT_STATE <= '1';
if (SINGLE = '1')
then OUT_NEXT_STATE <= '0'; n_SV <= CSR_2;
else OUT_NEXT_STATE <= '0'; n_SV <= CSR_0;
end if;
when others => OUT_NEXT_STATE <= '0';
end case;
end process;
SREG_M_PROC: process (RESET, n_SV, CLK) -- Master
begin
if(RESET = '1')
then SV_M <= CSR_0;
else
if (CLK'event and CLK = '1')
then SV_M <= n_SV;
else SV_M <= SV_M;
end if;
end if;
end process;
SREG_S_PROC: process (RESET, SV_M, not_CLK) -- Slave
begin
if(RESET = '1')
then SV <= CSR_0;
else
if (not_CLK'event and not_CLK = '1')
then SV <= SV_M;
end if;
end if;
end process;
end Behavioral;
| gpl-2.0 |
rxfx/profibusmonitor | VHDL_Bausteine_old/TEST_CTRL_TELEGRAM_CHECK/CLOCK_SINGLE_RUN_SRC.vhd | 26 | 2585 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following lines to use the declarations that are
-- provided for instantiating Xilinx primitive components.
--library UNISIM;
--use UNISIM.VComponents.all;
entity CLOCK_SINGLE_RUN_SRC is
Port ( CLK: in std_logic; -- (System) Takt
SINGLE: in std_logic; -- 1: Einzeltakt
RUN_R: in std_logic; -- 1: Dauerbetrieb
-- mit Eingangsregister
RESET: in std_logic; -- 1: Initialzustand soll angenommen werden
OUT_NEXT_STATE: out std_logic); -- 1: nächster Zustand
end CLOCK_SINGLE_RUN_SRC;
architecture Behavioral of CLOCK_SINGLE_RUN_SRC is
type TYPE_STATE is (CSR_0, CSR_1, CSR_2); -- Zustände
signal SV: TYPE_STATE; --Zustangsvariable
signal n_SV: TYPE_STATE; --Zustangsvariable, neuer Wert
signal SV_M: TYPE_STATE; --Zustangsvariable, Ausgang Master
signal RUN_S: std_logic;
signal not_CLK : std_logic;
begin
NOT_CLK_PROC: process (CLK)
begin
not_CLK <= not CLK;
end process;
IREG_PROC: process (RUN_R, not_CLK)
begin
if (not_CLK'event and not_CLK = '1')
then RUN_S <= RUN_R;
end if;
end process;
IL_OL_PROC: process (SINGLE, RUN_S, SV)
begin
case SV is
when CSR_0 =>
if (SINGLE = '1')
then OUT_NEXT_STATE <= '1'; n_SV <= CSR_2;
else
if (RUN_S = '1')
then OUT_NEXT_STATE <= '1'; n_SV <= CSR_1;
else OUT_NEXT_STATE <= '0'; n_SV <= CSR_0;
end if;
end if;
when CSR_1 => OUT_NEXT_STATE <= '0';
if (SINGLE = '1')
then OUT_NEXT_STATE <= '0'; n_SV <= CSR_2;
else OUT_NEXT_STATE <= '1'; n_SV <= CSR_1;
end if;
when CSR_2 => OUT_NEXT_STATE <= '1';
if (SINGLE = '1')
then OUT_NEXT_STATE <= '0'; n_SV <= CSR_2;
else OUT_NEXT_STATE <= '0'; n_SV <= CSR_0;
end if;
when others => OUT_NEXT_STATE <= '0';
end case;
end process;
SREG_M_PROC: process (RESET, n_SV, CLK) -- Master
begin
if(RESET = '1')
then SV_M <= CSR_0;
else
if (CLK'event and CLK = '1')
then SV_M <= n_SV;
else SV_M <= SV_M;
end if;
end if;
end process;
SREG_S_PROC: process (RESET, SV_M, not_CLK) -- Slave
begin
if(RESET = '1')
then SV <= CSR_0;
else
if (not_CLK'event and not_CLK = '1')
then SV <= SV_M;
end if;
end if;
end process;
end Behavioral;
| gpl-2.0 |
rxfx/profibusmonitor | VHDL_Bausteine_old/abandoned_code/Rueckfallposition_14_12_2012/TEST2_SRAM_25MHZ_255_BYTE/CLOCK_SINGLE_RUN_SRC.vhd | 12 | 2560 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following lines to use the declarations that are
-- provided for instantiating Xilinx primitive components.
--library UNISIM;
--use UNISIM.VComponents.all;
entity CLOCK_SINGLE_RUN_SRC is
Port ( CLK: in std_logic; -- (System) Takt
SINGLE: in std_logic; -- 1: Einzeltakt
RUN_R: in std_logic; -- 1: Dauerbetrieb
-- mit Eingangsregister
RESET: in std_logic; -- 1: Initialzustand soll angenommen werden
OUT_NEXT_STATE: out std_logic); -- 1: nächster Zustand
end CLOCK_SINGLE_RUN_SRC;
architecture Behavioral of CLOCK_SINGLE_RUN_SRC is
type TYPE_STATE is (CSR_0, CSR_1, CSR_2); -- Zustände
signal SV: TYPE_STATE; --Zustangsvariable
signal n_SV: TYPE_STATE; --Zustangsvariable, neuer Wert
signal SV_M: TYPE_STATE; --Zustangsvariable, Ausgang Master
signal RUN_S: std_logic;
signal not_CLK : std_logic;
begin
NOT_CLK_PROC: process (CLK)
begin
not_CLK <= not CLK;
end process;
IREG_PROC: process (RUN_R, not_CLK)
begin
if (not_CLK'event and not_CLK = '1')
then RUN_S <= RUN_R;
end if;
end process;
IL_OL_PROC: process (SINGLE, RUN_S, SV)
begin
case SV is
when CSR_0 =>
if (SINGLE = '1')
then OUT_NEXT_STATE <= '1'; n_SV <= CSR_2;
else
if (RUN_S = '1')
then OUT_NEXT_STATE <= '1'; n_SV <= CSR_1;
else OUT_NEXT_STATE <= '0'; n_SV <= CSR_0;
end if;
end if;
when CSR_1 => OUT_NEXT_STATE <= '0';
if (SINGLE = '1')
then OUT_NEXT_STATE <= '0'; n_SV <= CSR_2;
else OUT_NEXT_STATE <= '1'; n_SV <= CSR_1;
end if;
when CSR_2 => OUT_NEXT_STATE <= '1';
if (SINGLE = '1')
then OUT_NEXT_STATE <= '0'; n_SV <= CSR_2;
else OUT_NEXT_STATE <= '0'; n_SV <= CSR_0;
end if;
when others => OUT_NEXT_STATE <= '0';
end case;
end process;
SREG_M_PROC: process (RESET, n_SV, CLK) -- Master
begin
if(RESET = '1')
then SV_M <= CSR_0;
else
if (CLK'event and CLK = '1')
then SV_M <= n_SV;
end if;
end if;
end process;
SREG_S_PROC: process (RESET, SV_M, not_CLK) -- Slave
begin
if(RESET = '1')
then SV <= CSR_0;
else
if (not_CLK'event and not_CLK = '1')
then SV <= SV_M;
end if;
end if;
end process;
end Behavioral;
| gpl-2.0 |
rxfx/profibusmonitor | VHDL_Bausteine_old/SRAM_25MHZ_255_BYTE/SRAM_25MHZ_255_BYTE.vhd | 10 | 13687 | -- SRAM_25MHZ_255_BYTE
-- beschreibt/liest den SRAM des Spartan 3
-- Ersteller: Martin Harndt
-- Erstellt: 30.11.2012
-- Bearbeiter: mharndt
-- Geaendert: 13.12.2012
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity SRAM_25MHZ_255_BYTE is
Port ( GO : in std_logic;
COUNT_ADR_OUT : out std_logic_vector(18 downto 0); --Ausgabe Adresse, 19 Byte
COUNT_DAT_INOUT : inout std_logic_vector(15 downto 0); --Ausgabe gespeicherte Daten, 16 Byte
DISPL_ADR : in std_logic; -- umschalten zwischen aktuellen Zustand und Adresse
DISPL_DAT : in std_logic; -- umschalten zwischen Folgeszustand und Daten
WE : out std_logic; -- Write Enable
OE : out std_logic; -- Output Enable
CE1 : out std_logic; -- Chip Enable
UB1 : out std_logic; -- Upper Byte Enable
LB1 : out std_logic; -- Lower Byte Enable
STOP : out std_logic; -- zum Anzeigen von STOP
PLUS : in std_logic; -- Adresszähler +1
MINUS : in std_logic; -- Adresszähler -1
CLK : in std_logic; --Taktvariable
CLK_IO : in std_logic; --Tanktvariable,
--Ein- und Ausgangsregister
IN_NEXT_STATE : in std_logic; --1:Zustandsuebergang möglich
RESET : in std_logic; --1: Initialzustand annehmen
DISPL1_SV : out std_logic_vector (3 downto 0); --aktueller Zustand Zahl1, binärzahl
DISPL2_SV : out std_logic_vector (3 downto 0); --aktueller Zustand Zahl2, binärzahl
DISPL1_n_SV : out std_logic_vector (3 downto 0); --Folgezustand Zahl1, binärzahl
DISPL2_n_SV : out std_logic_vector (3 downto 0)); --Folgezustand Zahl2, binärzahl
end SRAM_25MHZ_255_BYTE;
architecture Behavioral of SRAM_25MHZ_255_BYTE is
type TYPE_STATE is
(ST_RAM_00, --Zustaende
ST_RAM_01,
ST_RAM_02,
ST_RAM_03,
ST_RAM_04,
ST_RAM_05,
ST_RAM_06,
ST_RAM_07,
ST_RAM_08,
ST_RAM_09);
signal SV : TYPE_STATE; --Zustandsvariable
signal n_SV: TYPE_STATE; --Zustandsvariable, neuer Wert
signal SV_M: TYPE_STATE; --Zustandsvariable, Ausgang Master
signal not_CLK : std_logic; --negierte Taktvariable
signal not_CLK_IO: std_logic; --negierte Taktvariable
--Ein- und Ausgangsregister
signal GO_S : std_logic; --Eingangsvariable, --Zwischengespeichert im Eingangsregister
signal PLUS_S : std_logic; --Eingangsvariable, Zwischengespeichert im Eingangsregister
signal MINUS_S : std_logic; --Eingangsvariable, --Zwischengespeichert im Eingangsregister
signal COUNT_ADR : std_logic_vector(18 downto 0); --Adresszaehler, Vektor, 19 bit
signal n_COUNT_ADR : std_logic_vector(18 downto 0); --Adresszaehler, neuer Wert, Vektor, 19 bit
signal COUNT_ADR_M : std_logic_vector(18 downto 0); --Adresszaehler, Ausgang Master, Vektor, 19 bit
signal COUNT_DAT : std_logic_vector(15 downto 0); --Datenzaehler, Vektor, 15 bit
signal n_COUNT_DAT : std_logic_vector(15 downto 0); --Datenzaehler, neuer Wert, Vektor, 15 bit
signal COUNT_DAT_M : std_logic_vector(15 downto 0); --Datenzaehler, Ausgang Master, Vektor, 15 bit
signal DISPL_STATE_SV : std_logic_vector (7 downto 0); -- aktueller Zustand in 8 Bit, binär
signal DISPL_STATE_n_SV : std_logic_vector (7 downto 0); -- Folgezustand in 8 Bit, binär
signal COUNT_DAT_INPUT : std_logic_vector(15 downto 0); -- Dateninput
signal WRITE_M : std_logic; --Schreibanzeiger, Ausgang Master, (1=schreiben)
signal n_WRITE : std_logic; --Schreibanzeiger, neuer Wert
begin
NOT_CLK_PROC: process (CLK) --negieren Taktvariable
begin
not_CLK <= not CLK;
end process;
NOT_CLK_IO_PROC: process (CLK_IO) --negieren Taktvaraiable, Ein- und Ausgangsregister
begin
not_CLK_IO <= not CLK_IO;
end process;
IREG_PROC: process (GO, GO_S, not_CLK_IO, PLUS, PLUS_S, MINUS, MINUS_S) --Eingangsregister
begin
if (not_CLK_IO'event and not_CLK_IO = '1') --Eingangsregister
then GO_S <= GO;
PLUS_S <= PLUS;
MINUS_S <= MINUS;
end if;
end process;
SREG_M_PROC: process (RESET, n_SV, CLK) --Master
begin
if (RESET ='1')
then SV_M <= ST_RAM_00;
WRITE_M <= '0';
else
if (CLK'event and CLK = '1')
then
if (IN_NEXT_STATE = '1')
then SV_M <= n_SV;
COUNT_ADR_M <= n_COUNT_ADR;
COUNT_DAT_M <= n_COUNT_DAT;
WRITE_M <= n_WRITE;
else SV_M <= SV_M;
COUNT_ADR_M <= COUNT_ADR_M;
COUNT_DAT_M <= COUNT_DAT_M;
WRITE_M <= WRITE_M;
end if;
end if;
end if;
end process;
SREG_S_PROC: process (RESET, SV_M, not_CLK) --Slave
begin
if (RESET = '1')
then SV <= ST_RAM_00;
else
if (not_CLK'event and not_CLK = '1')
then SV <= SV_M;
COUNT_ADR <= COUNT_ADR_M;
COUNT_DAT <= COUNT_DAT_M;
end if;
end if;
end process;
IL_OL_PROC: process (GO_S, SV, COUNT_ADR, COUNT_DAT, PLUS_S, MINUS_S, COUNT_DAT_INPUT)
begin
--setze fuer alle Zustaende
n_WRITE <= '0'; --kein Schreiben
UB1 <= '0'; --Upper Byte Ein (0=Ein 1=Aus)
LB1 <= '0'; --Lower Byte Ein (0=Ein 1=Aus)
case SV is
when ST_RAM_00 =>
if (GO_S = '1')
then
-- RAM01
n_COUNT_ADR <= b"0000000000000000000"; -- Adress Zaehler Neustart
n_COUNT_DAT <= b"1111111111111111"; -- Daten Zaehler Neustart
WE <= '1'; --Aus (0=Ein 1=Aus) 0
OE <= '1'; --Aus (0=Ein 1=Aus)
CE1 <= '1'; --Aus (0=Ein 1=Aus)
STOP <= '0'; -- Aus(0=Aus 1=Ein)
n_SV <= ST_RAM_01; -- Zustandsuebgergang
else
--RAM00
n_COUNT_ADR <= b"0000000000000000000"; -- Adress Zaehler Neustart
n_COUNT_DAT <= b"1111111111111111"; -- Daten Zaehler Neustart
WE <= '1'; --Aus 0
OE <= '1'; --Aus
CE1 <= '0'; --Ein
STOP <= '0'; --Aus
n_SV <= ST_RAM_00; -- GO = '0'
end if;
when ST_RAM_01 =>
-- RAM02
n_COUNT_ADR <= COUNT_ADR; -- Wert bleibt gleich
n_COUNT_DAT <= COUNT_DAT; -- Wert bleibt gleich
WE <= '0'; --Ein
OE <= '1'; --Aus
CE1 <= '0'; --Ein
STOP <= '0'; --Aus
n_WRITE <= '1'; --schreiben
n_SV <= ST_RAM_02; -- Zustandsuebgergang
when ST_RAM_02 =>
if (COUNT_ADR = b"1111111111111111111")
then
-- RAM05
n_COUNT_ADR <= COUNT_ADR; -- Wert bleibt gleich
n_COUNT_DAT <= COUNT_DAT; -- Wert bleibt gleich
WE <= '1'; --Aus (0=Ein 1=Aus)
OE <= '1'; --Aus (0=Ein 1=Aus)
CE1 <= '0'; --Ein (0=Ein 1=Aus)
STOP <= '0'; -- Aus(0=Aus 1=Ein)
n_SV <= ST_RAM_03; -- COUNT_ADR < FF
else
--RAM03
n_COUNT_ADR <= COUNT_ADR+1; -- Adress Zaehler inkrementieren
n_COUNT_DAT <= COUNT_DAT-1; -- Daten Zaehler dekrementieren
WE <= '1'; --Aus
OE <= '1'; --Aus
CE1 <= '0'; --Ein
STOP <= '0'; --Aus
n_SV <= ST_RAM_04; -- COUNT_ADR = FF
end if;
when ST_RAM_03 =>
if (GO_S = '0')
then
-- RAM06
n_COUNT_ADR <= b"0000000000000000000"; -- Wert wird null
n_COUNT_DAT <= COUNT_DAT; -- Wert bleibt gleich
WE <= '1'; --Aus (0=Ein 1=Aus)
OE <= '1'; --Aus (0=Ein 1=Aus)
CE1 <= '0'; --Ein (0=Ein 1=Aus)
STOP <= '1'; -- Ein(0=Aus 1=Ein)
n_SV <= ST_RAM_05; -- GO_S ='0'
else
--RAM05
n_COUNT_ADR <= COUNT_ADR; -- Wert bleibt gleich
n_COUNT_DAT <= COUNT_DAT; -- Wert bleibt gleich
WE <= '1'; --Aus
OE <= '1'; --Aus
CE1 <= '0'; --Ein
STOP <= '0'; --Aus
n_SV <= ST_RAM_03; -- GO_S ='1'
end if;
when ST_RAM_04 =>
-- RAM04
n_COUNT_ADR <= COUNT_ADR; -- Wert bleibt gleich
n_COUNT_DAT <= COUNT_DAT; -- Wert bleibt gleich
WE <= '1'; --Aus (0=Ein 1=Aus)
OE <= '1'; --Aus (0=Ein 1=Aus)
CE1 <= '0'; --Ein (0=Ein 1=Aus)
STOP <= '0'; -- Aus(0=Aus 1=Ein)
n_SV <= ST_RAM_01; -- Zustandsübergang
when ST_RAM_05 =>
if (GO_S = '0')
then
-- RAM08
n_COUNT_ADR <= COUNT_ADR; -- Wert bleibt gleich
n_COUNT_DAT <= COUNT_DAT; -- Wert bleibt gleich
WE <= '1'; --Aus (0=Ein 1=Aus)
OE <= '0'; --Ein (0=Ein 1=Aus)
CE1 <= '0'; --Ein (0=Ein 1=Aus)
STOP <= '1'; -- Ein(0=Aus 1=Ein)
n_SV <= ST_RAM_06; -- GO_S ='0'
else
--RAM07
n_COUNT_ADR <= COUNT_ADR; -- Wert bleibt gleich
n_COUNT_DAT <= COUNT_DAT; -- Wert bleibt gleich
WE <= '1'; --Aus
OE <= '0'; --Ein
CE1 <= '0'; --Ein
STOP <= '1'; --Ein
n_SV <= ST_RAM_00; -- GO_S ='1'
end if;
when ST_RAM_06 =>
if (PLUS_S = '1')
then
-- RAM09
n_COUNT_ADR <= COUNT_ADR+1; -- Wert wird erhöht
n_COUNT_DAT <= COUNT_DAT_INPUT; --Daten einlesen
WE <= '1'; --Aus (0=Ein 1=Aus)
OE <= '0'; --Ein (0=Ein 1=Aus)
CE1 <= '0'; --Ein (0=Ein 1=Aus)
STOP <= '0'; -- Aus(0=Aus 1=Ein)
n_SV <= ST_RAM_07; -- PLUS_S ='1'
else
--RAM11
n_COUNT_ADR <= COUNT_ADR; -- Wert bleibt gleich
n_COUNT_DAT <= COUNT_DAT; -- Wert bleibt gleich
WE <= '1'; --Aus
OE <= '0'; --Ein
CE1 <= '0'; --Ein
STOP <= '1'; --Ein
n_SV <= ST_RAM_08; -- PLUS_S ='0'
end if;
when ST_RAM_07 =>
if (PLUS_S = '0')
then
-- RAM14
n_COUNT_ADR <= COUNT_ADR; -- Wert bleibt gleich
n_COUNT_DAT <= COUNT_DAT; -- Wert bleibt gleich
WE <= '1'; --Aus (0=Ein 1=Aus)
OE <= '0'; --Ein (0=Ein 1=Aus)
CE1 <= '0'; --Ein (0=Ein 1=Aus)
STOP <= '1'; -- Ein(0=Aus 1=Ein)
n_SV <= ST_RAM_05; -- PLUS_S ='0'
else
--RAM10
n_COUNT_ADR <= COUNT_ADR; -- Wert bleibt gleich
n_COUNT_DAT <= COUNT_DAT_INPUT; -- DATEN einlesen
WE <= '1'; --Aus
OE <= '0'; --Ein
CE1 <= '0'; --Ein
STOP <= '0'; --Aus
n_SV <= ST_RAM_07; -- PLUS_S ='1'
end if;
when ST_RAM_08 =>
if (MINUS_S = '1')
then
--RAM12
n_COUNT_ADR <= COUNT_ADR-1; -- Wert wird verringert
n_COUNT_DAT <= COUNT_DAT_INPUT; -- Daten einlesen
WE <= '1'; --Aus
OE <= '0'; --Ein
CE1 <= '0'; --Ein
STOP <= '0'; --Aus
n_SV <= ST_RAM_09; -- MINUS_S ='1'
else
-- RAM14
n_COUNT_ADR <= COUNT_ADR; -- Wert bleibt gleich
n_COUNT_DAT <= COUNT_DAT; -- Wert bleibt gleich
WE <= '1'; --Aus (0=Ein 1=Aus)
OE <= '0'; --Ein (0=Ein 1=Aus)
CE1 <= '0'; --Ein (0=Ein 1=Aus)
STOP <= '1'; -- Ein(0=Aus 1=Ein)
n_SV <= ST_RAM_05; -- PLUS_S ='0'
end if;
when ST_RAM_09 =>
if (MINUS_S = '0')
then
-- RAM14
n_COUNT_ADR <= COUNT_ADR; -- Wert bleibt gleich
n_COUNT_DAT <= COUNT_DAT; -- Wert bleibt gleich
WE <= '1'; --Aus (0=Ein 1=Aus)
OE <= '0'; --Ein (0=Ein 1=Aus)
CE1 <= '0'; --Ein (0=Ein 1=Aus)
STOP <= '1'; -- Ein(0=Aus 1=Ein)
n_SV <= ST_RAM_05; -- PLUS_S ='0'
else
--RAM13
n_COUNT_ADR <= COUNT_ADR; -- Wert bleibt gleich
n_COUNT_DAT <= COUNT_DAT_INPUT; -- Daten einlesen
WE <= '1'; --Aus
OE <= '0'; --Ein
CE1 <= '0'; --Ein
STOP <= '0'; --Aus
n_SV <= ST_RAM_09; -- MINUS_S ='1'
end if;
when others =>
-- RAM00
n_COUNT_ADR <= b"0000000000000000000"; -- Adress Zaehler Neustart
n_COUNT_DAT <= b"1111111111111111"; -- Daten Zaehler Neustart
WE <= '1'; --Aus
OE <= '1'; --Aus
CE1 <= '1'; --Aus
STOP <= '0'; --Aus
n_SV <= ST_RAM_00;
end case;
end process;
STATE_DISPL_PROC: process (SV, n_SV, DISPL_STATE_SV, DISPL_STATE_n_SV, DISPL_ADR, DISPL_DAT, COUNT_ADR, COUNT_DAT) -- Zustandsanzeige
begin
DISPL_STATE_SV <= conv_std_logic_vector(TYPE_STATE'pos( SV),8); --Zustandsumwandlung in 8 Bit
DISPL_STATE_n_SV <= conv_std_logic_vector(TYPE_STATE'pos(n_SV),8);
if (DISPL_ADR = '0')
then
-- Aktuellen Zustand anzeigen
DISPL1_SV(0) <= DISPL_STATE_SV(0); --Bit0
DISPL1_SV(1) <= DISPL_STATE_SV(1); --Bit1
DISPL1_SV(2) <= DISPL_STATE_SV(2); --Bit2
DISPL1_SV(3) <= DISPL_STATE_SV(3); --Bit3
DISPL2_SV(0) <= DISPL_STATE_SV(4); --usw.
DISPL2_SV(1) <= DISPL_STATE_SV(5);
DISPL2_SV(2) <= DISPL_STATE_SV(6);
DISPL2_SV(3) <= DISPL_STATE_SV(7);
else
-- Adresse anzeigen (erste 8 Bit)
DISPL1_SV(0) <= COUNT_ADR(0); --Bit0
DISPL1_SV(1) <= COUNT_ADR(1); --Bit1
DISPL1_SV(2) <= COUNT_ADR(2); --Bit2
DISPL1_SV(3) <= COUNT_ADR(3); --Bit3
DISPL2_SV(0) <= COUNT_ADR(4); --usw.
DISPL2_SV(1) <= COUNT_ADR(5);
DISPL2_SV(2) <= COUNT_ADR(6);
DISPL2_SV(3) <= COUNT_ADR(7);
end if;
if (DISPL_DAT = '0')
then
-- Folgezustand anzeigen
DISPL1_n_SV(0) <= DISPL_STATE_n_SV(0);
DISPL1_n_SV(1) <= DISPL_STATE_n_SV(1);
DISPL1_n_SV(2) <= DISPL_STATE_n_SV(2);
DISPL1_n_SV(3) <= DISPL_STATE_n_SV(3);
DISPL2_n_SV(0) <= DISPL_STATE_n_SV(4);
DISPL2_n_SV(1) <= DISPL_STATE_n_SV(5);
DISPL2_n_SV(2) <= DISPL_STATE_n_SV(6);
DISPL2_n_SV(3) <= DISPL_STATE_n_SV(7);
else
--Daten anzeigen (erste 8 Bit)
DISPL1_n_SV(0) <= COUNT_DAT(0);
DISPL1_n_SV(1) <= COUNT_DAT(1);
DISPL1_n_SV(2) <= COUNT_DAT(2);
DISPL1_n_SV(3) <= COUNT_DAT(3);
DISPL2_n_SV(0) <= COUNT_DAT(4);
DISPL2_n_SV(1) <= COUNT_DAT(5);
DISPL2_n_SV(2) <= COUNT_DAT(6);
DISPL2_n_SV(3) <= COUNT_DAT(7);
end if;
end process;
-- Adressen Output
COUNT_ADR_OUT <= n_COUNT_ADR;
-- Daten lesen
COUNT_DAT_INPUT <= COUNT_DAT_INOUT;
-- Daten schreiben
-- Tri-State Buffer control
COUNT_DAT_INOUT <= n_COUNT_DAT when WRITE_M = '1' else (others=>'Z'); --geht nicht in einem Prozess weil Fehler
end Behavioral;
| gpl-2.0 |
rxfx/profibusmonitor | VHDL_Bausteine_old/abandoned_code/Rueckfallposition_19_12_2012/SRAM_25MHZ_255_BYTE/SRAM_25MHZ_255_BYTE.vhd | 10 | 13687 | -- SRAM_25MHZ_255_BYTE
-- beschreibt/liest den SRAM des Spartan 3
-- Ersteller: Martin Harndt
-- Erstellt: 30.11.2012
-- Bearbeiter: mharndt
-- Geaendert: 13.12.2012
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity SRAM_25MHZ_255_BYTE is
Port ( GO : in std_logic;
COUNT_ADR_OUT : out std_logic_vector(18 downto 0); --Ausgabe Adresse, 19 Byte
COUNT_DAT_INOUT : inout std_logic_vector(15 downto 0); --Ausgabe gespeicherte Daten, 16 Byte
DISPL_ADR : in std_logic; -- umschalten zwischen aktuellen Zustand und Adresse
DISPL_DAT : in std_logic; -- umschalten zwischen Folgeszustand und Daten
WE : out std_logic; -- Write Enable
OE : out std_logic; -- Output Enable
CE1 : out std_logic; -- Chip Enable
UB1 : out std_logic; -- Upper Byte Enable
LB1 : out std_logic; -- Lower Byte Enable
STOP : out std_logic; -- zum Anzeigen von STOP
PLUS : in std_logic; -- Adresszähler +1
MINUS : in std_logic; -- Adresszähler -1
CLK : in std_logic; --Taktvariable
CLK_IO : in std_logic; --Tanktvariable,
--Ein- und Ausgangsregister
IN_NEXT_STATE : in std_logic; --1:Zustandsuebergang möglich
RESET : in std_logic; --1: Initialzustand annehmen
DISPL1_SV : out std_logic_vector (3 downto 0); --aktueller Zustand Zahl1, binärzahl
DISPL2_SV : out std_logic_vector (3 downto 0); --aktueller Zustand Zahl2, binärzahl
DISPL1_n_SV : out std_logic_vector (3 downto 0); --Folgezustand Zahl1, binärzahl
DISPL2_n_SV : out std_logic_vector (3 downto 0)); --Folgezustand Zahl2, binärzahl
end SRAM_25MHZ_255_BYTE;
architecture Behavioral of SRAM_25MHZ_255_BYTE is
type TYPE_STATE is
(ST_RAM_00, --Zustaende
ST_RAM_01,
ST_RAM_02,
ST_RAM_03,
ST_RAM_04,
ST_RAM_05,
ST_RAM_06,
ST_RAM_07,
ST_RAM_08,
ST_RAM_09);
signal SV : TYPE_STATE; --Zustandsvariable
signal n_SV: TYPE_STATE; --Zustandsvariable, neuer Wert
signal SV_M: TYPE_STATE; --Zustandsvariable, Ausgang Master
signal not_CLK : std_logic; --negierte Taktvariable
signal not_CLK_IO: std_logic; --negierte Taktvariable
--Ein- und Ausgangsregister
signal GO_S : std_logic; --Eingangsvariable, --Zwischengespeichert im Eingangsregister
signal PLUS_S : std_logic; --Eingangsvariable, Zwischengespeichert im Eingangsregister
signal MINUS_S : std_logic; --Eingangsvariable, --Zwischengespeichert im Eingangsregister
signal COUNT_ADR : std_logic_vector(18 downto 0); --Adresszaehler, Vektor, 19 bit
signal n_COUNT_ADR : std_logic_vector(18 downto 0); --Adresszaehler, neuer Wert, Vektor, 19 bit
signal COUNT_ADR_M : std_logic_vector(18 downto 0); --Adresszaehler, Ausgang Master, Vektor, 19 bit
signal COUNT_DAT : std_logic_vector(15 downto 0); --Datenzaehler, Vektor, 15 bit
signal n_COUNT_DAT : std_logic_vector(15 downto 0); --Datenzaehler, neuer Wert, Vektor, 15 bit
signal COUNT_DAT_M : std_logic_vector(15 downto 0); --Datenzaehler, Ausgang Master, Vektor, 15 bit
signal DISPL_STATE_SV : std_logic_vector (7 downto 0); -- aktueller Zustand in 8 Bit, binär
signal DISPL_STATE_n_SV : std_logic_vector (7 downto 0); -- Folgezustand in 8 Bit, binär
signal COUNT_DAT_INPUT : std_logic_vector(15 downto 0); -- Dateninput
signal WRITE_M : std_logic; --Schreibanzeiger, Ausgang Master, (1=schreiben)
signal n_WRITE : std_logic; --Schreibanzeiger, neuer Wert
begin
NOT_CLK_PROC: process (CLK) --negieren Taktvariable
begin
not_CLK <= not CLK;
end process;
NOT_CLK_IO_PROC: process (CLK_IO) --negieren Taktvaraiable, Ein- und Ausgangsregister
begin
not_CLK_IO <= not CLK_IO;
end process;
IREG_PROC: process (GO, GO_S, not_CLK_IO, PLUS, PLUS_S, MINUS, MINUS_S) --Eingangsregister
begin
if (not_CLK_IO'event and not_CLK_IO = '1') --Eingangsregister
then GO_S <= GO;
PLUS_S <= PLUS;
MINUS_S <= MINUS;
end if;
end process;
SREG_M_PROC: process (RESET, n_SV, CLK) --Master
begin
if (RESET ='1')
then SV_M <= ST_RAM_00;
WRITE_M <= '0';
else
if (CLK'event and CLK = '1')
then
if (IN_NEXT_STATE = '1')
then SV_M <= n_SV;
COUNT_ADR_M <= n_COUNT_ADR;
COUNT_DAT_M <= n_COUNT_DAT;
WRITE_M <= n_WRITE;
else SV_M <= SV_M;
COUNT_ADR_M <= COUNT_ADR_M;
COUNT_DAT_M <= COUNT_DAT_M;
WRITE_M <= WRITE_M;
end if;
end if;
end if;
end process;
SREG_S_PROC: process (RESET, SV_M, not_CLK) --Slave
begin
if (RESET = '1')
then SV <= ST_RAM_00;
else
if (not_CLK'event and not_CLK = '1')
then SV <= SV_M;
COUNT_ADR <= COUNT_ADR_M;
COUNT_DAT <= COUNT_DAT_M;
end if;
end if;
end process;
IL_OL_PROC: process (GO_S, SV, COUNT_ADR, COUNT_DAT, PLUS_S, MINUS_S, COUNT_DAT_INPUT)
begin
--setze fuer alle Zustaende
n_WRITE <= '0'; --kein Schreiben
UB1 <= '0'; --Upper Byte Ein (0=Ein 1=Aus)
LB1 <= '0'; --Lower Byte Ein (0=Ein 1=Aus)
case SV is
when ST_RAM_00 =>
if (GO_S = '1')
then
-- RAM01
n_COUNT_ADR <= b"0000000000000000000"; -- Adress Zaehler Neustart
n_COUNT_DAT <= b"1111111111111111"; -- Daten Zaehler Neustart
WE <= '1'; --Aus (0=Ein 1=Aus) 0
OE <= '1'; --Aus (0=Ein 1=Aus)
CE1 <= '1'; --Aus (0=Ein 1=Aus)
STOP <= '0'; -- Aus(0=Aus 1=Ein)
n_SV <= ST_RAM_01; -- Zustandsuebgergang
else
--RAM00
n_COUNT_ADR <= b"0000000000000000000"; -- Adress Zaehler Neustart
n_COUNT_DAT <= b"1111111111111111"; -- Daten Zaehler Neustart
WE <= '1'; --Aus 0
OE <= '1'; --Aus
CE1 <= '0'; --Ein
STOP <= '0'; --Aus
n_SV <= ST_RAM_00; -- GO = '0'
end if;
when ST_RAM_01 =>
-- RAM02
n_COUNT_ADR <= COUNT_ADR; -- Wert bleibt gleich
n_COUNT_DAT <= COUNT_DAT; -- Wert bleibt gleich
WE <= '0'; --Ein
OE <= '1'; --Aus
CE1 <= '0'; --Ein
STOP <= '0'; --Aus
n_WRITE <= '1'; --schreiben
n_SV <= ST_RAM_02; -- Zustandsuebgergang
when ST_RAM_02 =>
if (COUNT_ADR = b"1111111111111111111")
then
-- RAM05
n_COUNT_ADR <= COUNT_ADR; -- Wert bleibt gleich
n_COUNT_DAT <= COUNT_DAT; -- Wert bleibt gleich
WE <= '1'; --Aus (0=Ein 1=Aus)
OE <= '1'; --Aus (0=Ein 1=Aus)
CE1 <= '0'; --Ein (0=Ein 1=Aus)
STOP <= '0'; -- Aus(0=Aus 1=Ein)
n_SV <= ST_RAM_03; -- COUNT_ADR < FF
else
--RAM03
n_COUNT_ADR <= COUNT_ADR+1; -- Adress Zaehler inkrementieren
n_COUNT_DAT <= COUNT_DAT-1; -- Daten Zaehler dekrementieren
WE <= '1'; --Aus
OE <= '1'; --Aus
CE1 <= '0'; --Ein
STOP <= '0'; --Aus
n_SV <= ST_RAM_04; -- COUNT_ADR = FF
end if;
when ST_RAM_03 =>
if (GO_S = '0')
then
-- RAM06
n_COUNT_ADR <= b"0000000000000000000"; -- Wert wird null
n_COUNT_DAT <= COUNT_DAT; -- Wert bleibt gleich
WE <= '1'; --Aus (0=Ein 1=Aus)
OE <= '1'; --Aus (0=Ein 1=Aus)
CE1 <= '0'; --Ein (0=Ein 1=Aus)
STOP <= '1'; -- Ein(0=Aus 1=Ein)
n_SV <= ST_RAM_05; -- GO_S ='0'
else
--RAM05
n_COUNT_ADR <= COUNT_ADR; -- Wert bleibt gleich
n_COUNT_DAT <= COUNT_DAT; -- Wert bleibt gleich
WE <= '1'; --Aus
OE <= '1'; --Aus
CE1 <= '0'; --Ein
STOP <= '0'; --Aus
n_SV <= ST_RAM_03; -- GO_S ='1'
end if;
when ST_RAM_04 =>
-- RAM04
n_COUNT_ADR <= COUNT_ADR; -- Wert bleibt gleich
n_COUNT_DAT <= COUNT_DAT; -- Wert bleibt gleich
WE <= '1'; --Aus (0=Ein 1=Aus)
OE <= '1'; --Aus (0=Ein 1=Aus)
CE1 <= '0'; --Ein (0=Ein 1=Aus)
STOP <= '0'; -- Aus(0=Aus 1=Ein)
n_SV <= ST_RAM_01; -- Zustandsübergang
when ST_RAM_05 =>
if (GO_S = '0')
then
-- RAM08
n_COUNT_ADR <= COUNT_ADR; -- Wert bleibt gleich
n_COUNT_DAT <= COUNT_DAT; -- Wert bleibt gleich
WE <= '1'; --Aus (0=Ein 1=Aus)
OE <= '0'; --Ein (0=Ein 1=Aus)
CE1 <= '0'; --Ein (0=Ein 1=Aus)
STOP <= '1'; -- Ein(0=Aus 1=Ein)
n_SV <= ST_RAM_06; -- GO_S ='0'
else
--RAM07
n_COUNT_ADR <= COUNT_ADR; -- Wert bleibt gleich
n_COUNT_DAT <= COUNT_DAT; -- Wert bleibt gleich
WE <= '1'; --Aus
OE <= '0'; --Ein
CE1 <= '0'; --Ein
STOP <= '1'; --Ein
n_SV <= ST_RAM_00; -- GO_S ='1'
end if;
when ST_RAM_06 =>
if (PLUS_S = '1')
then
-- RAM09
n_COUNT_ADR <= COUNT_ADR+1; -- Wert wird erhöht
n_COUNT_DAT <= COUNT_DAT_INPUT; --Daten einlesen
WE <= '1'; --Aus (0=Ein 1=Aus)
OE <= '0'; --Ein (0=Ein 1=Aus)
CE1 <= '0'; --Ein (0=Ein 1=Aus)
STOP <= '0'; -- Aus(0=Aus 1=Ein)
n_SV <= ST_RAM_07; -- PLUS_S ='1'
else
--RAM11
n_COUNT_ADR <= COUNT_ADR; -- Wert bleibt gleich
n_COUNT_DAT <= COUNT_DAT; -- Wert bleibt gleich
WE <= '1'; --Aus
OE <= '0'; --Ein
CE1 <= '0'; --Ein
STOP <= '1'; --Ein
n_SV <= ST_RAM_08; -- PLUS_S ='0'
end if;
when ST_RAM_07 =>
if (PLUS_S = '0')
then
-- RAM14
n_COUNT_ADR <= COUNT_ADR; -- Wert bleibt gleich
n_COUNT_DAT <= COUNT_DAT; -- Wert bleibt gleich
WE <= '1'; --Aus (0=Ein 1=Aus)
OE <= '0'; --Ein (0=Ein 1=Aus)
CE1 <= '0'; --Ein (0=Ein 1=Aus)
STOP <= '1'; -- Ein(0=Aus 1=Ein)
n_SV <= ST_RAM_05; -- PLUS_S ='0'
else
--RAM10
n_COUNT_ADR <= COUNT_ADR; -- Wert bleibt gleich
n_COUNT_DAT <= COUNT_DAT_INPUT; -- DATEN einlesen
WE <= '1'; --Aus
OE <= '0'; --Ein
CE1 <= '0'; --Ein
STOP <= '0'; --Aus
n_SV <= ST_RAM_07; -- PLUS_S ='1'
end if;
when ST_RAM_08 =>
if (MINUS_S = '1')
then
--RAM12
n_COUNT_ADR <= COUNT_ADR-1; -- Wert wird verringert
n_COUNT_DAT <= COUNT_DAT_INPUT; -- Daten einlesen
WE <= '1'; --Aus
OE <= '0'; --Ein
CE1 <= '0'; --Ein
STOP <= '0'; --Aus
n_SV <= ST_RAM_09; -- MINUS_S ='1'
else
-- RAM14
n_COUNT_ADR <= COUNT_ADR; -- Wert bleibt gleich
n_COUNT_DAT <= COUNT_DAT; -- Wert bleibt gleich
WE <= '1'; --Aus (0=Ein 1=Aus)
OE <= '0'; --Ein (0=Ein 1=Aus)
CE1 <= '0'; --Ein (0=Ein 1=Aus)
STOP <= '1'; -- Ein(0=Aus 1=Ein)
n_SV <= ST_RAM_05; -- PLUS_S ='0'
end if;
when ST_RAM_09 =>
if (MINUS_S = '0')
then
-- RAM14
n_COUNT_ADR <= COUNT_ADR; -- Wert bleibt gleich
n_COUNT_DAT <= COUNT_DAT; -- Wert bleibt gleich
WE <= '1'; --Aus (0=Ein 1=Aus)
OE <= '0'; --Ein (0=Ein 1=Aus)
CE1 <= '0'; --Ein (0=Ein 1=Aus)
STOP <= '1'; -- Ein(0=Aus 1=Ein)
n_SV <= ST_RAM_05; -- PLUS_S ='0'
else
--RAM13
n_COUNT_ADR <= COUNT_ADR; -- Wert bleibt gleich
n_COUNT_DAT <= COUNT_DAT_INPUT; -- Daten einlesen
WE <= '1'; --Aus
OE <= '0'; --Ein
CE1 <= '0'; --Ein
STOP <= '0'; --Aus
n_SV <= ST_RAM_09; -- MINUS_S ='1'
end if;
when others =>
-- RAM00
n_COUNT_ADR <= b"0000000000000000000"; -- Adress Zaehler Neustart
n_COUNT_DAT <= b"1111111111111111"; -- Daten Zaehler Neustart
WE <= '1'; --Aus
OE <= '1'; --Aus
CE1 <= '1'; --Aus
STOP <= '0'; --Aus
n_SV <= ST_RAM_00;
end case;
end process;
STATE_DISPL_PROC: process (SV, n_SV, DISPL_STATE_SV, DISPL_STATE_n_SV, DISPL_ADR, DISPL_DAT, COUNT_ADR, COUNT_DAT) -- Zustandsanzeige
begin
DISPL_STATE_SV <= conv_std_logic_vector(TYPE_STATE'pos( SV),8); --Zustandsumwandlung in 8 Bit
DISPL_STATE_n_SV <= conv_std_logic_vector(TYPE_STATE'pos(n_SV),8);
if (DISPL_ADR = '0')
then
-- Aktuellen Zustand anzeigen
DISPL1_SV(0) <= DISPL_STATE_SV(0); --Bit0
DISPL1_SV(1) <= DISPL_STATE_SV(1); --Bit1
DISPL1_SV(2) <= DISPL_STATE_SV(2); --Bit2
DISPL1_SV(3) <= DISPL_STATE_SV(3); --Bit3
DISPL2_SV(0) <= DISPL_STATE_SV(4); --usw.
DISPL2_SV(1) <= DISPL_STATE_SV(5);
DISPL2_SV(2) <= DISPL_STATE_SV(6);
DISPL2_SV(3) <= DISPL_STATE_SV(7);
else
-- Adresse anzeigen (erste 8 Bit)
DISPL1_SV(0) <= COUNT_ADR(0); --Bit0
DISPL1_SV(1) <= COUNT_ADR(1); --Bit1
DISPL1_SV(2) <= COUNT_ADR(2); --Bit2
DISPL1_SV(3) <= COUNT_ADR(3); --Bit3
DISPL2_SV(0) <= COUNT_ADR(4); --usw.
DISPL2_SV(1) <= COUNT_ADR(5);
DISPL2_SV(2) <= COUNT_ADR(6);
DISPL2_SV(3) <= COUNT_ADR(7);
end if;
if (DISPL_DAT = '0')
then
-- Folgezustand anzeigen
DISPL1_n_SV(0) <= DISPL_STATE_n_SV(0);
DISPL1_n_SV(1) <= DISPL_STATE_n_SV(1);
DISPL1_n_SV(2) <= DISPL_STATE_n_SV(2);
DISPL1_n_SV(3) <= DISPL_STATE_n_SV(3);
DISPL2_n_SV(0) <= DISPL_STATE_n_SV(4);
DISPL2_n_SV(1) <= DISPL_STATE_n_SV(5);
DISPL2_n_SV(2) <= DISPL_STATE_n_SV(6);
DISPL2_n_SV(3) <= DISPL_STATE_n_SV(7);
else
--Daten anzeigen (erste 8 Bit)
DISPL1_n_SV(0) <= COUNT_DAT(0);
DISPL1_n_SV(1) <= COUNT_DAT(1);
DISPL1_n_SV(2) <= COUNT_DAT(2);
DISPL1_n_SV(3) <= COUNT_DAT(3);
DISPL2_n_SV(0) <= COUNT_DAT(4);
DISPL2_n_SV(1) <= COUNT_DAT(5);
DISPL2_n_SV(2) <= COUNT_DAT(6);
DISPL2_n_SV(3) <= COUNT_DAT(7);
end if;
end process;
-- Adressen Output
COUNT_ADR_OUT <= n_COUNT_ADR;
-- Daten lesen
COUNT_DAT_INPUT <= COUNT_DAT_INOUT;
-- Daten schreiben
-- Tri-State Buffer control
COUNT_DAT_INOUT <= n_COUNT_DAT when WRITE_M = '1' else (others=>'Z'); --geht nicht in einem Prozess weil Fehler
end Behavioral;
| gpl-2.0 |
rxfx/profibusmonitor | VHDL_Bausteine_old/abandoned_code/CTRL_CRLF/CTRL_CRLF_VHDL.vhd | 2 | 3095 | -- CTRL_CRLF
-- Carriage Return Line Fed bei Telegrammende in den zu sendenen Datenstrom einfügen
-- Projekt: PROFIBUS MONITOR
-- Ersteller: Martin Harndt
-- Erstellt: 10.01.2013
-- Bearbeiter: mharndt
-- Geaendert: 24.01.2013
-- Umstellung auf: rising_edge(CLK) und falling_edge(CLK) http://www.sigasi.com/content/clock-edge-detection
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity CTRL_CRLF_VHDL is
Port(BYTE_IN : in std_logic_vector (7 downto 0); --Eingangsvariable, zu Daten Input, 8 bit
BYTE_OK : in std_logic; --Eingangsvariable, Byte OK
T_CMPLT : in std_logic; --Eingangsvariabel, Telegramm vollständig
BYTE_SEND : out std_logic_vector (7 downto 0); --Ausgangsvariable, zu sendene Daten, 8 bit
CLK : in std_logic; --Taktvariable
IN_NEXT_STATE: in std_logic; --1:Zustandsuebergang möglich
RESET : in std_logic); --1: Initialzustand annehmen
end CTRL_CRLF_VHDL;
architecture Behavioral of CTRL_CRLF_VHDL is
type TYPE_STATE is
(ST_CRLF_00, --Zustaende CTRL_CRLF
ST_CRLF_01,
ST_CRLF_02,
ST_CRLF_03);
signal SV : TYPE_STATE; --Zustandsvariable
signal n_SV: TYPE_STATE; --Zustandsvariable, neuer Wert
signal SV_M: TYPE_STATE; --Zustandsvariable, Ausgang Master
--signal not_CLK : std_logic; --negierte Taktvariable
begin
--NOT_CLK_PROC: process (CLK) --negieren Taktvariable
--begin
-- not_CLK <= not CLK;
--end process;
SREG_M_PROC: process (RESET, n_SV, CLK) --Master
begin
if (RESET ='1')
then SV_M <= ST_CRLF_00;
else
if rising_edge(CLK)
then
if (IN_NEXT_STATE = '1')
then SV_M <= n_SV;
else SV_M <= SV_M;
end if;
end if;
end if;
end process;
SREG_S_PROC: process (RESET, SV_M, CLK) --Slave
begin
if (RESET = '1')
then SV <= ST_CRLF_00;
else
if falling_edge(CLK)
then SV <= SV_M;
end if;
end if;
end process;
CTRL_CRLF_PROC:process (BYTE_IN, BYTE_OK, T_CMPLT, SV) --Wenn Byte ok dann Output=Input, wenn Byte ok und Telegramm komplett dann OUTPUT=CRLF
begin
case SV is
when ST_CRLF_00 =>
if (BYTE_OK = '1')
then
--CR01
BYTE_SEND <= BYTE_IN; --Output=Input
n_sv <= ST_CRLF_01; --Zustandsübergang
else
--CR00
BYTE_SEND <= BYTE_IN; --Output=Input
n_sv <= ST_CRLF_00; --bleibt im Zustand
end if;
when ST_CRLF_01 =>
if (T_CMPLT = '1')
then
--CR02
BYTE_SEND <= x"0D"; --Carriage Return
n_SV <= ST_CRLF_02; --Zustandsübergang
else
--CR01
BYTE_SEND <= BYTE_IN; --Output=Input
n_sv <= ST_CRLF_01; --Zustandsübergang
end if;
when ST_CRLF_02 =>
--CR03
BYTE_SEND <= x"0A"; --Line Feed
n_SV <= ST_CRLF_00; --Zustandsübergang
when others =>
-- CR00
BYTE_SEND <= BYTE_IN; --Output=Input
n_SV <= ST_CRLF_00; --Zustandsübergang
end case;
end process;
end Behavioral;
| gpl-2.0 |
rxfx/profibusmonitor | VHDL_Bausteine_old/abandoned_code/TEST_CTRL_9P6_50MHZ_SCH/CTRL_9P6_50MHZ.vhd | 2 | 44032 | -- PROFI_9P6_50MHZ_REC_BYTE
-- PROFIBUS MONITOR
-- Ersteller: Martin Harndt
-- Erstellt: 09.10.2012
-- Bearbeiter: mharndt
-- Geaendert: 17.01.2013
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity CTRL_9P6_50MHZ_VHDL is
Port ( InAB : in std_logic; --Eingangsvariable, Eingang Profibussignal
-- ERROR_QUIT : in std_logic; --Eingangsvariable, Fehler beenden
CHOSE_VALUE : in std_logic; --Eingangsvariable, Zählerwert aendern
DISPL_COUNT : in std_logic; --Eingangsvariable, Counter anzeigen
DISPL_COUNT_SWITCH : in std_logic; --Eingangsvariable, Counter wählen
FIRST_BYTE : in std_logic; --Eingangsvariable, Nur immer erstes Byte lesen
CTRL_ERROR : out std_logic; --Ausgangsvariable, Fehler anzeigen
BYTE_OK : out std_logic; --Ausgangsvariable, Byte vollständig
BYTE_OUT : out std_logic_vector (7 downto 0); --Ausgangsvariable, Vektor >> Normal:(7 downto 0); TEST:(8 downto 0)
PARITY_OK : out std_logic; --Ausgangsvariable, Parität in Ordnung
CLK : in std_logic; --Taktvariable
CLK_IO : in std_logic; --Tanktvariable,
--Ein- und Ausgangsregister
IN_NEXT_STATE: in std_logic; --1:Zustandsuebergang möglich
RESET : in std_logic; --1: Initialzustand annehmen
DISPL1_SV : out std_logic_vector (3 downto 0); --aktueller Zustand Zahl1, binärzahl
DISPL2_SV : out std_logic_vector (3 downto 0); --aktueller Zustand Zahl2, binärzahl
DISPL1_n_SV : out std_logic_vector (3 downto 0); --Folgezustand Zahl1, binärzahl
DISPL2_n_SV : out std_logic_vector (3 downto 0)); --Folgezustand Zahl2, binärzahl
end CTRL_9P6_50MHZ_VHDL;
architecture Behavioral of CTRL_9P6_50MHZ_VHDL is
type TYPE_STATE is
(ST_CTRL_00, --Zustaende CTRL_9P6_50MHZ
ST_CTRL_01,
ST_CTRL_02,
ST_CTRL_03,
ST_CTRL_04,
-- ST_CTRL_05,
ST_CTRL_06,
ST_CTRL_07,
ST_CTRL_08,
ST_CTRL_09,
ST_CTRL_0A, --10
ST_CTRL_0B, --11
ST_CTRL_0C, --12
ST_CTRL_0D, --13
ST_CTRL_0E, --14
ST_CTRL_0F, --15
ST_CTRL_10, --16
ST_CTRL_11, --17
ST_CTRL_12, --18
ST_CTRL_13, --19
ST_CTRL_14); --20
type TYPE_STATE_BR_BIT0 is
(ST_BR_EN_BIT0_0, --Zustaende BIT_REGISTER BIT0
ST_BR_EN_BIT0_1);
type TYPE_STATE_BR_BIT1 is
(ST_BR_EN_BIT1_0, --Zustaende BIT_REGISTER BIT1
ST_BR_EN_BIT1_1);
type TYPE_STATE_BR_BIT2 is
(ST_BR_EN_BIT2_0, --Zustaende BIT_REGISTER BIT2
ST_BR_EN_BIT2_1);
type TYPE_STATE_BR_BIT3 is
(ST_BR_EN_BIT3_0, --Zustaende BIT_REGISTER BIT3
ST_BR_EN_BIT3_1);
type TYPE_STATE_BR_BIT4 is
(ST_BR_EN_BIT4_0, --Zustaende BIT_REGISTER BIT4
ST_BR_EN_BIT4_1);
type TYPE_STATE_BR_BIT5 is
(ST_BR_EN_BIT5_0, --Zustaende BIT_REGISTER BIT5
ST_BR_EN_BIT5_1);
type TYPE_STATE_BR_BIT6 is
(ST_BR_EN_BIT6_0, --Zustaende BIT_REGISTER BIT6
ST_BR_EN_BIT6_1);
type TYPE_STATE_BR_BIT7 is
(ST_BR_EN_BIT7_0, --Zustaende BIT_REGISTER BIT7
ST_BR_EN_BIT7_1);
type TYPE_STATE_BR_BIT8 is
(ST_BR_EN_BIT8_0, --Zustaende BIT_REGISTER BIT8
ST_BR_EN_BIT8_1);
signal SV : TYPE_STATE; --Zustandsvariable
signal n_SV: TYPE_STATE; --Zustandsvariable, neuer Wert
signal SV_M: TYPE_STATE; --Zustandsvariable, Ausgang Master
signal SV_BR_BIT0 : TYPE_STATE_BR_BIT0; --Zustandsvariable BIT_REGSITER BIT0
signal n_SV_BR_BIT0: TYPE_STATE_BR_BIT0; --Zustandsvariable BIT_REGSITER BIT0, neuer Wert
signal SV_BR_BIT0_M: TYPE_STATE_BR_BIT0; --Zustandsvariable BIT_REGSITER BIT0, Ausgang Master
signal SV_BR_BIT1 : TYPE_STATE_BR_BIT1; --Zustandsvariable BIT_REGSITER BIT1
signal n_SV_BR_BIT1: TYPE_STATE_BR_BIT1; --Zustandsvariable BIT_REGSITER BIT1, neuer Wert
signal SV_BR_BIT1_M: TYPE_STATE_BR_BIT1; --Zustandsvariable BIT_REGSITER BIT1, Ausgang Master
signal SV_BR_BIT2 : TYPE_STATE_BR_BIT2; --Zustandsvariable BIT_REGSITER BIT2
signal n_SV_BR_BIT2: TYPE_STATE_BR_BIT2; --Zustandsvariable BIT_REGSITER BIT2, neuer Wert
signal SV_BR_BIT2_M: TYPE_STATE_BR_BIT2; --Zustandsvariable BIT_REGSITER BIT2, Ausgang Master
signal SV_BR_BIT3 : TYPE_STATE_BR_BIT3; --Zustandsvariable BIT_REGSITER BIT3
signal n_SV_BR_BIT3: TYPE_STATE_BR_BIT3; --Zustandsvariable BIT_REGSITER BIT3, neuer Wert
signal SV_BR_BIT3_M: TYPE_STATE_BR_BIT3; --Zustandsvariable BIT_REGSITER BIT3, Ausgang Master
signal SV_BR_BIT4 : TYPE_STATE_BR_BIT4; --Zustandsvariable BIT_REGSITER BIT4
signal n_SV_BR_BIT4: TYPE_STATE_BR_BIT4; --Zustandsvariable BIT_REGSITER BIT4, neuer Wert
signal SV_BR_BIT4_M: TYPE_STATE_BR_BIT4; --Zustandsvariable BIT_REGSITER BIT4, Ausgang Master
signal SV_BR_BIT5 : TYPE_STATE_BR_BIT5; --Zustandsvariable BIT_REGSITER BIT5
signal n_SV_BR_BIT5: TYPE_STATE_BR_BIT5; --Zustandsvariable BIT_REGSITER BIT5, neuer Wert
signal SV_BR_BIT5_M: TYPE_STATE_BR_BIT5; --Zustandsvariable BIT_REGSITER BIT5, Ausgang Master
signal SV_BR_BIT6 : TYPE_STATE_BR_BIT6; --Zustandsvariable BIT_REGSITER BIT6
signal n_SV_BR_BIT6: TYPE_STATE_BR_BIT6; --Zustandsvariable BIT_REGSITER BIT6, neuer Wert
signal SV_BR_BIT6_M: TYPE_STATE_BR_BIT6; --Zustandsvariable BIT_REGSITER BIT6, Ausgang Master
signal SV_BR_BIT7 : TYPE_STATE_BR_BIT7; --Zustandsvariable BIT_REGSITER BIT7
signal n_SV_BR_BIT7: TYPE_STATE_BR_BIT7; --Zustandsvariable BIT_REGSITER BIT7, neuer Wert
signal SV_BR_BIT7_M: TYPE_STATE_BR_BIT7; --Zustandsvariable BIT_REGSITER BIT7, Ausgang Master
signal SV_BR_BIT8 : TYPE_STATE_BR_BIT8; --Zustandsvariable BIT_REGSITER BIT8
signal n_SV_BR_BIT8: TYPE_STATE_BR_BIT8; --Zustandsvariable BIT_REGSITER BIT8, neuer Wert
signal SV_BR_BIT8_M: TYPE_STATE_BR_BIT8; --Zustandsvariable BIT_REGSITER BIT8, Ausgang Master
signal BYTE_VEC : std_logic_vector (8 downto 0); -- Vektor, BIT_REGSITER, vor Auswertung der Checksume
signal BIT_VALUE : std_logic; -- Wert aktuelles Bit
signal COUNT_L : std_logic_vector (19 downto 0); --großer Zaehler, Vektor, 20 Bit
signal n_COUNT_L : std_logic_vector (19 downto 0); --großer Zaehler, neuer Wert, Vektor, 20 Bit
signal COUNT_L_M : std_logic_vector (19 downto 0); --großer Zaehler, Ausgang Master, Vektor, 20 Bit
signal COUNT_S : std_logic_vector (15 downto 0); --kleiner Zaehler, Vektor, 16 Bit
signal n_COUNT_S : std_logic_vector (15 downto 0); --kleiner Zaehler, neuer Wert, Vektor, 16 Bit
signal COUNT_S_M : std_logic_vector (15 downto 0); --kleiner Zaehler, Ausgang Master, Vektor, 16 Bit
signal LONG_STATE_SV : std_logic_vector (7 downto 0); -- aktueller Zustand in 8 Bit, binär
signal LONG_STATE_n_SV : std_logic_vector (7 downto 0); -- Folgezustand in 8 Bit, binär
signal InAB_S : std_logic; --Eingangsvariable
--Zwischengespeichert im Eingangsregister
signal not_CLK : std_logic; --negierte Taktvariable
signal not_CLK_IO: std_logic; --negierte Taktvariable
--Ein- und Ausgangsregister
signal EN_BIT_0 : std_logic; --BIT0
signal EN_BIT_1 : std_logic; --BIT1
signal EN_BIT_2 : std_logic; --BIT2
signal EN_BIT_3 : std_logic; --BIT3
signal EN_BIT_4 : std_logic; --BIT4
signal EN_BIT_5 : std_logic; --BIT5
signal EN_BIT_6 : std_logic; --BIT6
signal EN_BIT_7 : std_logic; --BIT7
signal EN_BIT_8 : std_logic; --Paritätsbit
signal CNTS30 : std_logic_vector (19 downto 0); --Zählerwerte
signal CNTT01 : std_logic_vector (15 downto 0);
signal CNTT02 : std_logic_vector (15 downto 0);
signal CNTT03 : std_logic_vector (15 downto 0);
signal CNTT04 : std_logic_vector (15 downto 0);
signal CNTT05 : std_logic_vector (15 downto 0);
signal CNTT06 : std_logic_vector (15 downto 0);
signal CNTT07 : std_logic_vector (15 downto 0);
signal CNTT08 : std_logic_vector (15 downto 0);
signal CNTT09 : std_logic_vector (15 downto 0);
signal CNTT10 : std_logic_vector (15 downto 0);
signal CNTT11 : std_logic_vector (15 downto 0);
signal CNTT12 : std_logic_vector (15 downto 0);
signal CNTT13 : std_logic_vector (15 downto 0);
signal TMP00 : std_logic; --temporärer Zwischenwert, Paritätsprüfung
signal TMP01 : std_logic;
signal TMP02 : std_logic;
signal TMP03 : std_logic;
signal TMP10 : std_logic;
signal TMP11 : std_logic;
signal TMP20 : std_logic;
--Konstanten, lang
constant long_CNTS30 : std_logic_vector := x"2625A"; --20 Bit
constant long_CNTT01 : std_logic_vector := x"0A2C"; --16 Bit
constant long_CNTT02 : std_logic_vector := x"1E84"; --usw.
constant long_CNTT03 : std_logic_vector := x"32DC";
constant long_CNTT04 : std_logic_vector := x"4735";
constant long_CNTT05 : std_logic_vector := x"5B8B";
constant long_CNTT06 : std_logic_vector := x"6FE4";
constant long_CNTT07 : std_logic_vector := x"8441";
constant long_CNTT08 : std_logic_vector := x"9872";
constant long_CNTT09 : std_logic_vector := x"ACEE";
constant long_CNTT10 : std_logic_vector := x"C147";
constant long_CNTT11 : std_logic_vector := x"D59F";
constant long_CNTT12 : std_logic_vector := x"EE09";
constant long_CNTT13 : std_logic_vector := x"FA3E";
--Konstanten, kurz
constant short_CNTS30 : std_logic_vector := x"0000A"; --10
constant short_CNTT01 : std_logic_vector := x"0003"; --3
constant short_CNTT02 : std_logic_vector := x"0006"; --6
constant short_CNTT03 : std_logic_vector := x"0009"; --9
constant short_CNTT04 : std_logic_vector := x"000C"; --12
constant short_CNTT05 : std_logic_vector := x"000F"; --15
constant short_CNTT06 : std_logic_vector := x"0012"; --18
constant short_CNTT07 : std_logic_vector := x"0015"; --21
constant short_CNTT08 : std_logic_vector := x"0018"; --24
constant short_CNTT09 : std_logic_vector := x"001B"; --27
constant short_CNTT10 : std_logic_vector := x"001E"; --30
constant short_CNTT11 : std_logic_vector := x"0021"; --33
constant short_CNTT12 : std_logic_vector := x"0024"; --36
constant short_CNTT13 : std_logic_vector := x"002A"; --42
begin
NOT_CLK_PROC: process (CLK) --negieren Taktvariable
begin
not_CLK <= not CLK;
end process;
NOT_CLK_IO_PROC: process (CLK_IO) --negieren Taktvaraible
--Ein- und Ausgangsregister
begin
not_CLK_IO <= not CLK_IO;
end process;
IREG_PROC: process (InAB, InAB_S, not_CLK_IO) --Eingangsregister
begin
if (not_CLK_IO'event and not_CLK_IO = '1') --Eingangsregister
then InAB_S <= InAB;
end if;
end process;
SREG_M_PROC: process (RESET, n_SV, n_SV_BR_BIT0, n_SV_BR_BIT1, n_SV_BR_BIT2, n_SV_BR_BIT3, n_SV_BR_BIT4, n_SV_BR_BIT5, n_SV_BR_BIT6, n_SV_BR_BIT7, n_SV_BR_BIT8, n_COUNT_L,n_COUNT_S, CLK) --Master
begin
if (RESET ='1')
then SV_M <= ST_CTRL_00;
SV_BR_BIT0_M <= ST_BR_EN_BIT0_0;
SV_BR_BIT1_M <= ST_BR_EN_BIT1_0;
SV_BR_BIT2_M <= ST_BR_EN_BIT2_0;
SV_BR_BIT3_M <= ST_BR_EN_BIT3_0;
SV_BR_BIT4_M <= ST_BR_EN_BIT4_0;
SV_BR_BIT5_M <= ST_BR_EN_BIT5_0;
SV_BR_BIT6_M <= ST_BR_EN_BIT6_0;
SV_BR_BIT7_M <= ST_BR_EN_BIT7_0;
SV_BR_BIT8_M <= ST_BR_EN_BIT8_0;
else
if (CLK'event and CLK = '1')
then
if (IN_NEXT_STATE = '1')
then SV_M <= n_SV;
SV_BR_BIT0_M <= n_SV_BR_BIT0;
SV_BR_BIT1_M <= n_SV_BR_BIT1;
SV_BR_BIT2_M <= n_SV_BR_BIT2;
SV_BR_BIT3_M <= n_SV_BR_BIT3;
SV_BR_BIT4_M <= n_SV_BR_BIT4;
SV_BR_BIT5_M <= n_SV_BR_BIT5;
SV_BR_BIT6_M <= n_SV_BR_BIT6;
SV_BR_BIT7_M <= n_SV_BR_BIT7;
SV_BR_BIT8_M <= n_SV_BR_BIT8;
COUNT_L_M <= n_COUNT_L;
COUNT_S_M <= n_COUNT_S;
else SV_M <= SV_M;
SV_BR_BIT0_M <= SV_BR_BIT0_M;
SV_BR_BIT1_M <= SV_BR_BIT1_M;
SV_BR_BIT2_M <= SV_BR_BIT2_M;
SV_BR_BIT3_M <= SV_BR_BIT3_M;
SV_BR_BIT4_M <= SV_BR_BIT4_M;
SV_BR_BIT5_M <= SV_BR_BIT5_M;
SV_BR_BIT6_M <= SV_BR_BIT6_M;
SV_BR_BIT7_M <= SV_BR_BIT7_M;
SV_BR_BIT8_M <= SV_BR_BIT8_M;
COUNT_L_M <= COUNT_L_M;
COUNT_S_M <= COUNT_S_M;
end if;
end if;
end if;
end process;
SREG_S_PROC: process (RESET, SV_M, SV_BR_BIT0_M, SV_BR_BIT1_M, SV_BR_BIT2_M, SV_BR_BIT3_M, SV_BR_BIT4_M, SV_BR_BIT5_M, SV_BR_BIT6_M, SV_BR_BIT7_M, SV_BR_BIT8_M, COUNT_L_M, COUNT_S_M, not_CLK) --Slave
begin
if (RESET = '1')
then SV <= ST_CTRL_00;
SV_BR_BIT0 <= ST_BR_EN_BIT0_0;
SV_BR_BIT1 <= ST_BR_EN_BIT1_0;
SV_BR_BIT2 <= ST_BR_EN_BIT2_0;
SV_BR_BIT3 <= ST_BR_EN_BIT3_0;
SV_BR_BIT4 <= ST_BR_EN_BIT4_0;
SV_BR_BIT5 <= ST_BR_EN_BIT5_0;
SV_BR_BIT6 <= ST_BR_EN_BIT6_0;
SV_BR_BIT7 <= ST_BR_EN_BIT7_0;
SV_BR_BIT8 <= ST_BR_EN_BIT8_0;
else
if (not_CLK'event and not_CLK = '1')
then SV <= SV_M;
SV_BR_BIT0 <= SV_BR_BIT0_M;
SV_BR_BIT1 <= SV_BR_BIT1_M;
SV_BR_BIT2 <= SV_BR_BIT2_M;
SV_BR_BIT3 <= SV_BR_BIT3_M;
SV_BR_BIT4 <= SV_BR_BIT4_M;
SV_BR_BIT5 <= SV_BR_BIT5_M;
SV_BR_BIT6 <= SV_BR_BIT6_M;
SV_BR_BIT7 <= SV_BR_BIT7_M;
SV_BR_BIT8 <= SV_BR_BIT8_M;
COUNT_L <= COUNT_L_M;
COUNT_S <= COUNT_S_M;
end if;
end if;
end process;
BIT_REGISTER_EN_BIT_0_PROC:process (SV_BR_BIT0, n_SV_BR_BIT0, EN_BIT_0, BIT_VALUE) --BIT_REGISTER Bit0
begin
case SV_BR_BIT0 is
when ST_BR_EN_BIT0_0 =>
BYTE_VEC(0)<='0';
if (EN_BIT_0 = '1')
then
if (BIT_VALUE = '1')--gehe zu ST_BR_EN_BIT0_1
then n_SV_BR_BIT0 <= ST_BR_EN_BIT0_1;
else n_SV_BR_BIT0 <= ST_BR_EN_BIT0_0;
end if;
else n_SV_BR_BIT0 <= ST_BR_EN_BIT0_0;
end if;
when ST_BR_EN_BIT0_1 =>
-- EN_BIT_0 = 1 und BIT_VALUE = 1 dann setze BYTE_VEC(0) = 1
BYTE_VEC(0)<='1';
if (EN_BIT_0 = '1')
then
if (BIT_VALUE = '1')
then n_SV_BR_BIT0 <= ST_BR_EN_BIT0_1;
else n_SV_BR_BIT0 <= ST_BR_EN_BIT0_0;
end if;
else n_SV_BR_BIT0 <= ST_BR_EN_BIT0_1; -- BIT_VALUE = 0
end if;
when others =>
n_SV_BR_BIT0 <= ST_BR_EN_BIT0_0;
end case;
end process;
BIT_REGISTER_EN_BIT_1_PROC:process (SV_BR_BIT1, n_SV_BR_BIT1, EN_BIT_1, BIT_VALUE) --BIT_REGISTER Bit1
begin
case SV_BR_BIT1 is
when ST_BR_EN_BIT1_0 =>
BYTE_VEC(1)<='0';
if (EN_BIT_1 = '1')
then
if (BIT_VALUE = '1')--gehe zu ST_BR_BIT1_1
then n_SV_BR_BIT1 <= ST_BR_EN_BIT1_1;
else n_SV_BR_BIT1 <= ST_BR_EN_BIT1_0;
end if;
else n_SV_BR_BIT1 <= ST_BR_EN_BIT1_0;
end if;
when ST_BR_EN_BIT1_1 =>
-- EN_BIT_1 = 1 und BIT_VALUE = 1 dann setze BYTE_VEC(1) = 1
BYTE_VEC(1)<='1';
if (EN_BIT_1 = '1')
then
if (BIT_VALUE = '1')
then n_SV_BR_BIT1 <= ST_BR_EN_BIT1_1;
else n_SV_BR_BIT1 <= ST_BR_EN_BIT1_0;
end if;
else n_SV_BR_BIT1 <= ST_BR_EN_BIT1_1; -- BIT_VALUE = 0
end if;
when others =>
n_SV_BR_BIT1 <= ST_BR_EN_BIT1_0;
end case;
end process;
BIT_REGISTER_EN_BIT_2_PROC:process (SV_BR_BIT2, n_SV_BR_BIT2, EN_BIT_2, BIT_VALUE) --BIT_REGISTER Bit1
begin
case SV_BR_BIT2 is
when ST_BR_EN_BIT2_0 =>
BYTE_VEC(2)<='0';
if (EN_BIT_2 = '1')
then
if (BIT_VALUE = '1')--gehe zu ST_BR_BIT2_1
then n_SV_BR_BIT2 <= ST_BR_EN_BIT2_1;
else n_SV_BR_BIT2 <= ST_BR_EN_BIT2_0;
end if;
else n_SV_BR_BIT2 <= ST_BR_EN_BIT2_0;
end if;
when ST_BR_EN_BIT2_1 =>
-- EN_BIT_2 = 1 und BIT_VALUE = 1 dann setze BYTE_VEC(2) = 1
BYTE_VEC(2)<='1';
if (EN_BIT_2 = '1')
then
if (BIT_VALUE = '1')
then n_SV_BR_BIT2 <= ST_BR_EN_BIT2_1;
else n_SV_BR_BIT2 <= ST_BR_EN_BIT2_0;
end if;
else n_SV_BR_BIT2 <= ST_BR_EN_BIT2_1; -- BIT_VALUE = 0
end if;
when others =>
n_SV_BR_BIT2 <= ST_BR_EN_BIT2_0;
end case;
end process;
BIT_REGISTER_EN_BIT_3_PROC:process (SV_BR_BIT3, n_SV_BR_BIT3, EN_BIT_3, BIT_VALUE) --BIT_REGISTER Bit1
begin
case SV_BR_BIT3 is
when ST_BR_EN_BIT3_0 =>
BYTE_VEC(3)<='0';
if (EN_BIT_3 = '1')
then
if (BIT_VALUE = '1')--gehe zu ST_BR_BIT3_1
then n_SV_BR_BIT3 <= ST_BR_EN_BIT3_1;
else n_SV_BR_BIT3 <= ST_BR_EN_BIT3_0;
end if;
else n_SV_BR_BIT3 <= ST_BR_EN_BIT3_0;
end if;
when ST_BR_EN_BIT3_1 =>
-- EN_BIT_3 = 1 und BIT_VALUE = 1 dann setze BYTE_VEC(3) = 1
BYTE_VEC(3)<='1';
if (EN_BIT_3 = '1')
then
if (BIT_VALUE = '1')
then n_SV_BR_BIT3 <= ST_BR_EN_BIT3_1;
else n_SV_BR_BIT3 <= ST_BR_EN_BIT3_0;
end if;
else n_SV_BR_BIT3 <= ST_BR_EN_BIT3_1; -- BIT_VALUE = 0
end if;
when others =>
n_SV_BR_BIT3 <= ST_BR_EN_BIT3_0;
end case;
end process;
BIT_REGISTER_EN_BIT_4_PROC:process (SV_BR_BIT4, n_SV_BR_BIT4, EN_BIT_4, BIT_VALUE) --BIT_REGISTER Bit1
begin
case SV_BR_BIT4 is
when ST_BR_EN_BIT4_0 =>
BYTE_VEC(4)<='0';
if (EN_BIT_4 = '1')
then
if (BIT_VALUE = '1')--gehe zu ST_BR_BIT4_1
then n_SV_BR_BIT4 <= ST_BR_EN_BIT4_1;
else n_SV_BR_BIT4 <= ST_BR_EN_BIT4_0;
end if;
else n_SV_BR_BIT4 <= ST_BR_EN_BIT4_0;
end if;
when ST_BR_EN_BIT4_1 =>
-- EN_BIT_4 = 1 und BIT_VALUE = 1 dann setze BYTE_VEC(4) = 1
BYTE_VEC(4)<='1';
if (EN_BIT_4 = '1')
then
if (BIT_VALUE = '1')
then n_SV_BR_BIT4 <= ST_BR_EN_BIT4_1;
else n_SV_BR_BIT4 <= ST_BR_EN_BIT4_0;
end if;
else n_SV_BR_BIT4 <= ST_BR_EN_BIT4_1; -- BIT_VALUE = 0
end if;
when others =>
n_SV_BR_BIT4 <= ST_BR_EN_BIT4_0;
end case;
end process;
BIT_REGISTER_EN_BIT_5_PROC:process (SV_BR_BIT5, n_SV_BR_BIT5, EN_BIT_5, BIT_VALUE) --BIT_REGISTER Bit1
begin
case SV_BR_BIT5 is
when ST_BR_EN_BIT5_0 =>
BYTE_VEC(5)<='0';
if (EN_BIT_5 = '1')
then
if (BIT_VALUE = '1')--gehe zu ST_BR_BIT5_1
then n_SV_BR_BIT5 <= ST_BR_EN_BIT5_1;
else n_SV_BR_BIT5 <= ST_BR_EN_BIT5_0;
end if;
else n_SV_BR_BIT5 <= ST_BR_EN_BIT5_0;
end if;
when ST_BR_EN_BIT5_1 =>
-- EN_BIT_5 = 1 und BIT_VALUE = 1 dann setze BYTE_VEC(5) = 1
BYTE_VEC(5)<='1';
if (EN_BIT_5 = '1')
then
if (BIT_VALUE = '1')
then n_SV_BR_BIT5 <= ST_BR_EN_BIT5_1;
else n_SV_BR_BIT5 <= ST_BR_EN_BIT5_0;
end if;
else n_SV_BR_BIT5 <= ST_BR_EN_BIT5_1; -- BIT_VALUE = 0
end if;
when others =>
n_SV_BR_BIT5 <= ST_BR_EN_BIT5_0;
end case;
end process;
BIT_REGISTER_EN_BIT_6_PROC:process (SV_BR_BIT6, n_SV_BR_BIT6, EN_BIT_6, BIT_VALUE) --BIT_REGISTER Bit6
begin
case SV_BR_BIT6 is
when ST_BR_EN_BIT6_0 =>
BYTE_VEC(6)<='0';
if (EN_BIT_6 = '1')
then
if (BIT_VALUE = '1')--gehe zu ST_BR_BIT6_1
then n_SV_BR_BIT6 <= ST_BR_EN_BIT6_1;
else n_SV_BR_BIT6 <= ST_BR_EN_BIT6_0;
end if;
else n_SV_BR_BIT6 <= ST_BR_EN_BIT6_0;
end if;
when ST_BR_EN_BIT6_1 =>
-- EN_BIT_6 = 1 und BIT_VALUE = 1 dann setze BYTE_VEC(6) = 1
BYTE_VEC(6)<='1';
if (EN_BIT_6 = '1')
then
if (BIT_VALUE = '1')
then n_SV_BR_BIT6 <= ST_BR_EN_BIT6_1;
else n_SV_BR_BIT6 <= ST_BR_EN_BIT6_0;
end if;
else n_SV_BR_BIT6 <= ST_BR_EN_BIT6_1; -- BIT_VALUE = 0
end if;
when others =>
n_SV_BR_BIT6 <= ST_BR_EN_BIT6_0;
end case;
end process;
BIT_REGISTER_EN_BIT_7_PROC:process (SV_BR_BIT7, n_SV_BR_BIT7, EN_BIT_7, BIT_VALUE) --BIT_REGISTER Bit7
begin
case SV_BR_BIT7 is
when ST_BR_EN_BIT7_0 =>
BYTE_VEC(7)<='0';
if (EN_BIT_7 = '1')
then
if (BIT_VALUE = '1')--gehe zu ST_BR_BIT7_1
then n_SV_BR_BIT7 <= ST_BR_EN_BIT7_1;
else n_SV_BR_BIT7 <= ST_BR_EN_BIT7_0;
end if;
else n_SV_BR_BIT7 <= ST_BR_EN_BIT7_0;
end if;
when ST_BR_EN_BIT7_1 =>
-- EN_BIT_7 = 1 und BIT_VALUE = 1 dann setze BYTE_VEC(7) = 1
BYTE_VEC(7)<='1';
if (EN_BIT_7 = '1')
then
if (BIT_VALUE = '1')
then n_SV_BR_BIT7 <= ST_BR_EN_BIT7_1;
else n_SV_BR_BIT7 <= ST_BR_EN_BIT7_0;
end if;
else n_SV_BR_BIT7 <= ST_BR_EN_BIT7_1; -- BIT_VALUE = 0
end if;
when others =>
n_SV_BR_BIT7 <= ST_BR_EN_BIT7_0;
end case;
end process;
BIT_REGISTER_EN_BIT_8_PROC:process (SV_BR_BIT8, n_SV_BR_BIT8, EN_BIT_8, BIT_VALUE) --BIT_REGISTER Bit8
begin
case SV_BR_BIT8 is
when ST_BR_EN_BIT8_0 =>
BYTE_VEC(8)<='0';
if (EN_BIT_8 = '1')
then
if (BIT_VALUE = '1')--gehe zu ST_BR_BIT8_1
then n_SV_BR_BIT8 <= ST_BR_EN_BIT8_1;
else n_SV_BR_BIT8 <= ST_BR_EN_BIT8_0;
end if;
else n_SV_BR_BIT8 <= ST_BR_EN_BIT8_0;
end if;
when ST_BR_EN_BIT8_1 =>
-- EN_BIT_8 = 1 und BIT_VALUE = 1 dann setze BYTE_VEC(8) = 1
BYTE_VEC(8)<='1';
if (EN_BIT_8 = '1')
then
if (BIT_VALUE = '1')
then n_SV_BR_BIT8 <= ST_BR_EN_BIT8_1;
else n_SV_BR_BIT8 <= ST_BR_EN_BIT8_0;
end if;
else n_SV_BR_BIT8 <= ST_BR_EN_BIT8_1; -- BIT_VALUE = 0
end if;
when others =>
n_SV_BR_BIT8 <= ST_BR_EN_BIT8_0;
end case;
end process;
IL_OL_PROC: process (InAB_S, SV, COUNT_L,COUNT_S, FIRST_BYTE, CNTS30, CNTT01, CNTT02, CNTT03, CNTT04, CNTT05, CNTT06, CNTT07, CNTT08, CNTT09, CNTT10, CNTT11, CNTT12, CNTT13) -- , ERROR_QUIT
begin
case SV is
when ST_CTRL_00 =>
if (InAB_S = '1')
then
-- VAS00
n_COUNT_L <= x"00000"; -- großer Zaehler Neustart
n_COUNT_S <= x"0000"; -- kleiner Zaehler Neustart
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_01; -- Zustandsuebgergang
else
--VAS00
n_COUNT_L <= x"00000"; -- großer Zaehler nullen
n_COUNT_S <= x"0000"; -- kleiner Zaehler nullen
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_00; --InAB = '0'
end if;
when ST_CTRL_01 =>
if (COUNT_L = CNTS30) --156250
-- if (COUNT >=3)
then
-- VAS01
n_COUNT_L <= COUNT_L+1;
n_COUNT_S <= x"0000";
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_02; -- Zustandsuebgergang
else --n_COUNT < CNTS30
--VAS01
n_COUNT_L <= COUNT_L+1;
n_COUNT_S <= x"0000";
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_01; --Zaehlschleife
end if;
when ST_CTRL_02 =>
if (InAB_S = '0')
then
-- VAS00
n_COUNT_L <= x"00000"; -- Zaehler Neustart
n_COUNT_S <= x"0000";
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_03; -- Zustandsuebgergang
else -- InAB_S = '1'
--VAS01
n_COUNT_L <= COUNT_L+1; -- dieser Zähler wird nicht abgefragt! (Sinnlos?)
n_COUNT_S <= x"0000";
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_02; --warte tsyn30 ab
end if;
when ST_CTRL_03 =>
if (COUNT_S = CNTT01) --2604
then
-- VAS02
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_04; -- Zustandsuebgergang
else --n_COUNT < CNTT01
-- VAS02
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_03; --Zaehlschleife
end if;
when ST_CTRL_04 =>
if (InAB_S = '0') -- Startbit erkannt
then
-- VAS02
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_06; -- Zustandsuebgergang
else --InAB_S = '1'
-- VAS03
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '1';
n_SV <= ST_CTRL_00; --Error
end if;
-- when ST_CTRL_05 =>
-- if (ERROR_QUIT = '0') -- Error nicht bestätigt
-- then
-- -- VAS03
-- n_COUNT_L <= x"00000";
-- n_COUNT_S <= COUNT_S+1;
-- EN_BIT_0 <= '0';
-- EN_BIT_1 <= '0';
-- EN_BIT_2 <= '0';
-- EN_BIT_3 <= '0';
-- EN_BIT_4 <= '0';
-- EN_BIT_5 <= '0';
-- EN_BIT_6 <= '0';
-- EN_BIT_7 <= '0';
-- EN_BIT_8 <= '0';
-- BIT_VALUE <= '0';
-- BYTE_OK <= '0';
-- CTRL_ERROR <= '1';
-- n_SV <= ST_CTRL_05; -- Fehlerschleife
-- else --ERROR_QUIT = '1'
-- VAS00
-- n_COUNT_L <= x"00000"; -- Zaehler nullen
-- n_COUNT_S <= x"0000"; -- Zaehler nullen
-- EN_BIT_0 <= '0';
-- EN_BIT_1 <= '0';
-- EN_BIT_2 <= '0';
-- EN_BIT_3 <= '0';
-- EN_BIT_4 <= '0';
-- EN_BIT_5 <= '0';
-- EN_BIT_6 <= '0';
-- EN_BIT_7 <= '0';
-- EN_BIT_8 <= '0';
-- BIT_VALUE <= '0';
-- BYTE_OK <= '0';
-- CTRL_ERROR <= '0';
-- n_SV <= ST_CTRL_00; --Zurueck zum Initialzustand
-- end if;
when ST_CTRL_06 =>
if (COUNT_S = CNTT02) --7812
then
-- VAS04
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '1';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= InAB_S;
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_07; -- Zustandsuebgergang
else --n_COUNT < CNTT02
-- VAS02
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_06; --Zaehlschleife
end if;
when ST_CTRL_07 =>
if (COUNT_S = CNTT03) --13020
then
-- VAS05
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '1';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= InAB_S;
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_08; -- Zustandsuebgergang
else --n_COUNT < CNTT03
-- VAS02
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_07; --Zaehlschleife
end if;
when ST_CTRL_08 =>
if (COUNT_S = CNTT04) --18229
then
-- VAS06
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '1';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= InAB_S;
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_09; -- Zustandsuebgergang
else --n_COUNT < CNTT04
-- VAS02
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_08; --Zaehlschleife
end if;
when ST_CTRL_09 =>
if (COUNT_S = CNTT05) --23435
then
-- VAS07
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '1';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= InAB_S;
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_0A; -- Zustandsuebgergang
else --n_COUNT < CNTT05
-- VAS02
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_09; --Zaehlschleife
end if;
when ST_CTRL_0A =>
if (COUNT_S = CNTT06) --28644
then
-- VAS08
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '1';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= InAB_S;
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_0B; -- Zustandsuebgergang
else --n_COUNT < CNTT06
-- VAS02
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_0A; --Zaehlschleife
end if;
when ST_CTRL_0B =>
if (COUNT_S = CNTT07) --33854
then
-- VAS09
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '1';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= InAB_S;
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_0C; -- Zustandsuebgergang
else --n_COUNT < CNTT07
-- VAS02
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_0B; --Zaehlschleife
end if;
when ST_CTRL_0C =>
if (COUNT_S = CNTT08) --39062
then
-- VAS10
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '1';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= InAB_S;
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_0D; -- Zustandsuebgergang
else --n_COUNT < CNTT08
-- VAS02
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_0C; --Zaehlschleife
end if;
when ST_CTRL_0D =>
if (COUNT_S = CNTT09) --44270
then
-- VAS11
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '1';
EN_BIT_8 <= '0';
BIT_VALUE <= InAB_S;
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_0E; -- Zustandsuebgergang
else --n_COUNT < CNTT09
-- VAS02
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_0D; --Zaehlschleife
end if;
when ST_CTRL_0E =>
if (COUNT_S = CNTT10) --49479
then
-- VAS12
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '1';
BIT_VALUE <= InAB_S;
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_0F; -- Zustandsuebgergang
else --n_COUNT < CNTT10
-- VAS02
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_0E; --Zaehlschleife
end if;
when ST_CTRL_0F =>
if (COUNT_S = CNTT11) --54687
then
-- VAS02
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_10; -- Zustandsuebgergang
else --n_COUNT < CNTT11
-- VAS02
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_0F; --Zaehlschleife
end if;
when ST_CTRL_10 =>
if (InAB_S = '0')
then
-- VAS03
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '1';
n_SV <= ST_CTRL_00; -- Error: Kein Stoppbit; ST_CTRL_05 vorher
else --InAB_S = '1'
-- VAS13
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '1';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_11; --Stoppbit erkannt
end if;
when ST_CTRL_11 =>
if (FIRST_BYTE = '1')
then
-- VAS00
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_00; -- Stopp nach einem Byte
else --FIRST_BYTE = '0'
-- VAS14
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_12; --kein Stop gesetzt
end if;
when ST_CTRL_12 =>
if (COUNT_S = CNTT12) --60937
then
-- VAS02
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_13; -- Zustandsuebgergang
else -- n_COUNT < CNTT12
-- VAS02
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_12; --Zaehlschleife
end if;
when ST_CTRL_13 =>
if (InAB_S = '0') -- Startbit gefunden
then
-- VAS00
n_COUNT_L <= x"00000"; -- Zaehler Neustart
n_COUNT_S <= x"0000"; -- Zaehler Neustart
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_03; -- Zustandsuebgergang;
else -- InAB_S = '1'
-- VAS02
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_14; --Zaehlschleife Teil 1
end if;
when ST_CTRL_14 =>
if (COUNT_S = CNTT13) --64062
then
-- VAS00
n_COUNT_L <= x"00000"; -- Zaehler nullen
n_COUNT_S <= x"0000"; -- Zaehler nullen
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_00; -- Kein Startbit gefunden (neues SYN?)
else -- n_COUNT < CNTT13
-- VAS02
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_13; --Zaehlschleife Teil 2
end if;
when others =>
-- VAS00
n_COUNT_L <= x"00000"; -- Zaehler Neustart
n_COUNT_S <= x"0000"; -- Zaehler Neustart
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_00;
end case;
end process;
PARITY_CHECK_PROC: process (BYTE_VEC) --Paritätsprüfung
begin
TMP00 <= BYTE_VEC(0) xor BYTE_VEC(1);
TMP01 <= BYTE_VEC(2) xor BYTE_VEC(3);
TMP02 <= BYTE_VEC(4) xor BYTE_VEC(5);
TMP03 <= BYTE_VEC(6) xor BYTE_VEC(7);
TMP10 <= TMP00 xor TMP01;
TMP11 <= TMP02 xor TMP03;
TMP20 <= TMP10 xor TMP11;
if (TMP20 = BYTE_VEC(8))
then PARITY_OK <= '1'; -- Parität korrekt
else PARITY_OK <= '0'; -- Parität fehlerhaft
end if;
end process;
BYTE_OUT_PORC: process (BYTE_VEC) --BYTEausgabe
begin
BYTE_OUT(0) <= BYTE_VEC(0);
BYTE_OUT(1) <= BYTE_VEC(1);
BYTE_OUT(2) <= BYTE_VEC(2);
BYTE_OUT(3) <= BYTE_VEC(3);
BYTE_OUT(4) <= BYTE_VEC(4);
BYTE_OUT(5) <= BYTE_VEC(5);
BYTE_OUT(6) <= BYTE_VEC(6);
BYTE_OUT(7) <= BYTE_VEC(7);
-- BYTE_OUT(8) <= BYTE_VEC(8); --Bit 8 Test, nach Test entfernen
end process;
STATE_DISPL_PROC: process (SV, n_SV, DISPL_COUNT, DISPL_COUNT_SWITCH, LONG_STATE_SV, LONG_STATE_n_SV, COUNT_L ,COUNT_S) -- Zustandsanzeige
begin
LONG_STATE_SV <= conv_std_logic_vector(TYPE_STATE'pos( SV),8); --Zustandsumwandlung in 8 Bit
LONG_STATE_n_SV <= conv_std_logic_vector(TYPE_STATE'pos(n_SV),8);
DISPL1_SV(0) <= LONG_STATE_SV(0); --Bit0
DISPL1_SV(1) <= LONG_STATE_SV(1); --Bit1
DISPL1_SV(2) <= LONG_STATE_SV(2); --Bit2
DISPL1_SV(3) <= LONG_STATE_SV(3); --Bit3
DISPL2_SV(0) <= LONG_STATE_SV(4); --usw.
DISPL2_SV(1) <= LONG_STATE_SV(5);
DISPL2_SV(2) <= LONG_STATE_SV(6);
DISPL2_SV(3) <= LONG_STATE_SV(7);
if (DISPL_COUNT ='0')
then --Folgezustand anzeigen
DISPL1_n_SV(0) <= LONG_STATE_n_SV(0);
DISPL1_n_SV(1) <= LONG_STATE_n_SV(1);
DISPL1_n_SV(2) <= LONG_STATE_n_SV(2);
DISPL1_n_SV(3) <= LONG_STATE_n_SV(3);
DISPL2_n_SV(0) <= LONG_STATE_n_SV(4);
DISPL2_n_SV(1) <= LONG_STATE_n_SV(5);
DISPL2_n_SV(2) <= LONG_STATE_n_SV(6);
DISPL2_n_SV(3) <= LONG_STATE_n_SV(7);
else --Zähler anzeigen
if (DISPL_COUNT_SWITCH ='0')
then --kleinen Zaehler anzeigen
DISPL1_n_SV(0) <= COUNT_S(0);
DISPL1_n_SV(1) <= COUNT_S(1);
DISPL1_n_SV(2) <= COUNT_S(2);
DISPL1_n_SV(3) <= COUNT_S(3);
DISPL2_n_SV(0) <= COUNT_S(4);
DISPL2_n_SV(1) <= COUNT_S(5);
DISPL2_n_SV(2) <= COUNT_S(6);
DISPL2_n_SV(3) <= COUNT_S(7);
else -- langen Zaehler anzeigen
DISPL1_n_SV(0) <= COUNT_L(0);
DISPL1_n_SV(1) <= COUNT_L(1);
DISPL1_n_SV(2) <= COUNT_L(2);
DISPL1_n_SV(3) <= COUNT_L(3);
DISPL2_n_SV(0) <= COUNT_L(4);
DISPL2_n_SV(1) <= COUNT_L(5);
DISPL2_n_SV(2) <= COUNT_L(6);
DISPL2_n_SV(3) <= COUNT_L(7);
end if;
end if;
end process;
SWITCH_VALUES_PROC: process (CHOSE_VALUE) --Schaltet zw. langen und kurzem Zaehler um
begin
if (CHOSE_VALUE = '0')
then
--normale Werte
CNTS30 <= long_CNTS30;
CNTT01 <= long_CNTT01;
CNTT02 <= long_CNTT02;
CNTT03 <= long_CNTT03;
CNTT04 <= long_CNTT04;
CNTT05 <= long_CNTT05;
CNTT06 <= long_CNTT06;
CNTT07 <= long_CNTT07;
CNTT08 <= long_CNTT08;
CNTT09 <= long_CNTT09;
CNTT10 <= long_CNTT10;
CNTT11 <= long_CNTT11;
CNTT12 <= long_CNTT12;
CNTT13 <= long_CNTT13;
else
--kurze Werte
CNTS30 <= short_CNTS30;
CNTT01 <= short_CNTT01;
CNTT02 <= short_CNTT02;
CNTT03 <= short_CNTT03;
CNTT04 <= short_CNTT04;
CNTT05 <= short_CNTT05;
CNTT06 <= short_CNTT06;
CNTT07 <= short_CNTT07;
CNTT08 <= short_CNTT08;
CNTT09 <= short_CNTT09;
CNTT10 <= short_CNTT10;
CNTT11 <= short_CNTT11;
CNTT12 <= short_CNTT12;
CNTT13 <= short_CNTT13;
end if;
end process;
end Behavioral;
| gpl-2.0 |
rxfx/profibusmonitor | VHDL_Bausteine_old/TEST_CTRL_RS232_TX/CTRL_RS232_TX_VHDL.vhd | 2 | 13052 | -- CTRL_RS232_TX
-- Input wird bitweise via RS232 versendet
-- Projekt: PROFIBUS MONITOR
-- Ersteller: Martin Harndt
-- Erstellt: 10.01.2013
-- Bearbeiter: mharndt
-- Geaendert: 10.01.2013
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity CTRL_RS232_TX_VHDL is
Port(SEND_BYTE : in std_logic_vector (7 downto 0); --Eingangsvariable, zu Daten Input, 8 bit
SEND : in std_logic; --Eingangsvariable, Byte OK
TX : out std_logic; --Ausgangsvariable, Transmit Bit
READY: out std_logic; --Ausgangsvariable, bereit zum Senden
CLK : in std_logic; --Taktvariable
CLK_IO : in std_logic; --Tanktvariable,
--Ein- und Ausgangsregister
IN_NEXT_STATE: in std_logic; --1:Zustandsuebergang möglich
RESET : in std_logic; --1: Initialzustand annehmen
CHOSE_VALUE : in std_logic; --Eingangsvariable, Zählerwert aendern
DISPL_COUNT : in std_logic; --Eingangsvariable, Counter anzeigen
DISPL1_SV : out std_logic_vector (3 downto 0); --aktueller Zustand Zahl1, binärzahl
DISPL2_SV : out std_logic_vector (3 downto 0); --aktueller Zustand Zahl2, binärzahl
DISPL1_n_SV : out std_logic_vector (3 downto 0); --Folgezustand Zahl1, binärzahl
DISPL2_n_SV : out std_logic_vector (3 downto 0)); --Folgezustand Zahl2, binärzahl
end CTRL_RS232_TX_VHDL;
architecture Behavioral of CTRL_RS232_TX_VHDL is
type TYPE_STATE is
(ST_TX_00, --Zustaende CTRL_RS232_TX
ST_TX_01,
ST_TX_02,
ST_TX_03,
ST_TX_04,
ST_TX_05,
ST_TX_06,
ST_TX_07,
ST_TX_08,
ST_TX_09,
ST_TX_10,
ST_TX_11);
signal SV : TYPE_STATE; --Zustandsvariable
signal n_SV: TYPE_STATE; --Zustandsvariable, neuer Wert
signal SV_M: TYPE_STATE; --Zustandsvariable, Ausgang Master
signal not_CLK : std_logic; --negierte Taktvariable
signal not_CLK_IO: std_logic; --negierte Taktvariable
--Ein- und Ausgangsregister
signal STATE_SV : std_logic_vector (7 downto 0); -- aktueller Zustand in 8 Bit, binär
signal STATE_n_SV : std_logic_vector (7 downto 0); -- Folgezustand in 8 Bit, binär
signal SEND_BYTE_S : std_logic_vector (7 downto 0); --Eingangsvariable, Zwischengespeichern im Eingangsregister
signal SEND_S : std_logic; --Eingangsvariable, Zwischengespeichern im Eingangsregister
signal COUNT : std_logic_vector (15 downto 0); --Zaehler, Vektor, 16 Bit
signal n_COUNT : std_logic_vector (15 downto 0); --Zaehler, neuer Wert, Vektor, 16 Bit
signal COUNT_M : std_logic_vector (15 downto 0); --Zaehler, Ausgang Master, Vektor, 16 Bit
signal CNT01 : std_logic_vector (15 downto 0);
signal CNT02 : std_logic_vector (15 downto 0);
signal CNT03 : std_logic_vector (15 downto 0);
signal CNT04 : std_logic_vector (15 downto 0);
signal CNT05 : std_logic_vector (15 downto 0);
signal CNT06 : std_logic_vector (15 downto 0);
signal CNT07 : std_logic_vector (15 downto 0);
signal CNT08 : std_logic_vector (15 downto 0);
signal CNT09 : std_logic_vector (15 downto 0);
signal CNT10 : std_logic_vector (15 downto 0);
--Konstanten, lang
constant long_CNT01 : std_logic_vector := x"1458"; --16 Bit
constant long_CNT02 : std_logic_vector := x"2C98"; --usw.
constant long_CNT03 : std_logic_vector := x"3D08";
constant long_CNT04 : std_logic_vector := x"5160";
constant long_CNT05 : std_logic_vector := x"65B8";
constant long_CNT06 : std_logic_vector := x"7A10";
constant long_CNT07 : std_logic_vector := x"8E68";
constant long_CNT08 : std_logic_vector := x"A2C0";
constant long_CNT09 : std_logic_vector := x"B718";
constant long_CNT10 : std_logic_vector := x"CB70";
--Konstanten, kurz
constant short_CNT01 : std_logic_vector := x"0003"; --3
constant short_CNT02 : std_logic_vector := x"0006"; --6
constant short_CNT03 : std_logic_vector := x"0009"; --9
constant short_CNT04 : std_logic_vector := x"000C"; --12
constant short_CNT05 : std_logic_vector := x"000F"; --15
constant short_CNT06 : std_logic_vector := x"0012"; --18
constant short_CNT07 : std_logic_vector := x"0015"; --21
constant short_CNT08 : std_logic_vector := x"0018"; --24
constant short_CNT09 : std_logic_vector := x"001B"; --27
constant short_CNT10 : std_logic_vector := x"001E"; --30
begin
NOT_CLK_PROC: process (CLK) --negieren Taktvariable
begin
not_CLK <= not CLK;
end process;
NOT_CLK_IO_PROC: process (CLK_IO) --negieren Taktvaraible
--Ein- und Ausgangsregister
begin
not_CLK_IO <= not CLK_IO;
end process;
IREG_PROC: process (not_CLK_IO) --Eingangsregister
begin
if (not_CLK_IO'event and not_CLK_IO = '1') --Eingangsregister
then SEND_BYTE_S <= SEND_BYTE;
SEND_S <= SEND;
end if;
end process;
SREG_M_PROC: process (RESET, n_SV, CLK) --Master
begin
if (RESET ='1')
then SV_M <= ST_TX_00;
else
if (CLK'event and CLK = '1')
then
if (IN_NEXT_STATE = '1')
then SV_M <= n_SV;
COUNT_M <= n_COUNT;
else SV_M <= SV_M;
COUNT_M <= COUNT_M;
end if;
end if;
end if;
end process;
SREG_S_PROC: process (RESET, SV_M, not_CLK) --Slave
begin
if (RESET = '1')
then SV <= ST_TX_00;
else
if (not_CLK'event and not_CLK = '1')
then SV <= SV_M;
COUNT <= COUNT_M;
end if;
end if;
end process;
CTRL_RS232_TX_PROC:process (SV, COUNT, SEND_S, SEND_BYTE_S, CNT01, CNT02, CNT03, CNT04, CNT05, CNT06, CNT07, CNT08, CNT09, CNT10) --Daten über RS232 senden
begin
case SV is
when ST_TX_00 =>
if (SEND_S = '1')
then
--TX01
n_COUNT <= x"0000"; -- kleiner Zaehler Neustart
TX <= '0'; --Startbit
READY <= '0';
n_SV <= ST_TX_01; --Zustandsübergang
else
--TX00
n_COUNT <= x"0000"; -- kleiner Zaehler Neustart
TX <= '1'; --Idle
READY <= '1'; --Bereit zum Senden
n_SV <= ST_TX_00; --bleibt im gleichen Zustand
end if;
when ST_TX_01 =>
if (COUNT = CNT01) --Zaehler = 5208
then
--TX03
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE_S(0); --Bit 0
READY <= '0';
n_SV <= ST_TX_02; --Zustandsübergang
else
--TX02
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= '0'; --Startbit
READY <= '0';
n_SV <= ST_TX_01; --bleibt im gleichen Zustand
end if;
when ST_TX_02 =>
if (COUNT = CNT02) --Zaehler = 11416
then
--TX05
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE_S(1); --Bit 1
READY <= '0';
n_SV <= ST_TX_03; --Zustandsübergang
else
--TX04
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE_S(0); --Bit 0
READY <= '0';
n_SV <= ST_TX_02; --bleibt im gleichen Zustand
end if;
when ST_TX_03 =>
if (COUNT = CNT03) --Zaehler = 15624
then
--TX07
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE_S(2); --Bit 2
READY <= '0';
n_SV <= ST_TX_04; --Zustandsübergang
else
--TX06
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE_S(1); --Bit 1
READY <= '0';
n_SV <= ST_TX_03; --bleibt im gleichen Zustand
end if;
when ST_TX_04 =>
if (COUNT = CNT04) --Zaehler = 20832
then
--TX09
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE_S(3); --Bit 3
READY <= '0';
n_SV <= ST_TX_05; --Zustandsübergang
else
--TX08
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE_S(2); --Bit 2
READY <= '0';
n_SV <= ST_TX_04; --bleibt im gleichen Zustand
end if;
when ST_TX_05 =>
if (COUNT = CNT05) --Zaehler = 26040
then
--TX11
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE_S(4); --Bit 4
READY <= '0';
n_SV <= ST_TX_06; --Zustandsübergang
else
--TX10
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE_S(3); --Bit 3
READY <= '0';
n_SV <= ST_TX_05; --bleibt im gleichen Zustand
end if;
when ST_TX_06 =>
if (COUNT = CNT06) --Zaehler = 31248
then
--TX13
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE_S(5); --Bit 5
READY <= '0';
n_SV <= ST_TX_07; --Zustandsübergang
else
--TX12
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE_S(4); --Bit 4
READY <= '0';
n_SV <= ST_TX_06; --bleibt im gleichen Zustand
end if;
when ST_TX_07 =>
if (COUNT = CNT07) --Zaehler = 36456
then
--TX15
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE_S(6); --Bit 6
READY <= '0';
n_SV <= ST_TX_08; --Zustandsübergang
else
--TX14
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE_S(5); --Bit 5
READY <= '0';
n_SV <= ST_TX_07; --bleibt im gleichen Zustand
end if;
when ST_TX_08 =>
if (COUNT = CNT08) --Zaehler = 41664
then
--TX17
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE_S(7); --Bit 7
READY <= '0';
n_SV <= ST_TX_09; --Zustandsübergang
else
--TX16
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE_S(6); --Bit 6
READY <= '0';
n_SV <= ST_TX_08; --bleibt im gleichen Zustand
end if;
when ST_TX_09 =>
if (COUNT = CNT09) --Zaehler = 46872
then
--TX19
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= '1'; --Stoppbit
READY <= '0';
n_SV <= ST_TX_10; --Zustandsübergang
else
--TX18
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= SEND_BYTE_S(7); --Bit 7
READY <= '0';
n_SV <= ST_TX_09; --bleibt im gleichen Zustand
end if;
when ST_TX_10 =>
if (COUNT = CNT10) --Zaehler = 52080
then
--TX21
n_COUNT <= x"0000"; -- Zaehler neustart
TX <= '1'; --Idle
READY <= '0';
n_SV <= ST_TX_11; --Zustandsübergang
else
--TX20
n_COUNT <= COUNT+1; -- Zaehler erhoehen
TX <= '1'; --Stoppbit
READY <= '0';
n_SV <= ST_TX_10; --bleibt im gleichen Zustand
end if;
when ST_TX_11 =>
if (SEND_S = '0') -- Wenn SEND=0 dann warten auf SEND sonst Idle senden
then
--TX00
n_COUNT <= x"0000"; -- Zaehler neustart
TX <= '1'; --Idle
READY <= '1';--Bereit zum Senden
n_SV <= ST_TX_00; --Zustandsübergang
else
--TX22
n_COUNT <= x"0000"; -- Zaehler neustart
TX <= '1'; --Idle
READY <= '0';
n_SV <= ST_TX_11; --bleibt im gleichen Zustand
end if;
when others =>
-- TX00
n_COUNT <= x"0000"; -- kleiner Zaehler Neustart
TX <= '1'; --Idle
READY <= '0';
n_SV <= ST_TX_00; --Zustandsübergang
end case;
end process;
STATE_DISPL_PROC: process (SV, n_SV, DISPL_COUNT, STATE_SV, STATE_n_SV,COUNT) -- Zustandsanzeige
begin
STATE_SV <= conv_std_logic_vector(TYPE_STATE'pos( SV),8); --Zustandsumwandlung in 8 Bit
STATE_n_SV <= conv_std_logic_vector(TYPE_STATE'pos(n_SV),8);
--aktuellen Zustand anzeigen
DISPL1_SV(0) <= STATE_SV(0); --Bit0
DISPL1_SV(1) <= STATE_SV(1); --Bit1
DISPL1_SV(2) <= STATE_SV(2); --Bit2
DISPL1_SV(3) <= STATE_SV(3); --Bit3
DISPL2_SV(0) <= STATE_SV(4); --usw.
DISPL2_SV(1) <= STATE_SV(5);
DISPL2_SV(2) <= STATE_SV(6);
DISPL2_SV(3) <= STATE_SV(7);
if (DISPL_COUNT ='0')
then --Folgezustand anzeigen
DISPL1_n_SV(0) <= STATE_n_SV(0);
DISPL1_n_SV(1) <= STATE_n_SV(1);
DISPL1_n_SV(2) <= STATE_n_SV(2);
DISPL1_n_SV(3) <= STATE_n_SV(3);
DISPL2_n_SV(0) <= STATE_n_SV(4);
DISPL2_n_SV(1) <= STATE_n_SV(5);
DISPL2_n_SV(2) <= STATE_n_SV(6);
DISPL2_n_SV(3) <= STATE_n_SV(7);
else --Zähler anzeigen
DISPL1_n_SV(0) <= COUNT(0);
DISPL1_n_SV(1) <= COUNT(1);
DISPL1_n_SV(2) <= COUNT(2);
DISPL1_n_SV(3) <= COUNT(3);
DISPL2_n_SV(0) <= COUNT(4);
DISPL2_n_SV(1) <= COUNT(5);
DISPL2_n_SV(2) <= COUNT(6);
DISPL2_n_SV(3) <= COUNT(7);
end if;
end process;
SWITCH_VALUES_PROC: process (CHOSE_VALUE) --Schaltet zw. langen und kurzem Zaehler um
begin
if (CHOSE_VALUE = '0')
then
--normale Werte
CNT01 <= long_CNT01;
CNT02 <= long_CNT02;
CNT03 <= long_CNT03;
CNT04 <= long_CNT04;
CNT05 <= long_CNT05;
CNT06 <= long_CNT06;
CNT07 <= long_CNT07;
CNT08 <= long_CNT08;
CNT09 <= long_CNT09;
CNT10 <= long_CNT10;
else
--kurze Werte
CNT01 <= short_CNT01;
CNT02 <= short_CNT02;
CNT03 <= short_CNT03;
CNT04 <= short_CNT04;
CNT05 <= short_CNT05;
CNT06 <= short_CNT06;
CNT07 <= short_CNT07;
CNT08 <= short_CNT08;
CNT09 <= short_CNT09;
CNT10 <= short_CNT10;
end if;
end process;
end Behavioral;
| gpl-2.0 |
rxfx/profibusmonitor | VHDL_Bausteine_old/abandoned_code/TEST_CTRL_TELEGRAM_FILTER/DEB_50MZ_100MS_SRC.vhd | 38 | 2643 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
--Enprelleinheit
--entprellt bei 50 MHZ etw mit 100 ms
entity DEB_50MZ_100MS_SRC is
Port ( IN_DEB : in std_logic;
F_50MHZ : in std_logic;
OUT_DEB : out std_logic);
end DEB_50MZ_100MS_SRC;
architecture Behavioral of DEB_50MZ_100MS_SRC is
type SV_TYPE is (DEB0, DEB1);
signal SV, n_SV, SV_M : SV_TYPE;
signal COUNT_DEB, n_COUNT_DEB, COUNT_DEB_M: std_logic_vector (23 downto 0);
signal NOT_F_50MHZ : std_logic;
signal IN_DEB_S : std_logic;
constant CONST_DEB_max: std_logic_vector := x"4C4B40";
begin
IREG_PROC: process (IN_DEB, NOT_F_50MHZ)
begin
if (NOT_F_50MHZ'event and NOT_F_50MHZ = '1')
then IN_DEB_S <= IN_DEB;
end if;
end process;
SREG_M_PROC: process (F_50MHZ, n_SV, n_COUNT_DEB, SV_M)
begin
if (F_50MHZ'event and F_50MHZ = '1')
then
SV_M <= n_SV;
COUNT_DEB_M <= n_COUNT_DEB;
else
COUNT_DEB_M <= COUNT_DEB_M;
end if;
end process;
NOT_F_50MHZ_PROC: process (F_50MHZ)
begin
NOT_F_50MHZ <= not F_50MHZ;
end process;
SREG_S_PROC: process (NOT_F_50MHZ, SV_M, COUNT_DEB_M)
begin
if (NOT_F_50MHZ'event and NOT_F_50MHZ = '1')
then
SV <= SV_M;
COUNT_DEB <= COUNT_DEB_M;
end if;
end process;
IL_OL_PROC: process (IN_DEB_S, SV, COUNT_DEB)
begin
case SV is
when DEB0 =>
if (IN_DEB_S = '1')
then
if COUNT_DEB >= CONST_DEB_max
then
OUT_DEB <= '0';
n_COUNT_DEB <= x"000000";
n_SV <= DEB1;
else
OUT_DEB <= '0';
n_COUNT_DEB <= COUNT_DEB+1;
n_SV <= DEB0;
end if;
else
if COUNT_DEB = x"000000"
then
OUT_DEB <= '0';
n_COUNT_DEB <= COUNT_DEB;
n_SV <= DEB0;
else
OUT_DEB <= '0';
n_COUNT_DEB <= COUNT_DEB-1;
n_SV <= DEB0;
end if;
end if;
when DEB1 =>
if (IN_DEB_S = '1')
then
if COUNT_DEB >= CONST_DEB_max
then
OUT_DEB <= '1';
n_COUNT_DEB <= COUNT_DEB;
n_SV <= DEB1;
else
OUT_DEB <= '1';
n_COUNT_DEB <= COUNT_DEB+1;
n_SV <= DEB1;
end if;
else
if COUNT_DEB = x"000000"
then
OUT_DEB <= '1';
n_COUNT_DEB <= COUNT_DEB;
n_SV <= DEB0;
else
OUT_DEB <= '1';
n_COUNT_DEB <= COUNT_DEB-1;
n_SV <= DEB1;
end if;
end if;
when Others =>
OUT_DEB <= '0';
n_COUNT_DEB <= x"000000";
n_SV <= DEB0;
end case;
end process;
end Behavioral;
| gpl-2.0 |
rxfx/profibusmonitor | VHDL_Bausteine/TEST_CTRL_InAB_INPUT/DEB_50MZ_100MS_SRC.vhd | 38 | 2643 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
--Enprelleinheit
--entprellt bei 50 MHZ etw mit 100 ms
entity DEB_50MZ_100MS_SRC is
Port ( IN_DEB : in std_logic;
F_50MHZ : in std_logic;
OUT_DEB : out std_logic);
end DEB_50MZ_100MS_SRC;
architecture Behavioral of DEB_50MZ_100MS_SRC is
type SV_TYPE is (DEB0, DEB1);
signal SV, n_SV, SV_M : SV_TYPE;
signal COUNT_DEB, n_COUNT_DEB, COUNT_DEB_M: std_logic_vector (23 downto 0);
signal NOT_F_50MHZ : std_logic;
signal IN_DEB_S : std_logic;
constant CONST_DEB_max: std_logic_vector := x"4C4B40";
begin
IREG_PROC: process (IN_DEB, NOT_F_50MHZ)
begin
if (NOT_F_50MHZ'event and NOT_F_50MHZ = '1')
then IN_DEB_S <= IN_DEB;
end if;
end process;
SREG_M_PROC: process (F_50MHZ, n_SV, n_COUNT_DEB, SV_M)
begin
if (F_50MHZ'event and F_50MHZ = '1')
then
SV_M <= n_SV;
COUNT_DEB_M <= n_COUNT_DEB;
else
COUNT_DEB_M <= COUNT_DEB_M;
end if;
end process;
NOT_F_50MHZ_PROC: process (F_50MHZ)
begin
NOT_F_50MHZ <= not F_50MHZ;
end process;
SREG_S_PROC: process (NOT_F_50MHZ, SV_M, COUNT_DEB_M)
begin
if (NOT_F_50MHZ'event and NOT_F_50MHZ = '1')
then
SV <= SV_M;
COUNT_DEB <= COUNT_DEB_M;
end if;
end process;
IL_OL_PROC: process (IN_DEB_S, SV, COUNT_DEB)
begin
case SV is
when DEB0 =>
if (IN_DEB_S = '1')
then
if COUNT_DEB >= CONST_DEB_max
then
OUT_DEB <= '0';
n_COUNT_DEB <= x"000000";
n_SV <= DEB1;
else
OUT_DEB <= '0';
n_COUNT_DEB <= COUNT_DEB+1;
n_SV <= DEB0;
end if;
else
if COUNT_DEB = x"000000"
then
OUT_DEB <= '0';
n_COUNT_DEB <= COUNT_DEB;
n_SV <= DEB0;
else
OUT_DEB <= '0';
n_COUNT_DEB <= COUNT_DEB-1;
n_SV <= DEB0;
end if;
end if;
when DEB1 =>
if (IN_DEB_S = '1')
then
if COUNT_DEB >= CONST_DEB_max
then
OUT_DEB <= '1';
n_COUNT_DEB <= COUNT_DEB;
n_SV <= DEB1;
else
OUT_DEB <= '1';
n_COUNT_DEB <= COUNT_DEB+1;
n_SV <= DEB1;
end if;
else
if COUNT_DEB = x"000000"
then
OUT_DEB <= '1';
n_COUNT_DEB <= COUNT_DEB;
n_SV <= DEB0;
else
OUT_DEB <= '1';
n_COUNT_DEB <= COUNT_DEB-1;
n_SV <= DEB1;
end if;
end if;
when Others =>
OUT_DEB <= '0';
n_COUNT_DEB <= x"000000";
n_SV <= DEB0;
end case;
end process;
end Behavioral;
| gpl-2.0 |
rxfx/profibusmonitor | VHDL_Bausteine_old/abandoned_code/Rueckfallposition_19_12_2012/TEST_CTRL_9P6_50MHZ_SCH/CTRL_9P6_50MHZ.vhd | 4 | 44911 | -- PROFI_9P6_50MHZ_REC_BYTE
-- PROFIBUS MONITOR
-- Ersteller: Martin Harndt
-- Erstellt: 09.10.2012
-- Bearbeiter: mharndt
-- Geaendert: 15.11.2012
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity CTRL_9P6_50MHZ_VHDL is
Port ( InAB : in std_logic; --Eingangsvariable, Eingang Profibussignal
ERROR_QUIT : in std_logic; --Eingangsvariable, Fehler beenden
CHOSE_VALUE : in std_logic; --Eingangsvariable, Zählerwert aendern
DISPL_COUNT : in std_logic; --Eingangsvariable, Counter anzeigen
DISPL_COUNT_SWITCH : in std_logic; --Eingangsvariable, Counter wählen
STOP : in std_logic; --Eingangsvariable, Stopp nach einem Byte
CTRL_ERROR : out std_logic; --Ausgangsvariable, Fehler anzeigen
CTRL_STOP : out std_logic; --Ausgangsvariable, Stopp anzeigen
BYTE_OK : out std_logic; --Ausgangsvariable, Byte vollständig
BYTE_OUT : out std_logic_vector (8 downto 0); --Ausgangsvariable, Vektor >> Normal:(7 downto 0); TEST:(8 downto 0)
PARITY_OK : out std_logic; --Ausgangsvariable, Parität in Ordnung
CLK : in std_logic; --Taktvariable
CLK_IO : in std_logic; --Tanktvariable,
--Ein- und Ausgangsregister
IN_NEXT_STATE: in std_logic; --1:Zustandsuebergang möglich
RESET : in std_logic; --1: Initialzustand annehmen
DISPL1_SV : out std_logic_vector (3 downto 0); --aktueller Zustand Zahl1, binärzahl
DISPL2_SV : out std_logic_vector (3 downto 0); --aktueller Zustand Zahl2, binärzahl
DISPL1_n_SV : out std_logic_vector (3 downto 0); --Folgezustand Zahl1, binärzahl
DISPL2_n_SV : out std_logic_vector (3 downto 0)); --Folgezustand Zahl2, binärzahl
end CTRL_9P6_50MHZ_VHDL;
architecture Behavioral of CTRL_9P6_50MHZ_VHDL is
type TYPE_STATE is
(ST_CTRL_00, --Zustaende CTRL_9P6_50MHZ
ST_CTRL_01,
ST_CTRL_02,
ST_CTRL_03,
ST_CTRL_04,
ST_CTRL_05,
ST_CTRL_06,
ST_CTRL_07,
ST_CTRL_08,
ST_CTRL_09,
ST_CTRL_0A, --10
ST_CTRL_0B, --11
ST_CTRL_0C, --12
ST_CTRL_0D, --13
ST_CTRL_0E, --14
ST_CTRL_0F, --15
ST_CTRL_10, --16
ST_CTRL_11, --17
ST_CTRL_12, --18
ST_CTRL_13, --19
ST_CTRL_14); --20
type TYPE_STATE_BR_BIT0 is
(ST_BR_EN_BIT0_0, --Zustaende BIT_REGISTER BIT0
ST_BR_EN_BIT0_1);
type TYPE_STATE_BR_BIT1 is
(ST_BR_EN_BIT1_0, --Zustaende BIT_REGISTER BIT1
ST_BR_EN_BIT1_1);
type TYPE_STATE_BR_BIT2 is
(ST_BR_EN_BIT2_0, --Zustaende BIT_REGISTER BIT2
ST_BR_EN_BIT2_1);
type TYPE_STATE_BR_BIT3 is
(ST_BR_EN_BIT3_0, --Zustaende BIT_REGISTER BIT3
ST_BR_EN_BIT3_1);
type TYPE_STATE_BR_BIT4 is
(ST_BR_EN_BIT4_0, --Zustaende BIT_REGISTER BIT4
ST_BR_EN_BIT4_1);
type TYPE_STATE_BR_BIT5 is
(ST_BR_EN_BIT5_0, --Zustaende BIT_REGISTER BIT5
ST_BR_EN_BIT5_1);
type TYPE_STATE_BR_BIT6 is
(ST_BR_EN_BIT6_0, --Zustaende BIT_REGISTER BIT6
ST_BR_EN_BIT6_1);
type TYPE_STATE_BR_BIT7 is
(ST_BR_EN_BIT7_0, --Zustaende BIT_REGISTER BIT7
ST_BR_EN_BIT7_1);
type TYPE_STATE_BR_BIT8 is
(ST_BR_EN_BIT8_0, --Zustaende BIT_REGISTER BIT8
ST_BR_EN_BIT8_1);
signal SV : TYPE_STATE; --Zustandsvariable
signal n_SV: TYPE_STATE; --Zustandsvariable, neuer Wert
signal SV_M: TYPE_STATE; --Zustandsvariable, Ausgang Master
signal SV_BR_BIT0 : TYPE_STATE_BR_BIT0; --Zustandsvariable BIT_REGSITER BIT0
signal n_SV_BR_BIT0: TYPE_STATE_BR_BIT0; --Zustandsvariable BIT_REGSITER BIT0, neuer Wert
signal SV_BR_BIT0_M: TYPE_STATE_BR_BIT0; --Zustandsvariable BIT_REGSITER BIT0, Ausgang Master
signal SV_BR_BIT1 : TYPE_STATE_BR_BIT1; --Zustandsvariable BIT_REGSITER BIT1
signal n_SV_BR_BIT1: TYPE_STATE_BR_BIT1; --Zustandsvariable BIT_REGSITER BIT1, neuer Wert
signal SV_BR_BIT1_M: TYPE_STATE_BR_BIT1; --Zustandsvariable BIT_REGSITER BIT1, Ausgang Master
signal SV_BR_BIT2 : TYPE_STATE_BR_BIT2; --Zustandsvariable BIT_REGSITER BIT2
signal n_SV_BR_BIT2: TYPE_STATE_BR_BIT2; --Zustandsvariable BIT_REGSITER BIT2, neuer Wert
signal SV_BR_BIT2_M: TYPE_STATE_BR_BIT2; --Zustandsvariable BIT_REGSITER BIT2, Ausgang Master
signal SV_BR_BIT3 : TYPE_STATE_BR_BIT3; --Zustandsvariable BIT_REGSITER BIT3
signal n_SV_BR_BIT3: TYPE_STATE_BR_BIT3; --Zustandsvariable BIT_REGSITER BIT3, neuer Wert
signal SV_BR_BIT3_M: TYPE_STATE_BR_BIT3; --Zustandsvariable BIT_REGSITER BIT3, Ausgang Master
signal SV_BR_BIT4 : TYPE_STATE_BR_BIT4; --Zustandsvariable BIT_REGSITER BIT4
signal n_SV_BR_BIT4: TYPE_STATE_BR_BIT4; --Zustandsvariable BIT_REGSITER BIT4, neuer Wert
signal SV_BR_BIT4_M: TYPE_STATE_BR_BIT4; --Zustandsvariable BIT_REGSITER BIT4, Ausgang Master
signal SV_BR_BIT5 : TYPE_STATE_BR_BIT5; --Zustandsvariable BIT_REGSITER BIT5
signal n_SV_BR_BIT5: TYPE_STATE_BR_BIT5; --Zustandsvariable BIT_REGSITER BIT5, neuer Wert
signal SV_BR_BIT5_M: TYPE_STATE_BR_BIT5; --Zustandsvariable BIT_REGSITER BIT5, Ausgang Master
signal SV_BR_BIT6 : TYPE_STATE_BR_BIT6; --Zustandsvariable BIT_REGSITER BIT6
signal n_SV_BR_BIT6: TYPE_STATE_BR_BIT6; --Zustandsvariable BIT_REGSITER BIT6, neuer Wert
signal SV_BR_BIT6_M: TYPE_STATE_BR_BIT6; --Zustandsvariable BIT_REGSITER BIT6, Ausgang Master
signal SV_BR_BIT7 : TYPE_STATE_BR_BIT7; --Zustandsvariable BIT_REGSITER BIT7
signal n_SV_BR_BIT7: TYPE_STATE_BR_BIT7; --Zustandsvariable BIT_REGSITER BIT7, neuer Wert
signal SV_BR_BIT7_M: TYPE_STATE_BR_BIT7; --Zustandsvariable BIT_REGSITER BIT7, Ausgang Master
signal SV_BR_BIT8 : TYPE_STATE_BR_BIT8; --Zustandsvariable BIT_REGSITER BIT8
signal n_SV_BR_BIT8: TYPE_STATE_BR_BIT8; --Zustandsvariable BIT_REGSITER BIT8, neuer Wert
signal SV_BR_BIT8_M: TYPE_STATE_BR_BIT8; --Zustandsvariable BIT_REGSITER BIT8, Ausgang Master
signal BYTE_VEC : std_logic_vector (8 downto 0); -- Vektor, BIT_REGSITER, vor Auswertung der Checksume
signal BIT_VALUE : std_logic; -- Wert aktuelles Bit
signal COUNT_L : std_logic_vector (19 downto 0); --großer Zaehler, Vektor, 20 Bit
signal n_COUNT_L : std_logic_vector (19 downto 0); --großer Zaehler, neuer Wert, Vektor, 20 Bit
signal COUNT_L_M : std_logic_vector (19 downto 0); --großer Zaehler, Ausgang Master, Vektor, 20 Bit
signal COUNT_S : std_logic_vector (15 downto 0); --kleiner Zaehler, Vektor, 16 Bit
signal n_COUNT_S : std_logic_vector (15 downto 0); --kleiner Zaehler, neuer Wert, Vektor, 16 Bit
signal COUNT_S_M : std_logic_vector (15 downto 0); --kleiner Zaehler, Ausgang Master, Vektor, 16 Bit
signal LONG_STATE_SV : std_logic_vector (7 downto 0); -- aktueller Zustand in 8 Bit, binär
signal LONG_STATE_n_SV : std_logic_vector (7 downto 0); -- Folgezustand in 8 Bit, binär
signal InAB_S : std_logic; --Eingangsvariable
--Zwischengespeichert im Eingangsregister
signal not_CLK : std_logic; --negierte Taktvariable
signal not_CLK_IO: std_logic; --negierte Taktvariable
--Ein- und Ausgangsregister
signal EN_BIT_0 : std_logic; --BIT0
signal EN_BIT_1 : std_logic; --BIT1
signal EN_BIT_2 : std_logic; --BIT2
signal EN_BIT_3 : std_logic; --BIT3
signal EN_BIT_4 : std_logic; --BIT4
signal EN_BIT_5 : std_logic; --BIT5
signal EN_BIT_6 : std_logic; --BIT6
signal EN_BIT_7 : std_logic; --BIT7
signal EN_BIT_8 : std_logic; --Paritätsbit
signal CNTS30 : std_logic_vector (19 downto 0); --Zählerwerte
signal CNTT01 : std_logic_vector (15 downto 0);
signal CNTT02 : std_logic_vector (15 downto 0);
signal CNTT03 : std_logic_vector (15 downto 0);
signal CNTT04 : std_logic_vector (15 downto 0);
signal CNTT05 : std_logic_vector (15 downto 0);
signal CNTT06 : std_logic_vector (15 downto 0);
signal CNTT07 : std_logic_vector (15 downto 0);
signal CNTT08 : std_logic_vector (15 downto 0);
signal CNTT09 : std_logic_vector (15 downto 0);
signal CNTT10 : std_logic_vector (15 downto 0);
signal CNTT11 : std_logic_vector (15 downto 0);
signal CNTT12 : std_logic_vector (15 downto 0);
signal CNTT13 : std_logic_vector (15 downto 0);
signal TMP00 : std_logic; --temporärer Zwischenwert, Paritätsprüfung
signal TMP01 : std_logic;
signal TMP02 : std_logic;
signal TMP03 : std_logic;
signal TMP10 : std_logic;
signal TMP11 : std_logic;
signal TMP20 : std_logic;
--Konstanten, lang
constant long_CNTS30 : std_logic_vector := x"2625A"; --20 Bit
constant long_CNTT01 : std_logic_vector := x"0A2C"; --16 Bit
constant long_CNTT02 : std_logic_vector := x"1E84"; --usw.
constant long_CNTT03 : std_logic_vector := x"32DC";
constant long_CNTT04 : std_logic_vector := x"4735";
constant long_CNTT05 : std_logic_vector := x"5B8B";
constant long_CNTT06 : std_logic_vector := x"6FE4";
constant long_CNTT07 : std_logic_vector := x"8441";
constant long_CNTT08 : std_logic_vector := x"9872";
constant long_CNTT09 : std_logic_vector := x"ACEE";
constant long_CNTT10 : std_logic_vector := x"C147";
constant long_CNTT11 : std_logic_vector := x"D59F";
constant long_CNTT12 : std_logic_vector := x"EE09";
constant long_CNTT13 : std_logic_vector := x"FA3E";
--Konstanten, kurz
constant short_CNTS30 : std_logic_vector := x"0000A"; --10
constant short_CNTT01 : std_logic_vector := x"0003"; --3
constant short_CNTT02 : std_logic_vector := x"0006"; --6
constant short_CNTT03 : std_logic_vector := x"0009"; --9
constant short_CNTT04 : std_logic_vector := x"000C"; --12
constant short_CNTT05 : std_logic_vector := x"000F"; --15
constant short_CNTT06 : std_logic_vector := x"0012"; --18
constant short_CNTT07 : std_logic_vector := x"0015"; --21
constant short_CNTT08 : std_logic_vector := x"0018"; --24
constant short_CNTT09 : std_logic_vector := x"001B"; --27
constant short_CNTT10 : std_logic_vector := x"001E"; --30
constant short_CNTT11 : std_logic_vector := x"0021"; --33
constant short_CNTT12 : std_logic_vector := x"0024"; --36
constant short_CNTT13 : std_logic_vector := x"002A"; --42
begin
NOT_CLK_PROC: process (CLK) --negieren Taktvariable
begin
not_CLK <= not CLK;
end process;
NOT_CLK_IO_PROC: process (CLK_IO) --negieren Taktvaraible
--Ein- und Ausgangsregister
begin
not_CLK_IO <= not CLK_IO;
end process;
IREG_PROC: process (InAB, InAB_S, not_CLK_IO) --Eingangsregister
begin
if (not_CLK_IO'event and not_CLK_IO = '1') --Eingangsregister
then InAB_S <= InAB;
end if;
end process;
SREG_M_PROC: process (RESET, n_SV, n_SV_BR_BIT0, n_SV_BR_BIT1, n_SV_BR_BIT2, n_SV_BR_BIT3, n_SV_BR_BIT4, n_SV_BR_BIT5, n_SV_BR_BIT6, n_SV_BR_BIT7, n_SV_BR_BIT8, n_COUNT_L,n_COUNT_S, CLK) --Master
begin
if (RESET ='1')
then SV_M <= ST_CTRL_00;
SV_BR_BIT0_M <= ST_BR_EN_BIT0_0;
SV_BR_BIT1_M <= ST_BR_EN_BIT1_0;
SV_BR_BIT2_M <= ST_BR_EN_BIT2_0;
SV_BR_BIT3_M <= ST_BR_EN_BIT3_0;
SV_BR_BIT4_M <= ST_BR_EN_BIT4_0;
SV_BR_BIT5_M <= ST_BR_EN_BIT5_0;
SV_BR_BIT6_M <= ST_BR_EN_BIT6_0;
SV_BR_BIT7_M <= ST_BR_EN_BIT7_0;
SV_BR_BIT8_M <= ST_BR_EN_BIT8_0;
else
if (CLK'event and CLK = '1')
then
if (IN_NEXT_STATE = '1')
then SV_M <= n_SV;
SV_BR_BIT0_M <= n_SV_BR_BIT0;
SV_BR_BIT1_M <= n_SV_BR_BIT1;
SV_BR_BIT2_M <= n_SV_BR_BIT2;
SV_BR_BIT3_M <= n_SV_BR_BIT3;
SV_BR_BIT4_M <= n_SV_BR_BIT4;
SV_BR_BIT5_M <= n_SV_BR_BIT5;
SV_BR_BIT6_M <= n_SV_BR_BIT6;
SV_BR_BIT7_M <= n_SV_BR_BIT7;
SV_BR_BIT8_M <= n_SV_BR_BIT8;
COUNT_L_M <= n_COUNT_L;
COUNT_S_M <= n_COUNT_S;
else SV_M <= SV_M;
SV_BR_BIT0_M <= SV_BR_BIT0_M;
SV_BR_BIT1_M <= SV_BR_BIT1_M;
SV_BR_BIT2_M <= SV_BR_BIT2_M;
SV_BR_BIT3_M <= SV_BR_BIT3_M;
SV_BR_BIT4_M <= SV_BR_BIT4_M;
SV_BR_BIT5_M <= SV_BR_BIT5_M;
SV_BR_BIT6_M <= SV_BR_BIT6_M;
SV_BR_BIT7_M <= SV_BR_BIT7_M;
SV_BR_BIT8_M <= SV_BR_BIT8_M;
COUNT_L_M <= COUNT_L_M;
COUNT_S_M <= COUNT_S_M;
end if;
end if;
end if;
end process;
SREG_S_PROC: process (RESET, SV_M, SV_BR_BIT0_M, SV_BR_BIT1_M, SV_BR_BIT2_M, SV_BR_BIT3_M, SV_BR_BIT4_M, SV_BR_BIT5_M, SV_BR_BIT6_M, SV_BR_BIT7_M, SV_BR_BIT8_M, COUNT_L_M, COUNT_S_M, not_CLK) --Slave
begin
if (RESET = '1')
then SV <= ST_CTRL_00;
SV_BR_BIT0 <= ST_BR_EN_BIT0_0;
SV_BR_BIT1 <= ST_BR_EN_BIT1_0;
SV_BR_BIT2 <= ST_BR_EN_BIT2_0;
SV_BR_BIT3 <= ST_BR_EN_BIT3_0;
SV_BR_BIT4 <= ST_BR_EN_BIT4_0;
SV_BR_BIT5 <= ST_BR_EN_BIT5_0;
SV_BR_BIT6 <= ST_BR_EN_BIT6_0;
SV_BR_BIT7 <= ST_BR_EN_BIT7_0;
SV_BR_BIT8 <= ST_BR_EN_BIT8_0;
else
if (not_CLK'event and not_CLK = '1')
then SV <= SV_M;
SV_BR_BIT0 <= SV_BR_BIT0_M;
SV_BR_BIT1 <= SV_BR_BIT1_M;
SV_BR_BIT2 <= SV_BR_BIT2_M;
SV_BR_BIT3 <= SV_BR_BIT3_M;
SV_BR_BIT4 <= SV_BR_BIT4_M;
SV_BR_BIT5 <= SV_BR_BIT5_M;
SV_BR_BIT6 <= SV_BR_BIT6_M;
SV_BR_BIT7 <= SV_BR_BIT7_M;
SV_BR_BIT8 <= SV_BR_BIT8_M;
COUNT_L <= COUNT_L_M;
COUNT_S <= COUNT_S_M;
end if;
end if;
end process;
BIT_REGISTER_EN_BIT_0_PROC:process (SV_BR_BIT0, n_SV_BR_BIT0, EN_BIT_0, BIT_VALUE) --BIT_REGISTER Bit0
begin
case SV_BR_BIT0 is
when ST_BR_EN_BIT0_0 =>
BYTE_VEC(0)<='0';
if (EN_BIT_0 = '1')
then
if (BIT_VALUE = '1')--gehe zu ST_BR_EN_BIT0_1
then n_SV_BR_BIT0 <= ST_BR_EN_BIT0_1;
else n_SV_BR_BIT0 <= ST_BR_EN_BIT0_0;
end if;
else n_SV_BR_BIT0 <= ST_BR_EN_BIT0_0;
end if;
when ST_BR_EN_BIT0_1 =>
-- EN_BIT_0 = 1 und BIT_VALUE = 1 dann setze BYTE_VEC(0) = 1
BYTE_VEC(0)<='1';
if (EN_BIT_0 = '1')
then
if (BIT_VALUE = '1')
then n_SV_BR_BIT0 <= ST_BR_EN_BIT0_1;
else n_SV_BR_BIT0 <= ST_BR_EN_BIT0_0;
end if;
else n_SV_BR_BIT0 <= ST_BR_EN_BIT0_1; -- BIT_VALUE = 0
end if;
when others =>
n_SV_BR_BIT0 <= ST_BR_EN_BIT0_0;
end case;
end process;
BIT_REGISTER_EN_BIT_1_PROC:process (SV_BR_BIT1, n_SV_BR_BIT1, EN_BIT_1, BIT_VALUE) --BIT_REGISTER Bit1
begin
case SV_BR_BIT1 is
when ST_BR_EN_BIT1_0 =>
BYTE_VEC(1)<='0';
if (EN_BIT_1 = '1')
then
if (BIT_VALUE = '1')--gehe zu ST_BR_BIT1_1
then n_SV_BR_BIT1 <= ST_BR_EN_BIT1_1;
else n_SV_BR_BIT1 <= ST_BR_EN_BIT1_0;
end if;
else n_SV_BR_BIT1 <= ST_BR_EN_BIT1_0;
end if;
when ST_BR_EN_BIT1_1 =>
-- EN_BIT_1 = 1 und BIT_VALUE = 1 dann setze BYTE_VEC(1) = 1
BYTE_VEC(1)<='1';
if (EN_BIT_1 = '1')
then
if (BIT_VALUE = '1')
then n_SV_BR_BIT1 <= ST_BR_EN_BIT1_1;
else n_SV_BR_BIT1 <= ST_BR_EN_BIT1_0;
end if;
else n_SV_BR_BIT1 <= ST_BR_EN_BIT1_1; -- BIT_VALUE = 0
end if;
when others =>
n_SV_BR_BIT1 <= ST_BR_EN_BIT1_0;
end case;
end process;
BIT_REGISTER_EN_BIT_2_PROC:process (SV_BR_BIT2, n_SV_BR_BIT2, EN_BIT_2, BIT_VALUE) --BIT_REGISTER Bit1
begin
case SV_BR_BIT2 is
when ST_BR_EN_BIT2_0 =>
BYTE_VEC(2)<='0';
if (EN_BIT_2 = '1')
then
if (BIT_VALUE = '1')--gehe zu ST_BR_BIT2_1
then n_SV_BR_BIT2 <= ST_BR_EN_BIT2_1;
else n_SV_BR_BIT2 <= ST_BR_EN_BIT2_0;
end if;
else n_SV_BR_BIT2 <= ST_BR_EN_BIT2_0;
end if;
when ST_BR_EN_BIT2_1 =>
-- EN_BIT_2 = 1 und BIT_VALUE = 1 dann setze BYTE_VEC(2) = 1
BYTE_VEC(2)<='1';
if (EN_BIT_2 = '1')
then
if (BIT_VALUE = '1')
then n_SV_BR_BIT2 <= ST_BR_EN_BIT2_1;
else n_SV_BR_BIT2 <= ST_BR_EN_BIT2_0;
end if;
else n_SV_BR_BIT2 <= ST_BR_EN_BIT2_1; -- BIT_VALUE = 0
end if;
when others =>
n_SV_BR_BIT2 <= ST_BR_EN_BIT2_0;
end case;
end process;
BIT_REGISTER_EN_BIT_3_PROC:process (SV_BR_BIT3, n_SV_BR_BIT3, EN_BIT_3, BIT_VALUE) --BIT_REGISTER Bit1
begin
case SV_BR_BIT3 is
when ST_BR_EN_BIT3_0 =>
BYTE_VEC(3)<='0';
if (EN_BIT_3 = '1')
then
if (BIT_VALUE = '1')--gehe zu ST_BR_BIT3_1
then n_SV_BR_BIT3 <= ST_BR_EN_BIT3_1;
else n_SV_BR_BIT3 <= ST_BR_EN_BIT3_0;
end if;
else n_SV_BR_BIT3 <= ST_BR_EN_BIT3_0;
end if;
when ST_BR_EN_BIT3_1 =>
-- EN_BIT_3 = 1 und BIT_VALUE = 1 dann setze BYTE_VEC(3) = 1
BYTE_VEC(3)<='1';
if (EN_BIT_3 = '1')
then
if (BIT_VALUE = '1')
then n_SV_BR_BIT3 <= ST_BR_EN_BIT3_1;
else n_SV_BR_BIT3 <= ST_BR_EN_BIT3_0;
end if;
else n_SV_BR_BIT3 <= ST_BR_EN_BIT3_1; -- BIT_VALUE = 0
end if;
when others =>
n_SV_BR_BIT3 <= ST_BR_EN_BIT3_0;
end case;
end process;
BIT_REGISTER_EN_BIT_4_PROC:process (SV_BR_BIT4, n_SV_BR_BIT4, EN_BIT_4, BIT_VALUE) --BIT_REGISTER Bit1
begin
case SV_BR_BIT4 is
when ST_BR_EN_BIT4_0 =>
BYTE_VEC(4)<='0';
if (EN_BIT_4 = '1')
then
if (BIT_VALUE = '1')--gehe zu ST_BR_BIT4_1
then n_SV_BR_BIT4 <= ST_BR_EN_BIT4_1;
else n_SV_BR_BIT4 <= ST_BR_EN_BIT4_0;
end if;
else n_SV_BR_BIT4 <= ST_BR_EN_BIT4_0;
end if;
when ST_BR_EN_BIT4_1 =>
-- EN_BIT_4 = 1 und BIT_VALUE = 1 dann setze BYTE_VEC(4) = 1
BYTE_VEC(4)<='1';
if (EN_BIT_4 = '1')
then
if (BIT_VALUE = '1')
then n_SV_BR_BIT4 <= ST_BR_EN_BIT4_1;
else n_SV_BR_BIT4 <= ST_BR_EN_BIT4_0;
end if;
else n_SV_BR_BIT4 <= ST_BR_EN_BIT4_1; -- BIT_VALUE = 0
end if;
when others =>
n_SV_BR_BIT4 <= ST_BR_EN_BIT4_0;
end case;
end process;
BIT_REGISTER_EN_BIT_5_PROC:process (SV_BR_BIT5, n_SV_BR_BIT5, EN_BIT_5, BIT_VALUE) --BIT_REGISTER Bit1
begin
case SV_BR_BIT5 is
when ST_BR_EN_BIT5_0 =>
BYTE_VEC(5)<='0';
if (EN_BIT_5 = '1')
then
if (BIT_VALUE = '1')--gehe zu ST_BR_BIT5_1
then n_SV_BR_BIT5 <= ST_BR_EN_BIT5_1;
else n_SV_BR_BIT5 <= ST_BR_EN_BIT5_0;
end if;
else n_SV_BR_BIT5 <= ST_BR_EN_BIT5_0;
end if;
when ST_BR_EN_BIT5_1 =>
-- EN_BIT_5 = 1 und BIT_VALUE = 1 dann setze BYTE_VEC(5) = 1
BYTE_VEC(5)<='1';
if (EN_BIT_5 = '1')
then
if (BIT_VALUE = '1')
then n_SV_BR_BIT5 <= ST_BR_EN_BIT5_1;
else n_SV_BR_BIT5 <= ST_BR_EN_BIT5_0;
end if;
else n_SV_BR_BIT5 <= ST_BR_EN_BIT5_1; -- BIT_VALUE = 0
end if;
when others =>
n_SV_BR_BIT5 <= ST_BR_EN_BIT5_0;
end case;
end process;
BIT_REGISTER_EN_BIT_6_PROC:process (SV_BR_BIT6, n_SV_BR_BIT6, EN_BIT_6, BIT_VALUE) --BIT_REGISTER Bit6
begin
case SV_BR_BIT6 is
when ST_BR_EN_BIT6_0 =>
BYTE_VEC(6)<='0';
if (EN_BIT_6 = '1')
then
if (BIT_VALUE = '1')--gehe zu ST_BR_BIT6_1
then n_SV_BR_BIT6 <= ST_BR_EN_BIT6_1;
else n_SV_BR_BIT6 <= ST_BR_EN_BIT6_0;
end if;
else n_SV_BR_BIT6 <= ST_BR_EN_BIT6_0;
end if;
when ST_BR_EN_BIT6_1 =>
-- EN_BIT_6 = 1 und BIT_VALUE = 1 dann setze BYTE_VEC(6) = 1
BYTE_VEC(6)<='1';
if (EN_BIT_6 = '1')
then
if (BIT_VALUE = '1')
then n_SV_BR_BIT6 <= ST_BR_EN_BIT6_1;
else n_SV_BR_BIT6 <= ST_BR_EN_BIT6_0;
end if;
else n_SV_BR_BIT6 <= ST_BR_EN_BIT6_1; -- BIT_VALUE = 0
end if;
when others =>
n_SV_BR_BIT6 <= ST_BR_EN_BIT6_0;
end case;
end process;
BIT_REGISTER_EN_BIT_7_PROC:process (SV_BR_BIT7, n_SV_BR_BIT7, EN_BIT_7, BIT_VALUE) --BIT_REGISTER Bit7
begin
case SV_BR_BIT7 is
when ST_BR_EN_BIT7_0 =>
BYTE_VEC(7)<='0';
if (EN_BIT_7 = '1')
then
if (BIT_VALUE = '1')--gehe zu ST_BR_BIT7_1
then n_SV_BR_BIT7 <= ST_BR_EN_BIT7_1;
else n_SV_BR_BIT7 <= ST_BR_EN_BIT7_0;
end if;
else n_SV_BR_BIT7 <= ST_BR_EN_BIT7_0;
end if;
when ST_BR_EN_BIT7_1 =>
-- EN_BIT_7 = 1 und BIT_VALUE = 1 dann setze BYTE_VEC(7) = 1
BYTE_VEC(7)<='1';
if (EN_BIT_7 = '1')
then
if (BIT_VALUE = '1')
then n_SV_BR_BIT7 <= ST_BR_EN_BIT7_1;
else n_SV_BR_BIT7 <= ST_BR_EN_BIT7_0;
end if;
else n_SV_BR_BIT7 <= ST_BR_EN_BIT7_1; -- BIT_VALUE = 0
end if;
when others =>
n_SV_BR_BIT7 <= ST_BR_EN_BIT7_0;
end case;
end process;
BIT_REGISTER_EN_BIT_8_PROC:process (SV_BR_BIT8, n_SV_BR_BIT8, EN_BIT_8, BIT_VALUE) --BIT_REGISTER Bit8
begin
case SV_BR_BIT8 is
when ST_BR_EN_BIT8_0 =>
BYTE_VEC(8)<='0';
if (EN_BIT_8 = '1')
then
if (BIT_VALUE = '1')--gehe zu ST_BR_BIT8_1
then n_SV_BR_BIT8 <= ST_BR_EN_BIT8_1;
else n_SV_BR_BIT8 <= ST_BR_EN_BIT8_0;
end if;
else n_SV_BR_BIT8 <= ST_BR_EN_BIT8_0;
end if;
when ST_BR_EN_BIT8_1 =>
-- EN_BIT_8 = 1 und BIT_VALUE = 1 dann setze BYTE_VEC(8) = 1
BYTE_VEC(8)<='1';
if (EN_BIT_8 = '1')
then
if (BIT_VALUE = '1')
then n_SV_BR_BIT8 <= ST_BR_EN_BIT8_1;
else n_SV_BR_BIT8 <= ST_BR_EN_BIT8_0;
end if;
else n_SV_BR_BIT8 <= ST_BR_EN_BIT8_1; -- BIT_VALUE = 0
end if;
when others =>
n_SV_BR_BIT8 <= ST_BR_EN_BIT8_0;
end case;
end process;
IL_OL_PROC: process (InAB_S, SV, COUNT_L,COUNT_S, STOP, ERROR_QUIT, CNTS30, CNTT01, CNTT02, CNTT03, CNTT04, CNTT05, CNTT06, CNTT07, CNTT08, CNTT09, CNTT10, CNTT11, CNTT12, CNTT13)
begin
case SV is
when ST_CTRL_00 =>
if (InAB_S = '1')
then
-- VAS00
n_COUNT_L <= x"00000"; -- großer Zaehler Neustart
n_COUNT_S <= x"0000"; -- kleiner Zaehler Neustart
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_STOP <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_01; -- Zustandsuebgergang
else
--VAS00
n_COUNT_L <= x"00000"; -- großer Zaehler nullen
n_COUNT_S <= x"0000"; -- kleiner Zaehler nullen
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_STOP <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_00; --InAB = '0'
end if;
when ST_CTRL_01 =>
if (COUNT_L = CNTS30) --156250
-- if (COUNT >=3)
then
-- VAS01
n_COUNT_L <= COUNT_L+1;
n_COUNT_S <= x"0000";
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_STOP <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_02; -- Zustandsuebgergang
else --n_COUNT < CNTS30
--VAS01
n_COUNT_L <= COUNT_L+1;
n_COUNT_S <= x"0000";
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_STOP <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_01; --Zaehlschleife
end if;
when ST_CTRL_02 =>
if (InAB_S = '0')
then
-- VAS00
n_COUNT_L <= x"00000"; -- Zaehler Neustart
n_COUNT_S <= x"0000";
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_STOP <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_03; -- Zustandsuebgergang
else -- InAB_S = '1'
--VAS01
n_COUNT_L <= COUNT_L+1; -- dieser Zähler wird nicht abgefragt! (Sinnlos?)
n_COUNT_S <= x"0000";
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_STOP <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_02; --warte tsyn30 ab
end if;
when ST_CTRL_03 =>
if (COUNT_S = CNTT01) --2604
then
-- VAS02
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_STOP <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_04; -- Zustandsuebgergang
else --n_COUNT < CNTT01
-- VAS02
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_STOP <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_03; --Zaehlschleife
end if;
when ST_CTRL_04 =>
if (InAB_S = '0') -- Startbit erkannt
then
-- VAS02
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_STOP <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_06; -- Zustandsuebgergang
else --InAB_S = '1'
-- VAS03
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_STOP <= '0';
CTRL_ERROR <= '1';
n_SV <= ST_CTRL_05; --Error
end if;
when ST_CTRL_05 =>
if (ERROR_QUIT = '0') -- Error nicht bestätigt
then
-- VAS03
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_STOP <= '0';
CTRL_ERROR <= '1';
n_SV <= ST_CTRL_05; -- Fehlerschleife
else --ERROR_QUIT = '1'
-- VAS00
n_COUNT_L <= x"00000"; -- Zaehler nullen
n_COUNT_S <= x"0000"; -- Zaehler nullen
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_STOP <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_00; --Zurueck zum Initialzustand
end if;
when ST_CTRL_06 =>
if (COUNT_S = CNTT02) --7812
then
-- VAS04
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '1';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= InAB_S;
BYTE_OK <= '0';
CTRL_STOP <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_07; -- Zustandsuebgergang
else --n_COUNT < CNTT02
-- VAS02
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_STOP <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_06; --Zaehlschleife
end if;
when ST_CTRL_07 =>
if (COUNT_S = CNTT03) --13020
then
-- VAS05
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '1';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= InAB_S;
BYTE_OK <= '0';
CTRL_STOP <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_08; -- Zustandsuebgergang
else --n_COUNT < CNTT03
-- VAS02
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_STOP <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_07; --Zaehlschleife
end if;
when ST_CTRL_08 =>
if (COUNT_S = CNTT04) --18229
then
-- VAS06
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '1';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= InAB_S;
BYTE_OK <= '0';
CTRL_STOP <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_09; -- Zustandsuebgergang
else --n_COUNT < CNTT04
-- VAS02
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_STOP <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_08; --Zaehlschleife
end if;
when ST_CTRL_09 =>
if (COUNT_S = CNTT05) --23435
then
-- VAS07
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '1';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= InAB_S;
BYTE_OK <= '0';
CTRL_STOP <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_0A; -- Zustandsuebgergang
else --n_COUNT < CNTT05
-- VAS02
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_STOP <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_09; --Zaehlschleife
end if;
when ST_CTRL_0A =>
if (COUNT_S = CNTT06) --28644
then
-- VAS08
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '1';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= InAB_S;
BYTE_OK <= '0';
CTRL_STOP <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_0B; -- Zustandsuebgergang
else --n_COUNT < CNTT06
-- VAS02
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_STOP <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_0A; --Zaehlschleife
end if;
when ST_CTRL_0B =>
if (COUNT_S = CNTT07) --33854
then
-- VAS09
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '1';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= InAB_S;
BYTE_OK <= '0';
CTRL_STOP <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_0C; -- Zustandsuebgergang
else --n_COUNT < CNTT07
-- VAS02
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_STOP <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_0B; --Zaehlschleife
end if;
when ST_CTRL_0C =>
if (COUNT_S = CNTT08) --39062
then
-- VAS10
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '1';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= InAB_S;
BYTE_OK <= '0';
CTRL_STOP <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_0D; -- Zustandsuebgergang
else --n_COUNT < CNTT08
-- VAS02
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_STOP <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_0C; --Zaehlschleife
end if;
when ST_CTRL_0D =>
if (COUNT_S = CNTT09) --44270
then
-- VAS11
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '1';
EN_BIT_8 <= '0';
BIT_VALUE <= InAB_S;
BYTE_OK <= '0';
CTRL_STOP <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_0E; -- Zustandsuebgergang
else --n_COUNT < CNTT09
-- VAS02
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_STOP <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_0D; --Zaehlschleife
end if;
when ST_CTRL_0E =>
if (COUNT_S = CNTT10) --49479
then
-- VAS12
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '1';
BIT_VALUE <= InAB_S;
BYTE_OK <= '0';
CTRL_STOP <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_0F; -- Zustandsuebgergang
else --n_COUNT < CNTT10
-- VAS02
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_STOP <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_0E; --Zaehlschleife
end if;
when ST_CTRL_0F =>
if (COUNT_S = CNTT11) --54687
then
-- VAS02
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_STOP <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_10; -- Zustandsuebgergang
else --n_COUNT < CNTT11
-- VAS02
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_STOP <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_0F; --Zaehlschleife
end if;
when ST_CTRL_10 =>
if (InAB_S = '0')
then
-- VAS03
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_STOP <= '0';
CTRL_ERROR <= '1';
n_SV <= ST_CTRL_05; -- Error: Kein Stoppbit
else --InAB_S = '1'
-- VAS13
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '1';
CTRL_STOP <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_11; --Stoppbit erkannt
end if;
when ST_CTRL_11 =>
if (STOP = '1')
then
-- VAS14
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_STOP <= '1';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_11; -- Stopp nach einem Byte
else --STOP_S = '0'
-- VAS02
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_STOP <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_12; --kein Stop gesetzt
end if;
when ST_CTRL_12 =>
if (COUNT_S = CNTT12) --60937
then
-- VAS02
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_STOP <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_13; -- Zustandsuebgergang
else -- n_COUNT < CNTT12
-- VAS02
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_STOP <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_12; --Zaehlschleife
end if;
when ST_CTRL_13 =>
if (InAB_S = '0') -- Startbit gefunden
then
-- VAS00
n_COUNT_L <= x"00000"; -- Zaehler Neustart
n_COUNT_S <= x"0000"; -- Zaehler Neustart
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_STOP <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_03; -- Zustandsuebgergang
else -- InAB_S = '1'
-- VAS02
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_STOP <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_14; --Zaehlschleife Teil 1
end if;
when ST_CTRL_14 =>
if (COUNT_S = CNTT13) --64062
then
-- VAS00
n_COUNT_L <= x"00000"; -- Zaehler nullen
n_COUNT_S <= x"0000"; -- Zaehler nullen
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_STOP <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_00; -- Kein Startbit gefunden (neues SYN?)
else -- n_COUNT < CNTT13
-- VAS02
n_COUNT_L <= x"00000";
n_COUNT_S <= COUNT_S+1;
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_STOP <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_13; --Zaehlschleife Teil 2
end if;
when others =>
-- VAS00
n_COUNT_L <= x"00000"; -- Zaehler Neustart
n_COUNT_S <= x"0000"; -- Zaehler Neustart
EN_BIT_0 <= '0';
EN_BIT_1 <= '0';
EN_BIT_2 <= '0';
EN_BIT_3 <= '0';
EN_BIT_4 <= '0';
EN_BIT_5 <= '0';
EN_BIT_6 <= '0';
EN_BIT_7 <= '0';
EN_BIT_8 <= '0';
BIT_VALUE <= '0';
BYTE_OK <= '0';
CTRL_STOP <= '0';
CTRL_ERROR <= '0';
n_SV <= ST_CTRL_00;
end case;
end process;
PARITY_CHECK_PROC: process (BYTE_VEC) --Paritätsprüfung
begin
TMP00 <= BYTE_VEC(0) xor BYTE_VEC(1);
TMP01 <= BYTE_VEC(2) xor BYTE_VEC(3);
TMP02 <= BYTE_VEC(4) xor BYTE_VEC(5);
TMP03 <= BYTE_VEC(6) xor BYTE_VEC(7);
TMP10 <= TMP00 xor TMP01;
TMP11 <= TMP02 xor TMP03;
TMP20 <= TMP10 xor TMP11;
if (TMP20 = BYTE_VEC(8))
then PARITY_OK <= '1'; -- Parität korrekt
else PARITY_OK <= '0'; -- Parität fehlerhaft
end if;
end process;
BYTE_OUT_PORC: process (BYTE_VEC) --BYTEausgabe
begin
BYTE_OUT(0) <= BYTE_VEC(0);
BYTE_OUT(1) <= BYTE_VEC(1);
BYTE_OUT(2) <= BYTE_VEC(2);
BYTE_OUT(3) <= BYTE_VEC(3);
BYTE_OUT(4) <= BYTE_VEC(4);
BYTE_OUT(5) <= BYTE_VEC(5);
BYTE_OUT(6) <= BYTE_VEC(6);
BYTE_OUT(7) <= BYTE_VEC(7);
BYTE_OUT(8) <= BYTE_VEC(8); --Bit 8 Test, nach Test entfernen
end process;
STATE_DISPL_PROC: process (SV, n_SV, DISPL_COUNT, DISPL_COUNT_SWITCH, LONG_STATE_SV, LONG_STATE_n_SV, COUNT_L ,COUNT_S) -- Zustandsanzeige
begin
LONG_STATE_SV <= conv_std_logic_vector(TYPE_STATE'pos( SV),8); --Zustandsumwandlung in 8 Bit
LONG_STATE_n_SV <= conv_std_logic_vector(TYPE_STATE'pos(n_SV),8);
DISPL1_SV(0) <= LONG_STATE_SV(0); --Bit0
DISPL1_SV(1) <= LONG_STATE_SV(1); --Bit1
DISPL1_SV(2) <= LONG_STATE_SV(2); --Bit2
DISPL1_SV(3) <= LONG_STATE_SV(3); --Bit3
DISPL2_SV(0) <= LONG_STATE_SV(4); --usw.
DISPL2_SV(1) <= LONG_STATE_SV(5);
DISPL2_SV(2) <= LONG_STATE_SV(6);
DISPL2_SV(3) <= LONG_STATE_SV(7);
if (DISPL_COUNT ='0')
then --Folgezustand anzeigen
DISPL1_n_SV(0) <= LONG_STATE_n_SV(0);
DISPL1_n_SV(1) <= LONG_STATE_n_SV(1);
DISPL1_n_SV(2) <= LONG_STATE_n_SV(2);
DISPL1_n_SV(3) <= LONG_STATE_n_SV(3);
DISPL2_n_SV(0) <= LONG_STATE_n_SV(4);
DISPL2_n_SV(1) <= LONG_STATE_n_SV(5);
DISPL2_n_SV(2) <= LONG_STATE_n_SV(6);
DISPL2_n_SV(3) <= LONG_STATE_n_SV(7);
else --Zähler anzeigen
if (DISPL_COUNT_SWITCH ='0')
then --kleinen Zaehler anzeigen
DISPL1_n_SV(0) <= COUNT_S(0);
DISPL1_n_SV(1) <= COUNT_S(1);
DISPL1_n_SV(2) <= COUNT_S(2);
DISPL1_n_SV(3) <= COUNT_S(3);
DISPL2_n_SV(0) <= COUNT_S(4);
DISPL2_n_SV(1) <= COUNT_S(5);
DISPL2_n_SV(2) <= COUNT_S(6);
DISPL2_n_SV(3) <= COUNT_S(7);
else -- langen Zaehler anzeigen
DISPL1_n_SV(0) <= COUNT_L(0);
DISPL1_n_SV(1) <= COUNT_L(1);
DISPL1_n_SV(2) <= COUNT_L(2);
DISPL1_n_SV(3) <= COUNT_L(3);
DISPL2_n_SV(0) <= COUNT_L(4);
DISPL2_n_SV(1) <= COUNT_L(5);
DISPL2_n_SV(2) <= COUNT_L(6);
DISPL2_n_SV(3) <= COUNT_L(7);
end if;
end if;
end process;
SWITCH_VALUES_PROC: process (CHOSE_VALUE) --Schaltet zw. langen und kurzem Zaehler um
begin
if (CHOSE_VALUE = '0')
then
--normale Werte
CNTS30 <= long_CNTS30;
CNTT01 <= long_CNTT01;
CNTT02 <= long_CNTT02;
CNTT03 <= long_CNTT03;
CNTT04 <= long_CNTT04;
CNTT05 <= long_CNTT05;
CNTT06 <= long_CNTT06;
CNTT07 <= long_CNTT07;
CNTT08 <= long_CNTT08;
CNTT09 <= long_CNTT09;
CNTT10 <= long_CNTT10;
CNTT11 <= long_CNTT11;
CNTT12 <= long_CNTT12;
CNTT13 <= long_CNTT13;
else
--kurze Werte
CNTS30 <= short_CNTS30;
CNTT01 <= short_CNTT01;
CNTT02 <= short_CNTT02;
CNTT03 <= short_CNTT03;
CNTT04 <= short_CNTT04;
CNTT05 <= short_CNTT05;
CNTT06 <= short_CNTT06;
CNTT07 <= short_CNTT07;
CNTT08 <= short_CNTT08;
CNTT09 <= short_CNTT09;
CNTT10 <= short_CNTT10;
CNTT11 <= short_CNTT11;
CNTT12 <= short_CNTT12;
CNTT13 <= short_CNTT13;
end if;
end process;
end Behavioral;
| gpl-2.0 |
rxfx/profibusmonitor | VHDL_Bausteine_old/abandoned_code/Rueckfallposition_19_12_2012/TEST_CTRL_9P6_50MHZ_SCH/NIB2_7SEG.vhd | 6 | 4367 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following lines to use the declarations that are
-- provided for instantiating Xilinx primitive components.
--library UNISIM;
--use UNISIM.VComponents.all;
entity NIB2_7SEG_SRC is
Port ( NIB0 : in std_logic_vector(7 downto 0); -- Nibble Ziffer 0
NIB1 : in std_logic_vector(7 downto 0); -- Nibble Ziffer 1
CLK_DISPL : in std_logic; -- Umschaltfrequenz empfohlen: 1 kHz
ZI0 : out std_logic; -- 1: Ziffer 0 soll leuchten
ZI1 : out std_logic; -- 1: Ziffer 1 soll leuchten
ZI2 : out std_logic; -- 1: Ziffer 2 soll leuchten
ZI3 : out std_logic; -- 1: Ziffer 3 soll leuchten
BA : out std_logic; -- 0: Segment A soll leuchten
BB : out std_logic; -- 0: Segment B soll leuchten
BC : out std_logic; -- 0: Segment C soll leuchten
BD : out std_logic; -- 0: Segment D soll leuchten
BE : out std_logic; -- 0: Segment E soll leuchten
BF : out std_logic; -- 0: Segment F soll leuchten
BG : out std_logic); -- 0: Segment G soll leuchten
end NIB2_7SEG_SRC;
architecture Behavioral of NIB2_7SEG_SRC is
signal COUNTER : std_logic;
signal NIB_ANZ : std_logic_vector(7 downto 0);
begin
process(CLK_DISPL, NIB1, NIB0, NIB_ANZ, COUNTER)
begin
If (CLK_DISPL'event and CLK_DISPL = '1')
then
IF COUNTER = '0'
then COUNTER <= '1';
else COUNTER <= '0';
end if;
end if;
case COUNTER is
when '0' => ZI0 <= '1';
ZI1 <= '0';
ZI2 <= '1';
ZI3 <= '0';
NIB_ANZ <= NIB0;
when '1' => ZI0 <= '0';
ZI1 <= '1';
ZI2 <= '0';
ZI3 <= '1';
NIB_ANZ <= NIB1;
when others => ZI0 <= '1';
ZI1 <= '0';
ZI2 <= '1';
ZI3 <= '0';
NIB_ANZ <= NIB0;
end case;
case NIB_ANZ is
when "00000000" => BG <= '1'; BF <= '0'; BE <= '0'; BD <= '0'; BC <= '0'; BB <= '0'; BA <= '0'; --00
when "00000001" => BG <= '1'; BF <= '1'; BE <= '1'; BD <= '1'; BC <= '0'; BB <= '0'; BA <= '1'; --01
when "00000010" => BG <= '0'; BF <= '1'; BE <= '0'; BD <= '0'; BC <= '1'; BB <= '0'; BA <= '0'; --02
when "00000011" => BG <= '0'; BF <= '1'; BE <= '1'; BD <= '0'; BC <= '0'; BB <= '0'; BA <= '0'; --03
when "00000100" => BG <= '0'; BF <= '0'; BE <= '1'; BD <= '1'; BC <= '0'; BB <= '0'; BA <= '1'; --04
when "00000101" => BG <= '0'; BF <= '0'; BE <= '1'; BD <= '0'; BC <= '0'; BB <= '1'; BA <= '0'; --05
when "00000110" => BG <= '0'; BF <= '0'; BE <= '0'; BD <= '0'; BC <= '0'; BB <= '1'; BA <= '0'; --06
when "00000111" => BG <= '1'; BF <= '1'; BE <= '1'; BD <= '1'; BC <= '0'; BB <= '0'; BA <= '0'; --07
when "00001000" => BG <= '0'; BF <= '0'; BE <= '0'; BD <= '0'; BC <= '0'; BB <= '0'; BA <= '0'; --08
when "00001001" => BG <= '0'; BF <= '0'; BE <= '1'; BD <= '0'; BC <= '0'; BB <= '0'; BA <= '0'; --09
when "00001010" => BG <= '0'; BF <= '0'; BE <= '0'; BD <= '1'; BC <= '0'; BB <= '0'; BA <= '0'; --0A
when "00001011" => BG <= '0'; BF <= '0'; BE <= '0'; BD <= '0'; BC <= '0'; BB <= '1'; BA <= '1'; --0B
when "00001100" => BG <= '0'; BF <= '1'; BE <= '0'; BD <= '0'; BC <= '1'; BB <= '1'; BA <= '1'; --0C
when "00001101" => BG <= '0'; BF <= '1'; BE <= '0'; BD <= '0'; BC <= '0'; BB <= '0'; BA <= '1'; --0D
when "00001110" => BG <= '0'; BF <= '0'; BE <= '0'; BD <= '0'; BC <= '1'; BB <= '1'; BA <= '0'; --0E
when "00001111" => BG <= '0'; BF <= '0'; BE <= '0'; BD <= '1'; BC <= '1'; BB <= '1'; BA <= '0'; --0F
when "00010000" => BG <= '1'; BF <= '0'; BE <= '0'; BD <= '0'; BC <= '0'; BB <= '0'; BA <= '0'; --10
when "00010001" => BG <= '1'; BF <= '1'; BE <= '1'; BD <= '1'; BC <= '0'; BB <= '0'; BA <= '1'; --11
when "00010010" => BG <= '0'; BF <= '1'; BE <= '0'; BD <= '0'; BC <= '1'; BB <= '0'; BA <= '0'; --12
when "00010011" => BG <= '0'; BF <= '1'; BE <= '1'; BD <= '0'; BC <= '0'; BB <= '0'; BA <= '0'; --13
when others => BG <= '1'; BF <= '1'; BE <= '1'; BD <= '1'; BC <= '1'; BB <= '1'; BA <= '1';
end case;
end process;
end Behavioral;
| gpl-2.0 |
freecores/gamepads | gcpad/rtl/vhdl/gcpad_comp-p.vhd | 1 | 2323 | -------------------------------------------------------------------------------
--
-- GCpad controller core
--
-- Copyright (c) 2004, Arnim Laeuger ([email protected])
--
-- $Id: gcpad_comp-p.vhd,v 1.1 2004-10-10 10:09:15 arniml Exp $
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
package gcpad_comp is
component gcpad_basic
generic (
reset_level_g : integer := 0;
clocks_per_1us_g : integer := 2
);
port (
clk_i : in std_logic;
reset_i : in std_logic;
pad_request_i : in std_logic;
pad_avail_o : out std_logic;
pad_data_io : inout std_logic;
but_a_o : out std_logic;
but_b_o : out std_logic;
but_x_o : out std_logic;
but_y_o : out std_logic;
but_z_o : out std_logic;
but_start_o : out std_logic;
but_tl_o : out std_logic;
but_tr_o : out std_logic;
but_left_o : out std_logic;
but_right_o : out std_logic;
but_up_o : out std_logic;
but_down_o : out std_logic;
ana_joy_x_o : out std_logic_vector(7 downto 0);
ana_joy_y_o : out std_logic_vector(7 downto 0);
ana_c_x_o : out std_logic_vector(7 downto 0);
ana_c_y_o : out std_logic_vector(7 downto 0);
ana_l_o : out std_logic_vector(7 downto 0);
ana_r_o : out std_logic_vector(7 downto 0)
);
end component;
component gcpad_full
generic (
reset_level_g : integer := 0;
clocks_per_1us_g : integer := 2
);
port (
clk_i : in std_logic;
reset_i : in std_logic;
pad_request_i : in std_logic;
pad_avail_o : out std_logic;
pad_timeout_o : out std_logic;
tx_size_i : in std_logic_vector( 1 downto 0);
tx_command_i : in std_logic_vector(23 downto 0);
rx_size_i : in std_logic_vector( 3 downto 0);
rx_data_o : out std_logic_vector(63 downto 0);
pad_data_io : inout std_logic
);
end component;
end gcpad_comp;
| gpl-2.0 |
freecores/gamepads | snespad/bench/vhdl/tb.vhd | 1 | 7094 | -------------------------------------------------------------------------------
--
-- Testbench for the
-- SNESpad controller core
--
-- $Id: tb.vhd,v 1.2 2004-10-05 18:19:08 arniml Exp $
--
-- Copyright (c) 2004, Arnim Laeuger ([email protected])
--
-- All rights reserved
--
-- Redistribution and use in source and synthezised forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
--
-- Redistributions in synthesized form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- Neither the name of the author nor the names of other contributors may
-- be used to endorse or promote products derived from this software without
-- specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-- Please report bugs to the author, but before you do so, please
-- make sure that this is not a derivative work and that
-- you have the latest version of this file.
--
-- The latest version of this file can be found at:
-- http://www.opencores.org/cvsweb.shtml/gamepads/
--
-- The project homepage is located at:
-- http://www.opencores.org/projects.cgi/web/gamepads/overview
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
entity tb is
end tb;
use work.snespad_pack.all;
use work.snespad_comp.snespad;
architecture behav of tb is
constant period_c : time := 100 ns;
constant num_pads_c : natural := 2;
constant reset_level_c : natural := 0;
constant button_level_c : natural := 0;
signal clk_s : std_logic;
signal reset_s : std_logic;
signal pad_clk_s : std_logic;
signal pad_latch_s : std_logic;
signal pad_data_s : std_logic_vector(num_pads_c-1 downto 0);
type buttons_t is array (11 downto 0) of std_logic_vector(num_pads_c-1 downto 0);
signal buttons_s : buttons_t;
signal buttons0_s,
buttons1_s : std_logic_vector(11 downto 0);
begin
dut : snespad
generic map (
num_pads_g => 2,
reset_level_g => reset_level_c,
button_level_g => button_level_c,
clocks_per_6us_g => 60
)
port map (
clk_i => clk_s,
reset_i => reset_s,
pad_clk_o => pad_clk_s,
pad_latch_o => pad_latch_s,
pad_data_i => pad_data_s,
but_a_o => buttons_s(but_pos_a_c),
but_b_o => buttons_s(but_pos_b_c),
but_x_o => buttons_s(but_pos_x_c),
but_y_o => buttons_s(but_pos_y_c),
but_start_o => buttons_s(but_pos_start_c),
but_sel_o => buttons_s(but_pos_sel_c),
but_tl_o => buttons_s(but_pos_tl_c),
but_tr_o => buttons_s(but_pos_tr_c),
but_up_o => buttons_s(but_pos_up_c),
but_down_o => buttons_s(but_pos_down_c),
but_left_o => buttons_s(but_pos_left_c),
but_right_o => buttons_s(but_pos_right_c)
);
buttons: process (buttons_s)
begin
for i in 0 to 11 loop
buttons0_s(i) <= buttons_s(i)(0);
buttons1_s(i) <= buttons_s(i)(1);
end loop;
end process buttons;
-----------------------------------------------------------------------------
-- DUT Stimuli
-----------------------------------------------------------------------------
stimuli: process
procedure dispatch(pad : in natural;
packet : in std_logic_vector(11 downto 0)) is
begin
wait until pad_latch_s = '0';
for i in 11 downto 0 loop
wait until pad_clk_s = '0';
pad_data_s(pad) <= packet(i);
wait until pad_clk_s = '1';
end loop;
wait for period_c;
assert pad_latch_s = '1'
report "Latch not deasserted!"
severity error;
wait for period_c;
for i in 11 downto 0 loop
assert button_active_f(buttons_s(i)(pad), button_level_c) = packet(i)
report "Mismatch for received vs. sent buttons!"
severity error;
end loop;
end dispatch;
begin
pad_data_s <= (others => '1');
wait until reset_s = '1';
wait for period_c * 4;
for pad in 0 to 1 loop
dispatch(pad, packet => "000000000000");
dispatch(pad, packet => "111111111111");
dispatch(pad, packet => "010101010101");
dispatch(pad, packet => "101010101010");
dispatch(pad, packet => "100000000000");
dispatch(pad, packet => "010000000000");
dispatch(pad, packet => "001000000000");
dispatch(pad, packet => "000100000000");
dispatch(pad, packet => "000010000000");
dispatch(pad, packet => "000001000000");
dispatch(pad, packet => "000000100000");
dispatch(pad, packet => "000000010000");
dispatch(pad, packet => "000000001000");
dispatch(pad, packet => "000000000100");
dispatch(pad, packet => "000000000010");
dispatch(pad, packet => "000000000001");
end loop;
wait for period_c * 4;
assert false
report "End of simulation reached."
severity failure;
end process stimuli;
-----------------------------------------------------------------------------
-- Clock Generator
-----------------------------------------------------------------------------
clk: process
begin
clk_s <= '0';
wait for period_c / 2;
clk_s <= '1';
wait for period_c / 2;
end process clk;
-----------------------------------------------------------------------------
-- Reset Generator
-----------------------------------------------------------------------------
reset: process
begin
if reset_level_c = 0 then
reset_s <= '0';
else
reset_s <= '1';
end if;
wait for period_c * 4 + 10 ns;
reset_s <= not reset_s;
wait;
end process reset;
end behav;
-------------------------------------------------------------------------------
-- File History:
--
-- $Log: not supported by cvs2svn $
-- Revision 1.1 2004/10/05 17:05:31 arniml
-- initial check-in
--
-------------------------------------------------------------------------------
| gpl-2.0 |
rxfx/profibusmonitor | VHDL_Bausteine/TEST_CTRL_TELEGRAM_CHECK/NIB4_7SEG_SRC.vhd | 38 | 4182 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following lines to use the declarations that are
-- provided for instantiating Xilinx primitive components.
--library UNISIM;
--use UNISIM.VComponents.all;
entity NIB4_7SEG_SRC is
Port ( NIB0 : in std_logic_vector(3 downto 0); -- Nibble Ziffer 0
NIB1 : in std_logic_vector(3 downto 0); -- Nibble Ziffer 1
NIB2 : in std_logic_vector(3 downto 0); -- Nibble Ziffer 2
NIB3 : in std_logic_vector(3 downto 0); -- Nibble Ziffer 3
CLK_DISPL : in std_logic; -- Umschaltfrequenz empfohlen: 1 kHz
ZI0 : out std_logic; -- 1: Ziffer 0 soll leuchten
ZI1 : out std_logic; -- 1: Ziffer 1 soll leuchten
ZI2 : out std_logic; -- 1: Ziffer 2 soll leuchten
ZI3 : out std_logic; -- 1: Ziffer 3 soll leuchten
BA : out std_logic; -- 0: Segment A soll leuchten
BB : out std_logic; -- 0: Segment B soll leuchten
BC : out std_logic; -- 0: Segment C soll leuchten
BD : out std_logic; -- 0: Segment D soll leuchten
BE : out std_logic; -- 0: Segment E soll leuchten
BF : out std_logic; -- 0: Segment F soll leuchten
BG : out std_logic); -- 0: Segment G soll leuchten
end NIB4_7SEG_SRC;
architecture Behavioral of NIB4_7SEG_SRC is
signal COUNTER : std_logic_vector(1 downto 0);
signal NIB_ANZ : std_logic_vector(3 downto 0);
begin
process(CLK_DISPL, NIB3, NIB2, NIB1, NIB0, NIB_ANZ, COUNTER)
begin
If (CLK_DISPL'event and CLK_DISPL = '1')
then COUNTER <= COUNTER +1;
end if;
case COUNTER is
when "00" => ZI0 <= '1';
ZI1 <= '0';
ZI2 <= '0';
ZI3 <= '0';
NIB_ANZ <= NIB0;
when "01" => ZI0 <= '0';
ZI1 <= '1';
ZI2 <= '0';
ZI3 <= '0';
NIB_ANZ <= NIB1;
when "10" => ZI0 <= '0';
ZI1 <= '0';
ZI2 <= '1';
ZI3 <= '0';
NIB_ANZ <= NIB2;
when "11" => ZI0 <= '0';
ZI1 <= '0';
ZI2 <= '0';
ZI3 <= '1';
NIB_ANZ <= NIB3;
when others => ZI0 <= '1';
ZI1 <= '0';
ZI2 <= '0';
ZI3 <= '0';
NIB_ANZ <= NIB0;
end case;
case NIB_ANZ is
when "0000" => BG <= '1'; BF <= '0'; BE <= '0'; BD <= '0'; BC <= '0'; BB <= '0'; BA <= '0'; --0
when "0001" => BG <= '1'; BF <= '1'; BE <= '1'; BD <= '1'; BC <= '0'; BB <= '0'; BA <= '1'; --1
when "0010" => BG <= '0'; BF <= '1'; BE <= '0'; BD <= '0'; BC <= '1'; BB <= '0'; BA <= '0'; --2
when "0011" => BG <= '0'; BF <= '1'; BE <= '1'; BD <= '0'; BC <= '0'; BB <= '0'; BA <= '0'; --3
when "0100" => BG <= '0'; BF <= '0'; BE <= '1'; BD <= '1'; BC <= '0'; BB <= '0'; BA <= '1'; --4
when "0101" => BG <= '0'; BF <= '0'; BE <= '1'; BD <= '0'; BC <= '0'; BB <= '1'; BA <= '0'; --5
when "0110" => BG <= '0'; BF <= '0'; BE <= '0'; BD <= '0'; BC <= '0'; BB <= '1'; BA <= '0'; --6
when "0111" => BG <= '1'; BF <= '1'; BE <= '1'; BD <= '1'; BC <= '0'; BB <= '0'; BA <= '0'; --7
when "1000" => BG <= '0'; BF <= '0'; BE <= '0'; BD <= '0'; BC <= '0'; BB <= '0'; BA <= '0'; --8
when "1001" => BG <= '0'; BF <= '0'; BE <= '1'; BD <= '0'; BC <= '0'; BB <= '0'; BA <= '0'; --9
when "1010" => BG <= '0'; BF <= '0'; BE <= '0'; BD <= '1'; BC <= '0'; BB <= '0'; BA <= '0'; --A
when "1011" => BG <= '0'; BF <= '0'; BE <= '0'; BD <= '0'; BC <= '0'; BB <= '1'; BA <= '1'; --B
when "1100" => BG <= '0'; BF <= '1'; BE <= '0'; BD <= '0'; BC <= '1'; BB <= '1'; BA <= '1'; --C
when "1101" => BG <= '0'; BF <= '1'; BE <= '0'; BD <= '0'; BC <= '0'; BB <= '0'; BA <= '1'; --D
when "1110" => BG <= '0'; BF <= '0'; BE <= '0'; BD <= '0'; BC <= '1'; BB <= '1'; BA <= '0'; --E
when "1111" => BG <= '0'; BF <= '0'; BE <= '0'; BD <= '1'; BC <= '1'; BB <= '1'; BA <= '0'; --F
when others => BG <= '1'; BF <= '1'; BE <= '1'; BD <= '1'; BC <= '1'; BB <= '1'; BA <= '1';
end case;
end process;
end Behavioral;
| gpl-2.0 |
steveicarus/ivtest | ivltests/vhdl_array_of_array.vhd | 3 | 1276 | -- Copyright (c) 2015 CERN
-- Maciej Suminski <[email protected]>
--
-- This source code is free software; you can redistribute it
-- and/or modify it in source code form under the terms of the GNU
-- General Public License as published by the Free Software
-- Foundation; either version 2 of the License, or (at your option)
-- any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA
-- Test case for handling an array of arrays
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity vhdl_array_of_array is
end entity vhdl_array_of_array;
architecture test of vhdl_array_of_array is
type t_byte_array is array (natural range <>) of std_logic_vector(7 downto 0);
signal sig : t_byte_array(2 downto 0);
begin
sig <= (0 => x"aa", 1 => x"bb", 2 => x"cc");
end architecture test;
| gpl-2.0 |
S0obi/SY23 | programmable_clock_divider/programmable_clock_divider_test.vhdl | 1 | 2376 | LIBRARY ieee;
LIBRARY std;
use ieee.std_logic_textio.all;
use std.textio.all;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_unsigned.all;
USE ieee.numeric_std.ALL;
entity programmable_clock_divider_test is
end programmable_clock_divider_test;
architecture behavior of programmable_clock_divider_test is
-- Component Declaration for the Unit Under Test (UUT)
component programmable_clock_divider
GENERIC (
Nbits: integer := 8;
Nmax: integer := 128
);
PORT(
clk : in STD_LOGIC;
clkdiv: in STD_LOGIC_VECTOR(Nbits-1 downto 0);
reset: in STD_LOGIC;
clk_out : out STD_LOGIC
);
end component;
-- Constants
constant N: integer := 8;
constant clk_period : time := 20 ns;
constant clk_te_period : time := 20 ns;
constant dT : real := 2.0; --ns
constant separator: String(1 to 1) := ";"; -- CSV separator
signal tb_clk, tb_reset, tb_clk_out: STD_LOGIC;
signal tb_clkdiv : STD_LOGIC_VECTOR(N-1 downto 0);
signal clk_te : STD_LOGIC;
begin
-- Instantiate the Unit Under Test (UUT)
uut: programmable_clock_divider PORT MAP (
clk => tb_clk,
clkdiv => tb_clkdiv,
reset => tb_reset,
clk_out => tb_clk_out
);
-- Clock process definitions
clk_process: process
begin
tb_clk <= '0';
wait for clk_period/2;
tb_clk <= '1';
wait for clk_period/2;
end process clk_process;
-- Clock process definitions
clk_te_process: process
begin
clk_te <= '0';
wait for clk_te_period/2;
clk_te <= '1';
wait for clk_te_period/2;
end process clk_te_process;
-- Stimulus process
stim_proc: process
begin
tb_clkdiv <= "00000100"; -- 4
wait for clk_period*10;
tb_reset <= '1';
wait for clk_period*10;
tb_reset <= '0';
-- insert stimulus here
wait;
end process stim_proc;
result: process(clk_te)
file filedatas: text open WRITE_MODE is "clock_divider.csv";
variable s : line;
variable temps : real := 0.0;
begin
--if rising_edge(clk_te) then
write(s, temps); write(s, separator);
write(s, clk_te); write(s, separator);
write(s, tb_clk_out); write(s, separator);
write(s, tb_reset); write(s, separator);
writeline(filedatas,s);
temps := temps + dT;
--end if;
end process result;
end architecture behavior;
| gpl-2.0 |
steveicarus/ivtest | ivltests/vhdl_not104_stdlogic.vhd | 4 | 263 | library ieee;
use ieee.std_logic_1164.all;
entity not104 is
port (
a_i : in std_logic_vector (103 downto 0);
c_o : out std_logic_vector (103 downto 0)
);
end entity not104;
architecture rtl of not104 is
begin
c_o <= not a_i;
end architecture rtl;
| gpl-2.0 |
99yen/vhdl-snake | lfsr15.vhd | 1 | 547 | library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity LFSR15 is
port (
CLK : in std_logic;
RST : in std_logic;
RAND : out std_logic_vector(14 downto 0)
);
end LFSR15;
architecture RTL of LFSR15 is
signal FEEDBACK : std_logic;
signal SR : std_logic_vector(14 downto 0);
begin
RAND <= SR;
FEEDBACK <= SR(14) xor SR(13);
process (CLK, RST) begin
if (RST = '0') then
SR <= "000000000000001";
elsif(CLK'event and CLK = '1') then
SR <= SR(13 downto 0) & FEEDBACK;
end if;
end process;
end RTL;
| gpl-2.0 |
steveicarus/ivtest | ivltests/vhdl_or23_bit.vhd | 4 | 282 | library ieee;
use ieee.numeric_bit.all;
entity or23 is
port (
a_i : in bit_vector (22 downto 0);
b_i : in bit_vector (22 downto 0);
c_o : out bit_vector (22 downto 0)
);
end entity or23;
architecture rtl of or23 is
begin
c_o <= a_i or b_i;
end architecture rtl;
| gpl-2.0 |
steveicarus/ivtest | ivltests/work7b/bigcount.vhd | 4 | 641 | library ieee;
library uselib;
use ieee.std_logic_1164.all;
use uselib.work7.all;
entity bigcount is
port (clk, reset: in std_logic;
count: out std_logic_vector (24 downto 0)
);
end entity bigcount;
architecture bigcount_rtl of bigcount is
signal d, t, q, myreset: std_logic;
begin
d <= t xor q;
myreset <= reset or t;
f1: fdc port map (clk => clk, reset => reset, d => d, q => q);
tb: timebase port map (CLOCK => clk, RESET => myreset, ENABLE => '1', TICK => t, COUNT_VALUE => open );
counting: timebase port map (CLOCK => clk, RESET => reset, ENABLE => q, TICK => open, COUNT_VALUE => count );
end bigcount_rtl;
| gpl-2.0 |
steveicarus/ivtest | ivltests/vhdl_prefix_array.vhd | 3 | 1689 | -- Copyright (c) 2015 CERN
-- Maciej Suminski <[email protected]>
--
-- This source code is free software; you can redistribute it
-- and/or modify it in source code form under the terms of the GNU
-- General Public License as published by the Free Software
-- Foundation; either version 2 of the License, or (at your option)
-- any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA
-- Example to test prefix for VTypeArray (and using function as index).
library ieee;
use ieee.std_logic_1164.all;
entity prefix_array is
port(sel_word : in std_logic_vector(1 downto 0);
out_word : out integer);
end entity prefix_array;
architecture test of prefix_array is
type t_timeouts is
record
a : integer;
b : integer;
end record;
type t_timeouts_table is array (natural range <>) of t_timeouts;
constant c_TIMEOUTS_TABLE : t_timeouts_table(3 downto 0) :=
(0 => (a => 1, b => 2),
1 => (a => 3, b => 4),
2 => (a => 5, b => 6),
3 => (a => 7, b => 8));
begin
process(sel_word)
begin
out_word <= to_unsigned((c_TIMEOUTS_TABLE(to_integer(unsigned(sel_word))).a), 32);
end process;
end architecture test;
| gpl-2.0 |
steveicarus/ivtest | ivltests/work7/work7-pkg.vhd | 8 | 579 | library ieee;
use ieee.std_logic_1164.all;
package work7 is
-- D-type flip flop
component fdc is
port (clk: in std_logic;
reset: in std_logic;
d: in std_logic;
q: out std_logic);
end component;
component TimeBase is
port(
CLOCK : in std_logic; -- input clock of 20MHz
TICK : out std_logic; -- out 1 sec timebase signal
RESET : in std_logic; -- master reset signal (active high)
ENABLE : in std_logic;
COUNT_VALUE: out std_logic_vector (24 downto 0)
);
end component;
end package work7;
| gpl-2.0 |
steveicarus/ivtest | ivltests/vhdl_not23_bit.vhd | 4 | 243 | library ieee;
use ieee.numeric_bit.all;
entity not23 is
port (
a_i : in bit_vector (22 downto 0);
c_o : out bit_vector (22 downto 0)
);
end entity not23;
architecture rtl of not23 is
begin
c_o <= not a_i;
end architecture rtl;
| gpl-2.0 |
steveicarus/ivtest | ivltests/varray1.vhd | 4 | 4463 | library ieee;
use ieee.std_logic_1164.all;
package diq_pkg is
component Add_Synth
generic (n: integer);
port (a,b: in std_logic_vector (n-1 downto 0);
cin: in std_logic;
comp : out std_logic;
sum : out std_logic_vector (n-1 downto 0) );
end component;
component Inc_Synth
generic (n: integer);
port (a: in std_logic_vector (n-1 downto 0);
sum : out std_logic_vector (n-1 downto 0) );
end component;
end package;
library ieee;
use ieee.std_logic_1164.all;
use work.diq_pkg.all;
entity diq_array is
generic (width: integer := 8; size: integer := 7);
port (clk,reset: in std_logic;
din,bin,xin: in std_logic_vector (width-1 downto 0);
lin: in std_logic_vector (2 downto 0);
lout: out std_logic_vector (2 downto 0);
dout,bout,xout: out std_logic_vector (width-1 downto 0) );
end diq_array;
architecture systolic of diq_array is
component diq
generic (n: integer );
port (clk,reset: in std_logic;
lin: in std_logic_vector (2 downto 0);
din,bin,xin: in std_logic_vector (n-1 downto 0);
lout: out std_logic_vector (2 downto 0);
dout,bout,xout: out std_logic_vector (n-1 downto 0) );
end component;
type path is array (0 to size) of std_logic_vector (width-1 downto 0);
type l_path is array (0 to size) of std_logic_vector (2 downto 0);
signal x_path, d_path, b_path: path;
signal l_int: l_path;
begin
gen_arrays: for i in 0 to size-1 generate
each_array: diq generic map (n => width)
port map (clk => clk, din => d_path(i), bin => b_path(i), reset => reset,
xin => x_path(i), lin => l_int(i),
dout => d_path(i+1), bout => b_path(i+1),
xout => x_path(i+1), lout => l_int(i+1) );
end generate;
d_path(0) <= din;
b_path(0) <= bin;
x_path(0) <= xin;
l_int(0) <= lin;
dout <= d_path(size);
bout <= b_path(size);
xout <= x_path(size);
lout <= l_int(size);
end systolic;
library ieee;
use ieee.std_logic_1164.all;
use work.diq_pkg.all;
entity diq is
generic (n: integer := 8);
port (clk, reset: in std_logic;
din,bin,xin: in std_logic_vector (n-1 downto 0);
lin: in std_logic_vector (2 downto 0);
dout,bout,xout: out std_logic_vector (n-1 downto 0);
lout: out std_logic_vector (2 downto 0) );
end diq;
architecture diq_wordlevel of diq is
signal b_int, d_int, x_int, x_inv: std_logic_vector (n-1 downto 0);
signal l_int, l_inc: std_logic_vector (2 downto 0);
signal sel: std_logic;
signal zero,uno: std_logic;
begin
d_reg: process(clk,reset)
begin
if reset = '1' then
d_int <= (others => '0');
elsif (clk'event and clk = '1') then
d_int <= din;
end if;
end process;
l_reg: process(clk,reset)
begin
if reset = '1' then
l_int <= (others => '0');
elsif (clk'event and clk = '1') then
l_int <= lin;
end if;
end process;
b_reg: process(clk,reset)
begin
if reset = '1' then
b_int <= (others => '0');
elsif (clk'event and clk = '1') then
b_int <= bin;
end if;
end process;
x_reg: process(clk,reset)
begin
if reset = '1' then
x_int <= (others => '0');
elsif (clk'event and clk = '1') then
x_int <= xin;
end if;
end process;
zero <= '0';
uno <= '1';
addition: Add_Synth generic map (n => n)
port map (a => b_int, b => d_int, cin => zero, comp => open, sum => bout);
x_inv <= not x_int;
comparison: Add_Synth generic map (n => n)
port map (a => b_int, b => x_inv, cin => uno, comp => sel, sum => open);
incrementer: Inc_Synth generic map (n => 3)
port map (a => l_int, sum => l_inc);
-- outputs
lout <= l_inc when (sel = '1') else l_int;
dout <= d_int;
xout <= x_int;
end diq_wordlevel;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity Inc_Synth is
generic (n: integer := 8);
port (a: in std_logic_vector (n-1 downto 0);
sum: out std_logic_vector (n-1 downto 0)
);
end Inc_Synth;
architecture compact_inc of Inc_Synth is
signal cx: std_logic_vector (n downto 0);
begin
cx <= ('0' & a) + '1';
sum <= cx (n-1 downto 0);
end compact_inc;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity Add_Synth is
generic (n: integer := 8);
port (a, b: in std_logic_vector (n-1 downto 0);
sum: out std_logic_vector (n-1 downto 0);
cin: in std_logic;
comp: out std_logic );
end Add_Synth;
architecture compact of Add_Synth is
signal cx: std_logic_vector (n downto 0);
begin
cx <= ('0' & a) + ('0' & b) + cin;
sum <= cx (n-1 downto 0);
comp <= cx(n-1);
end compact;
| gpl-2.0 |
steveicarus/ivtest | ivltests/vhdl_inout.vhd | 3 | 1278 | -- Copyright (c) 2015 CERN
-- @author Maciej Suminski <[email protected]>
--
-- This source code is free software; you can redistribute it
-- and/or modify it in source code form under the terms of the GNU
-- General Public License as published by the Free Software
-- Foundation; either version 2 of the License, or (at your option)
-- any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA
-- Test for port inout mode.
library ieee;
use ieee.std_logic_1164.all;
entity vhdl_inout is
port(a : inout std_logic;
b : in std_logic;
c : out std_logic);
end vhdl_inout;
architecture test of vhdl_inout is
begin
a <= not b;
process(a)
begin
-- c indirectly follows b
if(a = '1') then
c <= '0';
else
c <= '1';
end if;
end process;
end architecture test;
| gpl-2.0 |
steveicarus/ivtest | ivltests/vhdl_pow_rem.vhd | 2 | 1141 | -- Copyright (c) 2016 CERN
-- @author Maciej Suminski <[email protected]>
--
-- This source code is free software; you can redistribute it
-- and/or modify it in source code form under the terms of the GNU
-- General Public License as published by the Free Software
-- Foundation; either version 2 of the License, or (at your option)
-- any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA
-- Power and division remainder operators test
library ieee;
use ieee.std_logic_1164.all;
entity vhdl_pow_rem is
port(
a, b : in integer;
pow_res, rem_res : out integer
);
end vhdl_pow_rem;
architecture rtl of vhdl_pow_rem is
begin
pow_res <= a ** b;
rem_res <= a rem b;
end rtl;
| gpl-2.0 |
steveicarus/ivtest | ivltests/vhdl_andg_bit.vhd | 4 | 274 | library IEEE;
use IEEE.numeric_bit.all;
entity and_gate is
port (
a_i : in bit; -- inputs
b_i : in bit;
c_o : out bit -- output
);
end entity and_gate;
architecture rtl of and_gate is
begin
c_o <= a_i and b_i;
end architecture rtl;
| gpl-2.0 |
steveicarus/ivtest | ivltests/vhdl_xnor104_stdlogic.vhd | 4 | 317 | library ieee;
use ieee.std_logic_1164.all;
entity xnor104 is
port (
a_i : in std_logic_vector (103 downto 0);
b_i : in std_logic_vector (103 downto 0);
c_o : out std_logic_vector (103 downto 0)
);
end entity xnor104;
architecture rtl of xnor104 is
begin
c_o <= a_i xnor b_i;
end architecture rtl;
| gpl-2.0 |
daniw/ecs | vhdl/sw10/calc/calc.vhd | 1 | 3807 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 06:28:55 11/20/2014
-- Design Name:
-- Module Name: calc - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity calc is
generic (clk_frq: Integer := 50_000_000); -- 50 MHz
Port (
rst : in STD_ULOGIC; -- BTN_SOUTH
clk : in STD_ULOGIC;
rot_c : in STD_ULOGIC;
btn_east : in STD_ULOGIC;
btn_west : in STD_ULOGIC;
btn_north : in STD_ULOGIC;
sw : in STD_ULOGIC_VECTOR (3 downto 0);
led : out STD_ULOGIC_VECTOR (7 downto 0));
end calc;
architecture Behavioral of calc is
signal op1 : STD_ULOGIC_VECTOR (3 downto 0);
signal op2 : STD_ULOGIC_VECTOR (3 downto 0);
signal op : STD_ULOGIC_VECTOR (2 downto 0);
signal dbnc_cnt : integer;
signal rot_c_prev : STD_ULOGIC;
signal rot_c_dbnc : STD_ULOGIC;
component ctrl is
Port (
rst : in STD_ULOGIC;
clk : in STD_ULOGIC;
rot_c : in STD_ULOGIC;
btn_east : in STD_ULOGIC;
btn_west : in STD_ULOGIC;
btn_north : in STD_ULOGIC;
sw : in STD_ULOGIC_VECTOR (3 downto 0);
op1 : out STD_ULOGIC_VECTOR (3 downto 0);
op2 : out STD_ULOGIC_VECTOR (3 downto 0);
op : out STD_ULOGIC_VECTOR (2 downto 0)
);
end component ctrl;
component proc is
Port (
op1 : in STD_ULOGIC_VECTOR (3 downto 0);
op2 : in STD_ULOGIC_VECTOR (3 downto 0);
op : in STD_ULOGIC_VECTOR (2 downto 0);
led : out STD_ULOGIC_VECTOR (7 downto 0)
);
end component;
begin
ctrlinst : ctrl
Port Map ( rst => rst ,
clk => clk ,
rot_c => rot_c_dbnc,
btn_east => btn_east ,
btn_west => btn_west ,
btn_north => btn_north,
sw => sw ,
op1 => op1 ,
op2 => op2 ,
op => op
);
procinst : proc
Port Map ( op1 => op1,
op2 => op2,
op => op ,
led => led
);
dbnc_rot_c : process(rot_c, rst, clk)
begin
if rst = '1' then
dbnc_cnt <= 5000000;
rot_c_dbnc <= '0';
elsif rising_edge(clk) then
if dbnc_cnt = 0 then
dbnc_cnt <= 5000000;
rot_c_dbnc <= rot_c_dbnc;
elsif dbnc_cnt < 5000000 then
dbnc_cnt <= dbnc_cnt - 1;
rot_c_dbnc <= rot_c_dbnc;
elsif rot_c_prev /= rot_c then
dbnc_cnt <= dbnc_cnt - 1;
rot_c_dbnc <= rot_c;
else
dbnc_cnt <= 5000000;
rot_c_dbnc <= rot_c_dbnc;
end if;
end if;
end process;
end Behavioral;
| gpl-2.0 |
daniw/ecs | vhdl/sw12/mcu1/mcu.vhd | 1 | 4378 | -------------------------------------------------------------------------------
-- Entity: mcu
-- Author: Waj
-- Date : 11-May-13
-------------------------------------------------------------------------------
-- Description:
-- Top-level description of a simple von-Neumann MCU.
-- All top-level component are instantiated here. Also, tri-state buffers for
-- bi-directional GPIO pins are described here.
-------------------------------------------------------------------------------
-- Total # of FFs: 0
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.mcu_pkg.all;
entity mcu is
port(rst : in std_logic;
clk : in std_logic;
-- General-Purpose I/O ports
GPIO_0 : inout std_logic_vector(DW-1 downto 0);
GPIO_1 : inout std_logic_vector(DW-1 downto 0);
GPIO_2 : inout std_logic_vector(DW-1 downto 0);
GPIO_3 : inout std_logic_vector(DW-1 downto 0);
-- Dedicated LCD port
LCD : out std_logic_vector(LCD_PW-1 downto 0)
);
end mcu;
architecture rtl of mcu is
-- CPU signals
signal cpu2bus : t_cpu2bus;
signal bus2cpu : t_bus2cpu;
-- ROM signals
signal bus2rom : t_bus2ros;
signal rom2bus : t_ros2bus;
-- ROM signals
signal bus2ram : t_bus2rws;
signal ram2bus : t_rws2bus;
-- GPIO signals
signal bus2gpio : t_bus2rws;
signal gpio2bus : t_rws2bus;
signal gpio_in : t_gpio_pin_in;
signal gpio_out : t_gpio_pin_out;
-- LCD signals
signal bus2lcd : t_bus2rws;
signal lcd2bus : t_rws2bus;
signal lcd_out : std_logic_vector(LCD_PW-1 downto 0);
begin
-----------------------------------------------------------------------------
-- Tri-state buffers for GPIO pins
-----------------------------------------------------------------------------
gpio_in.in_0 <= GPIO_0;
gpio_in.in_1 <= GPIO_1;
gpio_in.in_2 <= GPIO_2;
gpio_in.in_3 <= GPIO_3;
gen_gpin: for k in 0 to DW-1 generate
GPIO_0(k) <= gpio_out.out_0(k) when gpio_out.enb_0(k) = '1' else 'Z';
GPIO_1(k) <= gpio_out.out_1(k) when gpio_out.enb_1(k) = '1' else 'Z';
GPIO_2(k) <= gpio_out.out_2(k) when gpio_out.enb_2(k) = '1' else 'Z';
GPIO_3(k) <= gpio_out.out_3(k) when gpio_out.enb_3(k) = '1' else 'Z';
end generate;
-----------------------------------------------------------------------------
-- LCD interface pins
-----------------------------------------------------------------------------
LCD <= lcd_out;
-----------------------------------------------------------------------------
-- Instantiation of top-level components (assumed to be in library work)
-----------------------------------------------------------------------------
-- CPU ----------------------------------------------------------------------
i_cpu: entity work.cpu
port map(
rst => rst,
clk => clk,
bus_in => bus2cpu,
bus_out => cpu2bus
);
-- BUS ----------------------------------------------------------------------
i_bus: entity work.buss
port map(
rst => rst,
clk => clk,
cpu_in => cpu2bus,
cpu_out => bus2cpu,
rom_in => rom2bus,
rom_out => bus2rom,
ram_in => ram2bus,
ram_out => bus2ram,
gpio_in => gpio2bus,
gpio_out => bus2gpio,
lcd_in => lcd2bus,
lcd_out => bus2lcd
);
-- ROM ----------------------------------------------------------------------
i_rom: entity work.rom
port map(
clk => clk,
bus_in => bus2rom,
bus_out => rom2bus
);
-- RAM ----------------------------------------------------------------------
i_ram: entity work.ram
port map(
clk => clk,
bus_in => bus2ram,
bus_out => ram2bus
);
-- GPIO ---------------------------------------------------------------------
i_gpio: entity work.gpio
port map(
rst => rst,
clk => clk,
bus_in => bus2gpio,
bus_out => gpio2bus,
pin_in => gpio_in,
pin_out => gpio_out
);
-- LCD ----------------------------------------------------------------------
i_lcd: entity work.lcd
port map(
rst => rst,
clk => clk,
bus_in => bus2lcd,
bus_out => lcd2bus,
lcd_out => lcd_out
);
end rtl;
| gpl-2.0 |
99yen/vhdl-snake | lfsr16.vhd | 1 | 570 | library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity LFSR16 is
port (
CLK : in std_logic;
RST : in std_logic;
RAND : out std_logic_vector(15 downto 0)
);
end LFSR16;
architecture RTL of LFSR16 is
signal FEEDBACK : std_logic;
signal SR : std_logic_vector(15 downto 0);
begin
RAND <= SR;
FEEDBACK <= SR(15) xor SR(13) xor SR(12) xor SR(10);
process (CLK, RST) begin
if (RST = '0') then
SR <= "0000000000000001";
elsif(CLK'event and CLK = '1') then
SR <= SR(14 downto 0) & FEEDBACK;
end if;
end process;
end RTL;
| gpl-2.0 |
S0obi/SY23 | lcd_display/lcd_display.vhdl | 1 | 4222 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 16:02:56 09/23/2015
-- Design Name:
-- Module Name: lcd_display - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity lcd_display is
Port ( clk : in STD_LOGIC;
data: in STD_LOGIC_VECTOR(7 downto 0);
wr: in STD_LOGIC;
lcd_rw : out STD_LOGIC;
lcd_rs : out STD_LOGIC;
lcd_en : out STD_LOGIC;
lcd_data : out STD_LOGIC_VECTOR(3 downto 0)
);
end lcd_display;
architecture Behavioral of lcd_display is
component counter is
GENERIC (
Nbits: integer := 32;
Nmax: integer := 9
);
Port ( clk : in STD_LOGIC;
reset_counter : in STD_LOGIC;
Q : out STD_LOGIC_VECTOR (Nbits-1 downto 0));
end component;
constant t_start_to_init0: integer := 750000; -- 15ms
constant t_init0_to_init1: integer := 950000; -- 19ms
constant t_init1_to_init2: integer := 1050000; -- 21ms
constant t_init2_to_init3: integer := 1150000; -- 23ms
constant t_init3_to_set : integer := 1155000; -- 23,1ms
constant t_set_to_entry : integer := 1160000; -- 23,2ms
constant t_entry_to_on : integer := 1165000; -- 23,3ms
constant t_on_to_clear : integer := 1170000; -- 23,4ms
constant t_clear_to_ready: integer := 1270000; -- 25,4ms
type T_etat is (start, init0, init1, init2, init3, set, entry, state_on, clear, ready, setaddress, writeaddress, writedata);
signal next_state, state_reg : T_etat;
begin
data <= "10000001";
state_reg_process: process(clk)
begin
if rising_edge(clk) then
if state_reg /= ready then
state_reg <= start;
reset_counter <= '1';
reset_counter <= '0';
case Q is
when t_start_to_init0 =>
state_reg <= init0;
D <= (7 downto 4 => x"3");
when t_init0_to_init1 =>
state_reg <= init1;
D <= (7 downto 4 => x"3");
when t_init1_to_init2 =>
state_reg <= init2;
D <= (7 downto 4 => x"3");
when t_init2_to_init3 =>
state_reg <= init3;
D <= (7 downto 4 => x"2");
when t_init3_to_set =>
state_reg <= set;
D <= (7 downto 4 => x"2");
D <= (7 downto 4 => x"8");
when t_set_to_entry =>
state_reg <= entry;
D <= (7 downto 4 => x"0");
D <= (7 downto 4 => x"6");
when t_entry_to_on =>
state_reg <= state_on;
D <= (7 downto 4 => x"0");
D <= (7 downto 4 => x"C");
when t_on_to_clear =>
state_reg <= clear;
D <= (7 downto 4 => x"0");
D <= (7 downto 4 => x"1");
when t_clear_to_ready =>
state_reg <= ready;
state_reg <= next_state;
end case;
end if;
end if;
end process state_reg_process;
next_state_process: process(state_reg)
begin
next_state <= state_reg;
case state_reg is
when clear =>
reset_counter <= '1';
reset_counter <= '0';
if Q="100000" then -- 2ms
next_state <= ready;
D(7 downto 4) <= x"0";
D(7 downto 4) <= x"1";
end if;
when ready =>
if wr='1' and data=x"C" then
next_state <= clear;
else if wr='1' and data/=x"D" and data/=x"A" and data/=x"C" then
next_state <= writedata;
end if;
when writedata =>
LCD_DATA <= data(3 downto 0);
LCD_EN <= '1';
reset_counter <= '1';
reset_counter <= '0';
case Q is
when "50" => -- 1µs
LCD_EN <= '0';
LCD_DATA <= data(7 downto 4);
LCD_EN <= '1';
when "100" => -- 1+1µs
LCD_EN <= '0';
when "2100" => -- 40+1+1µs
next_state <= ready;
end case;
end case;
end process next_state_process
end architecture Behavioral;
| gpl-2.0 |
daniw/ecs | vhdl/sw01/ueb1/vhd/MyInv.vhd | 1 | 240 | library ieee;
use ieee.std_logic_1164.all;
entity MyInv is
port ( a_inv : in std_ulogic;
x_inv : out std_ulogic);
end MyInv;
architecture A of MyInv is
begin
x_inv <= not a_inv;
end architecture A;
| gpl-2.0 |
steveicarus/ivtest | ivltests/vhdl_textio_write.vhd | 2 | 2341 | -- Copyright (c) 2015 CERN
-- Maciej Suminski <[email protected]>
--
-- This source code is free software; you can redistribute it
-- and/or modify it in source code form under the terms of the GNU
-- General Public License as published by the Free Software
-- Foundation; either version 2 of the License, or (at your option)
-- any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA
-- Test writing files using std.textio library.
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use std.textio.all;
entity vhdl_textio_write is
port(
wr : in std_logic
);
end vhdl_textio_write;
architecture test of vhdl_textio_write is
begin
write_data: process(wr)
file data_file : text open write_mode is "vhdl_textio.tmp";
variable data_line : line;
variable data_string : string(6 downto 1);
variable data_int, data_hex : integer;
variable data_bool : boolean;
variable data_real : real;
variable data_time : time;
variable data_vector : std_logic_vector(5 downto 0);
begin
data_string := "string";
data_int := 123;
data_hex := X"F3";
data_bool := true;
data_real := 12.21;
data_time := 100 s;
data_vector := "1100XZ";
-- Test writing different variable types
write(data_line, data_int);
writeline(data_file, data_line);
write(data_line, data_bool);
writeline(data_file, data_line);
write(data_line, data_time);
writeline(data_file, data_line);
hwrite(data_line, data_hex);
writeline(data_file, data_line);
write(data_line, data_real);
writeline(data_file, data_line);
write(data_line, data_string);
writeline(data_file, data_line);
write(data_line, data_vector);
writeline(data_file, data_line);
end process;
end test;
| gpl-2.0 |
S0obi/SY23 | hello_world/hello_word.vhdl | 1 | 396 | -- Hello world program.
use std.textio.all; -- Imports the standard textio package.
-- Defines a design entity, without any ports.
entity hello_world is
end hello_world;
architecture behaviour of hello_world is
begin
process
variable l : line;
begin
write (l, String'("Hello world!"));
writeline (output, l);
wait;
end process;
end behaviour;
| gpl-2.0 |
steveicarus/ivtest | ivltests/vhdl_process_scope.vhd | 2 | 1310 | -- Copyright (c) 2016 CERN
-- Maciej Suminski <[email protected]>
--
-- This source code is free software; you can redistribute it
-- and/or modify it in source code form under the terms of the GNU
-- General Public License as published by the Free Software
-- Foundation; either version 2 of the License, or (at your option)
-- any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA
-- Test a case when two variables with the same name are used in two
-- different processes.
library ieee;
use ieee.std_logic_1164.all;
entity vhdl_process_scope is
end vhdl_process_scope;
architecture test of vhdl_process_scope is
begin
process
variable var : integer := 1;
begin
assert var = 1;
wait;
end process;
process
variable var : integer := 2;
begin
assert var = 2;
wait;
end process;
end architecture test;
| gpl-2.0 |
steveicarus/ivtest | ivltests/vhdl_nor23_bit.vhd | 4 | 286 | library ieee;
use ieee.numeric_bit.all;
entity nor23 is
port (
a_i : in bit_vector (22 downto 0);
b_i : in bit_vector (22 downto 0);
c_o : out bit_vector (22 downto 0)
);
end entity nor23;
architecture rtl of nor23 is
begin
c_o <= a_i nor b_i;
end architecture rtl;
| gpl-2.0 |
steveicarus/ivtest | ivltests/vhdl_range.vhd | 2 | 2304 | -- Copyright (c) 2014 CERN
-- Maciej Suminski <[email protected]>
--
-- This source code is free software; you can redistribute it
-- and/or modify it in source code form under the terms of the GNU
-- General Public License as published by the Free Software
-- Foundation; either version 2 of the License, or (at your option)
-- any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA
-- Test for 'range, 'reverse_range, 'left and 'right attributes in VHDL.
library ieee;
use ieee.std_logic_1164.all;
use work.vhdl_range_pkg.all;
entity range_entity is
port (gen_vals: in std_logic);
end range_entity;
architecture test of range_entity is
type int_array is array (integer range <>) of integer;
signal ascending : int_array(2 to 4);
signal descending : int_array(9 downto 3);
signal ascending_rev : int_array(8 to 13);
signal descending_rev : int_array(15 downto 10);
signal range_pow : int_array(2**4 downto 0);
signal range_rem : int_array(8 rem 3 downto 0);
signal left_asc, right_asc, left_dsc, right_dsc, pow_left, rem_left : integer;
-- There is no limited ranged integer in SystemVerilog, so just see if it compiles
signal int_asc : integer_asc;
signal int_desc : integer_desc;
begin
process(gen_vals) begin
left_asc <= ascending'left;
right_asc <= ascending'right;
left_dsc <= descending'left;
right_dsc <= descending'right;
pow_left <= range_pow'left;
rem_left <= range_rem'left;
-- 'range test
for i in ascending'range loop
ascending(i) <= i * 2;
end loop;
for i in descending'range loop
descending(i) <= i * 3;
end loop;
-- 'reverse_range test
for i in ascending_rev'reverse_range loop
ascending_rev(i) <= i * 4;
end loop;
for i in descending_rev'reverse_range loop
descending_rev(i) <= i * 5;
end loop;
end process;
end test;
| gpl-2.0 |
steveicarus/ivtest | ivltests/vhdl_test8.vhd | 2 | 470 | --
-- Author: Pawel Szostek ([email protected])
-- Date: 27.07.2011
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity match_bits is
port (a,b: in std_logic_vector(7 downto 0);
matches : out std_logic_vector(7 downto 0)
);
end;
architecture behaviour of match_bits is
begin
process(a, b) begin
for i in 7 downto 0 loop
matches(i) <= not (a(i) xor b(i));
end loop;
end process;
end;
| gpl-2.0 |
TUM-LIS/faultify | software/host/davester_combinational_extractor/b14.vhd | 1 | 20464 | --
-- ITC99 Benchmark
-- Downloaded from http://www.cad.polito.it/tools/itc99.html
--
-- Copyright (C) 1999
-- Fulvio Corno, Matteo Sonze Reorda, Giovanni Squillero
-- Politecnico di Torino
--
-- This source file may be used and distributed without restriction
-- provided that this copyright statement is not removed from the
-- file and that any derivative work contains the original copyright
-- notice and the associated disclaimer.
--
-- This source file is free software; you can redistribute it and/or
-- modify it under the terms of the GNU General Public License as
-- published by the Free Software Foundation.
--
-- This source is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
-- General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this source; if not, download it from
-- http://www.gnu.org/copyleft/gpl.html
--
--
entity b14 is
port (
clock, reset : in bit;
addr : out integer range 2**20 - 1 downto 0;
datai : in integer;
datao : out integer;
rd, wr : out bit
);
end b14;
architecture BEHAV of b14 is
begin
process(clock, reset)
variable reg0 : integer;
variable reg1 : integer;
variable reg2 : integer;
variable reg3 : integer;
variable B : bit;
variable MAR : integer range 2**20 - 1 downto 0;
variable MBR : integer;
variable mf : integer range 2**2 - 1 downto 0;
variable df : integer range 2**3 - 1 downto 0;
variable cf : integer range 1 downto 0;
variable ff : integer range 2**4 - 1 downto 0;
variable tail : integer range 2**20 - 1 downto 0;
variable IR : integer;
variable state : integer range 1 downto 0;
variable r, m, t : integer;
variable d : integer;
variable temp : integer;
variable s : integer range 3 downto 0;
constant FETCH : integer := 0;
constant EXEC : integer := 1;
begin
if reset = '1' then
MAR := 0;
MBR := 0;
IR := 0;
d := 0;
r := 0;
m := 0;
s := 0;
temp := 0;
mf := 0;
df := 0;
ff := 0;
cf := 0;
tail := 0;
b := '0';
reg0 := 0;
reg1 := 0;
reg2 := 0;
reg3 := 0;
addr <= 0;
rd <= '0';
wr <= '0';
datao <= 0;
state := FETCH;
elsif clock'event and clock = '1' then
rd <= '0';
wr <= '0';
case state is
when FETCH =>
MAR := reg3 mod 2**20;
addr <= MAR;
rd <= '1';
MBR := datai;
IR := MBR;
state := EXEC;
when EXEC =>
if IR < 0 then
IR := -IR;
end if;
mf := (IR / 2**27) mod 4;
df := (IR / 2**24) mod 2**3;
ff := (IR / 2**19) mod 2**4;
cf := (IR / 2**23) mod 2;
tail := IR mod 2**20;
reg3 := ((reg3 mod 2**29)+ 8);
s := (IR/2**29) mod 4;
case s is
when 0 => r := reg0;
when 1 => r := reg1;
when 2 => r := reg2;
when 3 => r := reg3;
end case;
case cf is
when 1 =>
case mf is
when 0 => m := tail;
when 1 => m := datai;
addr <= tail;
rd <= '1';
when 2 => addr <= (tail + reg1) mod 2**20;
rd <= '1';
m := datai;
when 3 => addr <= (tail + reg2) mod 2**20;
rd <= '1';
m := datai;
end case;
case ff is
when 0 => if r < m then
B := '1';
else
B := '0';
end if;
when 1 => if not(r < m) then
B := '1';
else
B := '0';
end if;
when 2 => if r = m then
B := '1';
else
B := '0';
end if;
when 3 => if not(r = m) then
B := '1';
else
B := '0';
end if;
when 4 => if not(r > m) then
B := '1';
else
B := '0';
end if;
when 5 => if r > m then
B := '1';
else
B := '0';
end if;
when 6 => if r > 2**30 - 1 then
r := r - 2**30;
end if;
if r < m then
B := '1';
else
B := '0';
end if;
when 7 => if r > 2**30 - 1 then
r := r - 2**30;
end if;
if not(r < m) then
B := '1';
else
B := '0';
end if;
when 8 => if (r < m) or (B = '1') then
B := '1';
else
B := '0';
end if;
when 9 => if not(r < m) or (B = '1') then
B := '1';
else
B := '0';
end if;
when 10 => if (r = m) or (B = '1') then
B := '1';
else
B := '0';
end if;
when 11 => if not(r = m) or (B = '1') then
B := '1';
else
B := '0';
end if;
when 12 => if not(r > m) or (B = '1') then
B := '1';
else
B := '0';
end if;
when 13 => if (r > m) or (B = '1') then
B := '1';
else
B := '0';
end if;
when 14 => if r > 2**30 - 1 then
r := r - 2**30;
end if;
if (r < m) or (B = '1') then
B := '1';
else
B := '0';
end if;
when 15 => if r > 2**30 - 1 then
r := r - 2**30;
end if;
if not(r < m) or (B = '1') then
B := '1';
else
B := '0';
end if;
end case;
when 0 =>
if not(df = 7) then
if df = 5 then
if not(B) = '1' then
d := 3;
end if;
elsif df = 4 then
if B = '1' then
d := 3;
end if;
elsif df = 3 then
d := 3;
elsif df = 2 then d := 2;
elsif df = 1 then d := 1;
elsif df = 0 then d := 0;
end if;
case ff is
when 0 =>
case mf is
when 0 => m := tail;
when 1 => m := datai;
addr <= tail;
rd <= '1';
when 2 => addr <= (tail + reg1) mod 2**20;
rd <= '1';
m := datai;
when 3 => addr <= (tail + reg2) mod 2**20;
rd <= '1';
m := datai;
end case;
t := 0;
case d is
when 0 => reg0 := t - m;
when 1 => reg1 := t - m;
when 2 => reg2 := t - m;
when 3 => reg3 := t - m;
when others => null;
end case;
when 1 =>
case mf is
when 0 => m := tail;
when 1 => m := datai;
addr <= tail;
rd <= '1';
when 2 => addr <= (tail + reg1) mod 2**20;
rd <= '1';
m := datai;
when 3 => addr <= (tail + reg2) mod 2**20;
rd <= '1';
m := datai;
end case;
reg2 := reg3;
reg3 := m;
when 2 =>
case mf is
when 0 => m := tail;
when 1 => m := datai;
addr <= tail;
rd <= '1';
when 2 => addr <= (tail + reg1) mod 2**20;
rd <= '1';
m := datai;
when 3 => addr <= (tail + reg2) mod 2**20;
rd <= '1';
m := datai;
end case;
case d is
when 0 => reg0 := m;
when 1 => reg1 := m;
when 2 => reg2 := m;
when 3 => reg3 := m;
when others => null;
end case;
when 3 =>
case mf is
when 0 => m := tail;
when 1 => m := datai;
addr <= tail;
rd <= '1';
when 2 => addr <= (tail + reg1) mod 2**20;
rd <= '1';
m := datai;
when 3 => addr <= (tail + reg2) mod 2**20;
rd <= '1';
m := datai;
end case;
case d is
when 0 => reg0 := m;
when 1 => reg1 := m;
when 2 => reg2 := m;
when 3 => reg3 := m;
when others => null;
end case;
when 4 =>
case mf is
when 0 => m := tail;
when 1 => m := datai;
addr <= tail;
rd <= '1';
when 2 => addr <= (tail + reg1) mod 2**20;
rd <= '1';
m := datai;
when 3 => addr <= (tail + reg2) mod 2**20;
rd <= '1';
m := datai;
end case;
case d is
when 0 => reg0 := (r + m) mod 2**30;
when 1 => reg1 := (r + m) mod 2**30;
when 2 => reg2 := (r + m) mod 2**30;
when 3 => reg3 := (r + m) mod 2**30;
when others => null;
end case;
when 5 =>
case mf is
when 0 => m := tail;
when 1 => m := datai;
addr <= tail;
rd <= '1';
when 2 => addr <= (tail + reg1) mod 2**20;
rd <= '1';
m := datai;
when 3 => addr <= (tail + reg2) mod 2**20;
rd <= '1';
m := datai;
end case;
case d is
when 0 => reg0 := (r + m) mod 2**30;
when 1 => reg1 := (r + m) mod 2**30;
when 2 => reg2 := (r + m) mod 2**30;
when 3 => reg3 := (r + m) mod 2**30;
when others => null;
end case;
when 6 =>
case mf is
when 0 => m := tail;
when 1 => m := datai;
addr <= tail;
rd <= '1';
when 2 => addr <= (tail + reg1) mod 2**20;
rd <= '1';
m := datai;
when 3 => addr <= (tail + reg2) mod 2**20;
rd <= '1';
m := datai;
end case;
case d is
when 0 => reg0 := (r - m) mod 2**30;
when 1 => reg1 := (r - m) mod 2**30;
when 2 => reg2 := (r - m) mod 2**30;
when 3 => reg3 := (r - m) mod 2**30;
when others => null;
end case;
when 7 =>
case mf is
when 0 => m := tail;
when 1 => m := datai;
addr <= tail;
rd <= '1';
when 2 => addr <= (tail + reg1) mod 2**20;
rd <= '1';
m := datai;
when 3 => addr <= (tail + reg2) mod 2**20;
rd <= '1';
m := datai;
end case;
case d is
when 0 => reg0 := (r - m) mod 2**30;
when 1 => reg1 := (r - m) mod 2**30;
when 2 => reg2 := (r - m) mod 2**30;
when 3 => reg3 := (r - m) mod 2**30;
when others => null;
end case;
when 8 =>
case mf is
when 0 => m := tail;
when 1 => m := datai;
addr <= tail;
rd <= '1';
when 2 => addr <= (tail + reg1) mod 2**20;
rd <= '1';
m := datai;
when 3 => addr <= (tail + reg2) mod 2**20;
rd <= '1';
m := datai;
end case;
case d is
when 0 => reg0 := (r + m) mod 2**30;
when 1 => reg1 := (r + m) mod 2**30;
when 2 => reg2 := (r + m) mod 2**30;
when 3 => reg3 := (r + m) mod 2**30;
when others => null;
end case;
when 9 =>
case mf is
when 0 => m := tail;
when 1 => m := datai;
addr <= tail;
rd <= '1';
when 2 => addr <= (tail + reg1) mod 2**20;
rd <= '1';
m := datai;
when 3 => addr <= (tail + reg2) mod 2**20;
rd <= '1';
m := datai;
end case;
case d is
when 0 => reg0 := (r - m) mod 2**30;
when 1 => reg1 := (r - m) mod 2**30;
when 2 => reg2 := (r - m) mod 2**30;
when 3 => reg3 := (r - m) mod 2**30;
when others => null;
end case;
when 10 =>
case mf is
when 0 => m := tail;
when 1 => m := datai;
addr <= tail;
rd <= '1';
when 2 => addr <= (tail + reg1) mod 2**20;
rd <= '1';
m := datai;
when 3 => addr <= (tail + reg2) mod 2**20;
rd <= '1';
m := datai;
end case;
case d is
when 0 => reg0 := (r + m) mod 2**30;
when 1 => reg1 := (r + m) mod 2**30;
when 2 => reg2 := (r + m) mod 2**30;
when 3 => reg3 := (r + m) mod 2**30;
when others => null;
end case;
when 11 =>
case mf is
when 0 => m := tail;
when 1 => m := datai;
addr <= tail;
rd <= '1';
when 2 => addr <= (tail + reg1) mod 2**20;
rd <= '1';
m := datai;
when 3 => addr <= (tail + reg2) mod 2**20;
rd <= '1';
m := datai;
end case;
case d is
when 0 => reg0 := (r - m) mod 2**30;
when 1 => reg1 := (r - m) mod 2**30;
when 2 => reg2 := (r - m) mod 2**30;
when 3 => reg3 := (r - m) mod 2**30;
when others => null;
end case;
when 12 =>
case mf is
when 0 => t := r / 2;
when 1 => t := r / 2;
if B = '1' then
t := t mod 2**29;
end if;
when 2 => t := (r mod 2**29) * 2;
when 3 => t := (r mod 2**29) * 2;
if t > 2**30 - 1 then
B := '1';
else
B := '0';
end if;
when others => null;
end case;
case d is
when 0 => reg0 := t;
when 1 => reg1 := t;
when 2 => reg2 := t;
when 3 => reg3 := t;
when others => null;
end case;
when 13 | 14 | 15 => null;
end case;
elsif df = 7 then
case mf is
when 0 => m := tail;
when 1 => m := tail;
when 2 => m := (reg1 mod 2**20) + (tail mod 2**20);
when 3 => m := (reg2 mod 2**20) + (tail mod 2**20);
end case;
-- addr <= m;
addr <= m mod 2*20;
-- removed (!)fs020699
wr <= '1';
datao <= r;
end if;
end case;
state := FETCH;
end case;
end if;
end process;
end BEHAV;
| gpl-2.0 |
TUM-LIS/faultify | hardware/testcases/FIR/fpga_sim/xpsLibraryPath/libFaultify/pcores/faultify_axi_wrapper_v1_00_a/hdl/vhdl/faultify_binomial_gen.vhd | 17 | 2919 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity faultify_binomial_gen is
generic (
width : integer := 32);
port (
clk : in std_logic;
rst_n : in std_logic;
seed_in_en : in std_logic;
seed_in : in std_logic;
seed_out_c : out std_logic;
prob_in_en : in std_logic;
prob_in : in std_logic;
prob_out_c : out std_logic;
shift_en : in std_logic;
data_out : out std_logic;
data_out_valid : out std_logic);
end faultify_binomial_gen;
architecture behav of faultify_binomial_gen is
signal prob_srl : std_logic_vector(width-1 downto 0);
signal prsn_srl : std_logic_vector(63 downto 0);
signal prsn_srl_in : std_logic;
signal prob_srl_in : std_logic;
type TapPointArray is array (3 downto 0) of integer;
constant Tap : TapPointArray := (63, 62, 60, 59);
signal par_fdbk : std_logic;
signal cnt : integer range 0 to width;
signal prsn_out, prob_out, done : std_logic;
begin -- behav
process (clk, rst_n)
begin -- process
if rst_n = '0' then -- asynchronous reset (active low)
--prob_srl <= (others => '0');
--prsn_srl <= (others => '0');
elsif clk'event and clk = '1' then -- rising clock edge
if shift_en = '1' then
prob_srl <= prob_srl_in & prob_srl(prob_srl'high downto 1);
prsn_srl <= prsn_srl(prsn_srl'high-1 downto 0) & prsn_srl_in;
end if;
end if;
end process;
prsn_srl_in <= seed_in when seed_in_en = '1' else par_fdbk;
prob_srl_in <= prob_in when prob_in_en = '1' else prob_srl(prob_srl'low);
par_fdbk <= prsn_srl(Tap(0)) xor prsn_srl(Tap(1)) xor prsn_srl(Tap(2)) xor prsn_srl(Tap(3));
prob_out <= prob_srl(prob_srl'low);
prsn_out <= prsn_srl(prsn_srl'high);
prob_out_c <= prob_out;
seed_out_c <= prsn_out;
process (clk, rst_n)
begin -- process
if rst_n = '0' then -- asynchronous reset (active low)
cnt <= 0;
data_out <= '0';
elsif clk'event and clk = '1' then -- rising clock edge
if shift_en = '1' then
cnt <= cnt + 1;
if cnt < width and done = '0' then
if (prsn_out = '0') and (prob_out = '1') then
data_out <= '1';
done <= '1';
--data_out_valid <= '1';
elsif prsn_out = '1' and prob_out = '0' then
data_out <= '0';
done <= '1';
--data_out_valid <= '1';
else
done <= '0';
--data_out <= '0';
--data_out_valid <= '0';
end if;
end if;
if cnt = width -1 then
done <= '0';
cnt <= 0;
--data_out_valid <= '0';
end if;
--if done = '1' then
--data_out_valid <= '0';
--end if;
end if;
end if;
end process;
end;
| gpl-2.0 |
TUM-LIS/faultify | hardware/testcases/QR/fpga_sim/xpsLibraryPath_asic_200_399/libFaultify/pcores/faultify_axi_wrapper_v1_00_a/hdl/vhdl/faultify_top.vhd | 4 | 20823 |
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.qr_pack.all;
entity faultify_top is
generic (
numInj : integer := 56;
numIn : integer := 10;
numOut : integer := 10);
port (
aclk : in std_logic; -- interface clock
arst_n : in std_logic; -- interface reset
clk : in std_logic; -- simulation clock (slow)
clk_x32 : in std_logic; -- prng clock (fast)
-- Write channel
awvalid : in std_logic;
awaddr : in std_logic_vector(31 downto 0);
wvalid : in std_logic;
wdata : in std_logic_vector(31 downto 0);
-- Read channel
arvalid : in std_logic;
araddr : in std_logic_vector(31 downto 0);
rvalid : out std_logic;
rdata : out std_logic_vector(31 downto 0)
);
attribute syn_hier : string;
attribute syn_hier of faultify_top : entity is "hard";
end faultify_top;
architecture behav of faultify_top is
component qr_wrapper_wrapper_stimuli is
port (
clk : in std_logic;
rst_n : in std_logic;
reduced_matrix : out std_logic;
start : out std_logic;
request_out : out std_logic;
valid_out : in std_logic;
ready : in std_logic;
in_A_r : out std_logic_vector(N_G*WORD_WIDTH_G - 1 downto 0);
in_A_i : out std_logic_vector(N_G*WORD_WIDTH_G - 1 downto 0));
end component qr_wrapper_wrapper_stimuli;
component flag_cdc
port (
clkA : in std_logic;
clkB : in std_logic;
FlagIn_clkA : in std_logic;
FlagOut_clkB : out std_logic;
rst_n : in std_logic);
end component;
component faultify_simulator
generic (
numInj : integer;
numIn : integer;
numOut : integer);
port (
clk : in std_logic;
clk_m : in std_logic;
circ_ce : in std_logic;
circ_rst : in std_logic;
test : out std_logic_vector(31 downto 0);
testvector : in std_logic_vector(numIn-1 downto 0);
resultvector_o : out std_logic_vector(numOut-1 downto 0);
resultvector_f : out std_logic_vector(numOut-1 downto 0);
seed_in_en : in std_logic;
seed_in : in std_logic;
prob_in_en : in std_logic;
prob_in : in std_logic;
shift_en : in std_logic;
rst_n : in std_logic);
end component;
component lfsr
generic (
width : integer;
seed : integer);
port (
clk : in std_logic;
rand_out : out std_logic_vector(width-1 downto 0));
end component;
type vector is array (0 to numOut-1) of std_logic_vector(31 downto 0);
signal errorSum : vector;
signal errorSumReg : vector;
signal errorSumReg_cdc_0 : vector;
signal errorSumReg_cdc_1 : vector;
signal errorVec : std_logic_vector(numOut-1 downto 0);
signal cnt : integer;
signal cnt_cdc_0 : integer;
signal cnt_cdc_1 : integer;
-- Asymmetric ram larger than 36 bit not supported in synplify I-2013
--type seed_ram_matr is array (0 to numInj-1) of std_logic_vector(63 downto 0);
--signal seed_ram : seed_ram_matr;
-- workaround 2 32-bit rams
type seed_ram_matr is array (0 to numInj-1) of std_logic_vector(31 downto 0);
signal seed_ram_low : seed_ram_matr;
signal seed_ram_high : seed_ram_matr;
--subtype seed_ram_matr_word_t is std_logic_vector(63 downto 0);
--type seed_ram_matr_memory_t is array (0 to numInj-1) of seed_ram_matr_word_t;
--signal seed_ram : seed_ram_matr_memory_t;
type prob_ram_matr is array (0 to numInj-1) of std_logic_vector(31 downto 0);
signal prob_ram : prob_ram_matr;
type reg_type is record
control : std_logic_vector(31 downto 0);
status : std_logic_vector(31 downto 0);
pe_location : std_logic_vector(31 downto 0);
pe_seed_low : std_logic_vector(31 downto 0);
pe_seed_high : std_logic_vector(31 downto 0);
pe_probability : std_logic_vector(31 downto 0);
output : std_logic_vector(31 downto 0);
ovalid : std_logic;
simtime : std_logic_vector(31 downto 0);
sel_soe : std_logic_vector(31 downto 0);
adr_soe : std_logic_vector(31 downto 0);
awaddr : std_logic_vector(31 downto 0);
test : std_logic_vector(31 downto 0);
circreset : std_logic_vector(31 downto 0);
cnt_tmp : std_logic_vector(31 downto 0);
sumoferrors : vector;
end record;
signal busy_loading : std_logic;
signal busy_simulating : std_logic;
signal busy_loading_reg : std_logic_vector(1 downto 0);
signal busy_simulating_reg : std_logic_vector(1 downto 0);
signal sim_done : std_logic;
signal r : reg_type;
type load_fsm_states is (IDLE, LOADSEED, LOADPROB);
signal l_state : load_fsm_states;
type sim_states is (IDLE, DELAY_Z, DELAY, SIMULATION, DELAY2, DELAY3, DELAY4, FREE_SIMULATION);
signal s_state : sim_states;
signal testvector : std_logic_vector(numIn-1 downto 0);
signal resultvector_o : std_logic_vector(numOut-1 downto 0);
signal resultvector_f : std_logic_vector(numOut-1 downto 0);
signal seed_in_en : std_logic;
signal seed_in : std_logic;
signal prob_in_en : std_logic;
signal prob_in : std_logic;
signal shift_en : std_logic;
signal shift_en_l : std_logic;
signal shift_en_s : std_logic;
signal load_seed_prob : std_logic;
signal start_simulation : std_logic;
signal start_free_simulation : std_logic;
signal stop_simulation : std_logic;
signal circ_ce, circ_rst, circ_rst_sim : std_logic;
signal tvec : std_logic_vector(127 downto 0);
signal test : std_logic_vector(31 downto 0);
signal rst_cdc, rst_cdc_n : std_logic;
begin -- behav
-----------------------------------------------------------------------------
-- PRNG shifting
-----------------------------------------------------------------------------
shift_en <= shift_en_l or shift_en_s;
-----------------------------------------------------------------------------
-- Testvector
-----------------------------------------------------------------------------
qr_wrapper_wrapper_stimuli_1 : qr_wrapper_wrapper_stimuli
port map (
clk => clk,
rst_n => not circ_rst,
reduced_matrix => testvector(0),
start => testvector(1),
request_out => testvector(2),
valid_out => resultvector_o(0),
ready => resultvector_o(1),
in_A_r => testvector(50 downto 3),
in_A_i => testvector(98 downto 51));
testvector(110 downto 99) <= (others => '0');
-----------------------------------------------------------------------------
-- Simulator
-----------------------------------------------------------------------------
circ_rst <= circ_rst_sim when r.circreset(0) = '1' else '0';
faultify_simulator_1 : faultify_simulator
generic map (
numInj => numInj,
numIn => numIn,
numOut => numOut)
port map (
clk => clk_x32,
clk_m => clk,
circ_ce => circ_ce,
circ_rst => circ_rst,
test => test,
testvector => testvector,
resultvector_o => resultvector_o,
resultvector_f => resultvector_f,
seed_in_en => seed_in_en,
seed_in => seed_in,
prob_in_en => prob_in_en,
prob_in => prob_in,
shift_en => shift_en,
rst_n => arst_n);
-------------------------------------------------------------------------------
-- One Process Flow
-------------------------------------------------------------------------------
register_process : process (aclk, arst_n)
variable write_addr : std_logic_vector(31 downto 0);
begin -- process register_process
if arst_n = '0' then -- asynchronous reset (active low)
r.control <= (others => '0');
r.status <= (others => '0');
r.pe_probability <= (others => '0');
r.pe_seed_high <= (others => '0');
r.pe_seed_low <= (others => '0');
r.pe_location <= (others => '0');
r.ovalid <= '0';
r.simtime <= (others => '0');
r.sel_soe <= (others => '0');
r.adr_soe <= (others => '0');
r.sumoferrors <= (others => (others => '0'));
r.output <= (others => '0');
elsif aclk'event and aclk = '1' then -- rising clock edge
r.control <= (others => '0');
if awvalid = '1' then
r.awaddr <= awaddr;
write_addr := awaddr;
end if;
if wvalid = '1' then
if write_addr = x"00000000" then
r.control <= wdata;
elsif write_addr = x"00000001" then
r.pe_location <= wdata;
elsif write_addr = x"00000002" then
r.pe_seed_low <= wdata;
elsif write_addr = x"00000003" then
r.pe_seed_high <= wdata;
elsif write_addr = x"00000004" then
r.pe_probability <= wdata;
elsif write_addr = x"00000005" then
r.cnt_tmp <= std_logic_vector(to_unsigned(cnt_cdc_1, 32));
r.adr_soe <= wdata;
elsif write_addr = x"00000007" then
r.simtime <= wdata;
elsif write_addr = x"00000009" then
r.circreset <= wdata;
end if;
end if;
if arvalid = '1' then
if araddr = x"0000000F" then
r.output <= r.status;
elsif araddr = x"00000001" then
r.output <= r.pe_location;
elsif araddr = x"00000002" then
r.output <= r.pe_seed_low;
elsif araddr = x"00000003" then
r.output <= r.pe_seed_high;
elsif araddr = x"00000004" then
r.output <= r.pe_probability;
elsif araddr = x"00000006" then
r.output <= r.sel_soe;
elsif araddr = x"00000008" then
r.output <= r.test;
elsif araddr = x"0000000A" then
r.output <= r.cnt_tmp;
end if;
r.ovalid <= '1';
else
r.ovalid <= '0';
end if;
if busy_loading_reg(1) = '1' then
r.status(0) <= '1';
else
r.status(0) <= '0';
end if;
if busy_simulating_reg(1) = '1' then
r.status(1) <= '1';
else
r.status(1) <= '0';
end if;
r.sel_soe <= r.sumoferrors(to_integer(unsigned(r.adr_soe)));
rdata <= r.output;
rvalid <= r.ovalid;
r.sumoferrors <= errorSumReg_cdc_1;
r.test <= errorSum(0);
end if;
end process register_process;
-----------------------------------------------------------------------------
-- simple clock domain crossing
-----------------------------------------------------------------------------
process (aclk, arst_n)
begin -- process
if arst_n = '0' then -- asynchronous reset (active low)
busy_simulating_reg <= (others => '0');
busy_loading_reg <= (others => '0');
elsif aclk'event and aclk = '1' then -- rising clock edge
busy_simulating_reg(0) <= busy_simulating;
busy_loading_reg(0) <= busy_loading;
busy_simulating_reg(1) <= busy_simulating_reg(0);
busy_loading_reg(1) <= busy_loading_reg(0);
cnt_cdc_0 <= cnt;
cnt_cdc_1 <= cnt_cdc_0;
errorSumReg_cdc_0 <= errorSumReg;
errorSumReg_cdc_1 <= errorSumReg_cdc_0;
end if;
end process;
-------------------------------------------------------------------------------
-- Store seeed/prob
-------------------------------------------------------------------------------
store_seed : process (aclk, arst_n)
begin -- process store_seed
if arst_n = '0' then -- asynchronous reset (active low)
elsif aclk'event and aclk = '1' then -- rising clock edge
if r.control(0) = '1' then
-- Synplify bug workaround
--seed_ram(to_integer(unsigned(r.pe_location))) <= r.pe_seed_high & r.pe_seed_low;
seed_ram_low(to_integer(unsigned(r.pe_location))) <= r.pe_seed_low;
seed_ram_high(to_integer(unsigned(r.pe_location))) <= r.pe_seed_high;
prob_ram(to_integer(unsigned(r.pe_location))) <= r.pe_probability;
end if;
end if;
end process store_seed;
-----------------------------------------------------------------------------
-- Seed/prob loading FSM
-----------------------------------------------------------------------------
--flag_cdc_1 : flag_cdc
-- port map (
-- clkA => aclk,
-- clkB => clk_x32,
-- FlagIn_clkA => r.control(1),
-- FlagOut_clkB => load_seed_prob,
-- rst_n => arst_n);
load_seed_prob <= r.control(1);
seed_prob_loading : process (clk_x32, arst_n)
variable cnt_seed : integer range 0 to 64;
variable cnt_inj : integer range 0 to numInj;
variable cnt_prob : integer range 0 to 32;
begin -- process seed_prob_loading
if arst_n = '0' then -- asynchronous reset (active low)
l_state <= IDLE;
seed_in <= '0';
seed_in_en <= '0';
prob_in <= '0';
prob_in_en <= '0';
shift_en_l <= '0';
busy_loading <= '0';
elsif clk_x32'event and clk_x32 = '1' then -- rising clock edge
case l_state is
when IDLE =>
cnt_seed := 0;
cnt_inj := 0;
cnt_prob := 0;
busy_loading <= '0';
seed_in_en <= '0';
prob_in_en <= '0';
shift_en_l <= '0';
if load_seed_prob = '1' then
busy_loading <= '1';
l_state <= LOADSEED;
end if;
when LOADSEED =>
if cnt_seed < 64 then
shift_en_l <= '1';
seed_in_en <= '1';
-- not working in synplify I-2013
--seed_in <= seed_ram(cnt_inj)(cnt_seed);
--
if cnt_seed < 32 then
seed_in <= seed_ram_low(cnt_inj)(cnt_seed);
else
seed_in <= seed_ram_high(cnt_inj)(cnt_seed-32);
end if;
cnt_seed := cnt_seed + 1;
end if;
if cnt_seed = 64 then
cnt_seed := 0;
cnt_inj := cnt_inj + 1;
end if;
if cnt_inj = numInj then
l_state <= LOADPROB;
--seed_in_en <= '0';
cnt_inj := 0;
end if;
when LOADPROB =>
seed_in_en <= '0';
if cnt_prob < 32 then
prob_in_en <= '1';
prob_in <= prob_ram(cnt_inj)(cnt_prob);
cnt_prob := cnt_prob + 1;
end if;
if cnt_prob = 32 then
cnt_prob := 0;
cnt_inj := cnt_inj + 1;
end if;
if cnt_inj = numInj then
l_state <= IDLE;
cnt_inj := 0;
--prob_in_en <= '0';
end if;
end case;
end if;
end process seed_prob_loading;
-----------------------------------------------------------------------------
-- Simulation FSM
-----------------------------------------------------------------------------
flag_cdc_2 : flag_cdc
port map (
clkA => aclk,
clkB => clk,
FlagIn_clkA => r.control(2),
FlagOut_clkB => start_simulation,
rst_n => arst_n);
flag_cdc_3 : flag_cdc
port map (
clkA => aclk,
clkB => clk,
FlagIn_clkA => r.control(3),
FlagOut_clkB => start_free_simulation,
rst_n => arst_n);
flag_cdc_4 : flag_cdc
port map (
clkA => aclk,
clkB => clk,
FlagIn_clkA => r.control(4),
FlagOut_clkB => stop_simulation,
rst_n => arst_n);
rst_cdc_5 : flag_cdc
port map (
clkA => aclk,
clkB => clk,
FlagIn_clkA => not arst_n,
FlagOut_clkB => rst_cdc,
rst_n => '1');
rst_cdc_n <= not rst_cdc;
process (clk, rst_cdc_n)
variable simtime : integer;
variable cnt_delay : integer range 0 to 9;
begin -- process
if clk'event and clk = '1' then -- rising clock edge
if rst_cdc_n = '0' then -- asynchronous reset (active low)
s_state <= IDLE;
errorVec <= (others => '0');
errorSum <= (others => (others => '0'));
circ_ce <= '0';
circ_rst_sim <= '1';
shift_en_s <= '0';
busy_simulating <= '0';
sim_done <= '0';
errorSumReg <= (others => (others => '0'));
else
case s_state is
when IDLE =>
sim_done <= '0';
circ_ce <= '0';
circ_rst_sim <= '1';
shift_en_s <= '0';
errorVec <= (others => '0');
--errorSum <= errorSum;
errorSum <= (others => (others => '0'));
--cnt <= 0;
busy_simulating <= '0';
cnt_delay := 0;
if start_simulation = '1' then
cnt <= 0;
busy_simulating <= '1';
errorSum <= (others => (others => '0'));
errorSumReg <= (others => (others => '0'));
simtime := to_integer(unsigned(r.simtime));
s_state <= DELAY_Z;
circ_ce <= '1';
circ_rst_sim <= '0';
shift_en_s <= '1';
end if;
if start_free_simulation = '1' then
cnt <= 0;
busy_simulating <= '1';
errorSum <= (others => (others => '0'));
errorSumReg <= (others => (others => '0'));
s_state <= FREE_SIMULATION;
circ_ce <= '1';
circ_rst_sim <= '0';
shift_en_s <= '1';
end if;
when DELAY_z =>
cnt_delay := cnt_delay + 1;
if cnt_delay = 9 then
s_state <= DELAY;
end if;
when DELAY =>
s_state <= SIMULATION;
errorVec <= (others => '0');
errorSum <= (others => (others => '0'));
when SIMULATION =>
circ_rst_sim <= '0';
shift_en_s <= '1';
-- collect errors
if (resultvector_o(0) = '1') then
errorVec <= resultvector_o xor resultvector_f;
else
errorVec <= (others => '0');
end if;
for i in 0 to (numOut-1) loop
if (errorVec(i) = '1') then
errorSum(i) <= std_logic_vector(unsigned(errorSum(i)) + 1);
end if;
end loop;
--
errorSumReg <= errorSum;
if cnt = simtime-1 then
s_state <= DELAY2;
circ_ce <= '0';
circ_rst_sim <= '1';
shift_en_s <= '0';
end if;
cnt <= cnt +1;
when DELAY2 =>
--errorVec <= resultvector_o xor resultvector_f;
--for i in 0 to (numOut-1) loop
-- if (errorVec(i) = '1') then
-- if (resultvector_o(0) = '1') then
-- errorSum(i) <= std_logic_vector(unsigned(errorSum(i)) + 1);
-- end if;
-- end if;
--end loop;
s_state <= DELAY3;
when DELAY3 =>
s_state <= DELAY4;
errorSumReg <= errorSum;
errorSum <= (others => (others => '0'));
when DELAY4 =>
s_state <= IDLE;
sim_done <= '1';
when FREE_SIMULATION =>
circ_rst_sim <= '0';
shift_en_s <= '1';
-- collect errors
errorVec <= resultvector_o xor resultvector_f;
for i in 0 to (numOut-1) loop
if (errorVec(i) = '1') then
errorSum(i) <= std_logic_vector(unsigned(errorSum(i)) + 1);
end if;
end loop;
--
errorSumReg <= errorSum;
if stop_simulation = '1' then
s_state <= IDLE;
sim_done <= '1';
circ_ce <= '0';
circ_rst_sim <= '1';
shift_en_s <= '0';
end if;
cnt <= cnt +1;
when others =>
s_state <= IDLE;
end case;
end if;
end if;
end process;
end behav;
| gpl-2.0 |
TUM-LIS/faultify | hardware/testcases/QR/fpga_sim/xpsLibraryPath_asic_0_199/libFaultify/pcores/faultify_axi_wrapper_v1_00_a/hdl/vhdl/faultify_top.vhd | 4 | 20823 |
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.qr_pack.all;
entity faultify_top is
generic (
numInj : integer := 56;
numIn : integer := 10;
numOut : integer := 10);
port (
aclk : in std_logic; -- interface clock
arst_n : in std_logic; -- interface reset
clk : in std_logic; -- simulation clock (slow)
clk_x32 : in std_logic; -- prng clock (fast)
-- Write channel
awvalid : in std_logic;
awaddr : in std_logic_vector(31 downto 0);
wvalid : in std_logic;
wdata : in std_logic_vector(31 downto 0);
-- Read channel
arvalid : in std_logic;
araddr : in std_logic_vector(31 downto 0);
rvalid : out std_logic;
rdata : out std_logic_vector(31 downto 0)
);
attribute syn_hier : string;
attribute syn_hier of faultify_top : entity is "hard";
end faultify_top;
architecture behav of faultify_top is
component qr_wrapper_wrapper_stimuli is
port (
clk : in std_logic;
rst_n : in std_logic;
reduced_matrix : out std_logic;
start : out std_logic;
request_out : out std_logic;
valid_out : in std_logic;
ready : in std_logic;
in_A_r : out std_logic_vector(N_G*WORD_WIDTH_G - 1 downto 0);
in_A_i : out std_logic_vector(N_G*WORD_WIDTH_G - 1 downto 0));
end component qr_wrapper_wrapper_stimuli;
component flag_cdc
port (
clkA : in std_logic;
clkB : in std_logic;
FlagIn_clkA : in std_logic;
FlagOut_clkB : out std_logic;
rst_n : in std_logic);
end component;
component faultify_simulator
generic (
numInj : integer;
numIn : integer;
numOut : integer);
port (
clk : in std_logic;
clk_m : in std_logic;
circ_ce : in std_logic;
circ_rst : in std_logic;
test : out std_logic_vector(31 downto 0);
testvector : in std_logic_vector(numIn-1 downto 0);
resultvector_o : out std_logic_vector(numOut-1 downto 0);
resultvector_f : out std_logic_vector(numOut-1 downto 0);
seed_in_en : in std_logic;
seed_in : in std_logic;
prob_in_en : in std_logic;
prob_in : in std_logic;
shift_en : in std_logic;
rst_n : in std_logic);
end component;
component lfsr
generic (
width : integer;
seed : integer);
port (
clk : in std_logic;
rand_out : out std_logic_vector(width-1 downto 0));
end component;
type vector is array (0 to numOut-1) of std_logic_vector(31 downto 0);
signal errorSum : vector;
signal errorSumReg : vector;
signal errorSumReg_cdc_0 : vector;
signal errorSumReg_cdc_1 : vector;
signal errorVec : std_logic_vector(numOut-1 downto 0);
signal cnt : integer;
signal cnt_cdc_0 : integer;
signal cnt_cdc_1 : integer;
-- Asymmetric ram larger than 36 bit not supported in synplify I-2013
--type seed_ram_matr is array (0 to numInj-1) of std_logic_vector(63 downto 0);
--signal seed_ram : seed_ram_matr;
-- workaround 2 32-bit rams
type seed_ram_matr is array (0 to numInj-1) of std_logic_vector(31 downto 0);
signal seed_ram_low : seed_ram_matr;
signal seed_ram_high : seed_ram_matr;
--subtype seed_ram_matr_word_t is std_logic_vector(63 downto 0);
--type seed_ram_matr_memory_t is array (0 to numInj-1) of seed_ram_matr_word_t;
--signal seed_ram : seed_ram_matr_memory_t;
type prob_ram_matr is array (0 to numInj-1) of std_logic_vector(31 downto 0);
signal prob_ram : prob_ram_matr;
type reg_type is record
control : std_logic_vector(31 downto 0);
status : std_logic_vector(31 downto 0);
pe_location : std_logic_vector(31 downto 0);
pe_seed_low : std_logic_vector(31 downto 0);
pe_seed_high : std_logic_vector(31 downto 0);
pe_probability : std_logic_vector(31 downto 0);
output : std_logic_vector(31 downto 0);
ovalid : std_logic;
simtime : std_logic_vector(31 downto 0);
sel_soe : std_logic_vector(31 downto 0);
adr_soe : std_logic_vector(31 downto 0);
awaddr : std_logic_vector(31 downto 0);
test : std_logic_vector(31 downto 0);
circreset : std_logic_vector(31 downto 0);
cnt_tmp : std_logic_vector(31 downto 0);
sumoferrors : vector;
end record;
signal busy_loading : std_logic;
signal busy_simulating : std_logic;
signal busy_loading_reg : std_logic_vector(1 downto 0);
signal busy_simulating_reg : std_logic_vector(1 downto 0);
signal sim_done : std_logic;
signal r : reg_type;
type load_fsm_states is (IDLE, LOADSEED, LOADPROB);
signal l_state : load_fsm_states;
type sim_states is (IDLE, DELAY_Z, DELAY, SIMULATION, DELAY2, DELAY3, DELAY4, FREE_SIMULATION);
signal s_state : sim_states;
signal testvector : std_logic_vector(numIn-1 downto 0);
signal resultvector_o : std_logic_vector(numOut-1 downto 0);
signal resultvector_f : std_logic_vector(numOut-1 downto 0);
signal seed_in_en : std_logic;
signal seed_in : std_logic;
signal prob_in_en : std_logic;
signal prob_in : std_logic;
signal shift_en : std_logic;
signal shift_en_l : std_logic;
signal shift_en_s : std_logic;
signal load_seed_prob : std_logic;
signal start_simulation : std_logic;
signal start_free_simulation : std_logic;
signal stop_simulation : std_logic;
signal circ_ce, circ_rst, circ_rst_sim : std_logic;
signal tvec : std_logic_vector(127 downto 0);
signal test : std_logic_vector(31 downto 0);
signal rst_cdc, rst_cdc_n : std_logic;
begin -- behav
-----------------------------------------------------------------------------
-- PRNG shifting
-----------------------------------------------------------------------------
shift_en <= shift_en_l or shift_en_s;
-----------------------------------------------------------------------------
-- Testvector
-----------------------------------------------------------------------------
qr_wrapper_wrapper_stimuli_1 : qr_wrapper_wrapper_stimuli
port map (
clk => clk,
rst_n => not circ_rst,
reduced_matrix => testvector(0),
start => testvector(1),
request_out => testvector(2),
valid_out => resultvector_o(0),
ready => resultvector_o(1),
in_A_r => testvector(50 downto 3),
in_A_i => testvector(98 downto 51));
testvector(110 downto 99) <= (others => '0');
-----------------------------------------------------------------------------
-- Simulator
-----------------------------------------------------------------------------
circ_rst <= circ_rst_sim when r.circreset(0) = '1' else '0';
faultify_simulator_1 : faultify_simulator
generic map (
numInj => numInj,
numIn => numIn,
numOut => numOut)
port map (
clk => clk_x32,
clk_m => clk,
circ_ce => circ_ce,
circ_rst => circ_rst,
test => test,
testvector => testvector,
resultvector_o => resultvector_o,
resultvector_f => resultvector_f,
seed_in_en => seed_in_en,
seed_in => seed_in,
prob_in_en => prob_in_en,
prob_in => prob_in,
shift_en => shift_en,
rst_n => arst_n);
-------------------------------------------------------------------------------
-- One Process Flow
-------------------------------------------------------------------------------
register_process : process (aclk, arst_n)
variable write_addr : std_logic_vector(31 downto 0);
begin -- process register_process
if arst_n = '0' then -- asynchronous reset (active low)
r.control <= (others => '0');
r.status <= (others => '0');
r.pe_probability <= (others => '0');
r.pe_seed_high <= (others => '0');
r.pe_seed_low <= (others => '0');
r.pe_location <= (others => '0');
r.ovalid <= '0';
r.simtime <= (others => '0');
r.sel_soe <= (others => '0');
r.adr_soe <= (others => '0');
r.sumoferrors <= (others => (others => '0'));
r.output <= (others => '0');
elsif aclk'event and aclk = '1' then -- rising clock edge
r.control <= (others => '0');
if awvalid = '1' then
r.awaddr <= awaddr;
write_addr := awaddr;
end if;
if wvalid = '1' then
if write_addr = x"00000000" then
r.control <= wdata;
elsif write_addr = x"00000001" then
r.pe_location <= wdata;
elsif write_addr = x"00000002" then
r.pe_seed_low <= wdata;
elsif write_addr = x"00000003" then
r.pe_seed_high <= wdata;
elsif write_addr = x"00000004" then
r.pe_probability <= wdata;
elsif write_addr = x"00000005" then
r.cnt_tmp <= std_logic_vector(to_unsigned(cnt_cdc_1, 32));
r.adr_soe <= wdata;
elsif write_addr = x"00000007" then
r.simtime <= wdata;
elsif write_addr = x"00000009" then
r.circreset <= wdata;
end if;
end if;
if arvalid = '1' then
if araddr = x"0000000F" then
r.output <= r.status;
elsif araddr = x"00000001" then
r.output <= r.pe_location;
elsif araddr = x"00000002" then
r.output <= r.pe_seed_low;
elsif araddr = x"00000003" then
r.output <= r.pe_seed_high;
elsif araddr = x"00000004" then
r.output <= r.pe_probability;
elsif araddr = x"00000006" then
r.output <= r.sel_soe;
elsif araddr = x"00000008" then
r.output <= r.test;
elsif araddr = x"0000000A" then
r.output <= r.cnt_tmp;
end if;
r.ovalid <= '1';
else
r.ovalid <= '0';
end if;
if busy_loading_reg(1) = '1' then
r.status(0) <= '1';
else
r.status(0) <= '0';
end if;
if busy_simulating_reg(1) = '1' then
r.status(1) <= '1';
else
r.status(1) <= '0';
end if;
r.sel_soe <= r.sumoferrors(to_integer(unsigned(r.adr_soe)));
rdata <= r.output;
rvalid <= r.ovalid;
r.sumoferrors <= errorSumReg_cdc_1;
r.test <= errorSum(0);
end if;
end process register_process;
-----------------------------------------------------------------------------
-- simple clock domain crossing
-----------------------------------------------------------------------------
process (aclk, arst_n)
begin -- process
if arst_n = '0' then -- asynchronous reset (active low)
busy_simulating_reg <= (others => '0');
busy_loading_reg <= (others => '0');
elsif aclk'event and aclk = '1' then -- rising clock edge
busy_simulating_reg(0) <= busy_simulating;
busy_loading_reg(0) <= busy_loading;
busy_simulating_reg(1) <= busy_simulating_reg(0);
busy_loading_reg(1) <= busy_loading_reg(0);
cnt_cdc_0 <= cnt;
cnt_cdc_1 <= cnt_cdc_0;
errorSumReg_cdc_0 <= errorSumReg;
errorSumReg_cdc_1 <= errorSumReg_cdc_0;
end if;
end process;
-------------------------------------------------------------------------------
-- Store seeed/prob
-------------------------------------------------------------------------------
store_seed : process (aclk, arst_n)
begin -- process store_seed
if arst_n = '0' then -- asynchronous reset (active low)
elsif aclk'event and aclk = '1' then -- rising clock edge
if r.control(0) = '1' then
-- Synplify bug workaround
--seed_ram(to_integer(unsigned(r.pe_location))) <= r.pe_seed_high & r.pe_seed_low;
seed_ram_low(to_integer(unsigned(r.pe_location))) <= r.pe_seed_low;
seed_ram_high(to_integer(unsigned(r.pe_location))) <= r.pe_seed_high;
prob_ram(to_integer(unsigned(r.pe_location))) <= r.pe_probability;
end if;
end if;
end process store_seed;
-----------------------------------------------------------------------------
-- Seed/prob loading FSM
-----------------------------------------------------------------------------
--flag_cdc_1 : flag_cdc
-- port map (
-- clkA => aclk,
-- clkB => clk_x32,
-- FlagIn_clkA => r.control(1),
-- FlagOut_clkB => load_seed_prob,
-- rst_n => arst_n);
load_seed_prob <= r.control(1);
seed_prob_loading : process (clk_x32, arst_n)
variable cnt_seed : integer range 0 to 64;
variable cnt_inj : integer range 0 to numInj;
variable cnt_prob : integer range 0 to 32;
begin -- process seed_prob_loading
if arst_n = '0' then -- asynchronous reset (active low)
l_state <= IDLE;
seed_in <= '0';
seed_in_en <= '0';
prob_in <= '0';
prob_in_en <= '0';
shift_en_l <= '0';
busy_loading <= '0';
elsif clk_x32'event and clk_x32 = '1' then -- rising clock edge
case l_state is
when IDLE =>
cnt_seed := 0;
cnt_inj := 0;
cnt_prob := 0;
busy_loading <= '0';
seed_in_en <= '0';
prob_in_en <= '0';
shift_en_l <= '0';
if load_seed_prob = '1' then
busy_loading <= '1';
l_state <= LOADSEED;
end if;
when LOADSEED =>
if cnt_seed < 64 then
shift_en_l <= '1';
seed_in_en <= '1';
-- not working in synplify I-2013
--seed_in <= seed_ram(cnt_inj)(cnt_seed);
--
if cnt_seed < 32 then
seed_in <= seed_ram_low(cnt_inj)(cnt_seed);
else
seed_in <= seed_ram_high(cnt_inj)(cnt_seed-32);
end if;
cnt_seed := cnt_seed + 1;
end if;
if cnt_seed = 64 then
cnt_seed := 0;
cnt_inj := cnt_inj + 1;
end if;
if cnt_inj = numInj then
l_state <= LOADPROB;
--seed_in_en <= '0';
cnt_inj := 0;
end if;
when LOADPROB =>
seed_in_en <= '0';
if cnt_prob < 32 then
prob_in_en <= '1';
prob_in <= prob_ram(cnt_inj)(cnt_prob);
cnt_prob := cnt_prob + 1;
end if;
if cnt_prob = 32 then
cnt_prob := 0;
cnt_inj := cnt_inj + 1;
end if;
if cnt_inj = numInj then
l_state <= IDLE;
cnt_inj := 0;
--prob_in_en <= '0';
end if;
end case;
end if;
end process seed_prob_loading;
-----------------------------------------------------------------------------
-- Simulation FSM
-----------------------------------------------------------------------------
flag_cdc_2 : flag_cdc
port map (
clkA => aclk,
clkB => clk,
FlagIn_clkA => r.control(2),
FlagOut_clkB => start_simulation,
rst_n => arst_n);
flag_cdc_3 : flag_cdc
port map (
clkA => aclk,
clkB => clk,
FlagIn_clkA => r.control(3),
FlagOut_clkB => start_free_simulation,
rst_n => arst_n);
flag_cdc_4 : flag_cdc
port map (
clkA => aclk,
clkB => clk,
FlagIn_clkA => r.control(4),
FlagOut_clkB => stop_simulation,
rst_n => arst_n);
rst_cdc_5 : flag_cdc
port map (
clkA => aclk,
clkB => clk,
FlagIn_clkA => not arst_n,
FlagOut_clkB => rst_cdc,
rst_n => '1');
rst_cdc_n <= not rst_cdc;
process (clk, rst_cdc_n)
variable simtime : integer;
variable cnt_delay : integer range 0 to 9;
begin -- process
if clk'event and clk = '1' then -- rising clock edge
if rst_cdc_n = '0' then -- asynchronous reset (active low)
s_state <= IDLE;
errorVec <= (others => '0');
errorSum <= (others => (others => '0'));
circ_ce <= '0';
circ_rst_sim <= '1';
shift_en_s <= '0';
busy_simulating <= '0';
sim_done <= '0';
errorSumReg <= (others => (others => '0'));
else
case s_state is
when IDLE =>
sim_done <= '0';
circ_ce <= '0';
circ_rst_sim <= '1';
shift_en_s <= '0';
errorVec <= (others => '0');
--errorSum <= errorSum;
errorSum <= (others => (others => '0'));
--cnt <= 0;
busy_simulating <= '0';
cnt_delay := 0;
if start_simulation = '1' then
cnt <= 0;
busy_simulating <= '1';
errorSum <= (others => (others => '0'));
errorSumReg <= (others => (others => '0'));
simtime := to_integer(unsigned(r.simtime));
s_state <= DELAY_Z;
circ_ce <= '1';
circ_rst_sim <= '0';
shift_en_s <= '1';
end if;
if start_free_simulation = '1' then
cnt <= 0;
busy_simulating <= '1';
errorSum <= (others => (others => '0'));
errorSumReg <= (others => (others => '0'));
s_state <= FREE_SIMULATION;
circ_ce <= '1';
circ_rst_sim <= '0';
shift_en_s <= '1';
end if;
when DELAY_z =>
cnt_delay := cnt_delay + 1;
if cnt_delay = 9 then
s_state <= DELAY;
end if;
when DELAY =>
s_state <= SIMULATION;
errorVec <= (others => '0');
errorSum <= (others => (others => '0'));
when SIMULATION =>
circ_rst_sim <= '0';
shift_en_s <= '1';
-- collect errors
if (resultvector_o(0) = '1') then
errorVec <= resultvector_o xor resultvector_f;
else
errorVec <= (others => '0');
end if;
for i in 0 to (numOut-1) loop
if (errorVec(i) = '1') then
errorSum(i) <= std_logic_vector(unsigned(errorSum(i)) + 1);
end if;
end loop;
--
errorSumReg <= errorSum;
if cnt = simtime-1 then
s_state <= DELAY2;
circ_ce <= '0';
circ_rst_sim <= '1';
shift_en_s <= '0';
end if;
cnt <= cnt +1;
when DELAY2 =>
--errorVec <= resultvector_o xor resultvector_f;
--for i in 0 to (numOut-1) loop
-- if (errorVec(i) = '1') then
-- if (resultvector_o(0) = '1') then
-- errorSum(i) <= std_logic_vector(unsigned(errorSum(i)) + 1);
-- end if;
-- end if;
--end loop;
s_state <= DELAY3;
when DELAY3 =>
s_state <= DELAY4;
errorSumReg <= errorSum;
errorSum <= (others => (others => '0'));
when DELAY4 =>
s_state <= IDLE;
sim_done <= '1';
when FREE_SIMULATION =>
circ_rst_sim <= '0';
shift_en_s <= '1';
-- collect errors
errorVec <= resultvector_o xor resultvector_f;
for i in 0 to (numOut-1) loop
if (errorVec(i) = '1') then
errorSum(i) <= std_logic_vector(unsigned(errorSum(i)) + 1);
end if;
end loop;
--
errorSumReg <= errorSum;
if stop_simulation = '1' then
s_state <= IDLE;
sim_done <= '1';
circ_ce <= '0';
circ_rst_sim <= '1';
shift_en_s <= '0';
end if;
cnt <= cnt +1;
when others =>
s_state <= IDLE;
end case;
end if;
end if;
end process;
end behav;
| gpl-2.0 |
TUM-LIS/faultify | hardware/testcases/viterbi/fpga_sim/xpsLibraryPath/libFaultify/pcores/faultify_axi_wrapper_v1_00_a/hdl/vhdl/lfsr.vhd | 17 | 4148 | ----------------------------------------------------------------------------
---- Create Date: 13:06:08 07/28/2010 ----
---- Design Name: lfsr ----
---- Project Name: lfsr_randgen ----
---- Description: ----
---- A random number generator based on linear feedback shift ----
---- register(LFSR).A LFSR is a shift register whose input bit is a ----
---- linear function of its previous state.The detailed documentation ----
---- is available in the file named manual.pdf. ----
---- ----
----------------------------------------------------------------------------
---- ----
---- This file is a part of the lfsr_randgen project at ----
---- http://www.opencores.org/ ----
---- ----
---- Author(s): ----
---- Vipin Lal, [email protected] ----
---- ----
----------------------------------------------------------------------------
---- ----
---- Copyright (C) 2010 Authors and OPENCORES.ORG ----
---- ----
---- This source file may be used and distributed without ----
---- restriction provided that this copyright statement is not ----
---- removed from the file and that any derivative work contains ----
---- the original copyright notice and the associated disclaimer. ----
---- ----
---- This source file is free software; you can redistribute it ----
---- and/or modify it under the terms of the GNU Lesser General ----
---- Public License as published by the Free Software Foundation; ----
---- either version 2.1 of the License, or (at your option) any ----
---- later version. ----
---- ----
---- This source is distributed in the hope that it will be ----
---- useful, but WITHOUT ANY WARRANTY; without even the implied ----
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ----
---- PURPOSE. See the GNU Lesser General Public License for more ----
---- details. ----
---- ----
---- You should have received a copy of the GNU Lesser General ----
---- Public License along with this source; if not, download it ----
---- from http://www.opencores.org/lgpl.shtml ----
---- ----
----------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use IEEE.NUMERIC_STD.ALL;
library work;
use work.lfsr_pkg.ALL;
entity lfsr is
generic (width : integer := 4;
seed : integer :=1);
port (clk : in std_logic;
--set_seed : in std_logic;
--seed : in std_logic_vector(width-1 downto 0);
rand_out : out std_logic_vector(width-1 downto 0)
);
end lfsr;
architecture Behavioral of lfsr is
begin
process(clk)
variable rand_temp : std_logic_vector (width-1 downto 0):=std_logic_vector(to_unsigned(seed,width));--(0 => '1',others => '0');
variable temp : std_logic := '0';
begin
if(rising_edge(clk)) then
--if(set_seed = '1') then
--rand_temp := seed;
--end if;
temp := xor_gates(rand_temp);
rand_temp(width-1 downto 1) := rand_temp(width-2 downto 0);
rand_temp(0) := temp;
end if;
rand_out <= rand_temp;
end process;
end Behavioral;
| gpl-2.0 |
TUM-LIS/faultify | hardware/testcases/FIR/fpga_sim/xpsLibraryPath/libFaultify/pcores/faultify_axi_wrapper_v1_00_a/hdl/vhdl/lfsr.vhd | 17 | 4148 | ----------------------------------------------------------------------------
---- Create Date: 13:06:08 07/28/2010 ----
---- Design Name: lfsr ----
---- Project Name: lfsr_randgen ----
---- Description: ----
---- A random number generator based on linear feedback shift ----
---- register(LFSR).A LFSR is a shift register whose input bit is a ----
---- linear function of its previous state.The detailed documentation ----
---- is available in the file named manual.pdf. ----
---- ----
----------------------------------------------------------------------------
---- ----
---- This file is a part of the lfsr_randgen project at ----
---- http://www.opencores.org/ ----
---- ----
---- Author(s): ----
---- Vipin Lal, [email protected] ----
---- ----
----------------------------------------------------------------------------
---- ----
---- Copyright (C) 2010 Authors and OPENCORES.ORG ----
---- ----
---- This source file may be used and distributed without ----
---- restriction provided that this copyright statement is not ----
---- removed from the file and that any derivative work contains ----
---- the original copyright notice and the associated disclaimer. ----
---- ----
---- This source file is free software; you can redistribute it ----
---- and/or modify it under the terms of the GNU Lesser General ----
---- Public License as published by the Free Software Foundation; ----
---- either version 2.1 of the License, or (at your option) any ----
---- later version. ----
---- ----
---- This source is distributed in the hope that it will be ----
---- useful, but WITHOUT ANY WARRANTY; without even the implied ----
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ----
---- PURPOSE. See the GNU Lesser General Public License for more ----
---- details. ----
---- ----
---- You should have received a copy of the GNU Lesser General ----
---- Public License along with this source; if not, download it ----
---- from http://www.opencores.org/lgpl.shtml ----
---- ----
----------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use IEEE.NUMERIC_STD.ALL;
library work;
use work.lfsr_pkg.ALL;
entity lfsr is
generic (width : integer := 4;
seed : integer :=1);
port (clk : in std_logic;
--set_seed : in std_logic;
--seed : in std_logic_vector(width-1 downto 0);
rand_out : out std_logic_vector(width-1 downto 0)
);
end lfsr;
architecture Behavioral of lfsr is
begin
process(clk)
variable rand_temp : std_logic_vector (width-1 downto 0):=std_logic_vector(to_unsigned(seed,width));--(0 => '1',others => '0');
variable temp : std_logic := '0';
begin
if(rising_edge(clk)) then
--if(set_seed = '1') then
--rand_temp := seed;
--end if;
temp := xor_gates(rand_temp);
rand_temp(width-1 downto 1) := rand_temp(width-2 downto 0);
rand_temp(0) := temp;
end if;
rand_out <= rand_temp;
end process;
end Behavioral;
| gpl-2.0 |
TUM-LIS/faultify | hardware/testcases/DCT4/fpga_sim/xpsLibraryPath/libFaultify/pcores/faultify_axi_wrapper_v1_00_a/hdl/vhdl/lfsr.vhd | 17 | 4148 | ----------------------------------------------------------------------------
---- Create Date: 13:06:08 07/28/2010 ----
---- Design Name: lfsr ----
---- Project Name: lfsr_randgen ----
---- Description: ----
---- A random number generator based on linear feedback shift ----
---- register(LFSR).A LFSR is a shift register whose input bit is a ----
---- linear function of its previous state.The detailed documentation ----
---- is available in the file named manual.pdf. ----
---- ----
----------------------------------------------------------------------------
---- ----
---- This file is a part of the lfsr_randgen project at ----
---- http://www.opencores.org/ ----
---- ----
---- Author(s): ----
---- Vipin Lal, [email protected] ----
---- ----
----------------------------------------------------------------------------
---- ----
---- Copyright (C) 2010 Authors and OPENCORES.ORG ----
---- ----
---- This source file may be used and distributed without ----
---- restriction provided that this copyright statement is not ----
---- removed from the file and that any derivative work contains ----
---- the original copyright notice and the associated disclaimer. ----
---- ----
---- This source file is free software; you can redistribute it ----
---- and/or modify it under the terms of the GNU Lesser General ----
---- Public License as published by the Free Software Foundation; ----
---- either version 2.1 of the License, or (at your option) any ----
---- later version. ----
---- ----
---- This source is distributed in the hope that it will be ----
---- useful, but WITHOUT ANY WARRANTY; without even the implied ----
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ----
---- PURPOSE. See the GNU Lesser General Public License for more ----
---- details. ----
---- ----
---- You should have received a copy of the GNU Lesser General ----
---- Public License along with this source; if not, download it ----
---- from http://www.opencores.org/lgpl.shtml ----
---- ----
----------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use IEEE.NUMERIC_STD.ALL;
library work;
use work.lfsr_pkg.ALL;
entity lfsr is
generic (width : integer := 4;
seed : integer :=1);
port (clk : in std_logic;
--set_seed : in std_logic;
--seed : in std_logic_vector(width-1 downto 0);
rand_out : out std_logic_vector(width-1 downto 0)
);
end lfsr;
architecture Behavioral of lfsr is
begin
process(clk)
variable rand_temp : std_logic_vector (width-1 downto 0):=std_logic_vector(to_unsigned(seed,width));--(0 => '1',others => '0');
variable temp : std_logic := '0';
begin
if(rising_edge(clk)) then
--if(set_seed = '1') then
--rand_temp := seed;
--end if;
temp := xor_gates(rand_temp);
rand_temp(width-1 downto 1) := rand_temp(width-2 downto 0);
rand_temp(0) := temp;
end if;
rand_out <= rand_temp;
end process;
end Behavioral;
| gpl-2.0 |
TUM-LIS/faultify | hardware/testcases/viterbi/fpga_sim/xpsLibraryPath_viterbi_400_578/libFaultify/pcores/faultify_axi_wrapper_v1_00_a/hdl/vhdl/lfsr.vhd | 17 | 4148 | ----------------------------------------------------------------------------
---- Create Date: 13:06:08 07/28/2010 ----
---- Design Name: lfsr ----
---- Project Name: lfsr_randgen ----
---- Description: ----
---- A random number generator based on linear feedback shift ----
---- register(LFSR).A LFSR is a shift register whose input bit is a ----
---- linear function of its previous state.The detailed documentation ----
---- is available in the file named manual.pdf. ----
---- ----
----------------------------------------------------------------------------
---- ----
---- This file is a part of the lfsr_randgen project at ----
---- http://www.opencores.org/ ----
---- ----
---- Author(s): ----
---- Vipin Lal, [email protected] ----
---- ----
----------------------------------------------------------------------------
---- ----
---- Copyright (C) 2010 Authors and OPENCORES.ORG ----
---- ----
---- This source file may be used and distributed without ----
---- restriction provided that this copyright statement is not ----
---- removed from the file and that any derivative work contains ----
---- the original copyright notice and the associated disclaimer. ----
---- ----
---- This source file is free software; you can redistribute it ----
---- and/or modify it under the terms of the GNU Lesser General ----
---- Public License as published by the Free Software Foundation; ----
---- either version 2.1 of the License, or (at your option) any ----
---- later version. ----
---- ----
---- This source is distributed in the hope that it will be ----
---- useful, but WITHOUT ANY WARRANTY; without even the implied ----
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ----
---- PURPOSE. See the GNU Lesser General Public License for more ----
---- details. ----
---- ----
---- You should have received a copy of the GNU Lesser General ----
---- Public License along with this source; if not, download it ----
---- from http://www.opencores.org/lgpl.shtml ----
---- ----
----------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use IEEE.NUMERIC_STD.ALL;
library work;
use work.lfsr_pkg.ALL;
entity lfsr is
generic (width : integer := 4;
seed : integer :=1);
port (clk : in std_logic;
--set_seed : in std_logic;
--seed : in std_logic_vector(width-1 downto 0);
rand_out : out std_logic_vector(width-1 downto 0)
);
end lfsr;
architecture Behavioral of lfsr is
begin
process(clk)
variable rand_temp : std_logic_vector (width-1 downto 0):=std_logic_vector(to_unsigned(seed,width));--(0 => '1',others => '0');
variable temp : std_logic := '0';
begin
if(rising_edge(clk)) then
--if(set_seed = '1') then
--rand_temp := seed;
--end if;
temp := xor_gates(rand_temp);
rand_temp(width-1 downto 1) := rand_temp(width-2 downto 0);
rand_temp(0) := temp;
end if;
rand_out <= rand_temp;
end process;
end Behavioral;
| gpl-2.0 |
TUM-LIS/faultify | hardware/testcases/QR/fpga_sim/xpsLibraryPath_asic_200_399/libFaultify/pcores/faultify_axi_wrapper_v1_00_a/hdl/vhdl/lfsr_pkg.vhd | 17 | 18262 | ----------------------------------------------------------------------------
---- Create Date: 14:30:08 07/28/2010 ----
---- Design Name: lfsr_pkg ----
---- Project Name: lfsr_randgen ----
---- Description: ----
---- This is the package file used in the lfsr_randgen project.The ----
---- package contain the function for XORing bits from various tap ----
---- locations depending on the generic parameter(width of lfsr ) ----
---- ----
----------------------------------------------------------------------------
---- ----
---- This file is a part of the lfsr_randgen project at ----
---- http://www.opencores.org/ ----
---- ----
---- Author(s): ----
---- Vipin Lal, [email protected] ----
---- ----
----------------------------------------------------------------------------
---- ----
---- Copyright (C) 2010 Authors and OPENCORES.ORG ----
---- ----
---- This source file may be used and distributed without ----
---- restriction provided that this copyright statement is not ----
---- removed from the file and that any derivative work contains ----
---- the original copyright notice and the associated disclaimer. ----
---- ----
---- This source file is free software; you can redistribute it ----
---- and/or modify it under the terms of the GNU Lesser General ----
---- Public License as published by the Free Software Foundation; ----
---- either version 2.1 of the License, or (at your option) any ----
---- later version. ----
---- ----
---- This source is distributed in the hope that it will be ----
---- useful, but WITHOUT ANY WARRANTY; without even the implied ----
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ----
---- PURPOSE. See the GNU Lesser General Public License for more ----
---- details. ----
---- ----
---- You should have received a copy of the GNU Lesser General ----
---- Public License along with this source; if not, download it ----
---- from http://www.opencores.org/lgpl.shtml ----
---- ----
----------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
package lfsr_pkg is
function xor_gates( random : std_logic_vector) return std_logic;
end lfsr_pkg;
--Package body starts from here.
package body lfsr_pkg is
--function for XORing from tap values.
function xor_gates( random : std_logic_vector ) return std_logic is
variable xor_out : std_logic:='0';
variable rand : std_logic_vector(random'length-1 downto 0):=random;
begin
if(rand'length = 3) then --3
xor_out := rand(2) xor rand(1);
elsif(rand'length = 2) then --2
xor_out := rand(1) xor rand(0);
elsif(rand'length = 4) then --4
xor_out := rand(3) xor rand(2);
elsif(rand'length = 5) then --5
xor_out := rand(4) xor rand(2);
elsif(rand'length = 6) then --6
xor_out := rand(5) xor rand(4);
elsif(rand'length = 7) then --7
xor_out := rand(6) xor rand(5);
elsif(rand'length = 8) then --8
xor_out := rand(7) xor rand(5) xor rand(4) xor rand(3);
elsif(rand'length = 9) then --9
xor_out := rand(8) xor rand(4);
elsif(rand'length = 10)then --10
xor_out := rand(9) xor rand(6);
elsif(rand'length =11) then --11
xor_out := rand(10) xor rand(8);
elsif(rand'length = 12) then --12
xor_out := rand(11) xor rand(5) xor rand(3) xor rand(0);
elsif(rand'length = 13) then --13
xor_out := rand(12) xor rand(3) xor rand(2) xor rand(0);
elsif(rand'length = 14) then --14
xor_out := rand(13) xor rand(4) xor rand(2) xor rand(0);
elsif(rand'length = 15) then --15
xor_out := rand(14) xor rand(13);
elsif(rand'length = 16) then --16
xor_out := rand(15) xor rand(14) xor rand(12) xor rand(3);
elsif(rand'length = 17) then --17
xor_out := rand(16) xor rand(13);
elsif(rand'length = 18) then --18
xor_out := rand(17) xor rand(10);
elsif(rand'length = 19) then --19
xor_out := rand(18) xor rand(5) xor rand(1) xor rand(0);
elsif(rand'length = 20) then --20
xor_out := rand(19) xor rand(16);
elsif(rand'length = 21) then --21
xor_out := rand(20) xor rand(18);
elsif(rand'length = 22) then --22
xor_out := rand(21) xor rand(20);
elsif(rand'length = 23) then --23
xor_out := rand(22) xor rand(17);
elsif(rand'length = 24) then --24
xor_out := rand(23) xor rand(22) xor rand(21) xor rand(16);
elsif(rand'length = 25) then --25
xor_out := rand(24) xor rand(21);
elsif(rand'length = 26) then --26
xor_out := rand(25) xor rand(5) xor rand(1) xor rand(0);
elsif(rand'length = 27) then --27
xor_out := rand(26) xor rand(4) xor rand(1) xor rand(0);
elsif(rand'length = 28) then --28
xor_out := rand(27) xor rand(24);
elsif(rand'length = 29) then --29
xor_out := rand(28) xor rand(26);
elsif(rand'length = 30) then --30
xor_out := rand(29) xor rand(5) xor rand(3) xor rand(0);
elsif(rand'length = 31) then --31
xor_out := rand(30) xor rand(27);
elsif(rand'length = 32) then --32
xor_out := rand(31) xor rand(21) xor rand(1) xor rand(0);
elsif(rand'length = 33) then --33
xor_out := rand(32) xor rand(19);
elsif(rand'length = 34) then --34
xor_out := rand(33) xor rand(26) xor rand(1) xor rand(0);
elsif(rand'length = 35) then --35
xor_out := rand(34) xor rand(32);
elsif(rand'length = 36) then --36
xor_out := rand(35) xor rand(24);
elsif(rand'length = 37) then --37
xor_out := rand(36) xor rand(4) xor rand(3) xor rand(2) xor rand(1) xor rand(0);
elsif(rand'length = 38) then --38
xor_out := rand(37) xor rand(5) xor rand(4) xor rand(0);
elsif(rand'length = 39) then --39
xor_out := rand(38) xor rand(34);
elsif(rand'length = 40) then --40
xor_out := rand(39) xor rand(37) xor rand(20) xor rand(18);
elsif(rand'length = 41) then --41
xor_out := rand(40) xor rand(37);
elsif(rand'length = 42) then --42
xor_out := rand(41) xor rand(40) xor rand(19) xor rand(18);
elsif(rand'length = 43) then --43
xor_out := rand(42) xor rand(41) xor rand(37) xor rand(36);
elsif(rand'length = 44) then --44
xor_out := rand(43) xor rand(42) xor rand(17) xor rand(16);
elsif(rand'length = 45) then --45
xor_out := rand(44) xor rand(43) xor rand(41) xor rand(40);
elsif(rand'length = 46) then --46
xor_out := rand(45) xor rand(44) xor rand(25) xor rand(24);
elsif(rand'length = 47) then --47
xor_out := rand(46) xor rand(41);
elsif(rand'length = 48) then --48
xor_out := rand(47) xor rand(46) xor rand(20) xor rand(19);
elsif(rand'length = 49) then --49
xor_out := rand(48) xor rand(39);
elsif(rand'length = 50) then --50
xor_out := rand(49) xor rand(48) xor rand(23) xor rand(22);
elsif(rand'length = 51) then --51
xor_out := rand(50) xor rand(49) xor rand(35) xor rand(34);
elsif(rand'length = 52) then --52
xor_out := rand(51) xor rand(48);
elsif(rand'length = 53) then --53
xor_out := rand(52) xor rand(51) xor rand(37) xor rand(36);
elsif(rand'length = 54) then --54
xor_out := rand(53) xor rand(52) xor rand(17) xor rand(16);
elsif(rand'length = 55) then --55
xor_out := rand(54) xor rand(30);
elsif(rand'length = 56) then --56
xor_out := rand(55) xor rand(54) xor rand(34) xor rand(33);
elsif(rand'length = 57) then --57
xor_out := rand(56) xor rand(49);
elsif(rand'length = 58) then --58
xor_out := rand(57) xor rand(38);
elsif(rand'length = 59) then --59
xor_out := rand(58) xor rand(57) xor rand(37) xor rand(36);
elsif(rand'length = 60) then --60
xor_out := rand(59) xor rand(58);
elsif(rand'length = 61) then --61
xor_out := rand(60) xor rand(59) xor rand(45) xor rand(44);
elsif(rand'length = 62) then --62
xor_out := rand(61) xor rand(60) xor rand(5) xor rand(4);
elsif(rand'length = 63) then --63
xor_out := rand(62) xor rand(61);
elsif(rand'length = 64) then --64
xor_out := rand(63) xor rand(62) xor rand(60) xor rand(59);
elsif(rand'length = 65) then --65
xor_out := rand(64) xor rand(46);
elsif(rand'length = 66) then --66
xor_out := rand(65) xor rand(64) xor rand(56) xor rand(55);
elsif(rand'length = 67) then --67
xor_out := rand(66) xor rand(65) xor rand(57) xor rand(56);
elsif(rand'length = 68) then --68
xor_out := rand(67) xor rand(58);
elsif(rand'length = 69) then --69
xor_out := rand(68) xor rand(66) xor rand(41) xor rand(39);
elsif(rand'length = 70) then --70
xor_out := rand(69) xor rand(68) xor rand(54) xor rand(53);
elsif(rand'length = 71) then --71
xor_out := rand(70) xor rand(64);
elsif(rand'length = 72) then --72
xor_out := rand(71) xor rand(65) xor rand(24) xor rand(18);
elsif(rand'length = 73) then --73
xor_out := rand(72) xor rand(47);
elsif(rand'length = 74) then --74
xor_out := rand(73) xor rand(72) xor rand(58) xor rand(57);
elsif(rand'length = 75) then --75
xor_out := rand(74) xor rand(73) xor rand(64) xor rand(63);
elsif(rand'length = 76) then --76
xor_out := rand(75) xor rand(74) xor rand(40) xor rand(39);
elsif(rand'length = 77) then --77
xor_out := rand(76) xor rand(75) xor rand(46) xor rand(45);
elsif(rand'length = 78) then --78
xor_out := rand(77) xor rand(76) xor rand(58) xor rand(57);
elsif(rand'length = 79) then --79
xor_out := rand(78) xor rand(69);
elsif(rand'length = 80) then --80
xor_out := rand(79) xor rand(78) xor rand(42) xor rand(41);
elsif(rand'length = 81) then --81
xor_out := rand(80) xor rand(76);
elsif(rand'length = 82) then --82
xor_out := rand(81) xor rand(78) xor rand(46) xor rand(43);
elsif(rand'length = 83) then --83
xor_out := rand(82) xor rand(81) xor rand(37) xor rand(36);
elsif(rand'length = 84) then --84
xor_out := rand(83) xor rand(70);
elsif(rand'length = 85) then --85
xor_out := rand(84) xor rand(83) xor rand(57) xor rand(56);
elsif(rand'length = 86) then --86
xor_out := rand(85) xor rand(84) xor rand(73) xor rand(72);
elsif(rand'length = 87) then --87
xor_out := rand(86) xor rand(73);
elsif(rand'length = 88) then --88
xor_out := rand(87) xor rand(86) xor rand(16) xor rand(15);
elsif(rand'length = 89) then --89
xor_out := rand(88) xor rand(50);
elsif(rand'length = 90) then --90
xor_out := rand(89) xor rand(88) xor rand(71) xor rand(70);
elsif(rand'length = 91) then --91
xor_out := rand(90) xor rand(89) xor rand(7) xor rand(6);
elsif(rand'length = 92) then --92
xor_out := rand(91) xor rand(90) xor rand(79) xor rand(78);
elsif(rand'length = 93) then --93
xor_out := rand(92) xor rand(90);
elsif(rand'length = 94) then --94
xor_out := rand(93) xor rand(72);
elsif(rand'length = 95) then --95
xor_out := rand(94) xor rand(83);
elsif(rand'length = 96) then --96
xor_out := rand(95) xor rand(93) xor rand(48) xor rand(46);
elsif(rand'length = 97) then --97
xor_out := rand(96) xor rand(90);
elsif(rand'length = 98) then --98
xor_out := rand(97) xor rand(86);
elsif(rand'length = 99) then --99
xor_out := rand(98) xor rand(96) xor rand(53) xor rand(51);
elsif(rand'length = 100) then --100
xor_out := rand(99) xor rand(62);
elsif(rand'length = 101) then --101
xor_out := rand(100) xor rand(99) xor rand(94) xor rand(93);
elsif(rand'length = 102) then --102
xor_out := rand(101) xor rand(100) xor rand(35) xor rand(34);
elsif(rand'length = 103) then --103
xor_out := rand(102) xor rand(93);
elsif(rand'length = 104) then --104
xor_out := rand(103) xor rand(102) xor rand(93) xor rand(92);
elsif(rand'length = 105) then --105
xor_out := rand(104) xor rand(88);
elsif(rand'length = 106) then --106
xor_out := rand(105) xor rand(90);
elsif(rand'length = 107) then --107
xor_out := rand(106) xor rand(104) xor rand(43) xor rand(41);
elsif(rand'length = 108) then --108
xor_out := rand(107) xor rand(76);
elsif(rand'length = 109) then --109
xor_out := rand(108) xor rand(107) xor rand(102) xor rand(101);
elsif(rand'length = 110)then --110
xor_out := rand(109) xor rand(108) xor rand(97) xor rand(96);
elsif(rand'length = 111) then --111
xor_out := rand(110) xor rand(100);
elsif(rand'length = 112) then --112
xor_out := rand(111) xor rand(109) xor rand(68) xor rand(66);
elsif(rand'length = 113) then --113
xor_out := rand(112) xor rand(103);
elsif(rand'length = 114) then --114
xor_out := rand(113) xor rand(112) xor rand(32) xor rand(31);
elsif(rand'length = 115) then --115
xor_out := rand(114) xor rand(113) xor rand(100) xor rand(99);
elsif(rand'length = 116) then --116
xor_out := rand(115) xor rand(114) xor rand(45) xor rand(44);
elsif(rand'length = 117) then --117
xor_out := rand(116) xor rand(114) xor rand(98) xor rand(96);
elsif(rand'length = 118) then --118
xor_out := rand(117) xor rand(84);
elsif(rand'length = 119) then --119
xor_out := rand(118) xor rand(110);
elsif(rand'length = 120) then --120
xor_out := rand(119) xor rand(112) xor rand(8) xor rand(1);
elsif(rand'length = 121) then --121
xor_out := rand(120) xor rand(102);
elsif(rand'length = 122) then --122
xor_out := rand(121) xor rand(120) xor rand(62) xor rand(61);
elsif(rand'length = 123) then --123
xor_out := rand(122) xor rand(120);
elsif(rand'length = 124) then --124
xor_out := rand(123) xor rand(86);
elsif(rand'length = 125) then --125
xor_out := rand(124) xor rand(123) xor rand(17) xor rand(16);
elsif(rand'length = 126) then --126
xor_out := rand(125) xor rand(124) xor rand(89) xor rand(88);
elsif(rand'length = 127) then --127
xor_out := rand(126) xor rand(125);
elsif(rand'length = 128) then --128
xor_out := rand(127) xor rand(125) xor rand(100) xor rand(98);
elsif(rand'length = 129) then --129
xor_out := rand(128) xor rand(123);
elsif(rand'length = 130) then --130
xor_out := rand(129) xor rand(126);
elsif(rand'length = 131) then --131
xor_out := rand(130) xor rand(129) xor rand(83) xor rand(82);
elsif(rand'length = 132) then --132
xor_out := rand(131) xor rand(102);
elsif(rand'length = 133) then --133
xor_out := rand(132) xor rand(131) xor rand(81) xor rand(80);
elsif(rand'length = 134) then --134
xor_out := rand(133) xor rand(76);
elsif(rand'length = 135) then --135
xor_out := rand(134) xor rand(123);
elsif(rand'length = 136) then --136
xor_out := rand(135) xor rand(134) xor rand(10) xor rand(9);
elsif(rand'length = 137) then --137
xor_out := rand(136) xor rand(115);
elsif(rand'length = 138) then --138
xor_out := rand(137) xor rand(136) xor rand(130) xor rand(129);
elsif(rand'length = 139) then --139
xor_out := rand(138) xor rand(135) xor rand(133) xor rand(130);
elsif(rand'length = 140) then --140
xor_out := rand(139) xor rand(110);
elsif(rand'length = 141) then --141
xor_out := rand(140) xor rand(139) xor rand(109) xor rand(108);
elsif(rand'length = 142) then --142
xor_out := rand(141) xor rand(120);
elsif(rand'length = 143) then --143
xor_out := rand(142) xor rand(141) xor rand(122) xor rand(121);
elsif(rand'length = 144) then --144
xor_out := rand(143) xor rand(142) xor rand(74) xor rand(73);
elsif(rand'length = 145) then --145
xor_out := rand(144) xor rand(92);
elsif(rand'length = 146) then --146
xor_out := rand(145) xor rand(144) xor rand(86) xor rand(85);
elsif(rand'length = 147) then --147
xor_out := rand(146) xor rand(145) xor rand(109) xor rand(108);
elsif(rand'length = 148) then --148
xor_out := rand(147) xor rand(120);
elsif(rand'length = 149) then --149
xor_out := rand(148) xor rand(147) xor rand(39) xor rand(38);
elsif(rand'length = 150) then --150
xor_out := rand(149) xor rand(96);
elsif(rand'length = 151) then --151
xor_out := rand(150) xor rand(147);
elsif(rand'length = 152) then --152
xor_out := rand(151) xor rand(150) xor rand(86) xor rand(85);
elsif(rand'length = 153) then --153
xor_out := rand(152) xor rand(151);
elsif(rand'length = 154) then --154
xor_out := rand(153) xor rand(151) xor rand(26) xor rand(24);
elsif(rand'length = 155) then --155
xor_out := rand(154) xor rand(153) xor rand(123) xor rand(122);
elsif(rand'length = 156) then --156
xor_out := rand(155) xor rand(154) xor rand(40) xor rand(39);
elsif(rand'length = 157) then --157
xor_out := rand(156) xor rand(155) xor rand(130) xor rand(129);
elsif(rand'length = 158) then --158
xor_out := rand(157) xor rand(156) xor rand(131) xor rand(130);
elsif(rand'length = 159) then --159
xor_out := rand(158) xor rand(127);
elsif(rand'length = 160) then --160
xor_out := rand(159) xor rand(158) xor rand(141) xor rand(140);
elsif(rand'length = 161) then --161
xor_out := rand(160) xor rand(142);
elsif(rand'length = 162) then --162
xor_out := rand(161) xor rand(160) xor rand(74) xor rand(73);
elsif(rand'length = 163) then --163
xor_out := rand(162) xor rand(161) xor rand(103) xor rand(102);
elsif(rand'length = 164) then --164
xor_out := rand(163) xor rand(162) xor rand(150) xor rand(149);
elsif(rand'length = 165) then --165
xor_out := rand(164) xor rand(163) xor rand(134) xor rand(133);
elsif(rand'length = 166) then --166
xor_out := rand(165) xor rand(164) xor rand(127) xor rand(126);
elsif(rand'length = 167) then --167
xor_out := rand(166) xor rand(160);
elsif(rand'length = 168) then --168
xor_out := rand(167) xor rand(165) xor rand(152) xor rand(150);
end if;
return xor_out;
end xor_gates;
--END function for XORing using tap values.
end lfsr_pkg;
--End of the package.
| gpl-2.0 |
TUM-LIS/faultify | hardware/testcases/FIR/fpga_sim/xpsLibraryPath/libFaultify/pcores/faultify_axi_wrapper_v1_00_a/hdl/vhdl/lfsr_pkg.vhd | 17 | 18262 | ----------------------------------------------------------------------------
---- Create Date: 14:30:08 07/28/2010 ----
---- Design Name: lfsr_pkg ----
---- Project Name: lfsr_randgen ----
---- Description: ----
---- This is the package file used in the lfsr_randgen project.The ----
---- package contain the function for XORing bits from various tap ----
---- locations depending on the generic parameter(width of lfsr ) ----
---- ----
----------------------------------------------------------------------------
---- ----
---- This file is a part of the lfsr_randgen project at ----
---- http://www.opencores.org/ ----
---- ----
---- Author(s): ----
---- Vipin Lal, [email protected] ----
---- ----
----------------------------------------------------------------------------
---- ----
---- Copyright (C) 2010 Authors and OPENCORES.ORG ----
---- ----
---- This source file may be used and distributed without ----
---- restriction provided that this copyright statement is not ----
---- removed from the file and that any derivative work contains ----
---- the original copyright notice and the associated disclaimer. ----
---- ----
---- This source file is free software; you can redistribute it ----
---- and/or modify it under the terms of the GNU Lesser General ----
---- Public License as published by the Free Software Foundation; ----
---- either version 2.1 of the License, or (at your option) any ----
---- later version. ----
---- ----
---- This source is distributed in the hope that it will be ----
---- useful, but WITHOUT ANY WARRANTY; without even the implied ----
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ----
---- PURPOSE. See the GNU Lesser General Public License for more ----
---- details. ----
---- ----
---- You should have received a copy of the GNU Lesser General ----
---- Public License along with this source; if not, download it ----
---- from http://www.opencores.org/lgpl.shtml ----
---- ----
----------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
package lfsr_pkg is
function xor_gates( random : std_logic_vector) return std_logic;
end lfsr_pkg;
--Package body starts from here.
package body lfsr_pkg is
--function for XORing from tap values.
function xor_gates( random : std_logic_vector ) return std_logic is
variable xor_out : std_logic:='0';
variable rand : std_logic_vector(random'length-1 downto 0):=random;
begin
if(rand'length = 3) then --3
xor_out := rand(2) xor rand(1);
elsif(rand'length = 2) then --2
xor_out := rand(1) xor rand(0);
elsif(rand'length = 4) then --4
xor_out := rand(3) xor rand(2);
elsif(rand'length = 5) then --5
xor_out := rand(4) xor rand(2);
elsif(rand'length = 6) then --6
xor_out := rand(5) xor rand(4);
elsif(rand'length = 7) then --7
xor_out := rand(6) xor rand(5);
elsif(rand'length = 8) then --8
xor_out := rand(7) xor rand(5) xor rand(4) xor rand(3);
elsif(rand'length = 9) then --9
xor_out := rand(8) xor rand(4);
elsif(rand'length = 10)then --10
xor_out := rand(9) xor rand(6);
elsif(rand'length =11) then --11
xor_out := rand(10) xor rand(8);
elsif(rand'length = 12) then --12
xor_out := rand(11) xor rand(5) xor rand(3) xor rand(0);
elsif(rand'length = 13) then --13
xor_out := rand(12) xor rand(3) xor rand(2) xor rand(0);
elsif(rand'length = 14) then --14
xor_out := rand(13) xor rand(4) xor rand(2) xor rand(0);
elsif(rand'length = 15) then --15
xor_out := rand(14) xor rand(13);
elsif(rand'length = 16) then --16
xor_out := rand(15) xor rand(14) xor rand(12) xor rand(3);
elsif(rand'length = 17) then --17
xor_out := rand(16) xor rand(13);
elsif(rand'length = 18) then --18
xor_out := rand(17) xor rand(10);
elsif(rand'length = 19) then --19
xor_out := rand(18) xor rand(5) xor rand(1) xor rand(0);
elsif(rand'length = 20) then --20
xor_out := rand(19) xor rand(16);
elsif(rand'length = 21) then --21
xor_out := rand(20) xor rand(18);
elsif(rand'length = 22) then --22
xor_out := rand(21) xor rand(20);
elsif(rand'length = 23) then --23
xor_out := rand(22) xor rand(17);
elsif(rand'length = 24) then --24
xor_out := rand(23) xor rand(22) xor rand(21) xor rand(16);
elsif(rand'length = 25) then --25
xor_out := rand(24) xor rand(21);
elsif(rand'length = 26) then --26
xor_out := rand(25) xor rand(5) xor rand(1) xor rand(0);
elsif(rand'length = 27) then --27
xor_out := rand(26) xor rand(4) xor rand(1) xor rand(0);
elsif(rand'length = 28) then --28
xor_out := rand(27) xor rand(24);
elsif(rand'length = 29) then --29
xor_out := rand(28) xor rand(26);
elsif(rand'length = 30) then --30
xor_out := rand(29) xor rand(5) xor rand(3) xor rand(0);
elsif(rand'length = 31) then --31
xor_out := rand(30) xor rand(27);
elsif(rand'length = 32) then --32
xor_out := rand(31) xor rand(21) xor rand(1) xor rand(0);
elsif(rand'length = 33) then --33
xor_out := rand(32) xor rand(19);
elsif(rand'length = 34) then --34
xor_out := rand(33) xor rand(26) xor rand(1) xor rand(0);
elsif(rand'length = 35) then --35
xor_out := rand(34) xor rand(32);
elsif(rand'length = 36) then --36
xor_out := rand(35) xor rand(24);
elsif(rand'length = 37) then --37
xor_out := rand(36) xor rand(4) xor rand(3) xor rand(2) xor rand(1) xor rand(0);
elsif(rand'length = 38) then --38
xor_out := rand(37) xor rand(5) xor rand(4) xor rand(0);
elsif(rand'length = 39) then --39
xor_out := rand(38) xor rand(34);
elsif(rand'length = 40) then --40
xor_out := rand(39) xor rand(37) xor rand(20) xor rand(18);
elsif(rand'length = 41) then --41
xor_out := rand(40) xor rand(37);
elsif(rand'length = 42) then --42
xor_out := rand(41) xor rand(40) xor rand(19) xor rand(18);
elsif(rand'length = 43) then --43
xor_out := rand(42) xor rand(41) xor rand(37) xor rand(36);
elsif(rand'length = 44) then --44
xor_out := rand(43) xor rand(42) xor rand(17) xor rand(16);
elsif(rand'length = 45) then --45
xor_out := rand(44) xor rand(43) xor rand(41) xor rand(40);
elsif(rand'length = 46) then --46
xor_out := rand(45) xor rand(44) xor rand(25) xor rand(24);
elsif(rand'length = 47) then --47
xor_out := rand(46) xor rand(41);
elsif(rand'length = 48) then --48
xor_out := rand(47) xor rand(46) xor rand(20) xor rand(19);
elsif(rand'length = 49) then --49
xor_out := rand(48) xor rand(39);
elsif(rand'length = 50) then --50
xor_out := rand(49) xor rand(48) xor rand(23) xor rand(22);
elsif(rand'length = 51) then --51
xor_out := rand(50) xor rand(49) xor rand(35) xor rand(34);
elsif(rand'length = 52) then --52
xor_out := rand(51) xor rand(48);
elsif(rand'length = 53) then --53
xor_out := rand(52) xor rand(51) xor rand(37) xor rand(36);
elsif(rand'length = 54) then --54
xor_out := rand(53) xor rand(52) xor rand(17) xor rand(16);
elsif(rand'length = 55) then --55
xor_out := rand(54) xor rand(30);
elsif(rand'length = 56) then --56
xor_out := rand(55) xor rand(54) xor rand(34) xor rand(33);
elsif(rand'length = 57) then --57
xor_out := rand(56) xor rand(49);
elsif(rand'length = 58) then --58
xor_out := rand(57) xor rand(38);
elsif(rand'length = 59) then --59
xor_out := rand(58) xor rand(57) xor rand(37) xor rand(36);
elsif(rand'length = 60) then --60
xor_out := rand(59) xor rand(58);
elsif(rand'length = 61) then --61
xor_out := rand(60) xor rand(59) xor rand(45) xor rand(44);
elsif(rand'length = 62) then --62
xor_out := rand(61) xor rand(60) xor rand(5) xor rand(4);
elsif(rand'length = 63) then --63
xor_out := rand(62) xor rand(61);
elsif(rand'length = 64) then --64
xor_out := rand(63) xor rand(62) xor rand(60) xor rand(59);
elsif(rand'length = 65) then --65
xor_out := rand(64) xor rand(46);
elsif(rand'length = 66) then --66
xor_out := rand(65) xor rand(64) xor rand(56) xor rand(55);
elsif(rand'length = 67) then --67
xor_out := rand(66) xor rand(65) xor rand(57) xor rand(56);
elsif(rand'length = 68) then --68
xor_out := rand(67) xor rand(58);
elsif(rand'length = 69) then --69
xor_out := rand(68) xor rand(66) xor rand(41) xor rand(39);
elsif(rand'length = 70) then --70
xor_out := rand(69) xor rand(68) xor rand(54) xor rand(53);
elsif(rand'length = 71) then --71
xor_out := rand(70) xor rand(64);
elsif(rand'length = 72) then --72
xor_out := rand(71) xor rand(65) xor rand(24) xor rand(18);
elsif(rand'length = 73) then --73
xor_out := rand(72) xor rand(47);
elsif(rand'length = 74) then --74
xor_out := rand(73) xor rand(72) xor rand(58) xor rand(57);
elsif(rand'length = 75) then --75
xor_out := rand(74) xor rand(73) xor rand(64) xor rand(63);
elsif(rand'length = 76) then --76
xor_out := rand(75) xor rand(74) xor rand(40) xor rand(39);
elsif(rand'length = 77) then --77
xor_out := rand(76) xor rand(75) xor rand(46) xor rand(45);
elsif(rand'length = 78) then --78
xor_out := rand(77) xor rand(76) xor rand(58) xor rand(57);
elsif(rand'length = 79) then --79
xor_out := rand(78) xor rand(69);
elsif(rand'length = 80) then --80
xor_out := rand(79) xor rand(78) xor rand(42) xor rand(41);
elsif(rand'length = 81) then --81
xor_out := rand(80) xor rand(76);
elsif(rand'length = 82) then --82
xor_out := rand(81) xor rand(78) xor rand(46) xor rand(43);
elsif(rand'length = 83) then --83
xor_out := rand(82) xor rand(81) xor rand(37) xor rand(36);
elsif(rand'length = 84) then --84
xor_out := rand(83) xor rand(70);
elsif(rand'length = 85) then --85
xor_out := rand(84) xor rand(83) xor rand(57) xor rand(56);
elsif(rand'length = 86) then --86
xor_out := rand(85) xor rand(84) xor rand(73) xor rand(72);
elsif(rand'length = 87) then --87
xor_out := rand(86) xor rand(73);
elsif(rand'length = 88) then --88
xor_out := rand(87) xor rand(86) xor rand(16) xor rand(15);
elsif(rand'length = 89) then --89
xor_out := rand(88) xor rand(50);
elsif(rand'length = 90) then --90
xor_out := rand(89) xor rand(88) xor rand(71) xor rand(70);
elsif(rand'length = 91) then --91
xor_out := rand(90) xor rand(89) xor rand(7) xor rand(6);
elsif(rand'length = 92) then --92
xor_out := rand(91) xor rand(90) xor rand(79) xor rand(78);
elsif(rand'length = 93) then --93
xor_out := rand(92) xor rand(90);
elsif(rand'length = 94) then --94
xor_out := rand(93) xor rand(72);
elsif(rand'length = 95) then --95
xor_out := rand(94) xor rand(83);
elsif(rand'length = 96) then --96
xor_out := rand(95) xor rand(93) xor rand(48) xor rand(46);
elsif(rand'length = 97) then --97
xor_out := rand(96) xor rand(90);
elsif(rand'length = 98) then --98
xor_out := rand(97) xor rand(86);
elsif(rand'length = 99) then --99
xor_out := rand(98) xor rand(96) xor rand(53) xor rand(51);
elsif(rand'length = 100) then --100
xor_out := rand(99) xor rand(62);
elsif(rand'length = 101) then --101
xor_out := rand(100) xor rand(99) xor rand(94) xor rand(93);
elsif(rand'length = 102) then --102
xor_out := rand(101) xor rand(100) xor rand(35) xor rand(34);
elsif(rand'length = 103) then --103
xor_out := rand(102) xor rand(93);
elsif(rand'length = 104) then --104
xor_out := rand(103) xor rand(102) xor rand(93) xor rand(92);
elsif(rand'length = 105) then --105
xor_out := rand(104) xor rand(88);
elsif(rand'length = 106) then --106
xor_out := rand(105) xor rand(90);
elsif(rand'length = 107) then --107
xor_out := rand(106) xor rand(104) xor rand(43) xor rand(41);
elsif(rand'length = 108) then --108
xor_out := rand(107) xor rand(76);
elsif(rand'length = 109) then --109
xor_out := rand(108) xor rand(107) xor rand(102) xor rand(101);
elsif(rand'length = 110)then --110
xor_out := rand(109) xor rand(108) xor rand(97) xor rand(96);
elsif(rand'length = 111) then --111
xor_out := rand(110) xor rand(100);
elsif(rand'length = 112) then --112
xor_out := rand(111) xor rand(109) xor rand(68) xor rand(66);
elsif(rand'length = 113) then --113
xor_out := rand(112) xor rand(103);
elsif(rand'length = 114) then --114
xor_out := rand(113) xor rand(112) xor rand(32) xor rand(31);
elsif(rand'length = 115) then --115
xor_out := rand(114) xor rand(113) xor rand(100) xor rand(99);
elsif(rand'length = 116) then --116
xor_out := rand(115) xor rand(114) xor rand(45) xor rand(44);
elsif(rand'length = 117) then --117
xor_out := rand(116) xor rand(114) xor rand(98) xor rand(96);
elsif(rand'length = 118) then --118
xor_out := rand(117) xor rand(84);
elsif(rand'length = 119) then --119
xor_out := rand(118) xor rand(110);
elsif(rand'length = 120) then --120
xor_out := rand(119) xor rand(112) xor rand(8) xor rand(1);
elsif(rand'length = 121) then --121
xor_out := rand(120) xor rand(102);
elsif(rand'length = 122) then --122
xor_out := rand(121) xor rand(120) xor rand(62) xor rand(61);
elsif(rand'length = 123) then --123
xor_out := rand(122) xor rand(120);
elsif(rand'length = 124) then --124
xor_out := rand(123) xor rand(86);
elsif(rand'length = 125) then --125
xor_out := rand(124) xor rand(123) xor rand(17) xor rand(16);
elsif(rand'length = 126) then --126
xor_out := rand(125) xor rand(124) xor rand(89) xor rand(88);
elsif(rand'length = 127) then --127
xor_out := rand(126) xor rand(125);
elsif(rand'length = 128) then --128
xor_out := rand(127) xor rand(125) xor rand(100) xor rand(98);
elsif(rand'length = 129) then --129
xor_out := rand(128) xor rand(123);
elsif(rand'length = 130) then --130
xor_out := rand(129) xor rand(126);
elsif(rand'length = 131) then --131
xor_out := rand(130) xor rand(129) xor rand(83) xor rand(82);
elsif(rand'length = 132) then --132
xor_out := rand(131) xor rand(102);
elsif(rand'length = 133) then --133
xor_out := rand(132) xor rand(131) xor rand(81) xor rand(80);
elsif(rand'length = 134) then --134
xor_out := rand(133) xor rand(76);
elsif(rand'length = 135) then --135
xor_out := rand(134) xor rand(123);
elsif(rand'length = 136) then --136
xor_out := rand(135) xor rand(134) xor rand(10) xor rand(9);
elsif(rand'length = 137) then --137
xor_out := rand(136) xor rand(115);
elsif(rand'length = 138) then --138
xor_out := rand(137) xor rand(136) xor rand(130) xor rand(129);
elsif(rand'length = 139) then --139
xor_out := rand(138) xor rand(135) xor rand(133) xor rand(130);
elsif(rand'length = 140) then --140
xor_out := rand(139) xor rand(110);
elsif(rand'length = 141) then --141
xor_out := rand(140) xor rand(139) xor rand(109) xor rand(108);
elsif(rand'length = 142) then --142
xor_out := rand(141) xor rand(120);
elsif(rand'length = 143) then --143
xor_out := rand(142) xor rand(141) xor rand(122) xor rand(121);
elsif(rand'length = 144) then --144
xor_out := rand(143) xor rand(142) xor rand(74) xor rand(73);
elsif(rand'length = 145) then --145
xor_out := rand(144) xor rand(92);
elsif(rand'length = 146) then --146
xor_out := rand(145) xor rand(144) xor rand(86) xor rand(85);
elsif(rand'length = 147) then --147
xor_out := rand(146) xor rand(145) xor rand(109) xor rand(108);
elsif(rand'length = 148) then --148
xor_out := rand(147) xor rand(120);
elsif(rand'length = 149) then --149
xor_out := rand(148) xor rand(147) xor rand(39) xor rand(38);
elsif(rand'length = 150) then --150
xor_out := rand(149) xor rand(96);
elsif(rand'length = 151) then --151
xor_out := rand(150) xor rand(147);
elsif(rand'length = 152) then --152
xor_out := rand(151) xor rand(150) xor rand(86) xor rand(85);
elsif(rand'length = 153) then --153
xor_out := rand(152) xor rand(151);
elsif(rand'length = 154) then --154
xor_out := rand(153) xor rand(151) xor rand(26) xor rand(24);
elsif(rand'length = 155) then --155
xor_out := rand(154) xor rand(153) xor rand(123) xor rand(122);
elsif(rand'length = 156) then --156
xor_out := rand(155) xor rand(154) xor rand(40) xor rand(39);
elsif(rand'length = 157) then --157
xor_out := rand(156) xor rand(155) xor rand(130) xor rand(129);
elsif(rand'length = 158) then --158
xor_out := rand(157) xor rand(156) xor rand(131) xor rand(130);
elsif(rand'length = 159) then --159
xor_out := rand(158) xor rand(127);
elsif(rand'length = 160) then --160
xor_out := rand(159) xor rand(158) xor rand(141) xor rand(140);
elsif(rand'length = 161) then --161
xor_out := rand(160) xor rand(142);
elsif(rand'length = 162) then --162
xor_out := rand(161) xor rand(160) xor rand(74) xor rand(73);
elsif(rand'length = 163) then --163
xor_out := rand(162) xor rand(161) xor rand(103) xor rand(102);
elsif(rand'length = 164) then --164
xor_out := rand(163) xor rand(162) xor rand(150) xor rand(149);
elsif(rand'length = 165) then --165
xor_out := rand(164) xor rand(163) xor rand(134) xor rand(133);
elsif(rand'length = 166) then --166
xor_out := rand(165) xor rand(164) xor rand(127) xor rand(126);
elsif(rand'length = 167) then --167
xor_out := rand(166) xor rand(160);
elsif(rand'length = 168) then --168
xor_out := rand(167) xor rand(165) xor rand(152) xor rand(150);
end if;
return xor_out;
end xor_gates;
--END function for XORing using tap values.
end lfsr_pkg;
--End of the package.
| gpl-2.0 |
TUM-LIS/faultify | software/host/davester_combinational_extractor/voltage_scaling_fpu_mul/cut_wrapper_static_all.vhd | 1 | 9821 | --Package declaration for the above program
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
package test_pkg is
function reverse_any_vector (a : in std_logic_vector) return std_logic_vector;
end test_pkg; --end of package.
package body test_pkg is --start of package body
--definition of function
function reverse_any_vector (a : in std_logic_vector)
return std_logic_vector is
variable result : std_logic_vector(a'range);
alias aa : std_logic_vector(a'reverse_range) is a;
begin
for i in aa'range loop
result(i) := aa(i);
end loop;
return result;
end; -- function reverse_any_vector
--end function
end test_pkg; --end of the package body
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.test_pkg.all;
entity cut_wrapper_static_all is
port (
clk : in std_logic;
testVector : in std_logic_vector(69 downto 0);
resultVector : out std_logic_vector(40 downto 0));
end entity cut_wrapper_static_all;
architecture behav of cut_wrapper_static_all is
component circuit_under_test is
port (
clk : in std_logic;
rst : in std_logic;
testVector : in std_logic_vector(69 downto 0);
resultVector : out std_logic_vector(40 downto 0);
injectionvector : in std_logic_vector(620 downto 0));
end component circuit_under_test;
signal injectionvector : std_logic_vector(620 downto 0);
signal injectionVector_rev_1 : std_logic_vector(300-1 downto 0);
signal injectionVector_rev_2 : std_logic_vector(321-1 downto 0);
begin -- architecture behav
-- all
--injectionVector_rev_1 <= (others => '1');
--injectionVector_rev_2 <= (others => '1');
-- 30 dB
injectionVector_rev_1 <= ('1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','1','1','1','1','1','1','1','1','1','1','1','1','1','0','1','1','1','1','0','1','1','1','1','0','1','1','1','1','0','1','1','1','1','1','0','1','1','1','1','1','1','1','0','0','0','1','1','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','1','1','0','1','1','1');
injectionVector_rev_2 <= ('0','1','1','0','0','0','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','0','0','1','1','1','1','1','1','1','1','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','0','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','0');
-- 40 dB
--injectionVector_rev_1 <= ('1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','1','1','1','1','1','1','1','1','1','1','1','1','1','0','1','1','0','0','1','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','1');
--injectionVector_rev_2 <=('0','0','0','0','1','1','1','1','1','1','0','0','1','0','0','0','0','0','0','0','0','1','0','0','1','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','0','0','1','1','1','1','1','1','1','1','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','0');
--50 dB
--injectionVector_rev_1 <= ('1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','1','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','1','1','1','1');
--injectionVector_rev_2 <= ('0','0','0','0','0','0','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','0','0','1','1','1','1','1','1','1','1','0','0','0','0','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','0','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','1','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','1','0');
injectionVector <= reverse_any_vector(injectionVector_rev_2) & reverse_any_vector(injectionVector_rev_1);
circuit_under_test_1 : circuit_under_test
port map (
clk => clk,
rst => '0',
testVector => testVector,
resultVector => resultVector,
injectionvector => injectionvector);
end architecture behav;
| gpl-2.0 |
TUM-LIS/faultify | hardware/testcases/fpu100_mul/fpga_sim/xpsLibraryPath_asic/libFaultify/pcores/faultify_axi_wrapper_v1_00_a/hdl/vhdl/faultify_simulator.vhd | 1 | 5578 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library UNISIM;
use UNISIM.vcomponents.all;
entity faultify_simulator is
generic (
numInj : integer := 56;
numIn : integer := 10;
numOut : integer := 10);
port (
clk : in std_logic;
clk_m : in std_logic;
circ_ce : in std_logic;
circ_rst : in std_logic;
test : out std_logic_vector(31 downto 0);
testvector : in std_logic_vector(numIn-1 downto 0);
resultvector_o : out std_logic_vector(numOut-1 downto 0);
resultvector_f : out std_logic_vector(numOut-1 downto 0);
seed_in_en : in std_logic;
seed_in : in std_logic;
prob_in_en : in std_logic;
prob_in : in std_logic;
shift_en : in std_logic;
rst_n : in std_logic);
end faultify_simulator;
-- 866:0
architecture behav of faultify_simulator is
component faultify_binomial_gen
generic (
width : integer);
port (
clk : in std_logic;
rst_n : in std_logic;
seed_in_en : in std_logic;
seed_in : in std_logic;
seed_out_c : out std_logic;
prob_in_en : in std_logic;
prob_in : in std_logic;
prob_out_c : out std_logic;
shift_en : in std_logic;
data_out : out std_logic;
data_out_valid : out std_logic);
end component;
component circuit_under_test
port (
clk : in std_logic;
rst : in std_logic;
testvector : in std_logic_vector(numIn-1 downto 0);
resultvector : out std_logic_vector(numOut-1 downto 0);
injectionvector : in std_logic_vector(621-1 downto 0));
end component;
component golden_circuit
port (
clk : in std_logic;
rst : in std_logic;
testvector : in std_logic_vector(numIn-1 downto 0);
resultvector : out std_logic_vector(numOut-1 downto 0));
end component;
signal injectionvector : std_logic_vector(numInj-1 downto 0);
signal injectionvector_reg : std_logic_vector(numInj-1 downto 0);
signal injectionvector_reg_o : std_logic_vector(numInj-1 downto 0);
signal seed_chain : std_logic_vector(numInj downto 0);
signal prob_chain : std_logic_vector(numInj downto 0);
signal rst : std_logic;
signal clk_ce_m : std_logic;
signal testvector_reg : std_logic_vector(numIn-1 downto 0);
attribute syn_noprune : boolean;
attribute syn_noprune of circuit_under_test_inst : label is true;
attribute syn_noprune of golden_circuit_inst : label is true;
attribute xc_props : string;
attribute xc_props of circuit_under_test_inst : label is "KEEP_HIERARCHY=TRUE";
attribute xc_props of golden_circuit_inst : label is "KEEP_HIERARCHY=TRUE";
signal inj_vec_total : std_logic_vector(621-1 downto 0);
begin -- behav
rst <= not rst_n;
-----------------------------------------------------------------------------
-- debug...
-----------------------------------------------------------------------------
-- resultvector_f <= (others => '1');
-- resultvector_o <= (others => '1');
cgate : bufgce
port map (
I => clk_m,
O => clk_ce_m,
CE => circ_ce);
process (clk_ce_m, rst_n)
begin -- process
if rst_n = '0' then -- asynchronous reset (active low)
testvector_reg <= (others => '0');
elsif clk_ce_m'event and clk_ce_m = '1' then -- rising clock edge
testvector_reg <= testvector;
end if;
end process;
circuit_under_test_inst : circuit_under_test
port map (
clk => clk_ce_m,
rst => circ_rst,
testvector => testvector_reg,
resultvector => resultvector_f,
injectionvector => inj_vec_total);
inj_vec_total(299 downto 0) <= injectionvector_reg;
inj_vec_total(621-1 downto 300) <= (others => '0');
golden_circuit_inst : golden_circuit
port map (
clk => clk_ce_m,
rst => circ_rst,
testvector => testvector_reg,
resultvector => resultvector_o
);
seed_chain(0) <= seed_in;
prob_chain(0) <= prob_in;
prsn_loop : for i in 0 to numInj-1 generate
prsn_top_1 : faultify_binomial_gen
generic map (
width => 32)
port map (
clk => clk,
rst_n => rst_n,
seed_in_en => seed_in_en,
seed_in => seed_chain(i),
seed_out_c => seed_chain(i+1),
prob_in_en => prob_in_en,
prob_in => prob_chain(i),
prob_out_c => prob_chain(i+1),
shift_en => shift_en,
data_out => injectionvector(i),
data_out_valid => open);
end generate prsn_loop;
reg : process (clk_ce_m, rst_n)
begin -- process reg
if rst_n = '0' then -- asynchronous reset (active low)
injectionvector_reg <= (others => '0');
--injectionvector_reg_o <= (others => '0');
--test <= (others => '0');
elsif clk_ce_m'event and clk_ce_m = '1' then -- rising clock edge
injectionvector_reg <= injectionvector;
--injectionvector_reg <= (others => '0');
--test <= injectionvector_reg_o(31 downto 0);
--injectionvector_reg_o(31 downto 0) <= injectionvector_reg_o(31 downto 0) or (resultvector_f(31 downto 0) xor resultvector_o(31 downto 0));
end if;
end process reg;
end behav;
| gpl-2.0 |
TUM-LIS/faultify | hardware/testcases/viterbi/fpga_sim/xpsLibraryPath/libFaultify/pcores/faultify_axi_wrapper_v1_00_a/hdl/vhdl/faultify_axi_wrapper.vhd | 14 | 17300 | ------------------------------------------------------------------------------
-- faultify_axi_wrapper.vhd - entity/architecture pair
------------------------------------------------------------------------------
-- IMPORTANT:
-- DO NOT MODIFY THIS FILE EXCEPT IN THE DESIGNATED SECTIONS.
--
-- SEARCH FOR --USER TO DETERMINE WHERE CHANGES ARE ALLOWED.
--
-- TYPICALLY, THE ONLY ACCEPTABLE CHANGES INVOLVE ADDING NEW
-- PORTS AND GENERICS THAT GET PASSED THROUGH TO THE INSTANTIATION
-- OF THE USER_LOGIC ENTITY.
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
-- Filename: faultify_axi_wrapper.vhd
-- Version: 1.00.a
-- Description: Top level design, instantiates library components and user logic.
-- Date: Fri May 16 15:25:24 2014 (by Create and Import Peripheral Wizard)
-- VHDL Standard: VHDL'93
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library proc_common_v3_00_a;
use proc_common_v3_00_a.proc_common_pkg.all;
use proc_common_v3_00_a.ipif_pkg.all;
use proc_common_v3_00_a.soft_reset;
library axi_lite_ipif_v1_01_a;
use axi_lite_ipif_v1_01_a.axi_lite_ipif;
library faultify_axi_wrapper_v1_00_a;
use faultify_axi_wrapper_v1_00_a.user_logic;
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_S_AXI_DATA_WIDTH -- AXI4LITE slave: Data width
-- C_S_AXI_ADDR_WIDTH -- AXI4LITE slave: Address Width
-- C_S_AXI_MIN_SIZE -- AXI4LITE slave: Min Size
-- C_USE_WSTRB -- AXI4LITE slave: Write Strobe
-- C_DPHASE_TIMEOUT -- AXI4LITE slave: Data Phase Timeout
-- C_BASEADDR -- AXI4LITE slave: base address
-- C_HIGHADDR -- AXI4LITE slave: high address
-- C_FAMILY -- FPGA Family
-- C_NUM_REG -- Number of software accessible registers
-- C_NUM_MEM -- Number of address-ranges
-- C_SLV_AWIDTH -- Slave interface address bus width
-- C_SLV_DWIDTH -- Slave interface data bus width
--
-- Definition of Ports:
-- S_AXI_ACLK -- AXI4LITE slave: Clock
-- S_AXI_ARESETN -- AXI4LITE slave: Reset
-- S_AXI_AWADDR -- AXI4LITE slave: Write address
-- S_AXI_AWVALID -- AXI4LITE slave: Write address valid
-- S_AXI_WDATA -- AXI4LITE slave: Write data
-- S_AXI_WSTRB -- AXI4LITE slave: Write strobe
-- S_AXI_WVALID -- AXI4LITE slave: Write data valid
-- S_AXI_BREADY -- AXI4LITE slave: Response ready
-- S_AXI_ARADDR -- AXI4LITE slave: Read address
-- S_AXI_ARVALID -- AXI4LITE slave: Read address valid
-- S_AXI_RREADY -- AXI4LITE slave: Read data ready
-- S_AXI_ARREADY -- AXI4LITE slave: read addres ready
-- S_AXI_RDATA -- AXI4LITE slave: Read data
-- S_AXI_RRESP -- AXI4LITE slave: Read data response
-- S_AXI_RVALID -- AXI4LITE slave: Read data valid
-- S_AXI_WREADY -- AXI4LITE slave: Write data ready
-- S_AXI_BRESP -- AXI4LITE slave: Response
-- S_AXI_BVALID -- AXI4LITE slave: Resonse valid
-- S_AXI_AWREADY -- AXI4LITE slave: Wrte address ready
------------------------------------------------------------------------------
entity faultify_axi_wrapper is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
--USER generics added here
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_S_AXI_DATA_WIDTH : integer := 32;
C_S_AXI_ADDR_WIDTH : integer := 32;
C_S_AXI_MIN_SIZE : std_logic_vector := X"000001FF";
C_USE_WSTRB : integer := 0;
C_DPHASE_TIMEOUT : integer := 8;
C_BASEADDR : std_logic_vector := X"FFFFFFFF";
C_HIGHADDR : std_logic_vector := X"00000000";
C_FAMILY : string := "virtex6";
C_NUM_REG : integer := 1;
C_NUM_MEM : integer := 1;
C_SLV_AWIDTH : integer := 32;
C_SLV_DWIDTH : integer := 32
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
--USER ports added here
faultify_clk_fast : in std_logic;
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
S_AXI_ACLK : in std_logic;
S_AXI_ARESETN : in std_logic;
S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
S_AXI_AWVALID : in std_logic;
S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
S_AXI_WSTRB : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0);
S_AXI_WVALID : in std_logic;
S_AXI_BREADY : in std_logic;
S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
S_AXI_ARVALID : in std_logic;
S_AXI_RREADY : in std_logic;
S_AXI_ARREADY : out std_logic;
S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
S_AXI_RRESP : out std_logic_vector(1 downto 0);
S_AXI_RVALID : out std_logic;
S_AXI_WREADY : out std_logic;
S_AXI_BRESP : out std_logic_vector(1 downto 0);
S_AXI_BVALID : out std_logic;
S_AXI_AWREADY : out std_logic
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
attribute MAX_FANOUT : string;
attribute SIGIS : string;
attribute MAX_FANOUT of S_AXI_ACLK : signal is "10000";
attribute MAX_FANOUT of S_AXI_ARESETN : signal is "10000";
attribute SIGIS of S_AXI_ACLK : signal is "Clk";
attribute SIGIS of S_AXI_ARESETN : signal is "Rst";
end entity faultify_axi_wrapper;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of faultify_axi_wrapper is
constant USER_SLV_DWIDTH : integer := C_S_AXI_DATA_WIDTH;
constant IPIF_SLV_DWIDTH : integer := C_S_AXI_DATA_WIDTH;
constant ZERO_ADDR_PAD : std_logic_vector(0 to 31) := (others => '0');
constant RST_BASEADDR : std_logic_vector := C_BASEADDR or X"00000100";
constant RST_HIGHADDR : std_logic_vector := C_BASEADDR or X"000001FF";
constant USER_SLV_BASEADDR : std_logic_vector := C_BASEADDR or X"00000000";
constant USER_SLV_HIGHADDR : std_logic_vector := C_BASEADDR or X"000000FF";
constant IPIF_ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE :=
(
ZERO_ADDR_PAD & RST_BASEADDR, -- soft reset space base address
ZERO_ADDR_PAD & RST_HIGHADDR, -- soft reset space high address
ZERO_ADDR_PAD & USER_SLV_BASEADDR, -- user logic slave space base address
ZERO_ADDR_PAD & USER_SLV_HIGHADDR -- user logic slave space high address
);
constant RST_NUM_CE : integer := 1;
constant USER_SLV_NUM_REG : integer := 32;
constant USER_NUM_REG : integer := USER_SLV_NUM_REG;
constant TOTAL_IPIF_CE : integer := USER_NUM_REG + RST_NUM_CE;
constant IPIF_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE :=
(
0 => (RST_NUM_CE), -- number of ce for soft reset space
1 => (USER_SLV_NUM_REG) -- number of ce for user logic slave space
);
------------------------------------------
-- Width of triggered reset in bus clocks
------------------------------------------
constant RESET_WIDTH : integer := 8;
------------------------------------------
-- Index for CS/CE
------------------------------------------
constant RST_CS_INDEX : integer := 0;
constant RST_CE_INDEX : integer := USER_NUM_REG;
constant USER_SLV_CS_INDEX : integer := 1;
constant USER_SLV_CE_INDEX : integer := calc_start_ce_index(IPIF_ARD_NUM_CE_ARRAY, USER_SLV_CS_INDEX);
constant USER_CE_INDEX : integer := USER_SLV_CE_INDEX;
------------------------------------------
-- IP Interconnect (IPIC) signal declarations
------------------------------------------
signal ipif_Bus2IP_Clk : std_logic;
signal ipif_Bus2IP_Resetn : std_logic;
signal ipif_Bus2IP_Addr : std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
signal ipif_Bus2IP_RNW : std_logic;
signal ipif_Bus2IP_BE : std_logic_vector(IPIF_SLV_DWIDTH/8-1 downto 0);
signal ipif_Bus2IP_CS : std_logic_vector((IPIF_ARD_ADDR_RANGE_ARRAY'length)/2-1 downto 0);
signal ipif_Bus2IP_RdCE : std_logic_vector(calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1 downto 0);
signal ipif_Bus2IP_WrCE : std_logic_vector(calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1 downto 0);
signal ipif_Bus2IP_Data : std_logic_vector(IPIF_SLV_DWIDTH-1 downto 0);
signal ipif_IP2Bus_WrAck : std_logic;
signal ipif_IP2Bus_RdAck : std_logic;
signal ipif_IP2Bus_Error : std_logic;
signal ipif_IP2Bus_Data : std_logic_vector(IPIF_SLV_DWIDTH-1 downto 0);
signal ipif_Bus2IP_Reset : std_logic;
signal rst_Bus2IP_Reset : std_logic;
signal rst_IP2Bus_WrAck : std_logic;
signal rst_IP2Bus_Error : std_logic;
signal rst_Bus2IP_Reset_tmp : std_logic;
signal user_Bus2IP_RdCE : std_logic_vector(USER_NUM_REG-1 downto 0);
signal user_Bus2IP_WrCE : std_logic_vector(USER_NUM_REG-1 downto 0);
signal user_IP2Bus_Data : std_logic_vector(USER_SLV_DWIDTH-1 downto 0);
signal user_IP2Bus_RdAck : std_logic;
signal user_IP2Bus_WrAck : std_logic;
signal user_IP2Bus_Error : std_logic;
begin
------------------------------------------
-- instantiate axi_lite_ipif
------------------------------------------
AXI_LITE_IPIF_I : entity axi_lite_ipif_v1_01_a.axi_lite_ipif
generic map
(
C_S_AXI_DATA_WIDTH => IPIF_SLV_DWIDTH,
C_S_AXI_ADDR_WIDTH => C_S_AXI_ADDR_WIDTH,
C_S_AXI_MIN_SIZE => C_S_AXI_MIN_SIZE,
C_USE_WSTRB => C_USE_WSTRB,
C_DPHASE_TIMEOUT => C_DPHASE_TIMEOUT,
C_ARD_ADDR_RANGE_ARRAY => IPIF_ARD_ADDR_RANGE_ARRAY,
C_ARD_NUM_CE_ARRAY => IPIF_ARD_NUM_CE_ARRAY,
C_FAMILY => C_FAMILY
)
port map
(
S_AXI_ACLK => S_AXI_ACLK,
S_AXI_ARESETN => S_AXI_ARESETN,
S_AXI_AWADDR => S_AXI_AWADDR,
S_AXI_AWVALID => S_AXI_AWVALID,
S_AXI_WDATA => S_AXI_WDATA,
S_AXI_WSTRB => S_AXI_WSTRB,
S_AXI_WVALID => S_AXI_WVALID,
S_AXI_BREADY => S_AXI_BREADY,
S_AXI_ARADDR => S_AXI_ARADDR,
S_AXI_ARVALID => S_AXI_ARVALID,
S_AXI_RREADY => S_AXI_RREADY,
S_AXI_ARREADY => S_AXI_ARREADY,
S_AXI_RDATA => S_AXI_RDATA,
S_AXI_RRESP => S_AXI_RRESP,
S_AXI_RVALID => S_AXI_RVALID,
S_AXI_WREADY => S_AXI_WREADY,
S_AXI_BRESP => S_AXI_BRESP,
S_AXI_BVALID => S_AXI_BVALID,
S_AXI_AWREADY => S_AXI_AWREADY,
Bus2IP_Clk => ipif_Bus2IP_Clk,
Bus2IP_Resetn => ipif_Bus2IP_Resetn,
Bus2IP_Addr => ipif_Bus2IP_Addr,
Bus2IP_RNW => ipif_Bus2IP_RNW,
Bus2IP_BE => ipif_Bus2IP_BE,
Bus2IP_CS => ipif_Bus2IP_CS,
Bus2IP_RdCE => ipif_Bus2IP_RdCE,
Bus2IP_WrCE => ipif_Bus2IP_WrCE,
Bus2IP_Data => ipif_Bus2IP_Data,
IP2Bus_WrAck => ipif_IP2Bus_WrAck,
IP2Bus_RdAck => ipif_IP2Bus_RdAck,
IP2Bus_Error => ipif_IP2Bus_Error,
IP2Bus_Data => ipif_IP2Bus_Data
);
------------------------------------------
-- instantiate soft_reset
------------------------------------------
SOFT_RESET_I : entity proc_common_v3_00_a.soft_reset
generic map
(
C_SIPIF_DWIDTH => IPIF_SLV_DWIDTH,
C_RESET_WIDTH => RESET_WIDTH
)
port map
(
Bus2IP_Reset => ipif_Bus2IP_Reset,
Bus2IP_Clk => ipif_Bus2IP_Clk,
Bus2IP_WrCE => ipif_Bus2IP_WrCE(RST_CE_INDEX),
Bus2IP_Data => ipif_Bus2IP_Data,
Bus2IP_BE => ipif_Bus2IP_BE,
Reset2IP_Reset => rst_Bus2IP_Reset,
Reset2Bus_WrAck => rst_IP2Bus_WrAck,
Reset2Bus_Error => rst_IP2Bus_Error,
Reset2Bus_ToutSup => open
);
------------------------------------------
-- instantiate User Logic
------------------------------------------
USER_LOGIC_I : entity faultify_axi_wrapper_v1_00_a.user_logic
generic map
(
-- MAP USER GENERICS BELOW THIS LINE ---------------
--USER generics mapped here
-- MAP USER GENERICS ABOVE THIS LINE ---------------
C_NUM_REG => USER_NUM_REG,
C_SLV_DWIDTH => USER_SLV_DWIDTH
)
port map
(
-- MAP USER PORTS BELOW THIS LINE ------------------
--USER ports mapped here
faultify_clk_fast => faultify_clk_fast,
Bus2IP_Clk => ipif_Bus2IP_Clk,
Bus2IP_Resetn => rst_Bus2IP_Reset_tmp,
Bus2IP_Data => ipif_Bus2IP_Data,
Bus2IP_BE => ipif_Bus2IP_BE,
Bus2IP_RdCE => user_Bus2IP_RdCE,
Bus2IP_WrCE => user_Bus2IP_WrCE,
IP2Bus_Data => user_IP2Bus_Data,
IP2Bus_RdAck => user_IP2Bus_RdAck,
IP2Bus_WrAck => user_IP2Bus_WrAck,
IP2Bus_Error => user_IP2Bus_Error
);
------------------------------------------
-- connect internal signals
------------------------------------------
IP2BUS_DATA_MUX_PROC : process(ipif_Bus2IP_CS, user_IP2Bus_Data) is
begin
case ipif_Bus2IP_CS (1 downto 0) is
when "01" => ipif_IP2Bus_Data <= user_IP2Bus_Data;
when "10" => ipif_IP2Bus_Data <= (others => '0');
when others => ipif_IP2Bus_Data <= (others => '0');
end case;
end process IP2BUS_DATA_MUX_PROC;
ipif_IP2Bus_WrAck <= user_IP2Bus_WrAck or rst_IP2Bus_WrAck;
ipif_IP2Bus_RdAck <= user_IP2Bus_RdAck;
ipif_IP2Bus_Error <= user_IP2Bus_Error or rst_IP2Bus_Error;
user_Bus2IP_RdCE <= ipif_Bus2IP_RdCE(USER_NUM_REG-1 downto 0);
user_Bus2IP_WrCE <= ipif_Bus2IP_WrCE(USER_NUM_REG-1 downto 0);
ipif_Bus2IP_Reset <= not ipif_Bus2IP_Resetn;
rst_Bus2IP_Reset_tmp <= not rst_Bus2IP_Reset;
end IMP;
| gpl-2.0 |
TUM-LIS/faultify | hardware/testcases/DCT4/fpga_sim/xpsLibraryPath/libFaultify/pcores/faultify_axi_wrapper_v1_00_a/hdl/vhdl/faultify_top.vhd | 4 | 19797 |
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity faultify_top is
generic (
numInj : integer := 56;
numIn : integer := 10;
numOut : integer := 10);
port (
aclk : in std_logic; -- interface clock
arst_n : in std_logic; -- interface reset
clk : in std_logic; -- simulation clock (slow)
clk_x32 : in std_logic; -- prng clock (fast)
-- Write channel
awvalid : in std_logic;
awaddr : in std_logic_vector(31 downto 0);
wvalid : in std_logic;
wdata : in std_logic_vector(31 downto 0);
-- Read channel
arvalid : in std_logic;
araddr : in std_logic_vector(31 downto 0);
rvalid : out std_logic;
rdata : out std_logic_vector(31 downto 0)
);
attribute syn_hier : string;
attribute syn_hier of faultify_top : entity is "hard";
end faultify_top;
architecture behav of faultify_top is
component flag_cdc
port (
clkA : in std_logic;
clkB : in std_logic;
FlagIn_clkA : in std_logic;
FlagOut_clkB : out std_logic;
rst_n : in std_logic);
end component;
component faultify_simulator
generic (
numInj : integer;
numIn : integer;
numOut : integer);
port (
clk : in std_logic;
clk_m : in std_logic;
circ_ce : in std_logic;
circ_rst : in std_logic;
test : out std_logic_vector(31 downto 0);
testvector : in std_logic_vector(numIn-1 downto 0);
resultvector_o : out std_logic_vector(numOut-1 downto 0);
resultvector_f : out std_logic_vector(numOut-1 downto 0);
seed_in_en : in std_logic;
seed_in : in std_logic;
prob_in_en : in std_logic;
prob_in : in std_logic;
shift_en : in std_logic;
rst_n : in std_logic);
end component;
component lfsr
generic (
width : integer;
seed : integer);
port (
clk : in std_logic;
rand_out : out std_logic_vector(width-1 downto 0));
end component;
type vector is array (0 to numOut-1) of std_logic_vector(31 downto 0);
signal errorSum : vector;
signal errorSumReg : vector;
signal errorSumReg_cdc_0 : vector;
signal errorSumReg_cdc_1 : vector;
signal errorVec : std_logic_vector(numOut-1 downto 0);
signal cnt : integer;
signal cnt_cdc_0 : integer;
signal cnt_cdc_1 : integer;
-- Asymmetric ram larger than 36 bit not supported in synplify I-2013
--type seed_ram_matr is array (0 to numInj-1) of std_logic_vector(63 downto 0);
--signal seed_ram : seed_ram_matr;
-- workaround 2 32-bit rams
type seed_ram_matr is array (0 to numInj-1) of std_logic_vector(31 downto 0);
signal seed_ram_low : seed_ram_matr;
signal seed_ram_high : seed_ram_matr;
--subtype seed_ram_matr_word_t is std_logic_vector(63 downto 0);
--type seed_ram_matr_memory_t is array (0 to numInj-1) of seed_ram_matr_word_t;
--signal seed_ram : seed_ram_matr_memory_t;
type prob_ram_matr is array (0 to numInj-1) of std_logic_vector(31 downto 0);
signal prob_ram : prob_ram_matr;
type reg_type is record
control : std_logic_vector(31 downto 0);
status : std_logic_vector(31 downto 0);
pe_location : std_logic_vector(31 downto 0);
pe_seed_low : std_logic_vector(31 downto 0);
pe_seed_high : std_logic_vector(31 downto 0);
pe_probability : std_logic_vector(31 downto 0);
output : std_logic_vector(31 downto 0);
ovalid : std_logic;
simtime : std_logic_vector(31 downto 0);
sel_soe : std_logic_vector(31 downto 0);
adr_soe : std_logic_vector(31 downto 0);
awaddr : std_logic_vector(31 downto 0);
test : std_logic_vector(31 downto 0);
circreset : std_logic_vector(31 downto 0);
cnt_tmp : std_logic_vector(31 downto 0);
sumoferrors : vector;
end record;
signal busy_loading : std_logic;
signal busy_simulating : std_logic;
signal busy_loading_reg : std_logic_vector(1 downto 0);
signal busy_simulating_reg : std_logic_vector(1 downto 0);
signal sim_done : std_logic;
signal r : reg_type;
type load_fsm_states is (IDLE, LOADSEED, LOADPROB);
signal l_state : load_fsm_states;
type sim_states is (IDLE, DELAY_Z, DELAY, SIMULATION, DELAY2, DELAY3, DELAY4, FREE_SIMULATION);
signal s_state : sim_states;
signal testvector : std_logic_vector(numIn-1 downto 0);
signal resultvector_o : std_logic_vector(numOut-1 downto 0);
signal resultvector_f : std_logic_vector(numOut-1 downto 0);
signal seed_in_en : std_logic;
signal seed_in : std_logic;
signal prob_in_en : std_logic;
signal prob_in : std_logic;
signal shift_en : std_logic;
signal shift_en_l : std_logic;
signal shift_en_s : std_logic;
signal load_seed_prob : std_logic;
signal start_simulation : std_logic;
signal start_free_simulation : std_logic;
signal stop_simulation : std_logic;
signal circ_ce, circ_rst, circ_rst_sim : std_logic;
signal tvec : std_logic_vector(127 downto 0);
signal test : std_logic_vector(31 downto 0);
signal rst_cdc, rst_cdc_n : std_logic;
begin -- behav
-----------------------------------------------------------------------------
-- PRNG shifting
-----------------------------------------------------------------------------
shift_en <= shift_en_l or shift_en_s;
-----------------------------------------------------------------------------
-- Testvector
-----------------------------------------------------------------------------
--testvector <= (others => '0');
lfsr_1 : lfsr
generic map (
width => 128,
seed => 3498327)
port map (
clk => clk,
rand_out => tvec);
testvector <= tvec(numIn-1 downto 0);
-----------------------------------------------------------------------------
-- Simulator
-----------------------------------------------------------------------------
circ_rst <= circ_rst_sim when r.circreset(0) = '1' else '0';
faultify_simulator_1 : faultify_simulator
generic map (
numInj => numInj,
numIn => numIn,
numOut => numOut)
port map (
clk => clk_x32,
clk_m => clk,
circ_ce => circ_ce,
circ_rst => circ_rst,
test => test,
testvector => testvector,
resultvector_o => resultvector_o,
resultvector_f => resultvector_f,
seed_in_en => seed_in_en,
seed_in => seed_in,
prob_in_en => prob_in_en,
prob_in => prob_in,
shift_en => shift_en,
rst_n => arst_n);
-------------------------------------------------------------------------------
-- One Process Flow
-------------------------------------------------------------------------------
register_process : process (aclk, arst_n)
variable write_addr : std_logic_vector(31 downto 0);
begin -- process register_process
if arst_n = '0' then -- asynchronous reset (active low)
r.control <= (others => '0');
r.status <= (others => '0');
r.pe_probability <= (others => '0');
r.pe_seed_high <= (others => '0');
r.pe_seed_low <= (others => '0');
r.pe_location <= (others => '0');
r.ovalid <= '0';
r.simtime <= (others => '0');
r.sel_soe <= (others => '0');
r.adr_soe <= (others => '0');
r.sumoferrors <= (others => (others => '0'));
r.output <= (others => '0');
elsif aclk'event and aclk = '1' then -- rising clock edge
r.control <= (others => '0');
if awvalid = '1' then
r.awaddr <= awaddr;
write_addr := awaddr;
end if;
if wvalid = '1' then
if write_addr = x"00000000" then
r.control <= wdata;
elsif write_addr = x"00000001" then
r.pe_location <= wdata;
elsif write_addr = x"00000002" then
r.pe_seed_low <= wdata;
elsif write_addr = x"00000003" then
r.pe_seed_high <= wdata;
elsif write_addr = x"00000004" then
r.pe_probability <= wdata;
elsif write_addr = x"00000005" then
r.cnt_tmp <= std_logic_vector(to_unsigned(cnt_cdc_1, 32));
r.adr_soe <= wdata;
elsif write_addr = x"00000007" then
r.simtime <= wdata;
elsif write_addr = x"00000009" then
r.circreset <= wdata;
end if;
end if;
if arvalid = '1' then
if araddr = x"0000000F" then
r.output <= r.status;
elsif araddr = x"00000001" then
r.output <= r.pe_location;
elsif araddr = x"00000002" then
r.output <= r.pe_seed_low;
elsif araddr = x"00000003" then
r.output <= r.pe_seed_high;
elsif araddr = x"00000004" then
r.output <= r.pe_probability;
elsif araddr = x"00000006" then
r.output <= r.sel_soe;
elsif araddr = x"00000008" then
r.output <= r.test;
elsif araddr = x"0000000A" then
r.output <= r.cnt_tmp;
end if;
r.ovalid <= '1';
else
r.ovalid <= '0';
end if;
if busy_loading_reg(1) = '1' then
r.status(0) <= '1';
else
r.status(0) <= '0';
end if;
if busy_simulating_reg(1) = '1' then
r.status(1) <= '1';
else
r.status(1) <= '0';
end if;
r.sel_soe <= r.sumoferrors(to_integer(unsigned(r.adr_soe)));
rdata <= r.output;
rvalid <= r.ovalid;
r.sumoferrors <= errorSumReg_cdc_1;
r.test <= errorSum(0);
end if;
end process register_process;
-----------------------------------------------------------------------------
-- simple clock domain crossing
-----------------------------------------------------------------------------
process (aclk, arst_n)
begin -- process
if arst_n = '0' then -- asynchronous reset (active low)
busy_simulating_reg <= (others => '0');
busy_loading_reg <= (others => '0');
elsif aclk'event and aclk = '1' then -- rising clock edge
busy_simulating_reg(0) <= busy_simulating;
busy_loading_reg(0) <= busy_loading;
busy_simulating_reg(1) <= busy_simulating_reg(0);
busy_loading_reg(1) <= busy_loading_reg(0);
cnt_cdc_0 <= cnt;
cnt_cdc_1 <= cnt_cdc_0;
errorSumReg_cdc_0 <= errorSumReg;
errorSumReg_cdc_1 <= errorSumReg_cdc_0;
end if;
end process;
-------------------------------------------------------------------------------
-- Store seeed/prob
-------------------------------------------------------------------------------
store_seed : process (aclk, arst_n)
begin -- process store_seed
if arst_n = '0' then -- asynchronous reset (active low)
elsif aclk'event and aclk = '1' then -- rising clock edge
if r.control(0) = '1' then
-- Synplify bug workaround
--seed_ram(to_integer(unsigned(r.pe_location))) <= r.pe_seed_high & r.pe_seed_low;
seed_ram_low(to_integer(unsigned(r.pe_location))) <= r.pe_seed_low;
seed_ram_high(to_integer(unsigned(r.pe_location))) <= r.pe_seed_high;
prob_ram(to_integer(unsigned(r.pe_location))) <= r.pe_probability;
end if;
end if;
end process store_seed;
-----------------------------------------------------------------------------
-- Seed/prob loading FSM
-----------------------------------------------------------------------------
--flag_cdc_1 : flag_cdc
-- port map (
-- clkA => aclk,
-- clkB => clk_x32,
-- FlagIn_clkA => r.control(1),
-- FlagOut_clkB => load_seed_prob,
-- rst_n => arst_n);
load_seed_prob <= r.control(1);
seed_prob_loading : process (clk_x32, arst_n)
variable cnt_seed : integer range 0 to 64;
variable cnt_inj : integer range 0 to numInj;
variable cnt_prob : integer range 0 to 32;
begin -- process seed_prob_loading
if arst_n = '0' then -- asynchronous reset (active low)
l_state <= IDLE;
seed_in <= '0';
seed_in_en <= '0';
prob_in <= '0';
prob_in_en <= '0';
shift_en_l <= '0';
busy_loading <= '0';
elsif clk_x32'event and clk_x32 = '1' then -- rising clock edge
case l_state is
when IDLE =>
cnt_seed := 0;
cnt_inj := 0;
cnt_prob := 0;
busy_loading <= '0';
seed_in_en <= '0';
prob_in_en <= '0';
shift_en_l <= '0';
if load_seed_prob = '1' then
busy_loading <= '1';
l_state <= LOADSEED;
end if;
when LOADSEED =>
if cnt_seed < 64 then
shift_en_l <= '1';
seed_in_en <= '1';
-- not working in synplify I-2013
--seed_in <= seed_ram(cnt_inj)(cnt_seed);
--
if cnt_seed < 32 then
seed_in <= seed_ram_low(cnt_inj)(cnt_seed);
else
seed_in <= seed_ram_high(cnt_inj)(cnt_seed-32);
end if;
cnt_seed := cnt_seed + 1;
end if;
if cnt_seed = 64 then
cnt_seed := 0;
cnt_inj := cnt_inj + 1;
end if;
if cnt_inj = numInj then
l_state <= LOADPROB;
--seed_in_en <= '0';
cnt_inj := 0;
end if;
when LOADPROB =>
seed_in_en <= '0';
if cnt_prob < 32 then
prob_in_en <= '1';
prob_in <= prob_ram(cnt_inj)(cnt_prob);
cnt_prob := cnt_prob + 1;
end if;
if cnt_prob = 32 then
cnt_prob := 0;
cnt_inj := cnt_inj + 1;
end if;
if cnt_inj = numInj then
l_state <= IDLE;
cnt_inj := 0;
--prob_in_en <= '0';
end if;
end case;
end if;
end process seed_prob_loading;
-----------------------------------------------------------------------------
-- Simulation FSM
-----------------------------------------------------------------------------
flag_cdc_2 : flag_cdc
port map (
clkA => aclk,
clkB => clk,
FlagIn_clkA => r.control(2),
FlagOut_clkB => start_simulation,
rst_n => arst_n);
flag_cdc_3 : flag_cdc
port map (
clkA => aclk,
clkB => clk,
FlagIn_clkA => r.control(3),
FlagOut_clkB => start_free_simulation,
rst_n => arst_n);
flag_cdc_4 : flag_cdc
port map (
clkA => aclk,
clkB => clk,
FlagIn_clkA => r.control(4),
FlagOut_clkB => stop_simulation,
rst_n => arst_n);
rst_cdc_5 : flag_cdc
port map (
clkA => aclk,
clkB => clk,
FlagIn_clkA => not arst_n,
FlagOut_clkB => rst_cdc,
rst_n => '1');
rst_cdc_n <= not rst_cdc;
process (clk, rst_cdc_n)
variable simtime : integer;
variable cnt_delay : integer range 0 to 9;
begin -- process
if clk'event and clk = '1' then -- rising clock edge
if rst_cdc_n = '0' then -- asynchronous reset (active low)
s_state <= IDLE;
errorVec <= (others => '0');
errorSum <= (others => (others => '0'));
circ_ce <= '0';
circ_rst_sim <= '1';
shift_en_s <= '0';
busy_simulating <= '0';
sim_done <= '0';
errorSumReg <= (others => (others => '0'));
else
case s_state is
when IDLE =>
sim_done <= '0';
circ_ce <= '0';
circ_rst_sim <= '1';
shift_en_s <= '0';
errorVec <= (others => '0');
--errorSum <= errorSum;
errorSum <= (others => (others => '0'));
--cnt <= 0;
busy_simulating <= '0';
cnt_delay := 0;
if start_simulation = '1' then
cnt <= 0;
busy_simulating <= '1';
errorSum <= (others => (others => '0'));
errorSumReg <= (others => (others => '0'));
simtime := to_integer(unsigned(r.simtime));
s_state <= DELAY_Z;
circ_ce <= '1';
circ_rst_sim <= '0';
shift_en_s <= '1';
end if;
if start_free_simulation = '1' then
cnt <= 0;
busy_simulating <= '1';
errorSum <= (others => (others => '0'));
errorSumReg <= (others => (others => '0'));
s_state <= FREE_SIMULATION;
circ_ce <= '1';
circ_rst_sim <= '0';
shift_en_s <= '1';
end if;
when DELAY_z =>
cnt_delay := cnt_delay + 1;
if cnt_delay = 9 then
s_state <= DELAY;
end if;
when DELAY =>
s_state <= SIMULATION;
errorVec <= (others => '0');
errorSum <= (others => (others => '0'));
when SIMULATION =>
circ_rst_sim <= '0';
shift_en_s <= '1';
-- collect errors
errorVec <= resultvector_o xor resultvector_f;
for i in 0 to (numOut-1) loop
if (errorVec(i) = '1') then
errorSum(i) <= std_logic_vector(unsigned(errorSum(i)) + 1);
end if;
end loop;
--
errorSumReg <= errorSum;
if cnt = simtime-1 then
s_state <= DELAY2;
circ_ce <= '0';
circ_rst_sim <= '1';
shift_en_s <= '0';
end if;
cnt <= cnt +1;
when DELAY2 =>
errorVec <= resultvector_o xor resultvector_f;
for i in 0 to (numOut-1) loop
if (errorVec(i) = '1') then
errorSum(i) <= std_logic_vector(unsigned(errorSum(i)) + 1);
end if;
end loop;
s_state <= DELAY3;
when DELAY3 =>
s_state <= DELAY4;
errorSumReg <= errorSum;
errorSum <= (others => (others => '0'));
when DELAY4 =>
s_state <= IDLE;
sim_done <= '1';
when FREE_SIMULATION =>
circ_rst_sim <= '0';
shift_en_s <= '1';
-- collect errors
errorVec <= resultvector_o xor resultvector_f;
for i in 0 to (numOut-1) loop
if (errorVec(i) = '1') then
errorSum(i) <= std_logic_vector(unsigned(errorSum(i)) + 1);
end if;
end loop;
--
errorSumReg <= errorSum;
if stop_simulation = '1' then
s_state <= IDLE;
sim_done <= '1';
circ_ce <= '0';
circ_rst_sim <= '1';
shift_en_s <= '0';
end if;
cnt <= cnt +1;
when others =>
s_state <= IDLE;
end case;
end if;
end if;
end process;
end behav;
| gpl-2.0 |
TUM-LIS/faultify | hardware/testcases/fpu100_div/fpga_sim/xpsLibraryPath/libFaultify/pcores/faultify_axi_wrapper_v1_00_a/hdl/vhdl/faultify_simulator.vhd | 12 | 5424 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library UNISIM;
use UNISIM.vcomponents.all;
entity faultify_simulator is
generic (
numInj : integer := 56;
numIn : integer := 10;
numOut : integer := 10);
port (
clk : in std_logic;
clk_m : in std_logic;
circ_ce : in std_logic;
circ_rst : in std_logic;
test : out std_logic_vector(31 downto 0);
testvector : in std_logic_vector(numIn-1 downto 0);
resultvector_o : out std_logic_vector(numOut-1 downto 0);
resultvector_f : out std_logic_vector(numOut-1 downto 0);
seed_in_en : in std_logic;
seed_in : in std_logic;
prob_in_en : in std_logic;
prob_in : in std_logic;
shift_en : in std_logic;
rst_n : in std_logic);
end faultify_simulator;
-- 866:0
architecture behav of faultify_simulator is
component faultify_binomial_gen
generic (
width : integer);
port (
clk : in std_logic;
rst_n : in std_logic;
seed_in_en : in std_logic;
seed_in : in std_logic;
seed_out_c : out std_logic;
prob_in_en : in std_logic;
prob_in : in std_logic;
prob_out_c : out std_logic;
shift_en : in std_logic;
data_out : out std_logic;
data_out_valid : out std_logic);
end component;
component circuit_under_test
port (
clk : in std_logic;
rst : in std_logic;
testvector : in std_logic_vector(numIn-1 downto 0);
resultvector : out std_logic_vector(numOut-1 downto 0);
injectionvector : in std_logic_vector(numInj-1 downto 0));
end component;
component golden_circuit
port (
clk : in std_logic;
rst : in std_logic;
testvector : in std_logic_vector(numIn-1 downto 0);
resultvector : out std_logic_vector(numOut-1 downto 0));
end component;
signal injectionvector : std_logic_vector(numInj-1 downto 0);
signal injectionvector_reg : std_logic_vector(numInj-1 downto 0);
signal injectionvector_reg_o : std_logic_vector(numInj-1 downto 0);
signal seed_chain : std_logic_vector(numInj downto 0);
signal prob_chain : std_logic_vector(numInj downto 0);
signal rst : std_logic;
signal clk_ce_m : std_logic;
signal testvector_reg : std_logic_vector(numIn-1 downto 0);
attribute syn_noprune : boolean;
attribute syn_noprune of circuit_under_test_inst : label is true;
attribute syn_noprune of golden_circuit_inst : label is true;
attribute xc_props : string;
attribute xc_props of circuit_under_test_inst : label is "KEEP_HIERARCHY=TRUE";
attribute xc_props of golden_circuit_inst : label is "KEEP_HIERARCHY=TRUE";
begin -- behav
rst <= not rst_n;
-----------------------------------------------------------------------------
-- debug...
-----------------------------------------------------------------------------
-- resultvector_f <= (others => '1');
-- resultvector_o <= (others => '1');
cgate : bufgce
port map (
I => clk_m,
O => clk_ce_m,
CE => circ_ce);
process (clk_ce_m, rst_n)
begin -- process
if rst_n = '0' then -- asynchronous reset (active low)
testvector_reg <= (others => '0');
elsif clk_ce_m'event and clk_ce_m = '1' then -- rising clock edge
testvector_reg <= testvector;
end if;
end process;
circuit_under_test_inst : circuit_under_test
port map (
clk => clk_ce_m,
rst => circ_rst,
testvector => testvector_reg,
resultvector => resultvector_f,
injectionvector => injectionvector_reg);
golden_circuit_inst : golden_circuit
port map (
clk => clk_ce_m,
rst => circ_rst,
testvector => testvector_reg,
resultvector => resultvector_o
);
seed_chain(0) <= seed_in;
prob_chain(0) <= prob_in;
prsn_loop : for i in 0 to numInj-1 generate
prsn_top_1 : faultify_binomial_gen
generic map (
width => 32)
port map (
clk => clk,
rst_n => rst_n,
seed_in_en => seed_in_en,
seed_in => seed_chain(i),
seed_out_c => seed_chain(i+1),
prob_in_en => prob_in_en,
prob_in => prob_chain(i),
prob_out_c => prob_chain(i+1),
shift_en => shift_en,
data_out => injectionvector(i),
data_out_valid => open);
end generate prsn_loop;
reg : process (clk_ce_m, rst_n)
begin -- process reg
if rst_n = '0' then -- asynchronous reset (active low)
injectionvector_reg <= (others => '0');
--injectionvector_reg_o <= (others => '0');
--test <= (others => '0');
elsif clk_ce_m'event and clk_ce_m = '1' then -- rising clock edge
injectionvector_reg <= injectionvector;
--injectionvector_reg <= (others => '0');
--test <= injectionvector_reg_o(31 downto 0);
--injectionvector_reg_o(31 downto 0) <= injectionvector_reg_o(31 downto 0) or (resultvector_f(31 downto 0) xor resultvector_o(31 downto 0));
end if;
end process reg;
end behav;
| gpl-2.0 |
TUM-LIS/faultify | hardware/testcases/viterbi/fpga_sim/xpsLibraryPath_viterbi_200_399/libFaultify/pcores/faultify_axi_wrapper_v1_00_a/hdl/vhdl/user_logic.vhd | 3 | 30363 | ------------------------------------------------------------------------------
-- user_logic.vhd - entity/architecture pair
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
-- Filename: user_logic.vhd
-- Version: 1.00.a
-- Description: User logic.
-- Date: Fri May 16 15:25:24 2014 (by Create and Import Peripheral Wizard)
-- VHDL Standard: VHDL'93
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
-- DO NOT EDIT BELOW THIS LINE --------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library proc_common_v3_00_a;
use proc_common_v3_00_a.proc_common_pkg.all;
-- DO NOT EDIT ABOVE THIS LINE --------------------
--USER libraries added here
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_NUM_REG -- Number of software accessible registers
-- C_SLV_DWIDTH -- Slave interface data bus width
--
-- Definition of Ports:
-- Bus2IP_Clk -- Bus to IP clock
-- Bus2IP_Resetn -- Bus to IP reset
-- Bus2IP_Data -- Bus to IP data bus
-- Bus2IP_BE -- Bus to IP byte enables
-- Bus2IP_RdCE -- Bus to IP read chip enable
-- Bus2IP_WrCE -- Bus to IP write chip enable
-- IP2Bus_Data -- IP to Bus data bus
-- IP2Bus_RdAck -- IP to Bus read transfer acknowledgement
-- IP2Bus_WrAck -- IP to Bus write transfer acknowledgement
-- IP2Bus_Error -- IP to Bus error response
------------------------------------------------------------------------------
entity user_logic is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
--USER generics added here
numInj : integer := 56;
numIn : integer := 10;
numOut : integer := 10;
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_NUM_REG : integer := 32;
C_SLV_DWIDTH : integer := 32
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
--USER ports added here
faultify_clk_fast : in std_logic;
faultify_clk_slow_out : out std_logic;
s_axis_aresetn : in std_logic;
-- AXI IFACE
resultvector_o : out std_logic_vector(numOut-1 downto 0);
resultvector_f : out std_logic_vector(numOut-1 downto 0);
testvector : in std_logic_vector(numIn-1 downto 0);
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
Bus2IP_Clk : in std_logic;
Bus2IP_Resetn : in std_logic;
Bus2IP_Data : in std_logic_vector(C_SLV_DWIDTH-1 downto 0);
Bus2IP_BE : in std_logic_vector(C_SLV_DWIDTH/8-1 downto 0);
Bus2IP_RdCE : in std_logic_vector(C_NUM_REG-1 downto 0);
Bus2IP_WrCE : in std_logic_vector(C_NUM_REG-1 downto 0);
IP2Bus_Data : out std_logic_vector(C_SLV_DWIDTH-1 downto 0);
IP2Bus_RdAck : out std_logic;
IP2Bus_WrAck : out std_logic;
IP2Bus_Error : out std_logic
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
attribute MAX_FANOUT : string;
attribute SIGIS : string;
attribute SIGIS of Bus2IP_Clk : signal is "CLK";
attribute SIGIS of Bus2IP_Resetn : signal is "RST";
end entity user_logic;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of user_logic is
--USER signal declarations added here, as needed for user logic
component faultify_top is
generic (
numInj : integer;
numIn : integer;
numOut : integer);
port (
aclk : in std_logic;
arst_n : in std_logic;
clk : in std_logic;
clk_x32 : in std_logic;
awvalid : in std_logic;
awaddr : in std_logic_vector(31 downto 0);
wvalid : in std_logic;
wdata : in std_logic_vector(31 downto 0);
arvalid : in std_logic;
araddr : in std_logic_vector(31 downto 0);
rvalid : out std_logic;
rdata : out std_logic_vector(31 downto 0);
resultvector_o_p : out std_logic_vector(numOut-1 downto 0);
resultvector_f_p : out std_logic_vector(numOut-1 downto 0);
testvector : in std_logic_vector(numIn-1 downto 0);
s_axis_aresetn : in std_logic
);
end component faultify_top;
------------------------------------------
-- Signals for user logic slave model s/w accessible register example
------------------------------------------
signal register_write_data : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal register_read_data : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal register_write_address : std_logic_vector(C_NUM_REG-1 downto 0);
signal register_read_address : std_logic_vector(C_NUM_REG-1 downto 0);
signal slv_reg_write_sel : std_logic_vector(31 downto 0);
signal slv_reg_read_sel : std_logic_vector(31 downto 0);
signal slv_ip2bus_data : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_read_ack : std_logic;
signal slv_write_ack : std_logic;
signal faultify_read_valid : std_logic;
signal faultify_read_address_valid : std_logic;
signal faultify_read_address : std_logic_vector(31 downto 0);
signal faultify_write_valid : std_logic;
signal counter, divide : integer := 0;
signal faultify_clk_slow_i : std_logic;
begin
slv_reg_write_sel <= Bus2IP_WrCE(31 downto 0);
slv_reg_read_sel <= Bus2IP_RdCE(31 downto 0);
slv_write_ack <= Bus2IP_WrCE(0) or Bus2IP_WrCE(1) or Bus2IP_WrCE(2) or Bus2IP_WrCE(3) or Bus2IP_WrCE(4) or Bus2IP_WrCE(5) or Bus2IP_WrCE(6) or Bus2IP_WrCE(7) or Bus2IP_WrCE(8) or Bus2IP_WrCE(9) or Bus2IP_WrCE(10) or Bus2IP_WrCE(11) or Bus2IP_WrCE(12) or Bus2IP_WrCE(13) or Bus2IP_WrCE(14) or Bus2IP_WrCE(15) or Bus2IP_WrCE(16) or Bus2IP_WrCE(17) or Bus2IP_WrCE(18) or Bus2IP_WrCE(19) or Bus2IP_WrCE(20) or Bus2IP_WrCE(21) or Bus2IP_WrCE(22) or Bus2IP_WrCE(23) or Bus2IP_WrCE(24) or Bus2IP_WrCE(25) or Bus2IP_WrCE(26) or Bus2IP_WrCE(27) or Bus2IP_WrCE(28) or Bus2IP_WrCE(29) or Bus2IP_WrCE(30) or Bus2IP_WrCE(31);
slv_read_ack <= faultify_read_valid;
-- implement slave model software accessible register(s)
SLAVE_REG_WRITE_PROC : process(Bus2IP_Clk) is
begin
if Bus2IP_Clk'event and Bus2IP_Clk = '1' then
if Bus2IP_Resetn = '0' then
register_write_data <= (others => '0');
register_write_address <= (others => '0');
faultify_write_valid <= '0';
else
faultify_write_valid <= slv_write_ack;
case slv_reg_write_sel is
when "10000000000000000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(0, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "01000000000000000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(1, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00100000000000000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(2, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00010000000000000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(3, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00001000000000000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(4, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000100000000000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(5, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000010000000000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(6, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000001000000000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(7, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000100000000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(8, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000010000000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(9, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000001000000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(10, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000100000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(11, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000010000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(12, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000001000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(13, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000100000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(14, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000010000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(15, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000001000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(16, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000100000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(17, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000010000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(18, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000001000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(19, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000000100000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(20, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000000010000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(21, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000000001000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(22, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000000000100000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(23, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000000000010000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(24, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000000000001000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(25, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000000000000100000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(26, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000000000000010000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(27, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000000000000001000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(28, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000000000000000100" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(29, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000000000000000010" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(30, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000000000000000001" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(31, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when others => null;
end case;
end if;
end if;
end process SLAVE_REG_WRITE_PROC;
-- implement slave model software accessible register(s) read mux
SLAVE_REG_READ_PROC : process(slv_reg_read_sel, faultify_read_valid) is
begin
faultify_read_address_valid <= '1';
case slv_reg_read_sel is
when "10000000000000000000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(0, 32));
when "01000000000000000000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(1, 32));
when "00100000000000000000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(2, 32));
when "00010000000000000000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(3, 32));
when "00001000000000000000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(4, 32));
when "00000100000000000000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(5, 32));
when "00000010000000000000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(6, 32));
when "00000001000000000000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(7, 32));
when "00000000100000000000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(8, 32));
when "00000000010000000000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(9, 32));
when "00000000001000000000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(10, 32));
when "00000000000100000000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(11, 32));
when "00000000000010000000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(12, 32));
when "00000000000001000000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(13, 32));
when "00000000000000100000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(14, 32));
when "00000000000000010000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(15, 32));
when "00000000000000001000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(16, 32));
when "00000000000000000100000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(17, 32));
when "00000000000000000010000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(18, 32));
when "00000000000000000001000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(19, 32));
when "00000000000000000000100000000000" => faultify_read_address <= std_logic_vector(to_unsigned(20, 32));
when "00000000000000000000010000000000" => faultify_read_address <= std_logic_vector(to_unsigned(21, 32));
when "00000000000000000000001000000000" => faultify_read_address <= std_logic_vector(to_unsigned(22, 32));
when "00000000000000000000000100000000" => faultify_read_address <= std_logic_vector(to_unsigned(23, 32));
when "00000000000000000000000010000000" => faultify_read_address <= std_logic_vector(to_unsigned(24, 32));
when "00000000000000000000000001000000" => faultify_read_address <= std_logic_vector(to_unsigned(25, 32));
when "00000000000000000000000000100000" => faultify_read_address <= std_logic_vector(to_unsigned(26, 32));
when "00000000000000000000000000010000" => faultify_read_address <= std_logic_vector(to_unsigned(27, 32));
when "00000000000000000000000000001000" => faultify_read_address <= std_logic_vector(to_unsigned(28, 32));
when "00000000000000000000000000000100" => faultify_read_address <= std_logic_vector(to_unsigned(29, 32));
when "00000000000000000000000000000010" => faultify_read_address <= std_logic_vector(to_unsigned(30, 32));
when "00000000000000000000000000000001" => faultify_read_address <= std_logic_vector(to_unsigned(31, 32));
when others => faultify_read_address <= (others => '0');
faultify_read_address_valid <= '0';
end case;
end process SLAVE_REG_READ_PROC;
------------------------------------------
-- Example code to drive IP to Bus signals
------------------------------------------
IP2Bus_Data <= register_read_data when faultify_read_valid = '1' else
(others => '0');
IP2Bus_WrAck <= slv_write_ack;
IP2Bus_RdAck <= slv_read_ack;
IP2Bus_Error <= '0';
-----------------------------------------------------------------------------
-- clock divider 32 -> 1
-----------------------------------------------------------------------------
divide <= 32;
process(Bus2IP_Clk, Bus2IP_Resetn)
begin
if Bus2IP_Resetn = '0' then
counter <= 0;
faultify_clk_slow_i <= '0';
elsif(rising_edge(Bus2IP_Clk)) then
if(counter < divide/2-1) then
counter <= counter + 1;
faultify_clk_slow_i <= '0';
elsif(counter < divide-1) then
counter <= counter + 1;
faultify_clk_slow_i <= '1';
else
faultify_clk_slow_i <= '0';
counter <= 0;
end if;
end if;
end process;
faultify_clk_slow_out <= faultify_clk_slow_i;
faultify_top_1 : faultify_top
generic map (
numInj => numInj,
numIn => numIn,
numOut => numOut)
port map (
aclk => Bus2IP_Clk,
arst_n => Bus2IP_Resetn,
clk => faultify_clk_slow_i,
clk_x32 => Bus2IP_Clk,
awvalid => faultify_write_valid,
awaddr => register_write_address,
wvalid => faultify_write_valid,
wdata => register_write_data,
arvalid => faultify_read_address_valid,
araddr => faultify_read_address,
rvalid => faultify_read_valid,
rdata => register_read_data,
resultvector_o_p => resultvector_o,
resultvector_f_p => resultvector_f,
testvector => testvector,
s_axis_aresetn => s_axis_aresetn
);
end IMP;
| gpl-2.0 |
lepton-eda/lepton-eda | tools/netlist/examples/vams/vhdl/new-vhdl/resistor.vhdl | 15 | 299 | LIBRARY ieee,disciplines;
USE ieee.math_real.all;
USE ieee.math_real.all;
USE work.electrical_system.all;
USE work.all;
-- Entity declaration --
ENTITY RESISTOR IS
GENERIC ( r : REAL := 10000.0 );
PORT ( terminal LT : electrical;
terminal RT : electrical );
END ENTITY RESISTOR;
| gpl-2.0 |
zhekov/geany | tests/ctags/bug2374109.vhd | 98 | 196 | function Pow2( N, Exp : integer ) return mylib.myinteger is
Variable Result : integer := 1;
begin
for i in 1 to Exp loop
Result := Result * N;
end loop;
return( Result );
end Pow;
| gpl-2.0 |
lepton-eda/lepton-eda | tools/netlist/examples/vams/vhdl/basic-vhdl/bjt_transistor_simple.vhdl | 15 | 910 | LIBRARY ieee,disciplines;
USE ieee.math_real.all;
USE ieee.math_real.all;
USE work.electrical_system.all;
USE work.all;
-- Entity declaration --
ENTITY BJT_transistor_simple IS
GENERIC ( VT : REAL := 25.85e-3;
AF : REAL := 1.0;
KF : REAL := 0.0;
PT : REAL := 3.0;
EG : REAL := 1.11;
MC : REAL := 0.5;
PC : REAL := 1.0;
CJC : REAL := 2.5e-12;
ME : REAL := 0.5;
PE : REAL := 1.0;
CJE : REAL := 2.5e-12;
CCS : REAL := 2.5e-12;
TR : REAL := 4.0e-9;
TF : REAL := 4.0e-9;
NCL : REAL := 2.0;
C4 : REAL := 0.0;
NEL : REAL := 2.0;
C2 : REAL := 0.0;
RS : REAL := 1.0;
RE : REAL := 1.0;
RC : REAL := 1.0;
RB : REAL := 1.0;
ISS : REAL := 10.0e-14;
BR : REAL := 1.0;
BF : REAL := 100.0 );
PORT ( terminal Emitter : electrical;
terminal Collector : electrical;
terminal Base : electrical );
END ENTITY BJT_transistor_simple;
| gpl-2.0 |
sorgelig/ZX_Spectrum-128K_MIST | tzxplayer.vhd | 1 | 18263 | ---------------------------------------------------------------------------------
-- TZX player
-- by György Szombathelyi
-- basic idea for the structure based on c1530 tap player by darfpga
--
---------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use IEEE.STD_LOGIC_ARITH.ALL;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
entity tzxplayer is
generic (
TZX_MS : integer := 64000; -- CE periods for one milliseconds
-- Default: ZX Spectrum
NORMAL_PILOT_LEN : integer := 2168;
NORMAL_SYNC1_LEN : integer := 667;
NORMAL_SYNC2_LEN : integer := 735;
NORMAL_ZERO_LEN : integer := 855;
NORMAL_ONE_LEN : integer := 1710;
NORMAL_PILOT_PULSES : integer := 4031
-- Amstrad CPC
--NORMAL_PILOT_LEN : integer := 2000;
--NORMAL_SYNC1_LEN : integer := 855;
--NORMAL_SYNC2_LEN : integer := 855;
--NORMAL_ZERO_LEN : integer := 855;
--NORMAL_ONE_LEN : integer := 1710;
--NORMAL_PILOT_PULSES : integer := 4096;
);
port(
clk : in std_logic;
ce : in std_logic;
restart_tape : in std_logic;
host_tap_in : in std_logic_vector(7 downto 0); -- 8bits fifo input
tzx_req : buffer std_logic; -- request for new byte (edge trigger)
tzx_ack : in std_logic; -- new data available
loop_start : out std_logic; -- active for one clock if a loop starts
loop_next : out std_logic; -- active for one clock at the next iteration
stop : out std_logic; -- tape should be stopped
stop48k : out std_logic; -- tape should be stopped in 48k mode
cass_read : buffer std_logic; -- tape read signal
cass_motor : in std_logic -- 1 = tape motor is powered
);
end tzxplayer;
architecture struct of tzxplayer is
signal tap_fifo_do : std_logic_vector(7 downto 0);
signal tick_cnt : std_logic_vector(16 downto 0);
signal wave_cnt : std_logic_vector(15 downto 0);
signal wave_period : std_logic;
signal skip_bytes : std_logic;
signal playing : std_logic; -- 1 = tap or wav file is playing
signal bit_cnt : std_logic_vector(2 downto 0);
type tzx_state_t is (
TZX_HEADER,
TZX_NEWBLOCK,
TZX_LOOP_START,
TZX_LOOP_END,
TZX_PAUSE,
TZX_PAUSE2,
TZX_STOP48K,
TZX_HWTYPE,
TZX_TEXT,
TZX_MESSAGE,
TZX_ARCHIVE_INFO,
TZX_CUSTOM_INFO,
TZX_GLUE,
TZX_TONE,
TZX_PULSES,
TZX_DATA,
TZX_NORMAL,
TZX_TURBO,
TZX_PLAY_TONE,
TZX_PLAY_SYNC1,
TZX_PLAY_SYNC2,
TZX_PLAY_TAPBLOCK,
TZX_PLAY_TAPBLOCK2,
TZX_PLAY_TAPBLOCK3,
TZX_PLAY_TAPBLOCK4,
TZX_DIRECT,
TZX_DIRECT2,
TZX_DIRECT3);
signal tzx_state: tzx_state_t;
signal tzx_offset : std_logic_vector( 7 downto 0);
signal pause_len : std_logic_vector(15 downto 0);
signal ms_counter : std_logic_vector(15 downto 0);
signal pilot_l : std_logic_vector(15 downto 0);
signal sync1_l : std_logic_vector(15 downto 0);
signal sync2_l : std_logic_vector(15 downto 0);
signal zero_l : std_logic_vector(15 downto 0);
signal one_l : std_logic_vector(15 downto 0);
signal pilot_pulses : std_logic_vector(15 downto 0);
signal last_byte_bits : std_logic_vector( 3 downto 0);
signal data_len : std_logic_vector(23 downto 0);
signal pulse_len : std_logic_vector(15 downto 0);
signal end_period : std_logic;
signal cass_motor_D : std_logic;
signal motor_counter : std_logic_vector(21 downto 0);
signal loop_iter : std_logic_vector(15 downto 0);
signal data_len_dword : std_logic_vector(31 downto 0);
begin
tap_fifo_do <= host_tap_in;
process(clk)
begin
if rising_edge(clk) then
if restart_tape = '1' then
tzx_offset <= (others => '0');
tzx_state <= TZX_HEADER;
pulse_len <= (others => '0');
motor_counter <= (others => '0');
wave_period <= '0';
playing <= '0';
tzx_req <= tzx_ack;
loop_start <= '0';
loop_next <= '0';
loop_iter <= (others => '0');
else
-- simulate tape motor momentum
-- don't change the playing state if the motor is switched in 50 ms
-- Opera Soft K17 protection needs this!
cass_motor_D <= cass_motor;
if cass_motor_D /= cass_motor then
motor_counter <= CONV_STD_LOGIC_VECTOR(50*TZX_MS, motor_counter'length);
elsif motor_counter /= 0 then
if ce = '1' then motor_counter <= motor_counter - 1; end if;
else
playing <= cass_motor;
end if;
if playing = '0' then
--cass_read <= '1';
end if;
if pulse_len /= 0 then
if ce = '1' then
tick_cnt <= tick_cnt + 3500;
if tick_cnt >= (TZX_MS - 3500) then
tick_cnt <= tick_cnt - (TZX_MS - 3500);
wave_cnt <= wave_cnt + 1;
if wave_cnt = pulse_len then
wave_cnt <= (others => '0');
cass_read <= wave_period;
wave_period <= not wave_period;
if wave_period = end_period then
pulse_len <= (others => '0');
end if;
end if;
end if;
end if;
else
tick_cnt <= (others => '0');
wave_cnt <= (others => '0');
end if;
loop_start <= '0';
loop_next <= '0';
stop <= '0';
stop48k <= '0';
if playing = '1' and pulse_len = 0 and tzx_req = tzx_ack then
tzx_req <= not tzx_ack; -- default request for new data
case tzx_state is
when TZX_HEADER =>
cass_read <= '1';
tzx_offset <= tzx_offset + 1;
if tzx_offset = x"0A" then -- skip 9 bytes, offset lags 1
tzx_state <= TZX_NEWBLOCK;
end if;
when TZX_NEWBLOCK =>
tzx_offset <= (others=>'0');
ms_counter <= (others=>'0');
case tap_fifo_do is
when x"10" => tzx_state <= TZX_NORMAL;
when x"11" => tzx_state <= TZX_TURBO;
when x"12" => tzx_state <= TZX_TONE;
when x"13" => tzx_state <= TZX_PULSES;
when x"14" => tzx_state <= TZX_DATA;
when x"15" => tzx_state <= TZX_DIRECT;
when x"18" => null; -- CSW recording (not implemented)
when x"19" => null; -- Generalized data block (not implemented)
when x"20" => tzx_state <= TZX_PAUSE;
when x"21" => tzx_state <= TZX_TEXT; -- Group start
when x"22" => null; -- Group end
when x"23" => null; -- Jump to block (not implemented)
when x"24" => tzx_state <= TZX_LOOP_START;
when x"25" => tzx_state <= TZX_LOOP_END;
when x"26" => null; -- Call sequence (not implemented)
when x"27" => null; -- Return from sequence (not implemented)
when x"28" => null; -- Select block (not implemented)
when x"2A" => tzx_state <= TZX_STOP48K;
when x"2B" => null; -- Set signal level (not implemented)
when x"30" => tzx_state <= TZX_TEXT;
when x"31" => tzx_state <= TZX_MESSAGE;
when x"32" => tzx_state <= TZX_ARCHIVE_INFO;
when x"33" => tzx_state <= TZX_HWTYPE;
when x"35" => tzx_state <= TZX_CUSTOM_INFO;
when x"5A" => tzx_state <= TZX_GLUE;
when others => null;
end case;
when TZX_LOOP_START =>
tzx_offset <= tzx_offset + 1;
if tzx_offset = x"00" then loop_iter( 7 downto 0) <= tap_fifo_do;
elsif tzx_offset = x"01" then
loop_iter(15 downto 8) <= tap_fifo_do;
tzx_state <= TZX_NEWBLOCK;
loop_start <= '1';
end if;
when TZX_LOOP_END =>
if loop_iter > 1 then
loop_iter <= loop_iter - 1;
loop_next <= '1';
else
tzx_req <= tzx_ack; -- don't request new byte
end if;
tzx_state <= TZX_NEWBLOCK;
when TZX_PAUSE =>
tzx_offset <= tzx_offset + 1;
if tzx_offset = x"00" then
pause_len(7 downto 0) <= tap_fifo_do;
elsif tzx_offset = x"01" then
pause_len(15 downto 8) <= tap_fifo_do;
tzx_state <= TZX_PAUSE2;
if pause_len(7 downto 0) = 0 and tap_fifo_do = 0 then
stop <= '1';
end if;
end if;
when TZX_PAUSE2 =>
tzx_req <= tzx_ack; -- don't request new byte
if ms_counter /= 0 then
if ce = '1' then
ms_counter <= ms_counter - 1;
-- Set pulse level to low after 1 ms
if ms_counter = 1 then
wave_period <= '0';
end_period <= '0';
cass_read <= '0';
end if;
end if;
elsif pause_len /= 0 then
pause_len <= pause_len - 1;
ms_counter <= conv_std_logic_vector(TZX_MS, 16);
else
tzx_state <= TZX_NEWBLOCK;
end if;
when TZX_STOP48K =>
tzx_offset <= tzx_offset + 1;
if tzx_offset = x"03" then
stop48k <= '1';
tzx_state <= TZX_NEWBLOCK;
end if;
when TZX_HWTYPE =>
tzx_offset <= tzx_offset + 1;
-- 0, 1-3, 1-3, ...
if tzx_offset = x"00" then data_len( 7 downto 0) <= tap_fifo_do;
elsif tzx_offset = x"03" then
if data_len(7 downto 0) = x"01" then
tzx_state <= TZX_NEWBLOCK;
else
data_len(7 downto 0) <= data_len(7 downto 0) - 1;
tzx_offset <= x"01";
end if;
end if;
when TZX_MESSAGE =>
-- skip display time, then then same as TEXT DESRCRIPTION
tzx_state <= TZX_TEXT;
when TZX_TEXT =>
tzx_offset <= tzx_offset + 1;
if tzx_offset = x"00" then data_len( 7 downto 0) <= tap_fifo_do;
elsif tzx_offset = data_len(7 downto 0) then
tzx_state <= TZX_NEWBLOCK;
end if;
when TZX_ARCHIVE_INFO =>
tzx_offset <= tzx_offset + 1;
if tzx_offset = x"00" then data_len( 7 downto 0) <= tap_fifo_do;
elsif tzx_offset = x"01" then data_len(15 downto 8) <= tap_fifo_do;
else
tzx_offset <= x"02";
data_len <= data_len - 1;
if data_len = 1 then
tzx_state <= TZX_NEWBLOCK;
end if;
end if;
when TZX_CUSTOM_INFO =>
tzx_offset <= tzx_offset + 1;
if tzx_offset = x"10" then data_len_dword( 7 downto 0) <= tap_fifo_do;
elsif tzx_offset = x"11" then data_len_dword(15 downto 8) <= tap_fifo_do;
elsif tzx_offset = x"12" then data_len_dword(23 downto 16) <= tap_fifo_do;
elsif tzx_offset = x"13" then data_len_dword(31 downto 24) <= tap_fifo_do;
elsif tzx_offset = x"14" then
tzx_offset <= x"14";
if data_len_dword = 1 then
tzx_state <= TZX_NEWBLOCK;
else
data_len_dword <= data_len_dword - 1;
end if;
end if;
when TZX_GLUE =>
tzx_offset <= tzx_offset + 1;
if tzx_offset = x"08" then
tzx_state <= TZX_NEWBLOCK;
end if;
when TZX_TONE =>
tzx_offset <= tzx_offset + 1;
-- 0, 1, 2, 3, 4, 4, 4, ...
if tzx_offset = x"00" then pilot_l( 7 downto 0) <= tap_fifo_do;
elsif tzx_offset = x"01" then pilot_l(15 downto 8) <= tap_fifo_do;
elsif tzx_offset = x"02" then pilot_pulses( 7 downto 0) <= tap_fifo_do;
elsif tzx_offset = x"03" then
tzx_req <= tzx_ack; -- don't request new byte
pilot_pulses(15 downto 8) <= tap_fifo_do;
else
tzx_offset <= x"04";
tzx_req <= tzx_ack; -- don't request new byte
if pilot_pulses = 0 then
tzx_req <= not tzx_ack; -- default request for new data
tzx_state <= TZX_NEWBLOCK;
else
pilot_pulses <= pilot_pulses - 1;
end_period <= wave_period;
pulse_len <= pilot_l;
end if;
end if;
when TZX_PULSES =>
tzx_offset <= tzx_offset + 1;
-- 0, 1-2+3, 1-2+3, ...
if tzx_offset = x"00" then data_len( 7 downto 0) <= tap_fifo_do;
elsif tzx_offset = x"01" then one_l( 7 downto 0) <= tap_fifo_do;
elsif tzx_offset = x"02" then
tzx_req <= tzx_ack; -- don't request new byte
end_period <= wave_period;
pulse_len <= tap_fifo_do & one_l( 7 downto 0);
elsif tzx_offset = x"03" then
if data_len(7 downto 0) = x"01" then
tzx_state <= TZX_NEWBLOCK;
else
data_len(7 downto 0) <= data_len(7 downto 0) - 1;
tzx_offset <= x"01";
end if;
end if;
when TZX_DATA =>
tzx_offset <= tzx_offset + 1;
if tzx_offset = x"00" then zero_l ( 7 downto 0) <= tap_fifo_do;
elsif tzx_offset = x"01" then zero_l (15 downto 8) <= tap_fifo_do;
elsif tzx_offset = x"02" then one_l ( 7 downto 0) <= tap_fifo_do;
elsif tzx_offset = x"03" then one_l (15 downto 8) <= tap_fifo_do;
elsif tzx_offset = x"04" then last_byte_bits <= tap_fifo_do(3 downto 0);
elsif tzx_offset = x"05" then pause_len( 7 downto 0) <= tap_fifo_do;
elsif tzx_offset = x"06" then pause_len(15 downto 8) <= tap_fifo_do;
elsif tzx_offset = x"07" then data_len ( 7 downto 0) <= tap_fifo_do;
elsif tzx_offset = x"08" then data_len (15 downto 8) <= tap_fifo_do;
elsif tzx_offset = x"09" then
tzx_req <= tzx_ack; -- don't request new byte
data_len (23 downto 16) <= tap_fifo_do;
tzx_state <= TZX_PLAY_TAPBLOCK;
end if;
when TZX_NORMAL =>
tzx_offset <= tzx_offset + 1;
if tzx_offset = x"00" then pause_len( 7 downto 0) <= tap_fifo_do;
elsif tzx_offset = x"01" then pause_len(15 downto 8) <= tap_fifo_do;
elsif tzx_offset = x"02" then data_len ( 7 downto 0) <= tap_fifo_do;
elsif tzx_offset = x"03" then
tzx_req <= tzx_ack; -- don't request new byte
data_len(15 downto 8) <= tap_fifo_do;
data_len(23 downto 16) <= (others => '0');
pilot_l <= conv_std_logic_vector(NORMAL_PILOT_LEN, 16);
sync1_l <= conv_std_logic_vector(NORMAL_SYNC1_LEN, 16);
sync2_l <= conv_std_logic_vector(NORMAL_SYNC2_LEN, 16);
zero_l <= conv_std_logic_vector(NORMAL_ZERO_LEN, 16);
one_l <= conv_std_logic_vector(NORMAL_ONE_LEN, 16);
pilot_pulses <= conv_std_logic_vector(NORMAL_PILOT_PULSES, 16);
last_byte_bits <= "1000";
tzx_state <= TZX_PLAY_TONE;
end if;
when TZX_TURBO =>
tzx_offset <= tzx_offset + 1;
if tzx_offset = x"00" then pilot_l( 7 downto 0) <= tap_fifo_do;
elsif tzx_offset = x"01" then pilot_l(15 downto 8) <= tap_fifo_do;
elsif tzx_offset = x"02" then sync1_l( 7 downto 0) <= tap_fifo_do;
elsif tzx_offset = x"03" then sync1_l(15 downto 8) <= tap_fifo_do;
elsif tzx_offset = x"04" then sync2_l( 7 downto 0) <= tap_fifo_do;
elsif tzx_offset = x"05" then sync2_l(15 downto 8) <= tap_fifo_do;
elsif tzx_offset = x"06" then zero_l ( 7 downto 0) <= tap_fifo_do;
elsif tzx_offset = x"07" then zero_l (15 downto 8) <= tap_fifo_do;
elsif tzx_offset = x"08" then one_l ( 7 downto 0) <= tap_fifo_do;
elsif tzx_offset = x"09" then one_l (15 downto 8) <= tap_fifo_do;
elsif tzx_offset = x"0A" then pilot_pulses( 7 downto 0) <= tap_fifo_do;
elsif tzx_offset = x"0B" then pilot_pulses(15 downto 8) <= tap_fifo_do;
elsif tzx_offset = x"0C" then last_byte_bits <= tap_fifo_do(3 downto 0);
elsif tzx_offset = x"0D" then pause_len( 7 downto 0) <= tap_fifo_do;
elsif tzx_offset = x"0E" then pause_len(15 downto 8) <= tap_fifo_do;
elsif tzx_offset = x"0F" then data_len ( 7 downto 0) <= tap_fifo_do;
elsif tzx_offset = x"10" then data_len (15 downto 8) <= tap_fifo_do;
elsif tzx_offset = x"11" then
tzx_req <= tzx_ack; -- don't request new byte
data_len (23 downto 16) <= tap_fifo_do;
tzx_state <= TZX_PLAY_TONE;
end if;
when TZX_PLAY_TONE =>
tzx_req <= tzx_ack; -- don't request new byte
end_period <= not wave_period;
pulse_len <= pilot_l;
if pilot_pulses /= 0 then
pilot_pulses <= pilot_pulses - 1;
else
tzx_state <= TZX_PLAY_SYNC1;
end if;
when TZX_PLAY_SYNC1 =>
tzx_req <= tzx_ack; -- don't request new byte
end_period <= wave_period;
pulse_len <= sync1_l;
tzx_state <= TZX_PLAY_SYNC2;
when TZX_PLAY_SYNC2 =>
tzx_req <= tzx_ack; -- don't request new byte
end_period <= wave_period;
pulse_len <= sync2_l;
tzx_state <= TZX_PLAY_TAPBLOCK;
when TZX_PLAY_TAPBLOCK =>
bit_cnt <= "111";
tzx_state <= TZX_PLAY_TAPBLOCK2;
when TZX_PLAY_TAPBLOCK2 =>
tzx_req <= tzx_ack; -- don't request new byte
bit_cnt <= bit_cnt - 1;
if bit_cnt = "000" or (data_len = 1 and ((bit_cnt = (8 - last_byte_bits)) or (last_byte_bits = 0))) then
data_len <= data_len - 1;
tzx_state <= TZX_PLAY_TAPBLOCK3;
end if;
end_period <= not wave_period;
if tap_fifo_do(CONV_INTEGER(bit_cnt)) = '0' then
pulse_len <= zero_l;
else
pulse_len <= one_l;
end if;
when TZX_PLAY_TAPBLOCK3 =>
if data_len = 0 then
tzx_state <= TZX_PAUSE2;
else
tzx_state <= TZX_PLAY_TAPBLOCK4;
end if;
when TZX_PLAY_TAPBLOCK4 =>
tzx_req <= tzx_ack; -- don't request new byte
tzx_state <= TZX_PLAY_TAPBLOCK2;
when TZX_DIRECT =>
tzx_offset <= tzx_offset + 1;
if tzx_offset = x"00" then zero_l ( 7 downto 0) <= tap_fifo_do; -- here this is used for one bit, too
elsif tzx_offset = x"01" then zero_l (15 downto 8) <= tap_fifo_do;
elsif tzx_offset = x"02" then pause_len ( 7 downto 0) <= tap_fifo_do;
elsif tzx_offset = x"03" then pause_len (15 downto 8) <= tap_fifo_do;
elsif tzx_offset = x"04" then last_byte_bits <= tap_fifo_do(3 downto 0);
elsif tzx_offset = x"05" then data_len( 7 downto 0) <= tap_fifo_do;
elsif tzx_offset = x"06" then data_len(15 downto 8) <= tap_fifo_do;
elsif tzx_offset = x"07" then
data_len(23 downto 16) <= tap_fifo_do;
tzx_state <= TZX_DIRECT2;
bit_cnt <= "111";
end if;
when TZX_DIRECT2 =>
tzx_req <= tzx_ack; -- don't request new byte
bit_cnt <= bit_cnt - 1;
if bit_cnt = "000" or (data_len = 1 and ((bit_cnt = (8 - last_byte_bits)) or (last_byte_bits = 0))) then
data_len <= data_len - 1;
tzx_state <= TZX_DIRECT3;
end if;
pulse_len <= zero_l;
cass_read <= tap_fifo_do(CONV_INTEGER(bit_cnt));
wave_period <= tap_fifo_do(CONV_INTEGER(bit_cnt));
end_period <= tap_fifo_do(CONV_INTEGER(bit_cnt));
when TZX_DIRECT3 =>
if data_len = 0 then
tzx_state <= TZX_PAUSE2;
else
tzx_state <= TZX_DIRECT2;
end if;
when others => null;
end case;
end if; -- play tzx
end if;
end if; -- clk
end process;
end struct;
| gpl-2.0 |
elahejalalpour/CoDesign | Phase-1/hea/hea.vhd | 1 | 715 | --------------------------------------------------------------------------------
-- Author: Elahe Jalalpour ([email protected])
--
-- Create Date: 27-08-2015
-- Module Name: hea.vhd
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
entity hea is
port(a, b : in std_logic_vector(3 downto 0);
s : out std_logic_vector(7 downto 0));
end hea;
architecture rtl of hea is
component ha
port(a, b : in std_logic;
s, c : out std_logic);
end component;
begin
L0: ha port map(b(3),a(0),s(0),s(1));
L1: ha port map(b(2),a(1),s(2),s(3));
L2: ha port map(b(1),a(2),s(4),s(5));
L3: ha port map(b(0),a(3),s(6),s(7));
end rtl;
| gpl-2.0 |
elahejalalpour/CoDesign | Phase-1/hem/mul.vhd | 1 | 815 | --------------------------------------------------------------------------------
-- Author: Elahe Jalalpour ([email protected])
--
-- Create Date: 28-08-2015
-- Module Name: mul.vhd
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
entity mul is
port(a,b : in std_logic_vector(1 downto 0);
cout : out std_logic_vector(3 downto 0));
end mul;
architecture rtl of mul is
component ha
port(a, b : in std_logic;
s, c : out std_logic);
end component;
signal y : std_logic;
signal hell1, hell2, hell3:std_logic;
begin
cout(0) <= a(0) and b(0);
hell1<=a(0) and b(1);
hell2<=a(1) and b(0);
hell3<=a(1) and b(1);
L1: ha port map (hell1, hell2, cout(1), y);
L2: ha port map (y, hell3, cout(2), cout(3));
end rtl;
| gpl-2.0 |
tec499-20142/t01-warmup | sim/interface_control-tb.vhd | 1 | 3744 | -- +UEFSHDR----------------------------------------------------------------------
-- 2014 UEFS Universidade Estadual de Feira de Santana
-- TEC499-Sistemas Digitais
-- ------------------------------------------------------------------------------
-- TEAM: 01
-- ------------------------------------------------------------------------------
-- PROJECT: Warm up
-- ------------------------------------------------------------------------------
-- FILE NAME : interface_tb
-- KEYWORDS test, interface, control
-- -----------------------------------------------------------------------------
-- PURPOSE: Testa o módulo internet control
-- -UEFSHDR----------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity interface_tb is
end interface_tb;
architecture Behavioral of interface_tb is
----------------------------------------------
-- Constants
----------------------------------------------
constant MAIN_CLK_PER : time := 20 ns; -- 50 MHz
constant MAIN_CLK : integer := 50;
constant BAUD_RATE : integer := 9600; -- Bits per Second
constant RST_LVL : std_logic := '1'; -- Active Level of Reset
----------------------------------------------
-- Signal Declaration
----------------------------------------------
-- Clock and reset Signals
signal clk_50m : std_logic := '0';
signal rst : std_logic;
signal rx_ready_in : std_logic;
signal rx_data_in : std_logic_vector(7 downto 0);
-- componente descrito como manda o documento de arquitetura,
-- segundo fontes, caso o mapeamento das portas seja esse, funciona
-- independentemente da linguagem.
component interfaceControl is
port (
clk: in std_logic;
reset: in std_logic;
rx_data_ready: in std_logic;
rx_data: in std_logic_vector(7 downto 0);
data_a: out std_logic_vector(7 downto 0);
data_b: out std_logic_vector(7 downto 0);
operation: out std_logic_vector(7 downto 0)
);
end component;
begin
----------------------------------------------
-- Components Instantiation
----------------------------------------------
uut: component interfaceControl port map(
-- Controle
clk => clk_50m, -- seta clock para o gerado por este rtl
reset => rst, -- seta o reset para o gerado por este rtl
-- interface de entrada
rx_data_ready => rx_ready_in, -- seta o pino que anuncia a transmissão
rx_data => rx_data_in, -- seta o pino que tem os dados da transmissão
-- Saídas
data_a => open,
data_b => open,
operation => open
);
----------------------------------------------
-- Main Signals Generation
----------------------------------------------
-- gera clocl que é enviado para o modulo de interface_control
main_clock_generation : process
begin
wait for MAIN_CLK_PER / 2;
clk_50m <= not clk_50m;
end process;
envia_dados : process
variable temp : integer := 1;
begin
--verifica qual o valor de temp, pois temp define qual dado será enviado
if temp = 1 then
rx_data_in <= "00000001";
temp:= temp +1;
elsif temp = 2 then
rx_data_in <= "01000010";
temp:= temp+1;
else
rx_data_in <= "11111111";
end if;
-- atraso
wait for 100ns;
-- rx_ready_in fica com valor '1' durante tempo de um pulso de clock
rx_ready_in <= '1';
wait for MAIN_CLK_PER / 2;
rx_ready_in <= '0';
-- reinicia a variavel temp e envia um reset caso 3 dados já forem enviados
if temp = 3 then
temp := 1;
wait for 200ns;
rst <= '0';
wait for MAIN_CLK_PER /2;
rst <= '1';
end if;
end process envia_dados;
end Behavioral; | gpl-2.0 |
znuh/open-nexys | fx2_fifo_test/top.vhd | 1 | 5462 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
library UNISIM;
use UNISIM.VComponents.all;
entity top is
Port (
sys_clk : in std_logic;
Led: out std_logic_vector(7 downto 0);
sw: in std_logic_vector(7 downto 0);
fx2_wr_full_i : in std_logic;
fx2_rd_empty_i : in std_logic;
fx2_data_io : inout std_logic_vector(7 downto 0);
fx2_clk_i : in std_logic;
fx2_slcs_o : out std_logic;
fx2_slrd_o : out std_logic;
fx2_sloe_o : out std_logic;
fx2_slwr_o : out std_logic;
fx2_pktend_o : out std_logic;
fx2_fifo_addr_o : out std_logic_vector(1 downto 0);
btn : in std_logic_vector(3 downto 0)
);
end top;
architecture Behavioral of top is
component bscan_sreg is
GENERIC (
SREG_LEN : integer := 24
);
Port (
CAPTURE_i : in std_logic;
DRCK_i : in std_logic;
SEL_i : in std_logic;
SHIFT_i : in std_logic;
UPDATE_i : in std_logic;
TDI_i : in std_logic;
TDO_o: out std_logic;
clk_i : in std_logic;
Data_i : in std_logic_vector((SREG_LEN - 1) downto 0);
Data_o : out std_logic_vector((SREG_LEN - 1) downto 0);
strobe_o : out std_logic
);
end component;
signal CAPTURE : std_logic;
signal DRCK1 : std_logic;
signal SEL1 : std_logic;
signal SHIFT : std_logic;
signal UPDATE : std_logic;
signal TDO1 : std_logic;
signal TDI : std_logic;
signal din : std_logic_vector(23 downto 0);
signal dout : std_logic_vector(23 downto 0);
signal strobe : std_logic;
signal fx2_dout : std_logic_vector(7 downto 0);
signal fx2_wr : std_logic := '0';
signal fx2_wr_cnt : std_logic_vector(15 downto 0);
signal fx2_notfull_cnt : std_logic_vector(15 downto 0);
signal fx2_wasfull : std_logic := '0';
signal fx2_stop_on_full : std_logic := '0';
signal fx2_no_delay : std_logic := '0';
signal run : std_logic := '0';
signal autostop : std_logic := '1';
signal fx2_last_full : std_logic;
signal delay : std_logic_vector(3 downto 0);
signal delay_cnt : std_logic_vector(3 downto 0);
begin
BSCAN_SPARTAN3_inst : BSCAN_SPARTAN3
port map (
CAPTURE => CAPTURE, -- CAPTURE output from TAP controller
DRCK1 => DRCK1, -- Data register output for USER1 functions
DRCK2 => open, -- Data register output for USER2 functions
RESET => open, -- Reset output from TAP controller
SEL1 => SEL1, -- USER1 active output
SEL2 => open, -- USER2 active output
SHIFT => SHIFT, -- SHIFT output from TAP controller
TDI => TDI, -- TDI output from TAP controller
UPDATE => UPDATE, -- UPDATE output from TAP controller
TDO1 => TDO1, -- Data input for USER1 function
TDO2 => open -- Data input for USER2 function
);
bscan_sreg_inst : bscan_sreg
Port map (
CAPTURE_i => CAPTURE,
DRCK_i => DRCK1,
SEL_i => SEL1,
SHIFT_i => SHIFT,
UPDATE_i => UPDATE,
TDI_i => TDI,
TDO_o => TDO1,
clk_i => fx2_clk_i, --sys_clk,
Data_i => din,
Data_o => dout,
strobe_o => strobe
);
fx2_fifo_addr_o <= "10";
fx2_slcs_o <= '0';
fx2_slrd_o <= '1';
fx2_sloe_o <= '1';
fx2_slwr_o <= fx2_wr;
Led <= fx2_wr & (not fx2_wr_full_i) & fx2_wasfull & fx2_stop_on_full & fx2_no_delay & "000";
process(fx2_clk_i)
begin
if rising_edge(fx2_clk_i) then
-- FX2 default signals
fx2_data_io <= (others => 'Z');
fx2_pktend_o <= '1';
fx2_wr <= '1';
if fx2_wr_full_i = '0' then
fx2_wasfull <= '1';
end if;
-- did a write cycle
if fx2_wr = '0' then
if fx2_wr_full_i = '1' and fx2_wasfull = '0' then
fx2_notfull_cnt <= fx2_notfull_cnt + 1;
end if;
end if;
-- start button
if btn(0) = '1' then
run <= '1';
end if;
fx2_last_full <= fx2_wr_full_i;
-- insert delay after frame
if fx2_last_full = '1' and fx2_wr_full_i = '0' then
delay_cnt <= delay;
end if;
-- write?
if delay_cnt /= "000" then
delay_cnt <= delay_cnt - 1;
elsif fx2_wr_cnt /= x"0000" or autostop = '0' then
if (run = '1') and (fx2_wr = '1' or fx2_no_delay = '1') then
if (fx2_wr_full_i = '1' or fx2_last_full = '1' or fx2_stop_on_full = '0') then
fx2_data_io <= fx2_dout;
fx2_dout <= fx2_dout + 1;
fx2_wr <= '0';
fx2_wr_cnt <= fx2_wr_cnt - 1;
end if;
end if;
else
run <= '0';
end if;
-- JTAG strobe
if strobe = '1' then
din <= dout;
-- reg. addr
case dout(23 downto 16) is
-- FX2 ctl
when x"80" => fx2_stop_on_full <= dout(0);
fx2_no_delay <= dout(1);
-- some kind of raw mode...
fx2_wr <= not dout(2);
fx2_pktend_o <= not dout(4);
autostop <= not dout(5);
delay <= dout(11 downto 8);
-- FX2 status
when x"00" => din(7 downto 0) <= "000000" & fx2_wr_full_i & fx2_rd_empty_i;
-- FX2 write count
when x"81" => fx2_wr_cnt <= dout(15 downto 0);
fx2_notfull_cnt <= x"0000";
fx2_wasfull <= '0';
-- FX2 written count
when x"01" => din(15 downto 0) <= fx2_notfull_cnt;
-- FX2 data out
when x"82" => fx2_dout <= dout(7 downto 0);
-- FX2 data out
when x"02" => din(7 downto 0) <= fx2_dout;
when others => null;
end case;
end if;
end if;
end process;
end Behavioral;
| gpl-2.0 |
znuh/open-nexys | bscan_la/core.vhd | 4 | 7374 | ----------------------------------------------------------------------------------
-- core.vhd
--
-- Copyright (C) 2006 Michael Poppitz
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
--
-- This program is distributed in the hope that it will be useful, but
-- WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
-- General Public License for more details.
--
-- You should have received a copy of the GNU General Public License along
-- with this program; if not, write to the Free Software Foundation, Inc.,
-- 51 Franklin St, Fifth Floor, Boston, MA 02110, USA
--
----------------------------------------------------------------------------------
--
-- Details: http://www.sump.org/projects/analyzer/
--
-- The core contains all "platform independent" modules and provides a
-- simple interface to those components. The core makes the analyzer
-- memory type and computer interface independent.
--
-- This module also provides a better target for test benches as commands can
-- be sent to the core easily.
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
library UNISIM;
use UNISIM.VComponents.all;
entity core is
Port ( clock : in STD_LOGIC;
extReset : in STD_LOGIC;
cmd : in STD_LOGIC_VECTOR (39 downto 0);
execute : in STD_LOGIC;
input : in STD_LOGIC_VECTOR (31 downto 0);
inputClock : in STD_LOGIC;
sampleReady50 : out STD_LOGIC;
output : out STD_LOGIC_VECTOR (31 downto 0);
outputSend : out STD_LOGIC;
outputBusy : in STD_LOGIC;
memoryIn : in STD_LOGIC_VECTOR (31 downto 0);
memoryOut : out STD_LOGIC_VECTOR (31 downto 0);
memoryRead : out STD_LOGIC;
memoryWrite : out STD_LOGIC
);
end core;
architecture Behavioral of core is
COMPONENT decoder
PORT ( opcode : in STD_LOGIC_VECTOR (7 downto 0);
execute : in std_logic;
clock : in std_logic;
wrtrigmask : out std_logic_vector(3 downto 0);
wrtrigval : out std_logic_vector(3 downto 0);
wrtrigcfg : out std_logic_vector(3 downto 0);
wrspeed : out STD_LOGIC;
wrsize : out std_logic;
wrFlags : out std_logic;
arm : out std_logic;
reset : out std_logic
);
END COMPONENT;
COMPONENT flags
PORT(
data : IN std_logic_vector(8 downto 0);
clock : IN std_logic;
write : IN std_logic;
demux : OUT std_logic;
filter : OUT std_logic;
external : out std_logic;
inverted : out std_logic;
rle : out std_logic
);
END COMPONENT;
COMPONENT sync is
PORT (
input : in STD_LOGIC_VECTOR (31 downto 0);
clock : in STD_LOGIC;
enableFilter : in STD_LOGIC;
enableDemux : in STD_LOGIC;
falling : in STD_LOGIC;
output : out STD_LOGIC_VECTOR (31 downto 0)
);
END COMPONENT;
COMPONENT sampler
PORT(
input : IN std_logic_vector(31 downto 0);
clock : IN std_logic;
exClock : in std_logic;
external : in std_logic;
data : IN std_logic_vector(23 downto 0);
wrDivider : IN std_logic;
sample : OUT std_logic_vector(31 downto 0);
ready : OUT std_logic;
ready50 : out std_logic
);
END COMPONENT;
COMPONENT trigger
PORT(
input : IN std_logic_vector(31 downto 0);
inputReady : in std_logic;
data : IN std_logic_vector(31 downto 0);
clock : in std_logic;
reset : in std_logic;
wrMask : IN std_logic_vector(3 downto 0);
wrValue : IN std_logic_vector(3 downto 0);
wrConfig : IN std_logic_vector(3 downto 0);
arm : IN std_logic;
demuxed : in std_logic;
run : out STD_LOGIC
);
END COMPONENT;
COMPONENT controller
PORT(
clock : IN std_logic;
reset : in std_logic;
input : IN std_logic_vector(31 downto 0);
inputReady : in std_logic;
data : in std_logic_vector(31 downto 0);
wrSize : in std_logic;
run : in std_logic;
busy : in std_logic;
send : out std_logic;
output : out std_logic_vector(31 downto 0);
memoryIn : in STD_LOGIC_VECTOR (31 downto 0);
memoryOut : out STD_LOGIC_VECTOR (31 downto 0);
memoryRead : out STD_LOGIC;
memoryWrite : out STD_LOGIC
);
END COMPONENT;
COMPONENT rle_enc
PORT(
clock : IN std_logic;
reset : IN std_logic;
dataIn : IN std_logic_vector(31 downto 0);
validIn : IN std_logic;
enable : IN std_logic;
dataOut : OUT std_logic_vector(31 downto 0);
validOut : OUT std_logic
);
END COMPONENT;
signal opcode : std_logic_vector (7 downto 0);
signal data, rleOut : std_logic_vector (31 downto 0);
signal sample, syncedInput : std_logic_vector (31 downto 0);
signal sampleClock, run, reset, rleValid, rleEnable : std_logic;
signal wrtrigmask, wrtrigval, wrtrigcfg : std_logic_vector(3 downto 0);
signal wrDivider, wrsize, arm, resetCmd: std_logic;
signal flagDemux, flagFilter, flagExternal, flagInverted, wrFlags, sampleReady: std_logic;
begin
data <= cmd(39 downto 8);
opcode <= cmd(7 downto 0);
reset <= extReset or resetCmd;
-- select between internal and external sampling clock
BUFGMUX_intex: BUFGMUX
port map (
O => sampleClock, -- Clock MUX output
I0 => clock, -- Clock0 input
I1 => inputClock, -- Clock1 input
S => flagExternal -- Clock select input
);
Inst_decoder: decoder PORT MAP(
opcode => opcode,
execute => execute,
clock => clock,
wrtrigmask => wrtrigmask,
wrtrigval => wrtrigval,
wrtrigcfg => wrtrigcfg,
wrspeed => wrDivider,
wrsize => wrsize,
wrFlags => wrFlags,
arm => arm,
reset => resetCmd
);
Inst_flags: flags PORT MAP(
data => data(8 downto 0),
clock => clock,
write => wrFlags,
demux => flagDemux,
filter => flagFilter,
external => flagExternal,
inverted => flagInverted,
rle => rleEnable
);
Inst_sync: sync PORT MAP(
input => input,
clock => sampleClock,
enableFilter => flagFilter,
enableDemux => flagDemux,
falling => flagInverted,
output => syncedInput
);
Inst_sampler: sampler PORT MAP(
input => syncedInput,
clock => clock,
exClock => inputClock, -- use sampleClock?
external => flagExternal,
data => data(23 downto 0),
wrDivider => wrDivider,
sample => sample,
ready => sampleReady,
ready50 => sampleReady50
);
Inst_trigger: trigger PORT MAP(
input => sample,
inputReady => sampleReady,
data => data,
clock => clock,
reset => reset,
wrMask => wrtrigmask,
wrValue => wrtrigval,
wrConfig => wrtrigcfg,
arm => arm,
demuxed => flagDemux,
run => run
);
Inst_controller: controller PORT MAP(
clock => clock,
reset => reset,
input => rleOut,
inputReady => rleValid,
data => data,
wrSize => wrsize,
run => run,
busy => outputBusy,
send => outputSend,
output => output,
memoryIn => memoryIn,
memoryOut => memoryOut,
memoryRead => memoryRead,
memoryWrite => memoryWrite
);
Inst_rle_enc: rle_enc PORT MAP(
clock => clock,
reset => reset,
dataIn => sample,
validIn => sampleReady,
enable => rleEnable,
dataOut => rleOut,
validOut => rleValid
);
end Behavioral;
| gpl-2.0 |
6769/VHDL | Lab_2_part2/simulation/qsim/work/rotate_shift_register_vlg_check_tst/_primary.vhd | 1 | 685 | library verilog;
use verilog.vl_types.all;
entity rotate_shift_register_vlg_check_tst is
port(
hex0 : in vl_logic_vector(7 downto 0);
hex1 : in vl_logic_vector(7 downto 0);
hex2 : in vl_logic_vector(7 downto 0);
hex3 : in vl_logic_vector(7 downto 0);
hex4 : in vl_logic_vector(7 downto 0);
hex5 : in vl_logic_vector(7 downto 0);
hex6 : in vl_logic_vector(7 downto 0);
hex7 : in vl_logic_vector(7 downto 0);
sampler_rx : in vl_logic
);
end rotate_shift_register_vlg_check_tst;
| gpl-2.0 |
6769/VHDL | Lab_5/SingluarUnit/counter/simulation/qsim/work/@roll_@sum/_primary.vhd | 1 | 407 | library verilog;
use verilog.vl_types.all;
entity Roll_Sum is
port(
Rb : in vl_logic;
CLK : in vl_logic;
Reset : in vl_logic;
hex0 : out vl_logic_vector(2 downto 0);
hex1 : out vl_logic_vector(2 downto 0);
Sum : out vl_logic_vector(3 downto 0)
);
end Roll_Sum;
| gpl-2.0 |
6769/VHDL | Lab_4/Part1/simulation/qsim/work/@threebit_@b@c@d_counter_vlg_check_tst/_primary.vhd | 1 | 250 | library verilog;
use verilog.vl_types.all;
entity Threebit_BCD_counter_vlg_check_tst is
port(
Counter_Result : in vl_logic_vector(11 downto 0);
sampler_rx : in vl_logic
);
end Threebit_BCD_counter_vlg_check_tst;
| gpl-2.0 |
6769/VHDL | Lab_4/Part1/simulation/qsim/work/@threebit_@b@c@d_counter_vlg_sample_tst/_primary.vhd | 1 | 275 | library verilog;
use verilog.vl_types.all;
entity Threebit_BCD_counter_vlg_sample_tst is
port(
clk : in vl_logic;
reset : in vl_logic;
sampler_tx : out vl_logic
);
end Threebit_BCD_counter_vlg_sample_tst;
| gpl-2.0 |
sorgelig/SAMCoupe_MIST | t80/T80_Reg.vhd | 1 | 4373 | --------------------------------------------------------------------------------
-- ****
-- T80(c) core. Attempt to finish all undocumented features and provide
-- accurate timings.
-- Version 350.
-- Copyright (c) 2018 Sorgelig
-- Test passed: ZEXDOC, ZEXALL, Z80Full(*), Z80memptr
-- (*) Currently only SCF and CCF instructions aren't passed X/Y flags check as
-- correct implementation is still unclear.
--
-- ****
-- T80(b) core. In an effort to merge and maintain bug fixes ....
--
--
-- Ver 300 started tidyup
-- MikeJ March 2005
-- Latest version from www.fpgaarcade.com (original www.opencores.org)
--
-- ****
--
-- T80 Registers, technology independent
--
-- Version : 0244
--
-- Copyright (c) 2002 Daniel Wallner ([email protected])
--
-- All rights reserved
--
-- Redistribution and use in source and synthezised forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
--
-- Redistributions in synthesized form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- Neither the name of the author nor the names of other contributors may
-- be used to endorse or promote products derived from this software without
-- specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-- Please report bugs to the author, but before you do so, please
-- make sure that this is not a derivative work and that
-- you have the latest version of this file.
--
-- The latest version of this file can be found at:
-- http://www.opencores.org/cvsweb.shtml/t51/
--
-- Limitations :
--
-- File history :
--
-- 0242 : Initial release
--
-- 0244 : Changed to single register file
--
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity T80_Reg is
port(
Clk : in std_logic;
CEN : in std_logic;
WEH : in std_logic;
WEL : in std_logic;
AddrA : in std_logic_vector(2 downto 0);
AddrB : in std_logic_vector(2 downto 0);
AddrC : in std_logic_vector(2 downto 0);
DIH : in std_logic_vector(7 downto 0);
DIL : in std_logic_vector(7 downto 0);
DOAH : out std_logic_vector(7 downto 0);
DOAL : out std_logic_vector(7 downto 0);
DOBH : out std_logic_vector(7 downto 0);
DOBL : out std_logic_vector(7 downto 0);
DOCH : out std_logic_vector(7 downto 0);
DOCL : out std_logic_vector(7 downto 0);
DOR : out std_logic_vector(127 downto 0)
);
end T80_Reg;
architecture rtl of T80_Reg is
type Register_Image is array (natural range <>) of std_logic_vector(7 downto 0);
signal RegsH : Register_Image(0 to 7);
signal RegsL : Register_Image(0 to 7);
begin
process (Clk)
begin
if rising_edge(Clk) then
if CEN = '1' then
if WEH = '1' then
RegsH(to_integer(unsigned(AddrA))) <= DIH;
end if;
if WEL = '1' then
RegsL(to_integer(unsigned(AddrA))) <= DIL;
end if;
end if;
end if;
end process;
DOAH <= RegsH(to_integer(unsigned(AddrA)));
DOAL <= RegsL(to_integer(unsigned(AddrA)));
DOBH <= RegsH(to_integer(unsigned(AddrB)));
DOBL <= RegsL(to_integer(unsigned(AddrB)));
DOCH <= RegsH(to_integer(unsigned(AddrC)));
DOCL <= RegsL(to_integer(unsigned(AddrC)));
DOR <= RegsH(7) & RegsL(7) & RegsH(6) & RegsL(6) & RegsH(5) & RegsL(5) & RegsH(4) & RegsL(4) & RegsH(3) & RegsL(3) & RegsH(2) & RegsL(2) & RegsH(1) & RegsL(1) & RegsH(0) & RegsL(0);
end;
| gpl-2.0 |
gregani/la16fw | test_fifo.vhd | 1 | 4496 | --
-- This file is part of the lafw16 project.
--
-- Copyright (C) 2014-2015 Gregor Anich
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity test_fifo is
end test_fifo;
architecture behavior of test_fifo is
-- Component Declaration for the Unit Under Test (UUT)
component fifo
port(
reset : in std_logic;
clk_read : in std_logic;
clk_write : in std_logic;
data_in : in std_logic_vector(15 downto 0);
enable_write : in std_logic;
enable_read : in std_logic;
data_out : out std_logic_vector(15 downto 0);
full : out std_logic;
empty : out std_logic
);
end component;
--Inputs
signal reset : std_logic := '0';
signal clk_read : std_logic := '0';
signal clk_write : std_logic := '0';
signal data_in : std_logic_vector(15 downto 0) := (others => '0');
signal enable_write : std_logic := '0';
signal enable_read : std_logic := '0';
--Outputs
signal data_out : std_logic_vector(15 downto 0);
signal full : std_logic;
signal empty : std_logic;
signal last_empty : std_logic := '1';
-- Clock period definitions
constant clk_read_period : time := 20.83 ns;
constant clk_write_period : time := 100 ns;
signal write_count : unsigned(15 downto 0) := (0=>'1',others=>'0');
signal read_count : unsigned(15 downto 0) := (0=>'1',others=>'0');
signal do_read : std_logic := '0';
signal read_toggle : std_logic := '0';
begin
-- Instantiate the Unit Under Test (UUT)
uut: fifo
port map(
reset => reset,
clk_read => clk_read,
clk_write => clk_write,
data_in => data_in,
enable_write => enable_write,
enable_read => enable_read,
data_out => data_out,
full => full,
empty => empty
);
-- Clock process definitions
clk_read_process :process
begin
read_toggle <= not read_toggle;
--read_toggle <= '1';
clk_read <= '0';
wait for clk_read_period/2;
clk_read <= '1';
if (enable_read = '1') and (empty = '0') then
read_count <= read_count + 1;
assert data_out = std_logic_vector(read_count)
report "wrong data"
severity failure;
-- severity warning;
end if;
wait for clk_read_period/2;
enable_read <= read_toggle and do_read;
end process;
clk_write_process :process
begin
clk_write <= '0';
wait for clk_write_period/2;
clk_write <= '1';
if (enable_write = '1') and (full = '0') then
write_count <= write_count + 1;
end if;
wait for clk_write_period/2;
end process;
data_in <= std_logic_vector(write_count);
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
reset <= '1';
wait for 100 ns;
reset <= '0';
wait for clk_read_period*10;
-- fill fifo
wait until full = '0';
wait until rising_edge(clk_write);
wait for clk_write_period/4;
enable_write <= '1';
wait until full = '1';
enable_write <= '0';
wait for 5 us;
-- read fifo
--wait until empty = '0';
wait until rising_edge(clk_read);
wait for clk_read_period/4;
do_read <= '1';
wait for 5*clk_read_period;
do_read <= '0';
wait for 5*clk_read_period;
do_read <= '1';
-- wait for clk_read_period;
-- wait until empty = '1';
-- do_read <= '0';
-- wait for 1 us;
wait;
end process;
end;
| gpl-2.0 |
gregani/la16fw | test_clockmux.vhd | 1 | 3220 | --
-- This file is part of the lafw16 project.
--
-- Copyright (C) 2014-2015 Gregor Anich
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity test_clockmux is
end test_clockmux;
architecture behavior of test_clockmux is
-- Component Declaration for the Unit Under Test (UUT)
component clockmux
port(
clk_ctl : in std_logic;
clk_sel : in std_logic_vector(1 downto 0);
clk_in : in std_logic_vector(3 downto 0);
clk_out : out std_logic
);
end component;
--Inputs
signal clk_ctl : std_logic := '0';
signal clk_sel : unsigned(1 downto 0) := (others => '0');
signal clk_in : std_logic_vector(3 downto 0) := (others => '0');
--Outputs
signal clk_out : std_logic;
-- Clock period definitions
constant clk_ctl_period : time := 10 ns;
constant clk_in_0_period : time := 3 ns;
constant clk_in_1_period : time := 17 ns;
constant clk_in_2_period : time := 37 ns;
constant clk_in_3_period : time := 113 ns;
begin
-- Instantiate the Unit Under Test (UUT)
uut: clockmux port map (
clk_ctl => clk_ctl,
clk_sel => std_logic_vector(clk_sel),
clk_in => clk_in,
clk_out => clk_out
);
-- Clock process definitions
clk_ctl_process :process
begin
clk_ctl <= '0';
wait for clk_ctl_period/2;
clk_ctl <= '1';
wait for clk_ctl_period/2;
end process;
clk_in_0_process :process
begin
clk_in(0) <= '0';
wait for clk_in_0_period/2;
clk_in(0) <= '1';
wait for clk_in_0_period/2;
end process;
clk_in_1_process :process
begin
clk_in(1) <= '0';
wait for clk_in_1_period/2;
clk_in(1) <= '1';
wait for clk_in_1_period/2;
end process;
clk_in_2_process :process
begin
clk_in(2) <= '0';
wait for clk_in_2_period/2;
clk_in(2) <= '1';
wait for clk_in_2_period/2;
end process;
clk_in_3_process :process
begin
clk_in(3) <= '0';
wait for clk_in_3_period/2;
clk_in(3) <= '1';
wait for clk_in_3_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
clk_sel <= to_unsigned(0, clk_sel'length);
wait for 1 us;
clk_sel <= to_unsigned(1, clk_sel'length);
wait for 1 us;
clk_sel <= to_unsigned(2, clk_sel'length);
wait for 1 us;
clk_sel <= to_unsigned(3, clk_sel'length);
wait for 1 us;
wait;
end process;
end;
| gpl-2.0 |
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.